tillitis-key/hw/application_fpga/core
Joachim Strömbergson 1e97e27e66
Updated README, completed testcase and cleaned up the testbench
Signed-off-by: Joachim Strömbergson <joachim@assured.se>
2023-07-04 09:04:24 +02:00
..
picorv32 Squashed commit of the following: 2022-10-06 13:23:30 +02:00
timer Add more info about how the timer works 2023-07-04 09:04:24 +02:00
tk1 Update fw ram last address to match new mem size 2023-03-08 13:31:45 +01:00
touch_sense Remove name, version from several cores 2022-10-11 09:50:45 +02:00
trng Use TKey name 2022-12-02 08:03:06 +01:00
uart Add API address to read out number of bytes in Rx FIFO 2023-03-07 08:22:27 +01:00
uds Updated README, completed testcase and cleaned up the testbench 2023-07-04 09:04:24 +02:00