tillitis-key/hw/application_fpga/core
Joachim Strömbergson 9ce2b8a84a
Only accept tx data when the core is ready
Signed-off-by: Joachim Strömbergson <joachim@assured.se>
2023-01-02 13:10:40 +01:00
..
picorv32 Squashed commit of the following: 2022-10-06 13:23:30 +02:00
timer Count from init values to one, not zero 2022-10-18 11:06:40 +02:00
tk1 Lock down access to UDI in app mode 2022-12-20 12:05:56 +01:00
touch_sense Remove name, version from several cores 2022-10-11 09:50:45 +02:00
trng Use TKey name 2022-12-02 08:03:06 +01:00
uart Only accept tx data when the core is ready 2023-01-02 13:10:40 +01:00
uds Remove name, version from several cores 2022-10-11 09:50:45 +02:00