Clean up code and silence warnings after linting

Signed-off-by: Joachim Strömbergson <joachim@assured.se>
This commit is contained in:
Joachim Strömbergson 2024-03-19 14:48:52 +01:00 committed by Michael Cardell Widerkrantz
parent f364b523cf
commit de668a0244
No known key found for this signature in database
GPG key ID: D3DB3DDF57E704E5
4 changed files with 29 additions and 24 deletions

View file

@ -61,8 +61,10 @@ module tk1(
localparam LED_B_BIT = 0;
localparam ADDR_GPIO = 8'h0a;
/* verilator lint_off UNUSED */
localparam GPIO1_BIT = 0;
localparam GPIO2_BIT = 1;
/* verilator lint_on UNUSED */
localparam GPIO3_BIT = 2;
localparam GPIO4_BIT = 3;

View file

@ -36,7 +36,6 @@ module rosc(
//----------------------------------------------------------------
// API
localparam ADDR_STATUS = 8'h09;
localparam STATUS_READY_BIT = 0;
localparam ADDR_ENTROPY = 8'h20;
// Total number of ROSCs will be 2 x NUM_ROSC.
@ -115,10 +114,12 @@ module rosc(
for(i = 0 ; i < NUM_ROSC ; i = i + 1)
begin: oscillators
/* verilator lint_off PINMISSING */
/* verilator lint_off UNOPTFLAT */
(* keep *) SB_LUT4 #(.LUT_INIT(16'h1)) osc_inv_f (.I0(f[i]), .O(f[i]));
(* keep *) SB_LUT4 #(.LUT_INIT(16'h1)) osc_inv_g (.I0(g[i]), .O(g[i]));
/* verilator lint_off PINMISSING */
/* verilator lint_on UNOPTFLAT */
/* verilator lint_on PINMISSING */
end
endgenerate