mirror of
https://github.com/tillitis/tillitis-key1.git
synced 2024-10-01 01:45:38 -04:00
Add PINMISSING lint ignore for I1 and I2 SB_LUT4 cells
Signed-off-by: Joachim Strömbergson <joachim@assured.se>
This commit is contained in:
parent
8731908cb1
commit
bbde62d3f5
@ -18,14 +18,16 @@ module udi_rom (
|
||||
);
|
||||
generate
|
||||
genvar ii;
|
||||
for (ii = 0; ii < 32; ii = ii + 1'b1) begin: luts
|
||||
(* udi_rom_idx=ii, keep *) SB_LUT4
|
||||
#(
|
||||
.LUT_INIT({2'h1})
|
||||
/* verilator lint_off PINMISSING */
|
||||
for (ii = 0; ii < 32; ii = ii + 1'b1)
|
||||
begin: luts
|
||||
|
||||
(* udi_rom_idx=ii, keep *) SB_LUT4 #(.LUT_INIT({2'h1})
|
||||
) lut_i (
|
||||
.I0(addr[0]),
|
||||
.O(data[ii])
|
||||
);
|
||||
/* verilator lint_on PINMISSING */
|
||||
end
|
||||
endgenerate
|
||||
endmodule
|
||||
|
Loading…
Reference in New Issue
Block a user