Add PINMISSING lint ignore for I1 and I2 SB_LUT4 cells

Signed-off-by: Joachim Strömbergson <joachim@assured.se>
This commit is contained in:
Joachim Strömbergson 2024-03-19 13:55:32 +01:00 committed by Michael Cardell Widerkrantz
parent 8731908cb1
commit bbde62d3f5
No known key found for this signature in database
GPG Key ID: D3DB3DDF57E704E5

View File

@ -18,15 +18,17 @@ module udi_rom (
); );
generate generate
genvar ii; genvar ii;
for (ii = 0; ii < 32; ii = ii + 1'b1) begin: luts /* verilator lint_off PINMISSING */
(* udi_rom_idx=ii, keep *) SB_LUT4 for (ii = 0; ii < 32; ii = ii + 1'b1)
#( begin: luts
.LUT_INIT({2'h1})
) lut_i ( (* udi_rom_idx=ii, keep *) SB_LUT4 #(.LUT_INIT({2'h1})
.I0(addr[0]), ) lut_i (
.O(data[ii]) .I0(addr[0]),
); .O(data[ii])
end );
/* verilator lint_on PINMISSING */
end
endgenerate endgenerate
endmodule endmodule