Add PINMISSING lint ignore for I1 and I2 SB_LUT4 cells

Signed-off-by: Joachim Strömbergson <joachim@assured.se>
This commit is contained in:
Joachim Strömbergson 2024-03-19 13:55:32 +01:00 committed by Michael Cardell Widerkrantz
parent 8731908cb1
commit bbde62d3f5
No known key found for this signature in database
GPG Key ID: D3DB3DDF57E704E5
1 changed files with 11 additions and 9 deletions

View File

@ -18,15 +18,17 @@ module udi_rom (
);
generate
genvar ii;
for (ii = 0; ii < 32; ii = ii + 1'b1) begin: luts
(* udi_rom_idx=ii, keep *) SB_LUT4
#(
.LUT_INIT({2'h1})
) lut_i (
.I0(addr[0]),
.O(data[ii])
);
end
/* verilator lint_off PINMISSING */
for (ii = 0; ii < 32; ii = ii + 1'b1)
begin: luts
(* udi_rom_idx=ii, keep *) SB_LUT4 #(.LUT_INIT({2'h1})
) lut_i (
.I0(addr[0]),
.O(data[ii])
);
/* verilator lint_on PINMISSING */
end
endgenerate
endmodule