From 2d2983e16ee874694832599aaeca5be01f6eb2d4 Mon Sep 17 00:00:00 2001 From: Christien Rioux Date: Fri, 11 Aug 2023 10:50:36 -0700 Subject: [PATCH] remove .vscode --- .gitignore | 2 + .vscode/launch.json | 192 ------------------------------------------ .vscode/settings.json | 11 --- 3 files changed, 2 insertions(+), 203 deletions(-) delete mode 100644 .vscode/launch.json delete mode 100644 .vscode/settings.json diff --git a/.gitignore b/.gitignore index 19ebf47c..2d0a1bec 100644 --- a/.gitignore +++ b/.gitignore @@ -232,3 +232,5 @@ cython_debug/ ## Custom for veilid-python veilid-python/demo/.demokeys + +.vscode/ diff --git a/.vscode/launch.json b/.vscode/launch.json deleted file mode 100644 index 84701cd6..00000000 --- a/.vscode/launch.json +++ /dev/null @@ -1,192 +0,0 @@ -{ - // Use IntelliSense to learn about possible attributes. - // Hover to view descriptions of existing attributes. - // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 - "version": "0.2.0", - "inputs": [ - { - "id": "pickPid", - "type": "promptString", - "description": "Enter process id" - } - ], - "configurations": [ - { - "name": "Python: Attach using Process Id", - "type": "python", - "request": "attach", - "processId": "${command:pickProcess}", - "justMyCode": true - }, - { - "type": "lldb", - "request": "attach", - "name": "Attach to veilid-server", - "program": "${workspaceFolder}/target/debug/veilid-server", - "pid": "${command:pickMyProcess}", - "sourceLanguages": [ - "rust" - ] - }, - { - "type": "lldb", - "request": "attach", - "name": "Attach to veilid-cli", - "program": "${workspaceFolder}/target/debug/veilid-cli", - "pid": "${command:pickMyProcess}", - "sourceLanguages": [ - "rust" - ] - }, - { - "type": "lldb", - "request": "attach", - "name": "Attach to veilid-flutter example", - "program": "${workspaceFolder}/veilid-flutter/example/build/linux/x64/debug/bundle/veilid_example", - "pid": "${command:pickMyProcess}", - "sourceLanguages": [ - "rust", - "dart" - ] - }, - { - "type": "lldb", - "request": "launch", - "name": "Launch veilid-cli", - "args": [ - "--debug" - ], - "program": "${workspaceFolder}/target/debug/veilid-cli", - "windows": { - "program": "${workspaceFolder}/target/debug/veilid-cli.exe" - }, - "cwd": "${workspaceFolder}/target/debug/", - "sourceLanguages": [ - "rust" - ], - "terminal": "console" - }, - { - "type": "lldb", - "request": "launch", - "name": "Debug veilid-server", - "program": "${workspaceFolder}/target/debug/veilid-server", - "args": [ - "--trace", - "--attach=true" - ], - "cwd": "${workspaceFolder}/target/debug/", - "env": { - "RUST_BACKTRACE": "1" - }, - "terminal": "console" - }, - { - "type": "lldb", - "request": "launch", - "name": "Debug veilid-core unit test", - "cargo": { - "args": [ - "test", - "--no-run", - "--features=rt-tokio", - "--manifest-path", - "veilid-core/Cargo.toml" - ], - "filter": { - "kind": "cdylib", - "name": "veilid-core" - } - }, - "args": [ - "${selectedText}" - ], - "cwd": "${workspaceFolder}/target/debug/" - }, - { - "type": "lldb", - "request": "launch", - "name": "Debug veilid-tools unit test", - "cargo": { - "args": [ - "test", - "--no-run", - "--features=rt-tokio", - "--manifest-path", - "veilid-tools/Cargo.toml" - ], - "filter": { - "kind": "cdylib", - "name": "veilid-tools" - } - }, - "args": [ - "${selectedText}" - ], - "cwd": "${workspaceFolder}/target/debug/" - }, - { - "type": "lldb", - "request": "launch", - "name": "Debug veilid-server unit test", - "cargo": { - "args": [ - "test", - "--no-run", - "--manifest-path", - "veilid-server/Cargo.toml" - ], - "filter": { - "kind": "bin", - "name": "veilid-server" - } - }, - "args": [ - "${selectedText}" - ], - "cwd": "${workspaceFolder}/veilid-server" - }, - { - "type": "lldb", - "request": "launch", - "name": "Debug keyvaluedb-sqlite unit test", - "cargo": { - "args": [ - "test", - "--no-run", - "--manifest-path", - "external/keyvaluedb/keyvaluedb-sqlite/Cargo.toml" - ], - "filter": { - "kind": "lib", - "name": "keyvaluedb-sqlite" - } - }, - "args": [ - "${selectedText}" - ], - "cwd": "${workspaceFolder}/external/keyvaluedb/keyvaluedb-sqlite" - }, - { - "type": "lldb", - "request": "launch", - "name": "Debug keyring unit test", - "cargo": { - "args": [ - "test", - "--no-run", - "--manifest-path", - "external/keyring-rs/Cargo.toml" - ], - "filter": { - "kind": "staticlib", - "name": "keyring" - } - }, - "args": [ - "${selectedText}" - ], - "cwd": "${workspaceFolder}/external/keyring-rs" - } - ] -} \ No newline at end of file diff --git a/.vscode/settings.json b/.vscode/settings.json deleted file mode 100644 index b8e1d92b..00000000 --- a/.vscode/settings.json +++ /dev/null @@ -1,11 +0,0 @@ -{ - "cmake.configureOnOpen": false, - "python.analysis.extraPaths": [ - "veilid-python/.venv/lib/python3.11/site-packages" - ], - "rust-analyzer.linkedProjects": [ - "./veilid-core/Cargo.toml", - "./veilid-core/Cargo.toml", - "./veilid-core/Cargo.toml" - ], -} \ No newline at end of file