tillitis-key/hw/application_fpga
Daniel Lublin f3c4e6c818
Revise UDI
Signed-off-by: Daniel Lublin <daniel@lublin.se>
2023-01-05 14:34:32 +01:00
..
core Only accept tx data when the core is ready 2023-01-02 13:10:40 +01:00
data Rename to TK1 2022-10-26 09:20:02 +02:00
fw testfw: test that UDI can't be read app-mode 2022-12-20 12:06:07 +01:00
rtl Disable HW support in CPU for DIV 2022-11-28 16:15:01 +01:00
tb Rename to TK1 2022-10-26 09:20:02 +02:00
tools Revise UDI 2023-01-05 14:34:32 +01:00
Makefile fw: Add support for blake2s MMIO 2022-12-15 12:59:52 +01:00