tillitis-key/hw/application_fpga/config.vlt
Daniel Lublin c9593f11c8 Config verilator lint to ignore known 3rd-party warnings; let warnings be fatal
Signed-off-by: Daniel Lublin <daniel@lublin.se>
2023-03-01 13:37:31 +01:00

5 lines
165 B
Plaintext

`verilator_config
lint_off -rule UNUSED -file "*/ice40/cells_sim.v"
lint_off -rule UNDRIVEN -file "*/ice40/cells_sim.v"
lint_off -rule BLKSEQ -file "*/picorv32.v"