tillitis-key/hw/application_fpga/core/tk1/tb/udi.hex
Joachim Strömbergson 2fb61bba73
Add UDI used during simulation
Signed-off-by: Joachim Strömbergson <joachim@assured.se>
2023-07-04 09:04:26 +02:00

3 lines
18 B
Plaintext