tillitis-key/hw/application_fpga/core/uart/tb
Joachim Strömbergson 704d67c8ab
Add Makefile to build sim. Debug sim build
Signed-off-by: Joachim Strömbergson <joachim@assured.se>
2023-07-04 09:04:25 +02:00
..
tb_uart.v Add Makefile to build sim. Debug sim build 2023-07-04 09:04:25 +02:00