tillitis-key/hw/application_fpga/core
Joachim Strömbergson 2fb61bba73
Add UDI used during simulation
Signed-off-by: Joachim Strömbergson <joachim@assured.se>
2023-07-04 09:04:26 +02:00
..
picorv32 Squashed commit of the following: 2022-10-06 13:23:30 +02:00
timer Update README with info about the timer API 2023-07-04 09:04:25 +02:00
tk1 Add UDI used during simulation 2023-07-04 09:04:26 +02:00
touch_sense Complete testbench and update README with API info 2023-07-04 09:04:25 +02:00
trng Use TKey name 2022-12-02 08:03:06 +01:00
uart Update the UART README with info about the core and its API 2023-07-04 09:04:25 +02:00
uds Fix markdown syntax for API listing 2023-07-04 09:04:25 +02:00