diff --git a/hw/boards/mta1-library/mta1.kicad_sym b/hw/boards/mta1-library/mta1.kicad_sym index 340c416..9699431 100644 --- a/hw/boards/mta1-library/mta1.kicad_sym +++ b/hw/boards/mta1-library/mta1.kicad_sym @@ -1,1809 +1,1863 @@ -(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) - (symbol "+1V2_PLL" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+1V2_PLL" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+1V2_PLL_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+1V2_PLL_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+1V2_PLL" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "+3V3_FTDI" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3V3_FTDI" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+3V3_FTDI_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+3V3_FTDI_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+3V3_FTDI" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "+3V3_IN" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3V3_IN" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+3V3_IN_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+3V3_IN_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+3V3_IN" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "+5V_FTDI" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V_FTDI" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+5V_FTDI_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+5V_FTDI_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+5V_FTDI" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "+5V_USB" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V_USB" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+5V_USB_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+5V_USB_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+5V_USB" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "AP22802AW5" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 7.62 -6.35 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "AP22802AW5" (id 1) (at -1.27 8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at -1.27 -16.51 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "AP22802AW5_1_1" - (rectangle (start -7.62 6.35) (end 7.62 -3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin power_out line (at 10.16 3.81 180) (length 2.54) - (name "OUT" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -6.35 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at 10.16 -1.27 180) (length 2.54) - (name "~{FLG}" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -1.27 0) (length 2.54) - (name "EN" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -10.16 3.81 0) (length 2.54) - (name "IN" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "BC-1-208" (in_bom yes) (on_board yes) - (property "Reference" "J" (id 0) (at 0 5.08 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "BC-1-208" (id 1) (at 0 2.54 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "mta1:BC-1-208" (id 2) (at 1.27 -5.08 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "BC-1-208_1_1" - (pin passive line (at 2.54 0 180) (length 2.54) - (name "1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 2.54 -2.54 180) (length 2.54) - (name "2" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "BC-1-701" (in_bom yes) (on_board yes) - (property "Reference" "J" (id 0) (at 0 5.08 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "BC-1-701" (id 1) (at 0 2.54 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "mta1:BC-1-701" (id 2) (at 0 -17.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "BC-1-701_1_1" - (pin passive line (at 2.54 0 180) (length 2.54) - (name "1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 2.54 -2.54 180) (length 2.54) - (name "2" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 2.54 -5.08 180) (length 2.54) - (name "3" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 2.54 -7.62 180) (length 2.54) - (name "4" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 2.54 -10.16 180) (length 2.54) - (name "5" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 2.54 -12.7 180) (length 2.54) - (name "6" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 2.54 -15.24 180) (length 2.54) - (name "7" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "CH552E" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -8.89 3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "CH552E" (id 1) (at 7.62 3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 58.42 -17.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 58.42 -17.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "8-bit enhanced USB microcontroller CH552" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "CH552E_0_1" - (rectangle (start -10.16 2.54) (end 12.7 -17.78) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "CH552E_1_1" - (pin output line (at 15.24 -5.08 180) (length 2.54) - (name "RTS(P1.4)" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -12.7 -2.54 0) (length 2.54) - (name "V33" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 15.24 -7.62 180) (length 2.54) - (name "CTS(P1.5)" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 15.24 -2.54 180) (length 2.54) - (name "RX(PP1.6)" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 0 180) (length 2.54) - (name "TX(P1.7)" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -12.7 -15.24 0) (length 2.54) - (name "RST" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -12.7 -7.62 0) (length 2.54) - (name "UDP(P3.6)" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -12.7 -10.16 0) (length 2.54) - (name "UDM(P3.7)" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 15.24 -15.24 180) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -12.7 0 0) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "FC-B1010RGBT-HG" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) - (property "Reference" "D" (id 0) (at 0 9.398 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "FC-B1010RGBT-HG" (id 1) (at 0 -8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "mta1:0402rgb-1010" (id 2) (at 1.27 -11.43 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 -1.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "FC-B1010RGBT-HG_0_0" - (text "B" (at -1.905 -6.35 0) - (effects (font (size 1.27 1.27))) - ) - (text "G" (at -1.905 -1.27 0) - (effects (font (size 1.27 1.27))) - ) - (text "R" (at -1.905 3.81 0) - (effects (font (size 1.27 1.27))) - ) - ) - (symbol "FC-B1010RGBT-HG_0_1" - (polyline - (pts - (xy -1.27 -5.08) - (xy -2.54 -5.08) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 -5.08) - (xy 1.27 -5.08) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 -3.81) - (xy -1.27 -6.35) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 0) - (xy -2.54 0) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 1.27) - (xy -1.27 -1.27) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 5.08) - (xy -2.54 5.08) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 5.08) - (xy 1.27 5.08) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 6.35) - (xy -1.27 3.81) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 0) - (xy -1.27 0) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 0) - (xy 2.54 0) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 1.27) - (xy -1.27 -1.27) - (xy -1.27 -1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 6.35) - (xy -1.27 3.81) - (xy -1.27 3.81) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 -5.08) - (xy 2.032 -5.08) - (xy 2.032 5.08) - (xy 1.27 5.08) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 -3.81) - (xy 1.27 -6.35) - (xy -1.27 -5.08) - (xy 1.27 -3.81) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 1.27) - (xy 1.27 -1.27) - (xy -1.27 0) - (xy 1.27 1.27) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 6.35) - (xy 1.27 3.81) - (xy -1.27 5.08) - (xy 1.27 6.35) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.016 -3.81) - (xy 0.508 -2.286) - (xy -0.254 -2.286) - (xy 0.508 -2.286) - (xy 0.508 -3.048) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.016 1.27) - (xy 0.508 2.794) - (xy -0.254 2.794) - (xy 0.508 2.794) - (xy 0.508 2.032) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.016 6.35) - (xy 0.508 7.874) - (xy -0.254 7.874) - (xy 0.508 7.874) - (xy 0.508 7.112) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 -3.81) - (xy 1.524 -2.286) - (xy 0.762 -2.286) - (xy 1.524 -2.286) - (xy 1.524 -3.048) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 1.27) - (xy 1.524 2.794) - (xy 0.762 2.794) - (xy 1.524 2.794) - (xy 1.524 2.032) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 6.35) - (xy 1.524 7.874) - (xy 0.762 7.874) - (xy 1.524 7.874) - (xy 1.524 7.112) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 1.27 -1.27) (end 1.27 1.27) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 1.27 1.27) (end 1.27 1.27) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 1.27 3.81) (end 1.27 6.35) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 1.27 6.35) (end 1.27 6.35) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (circle (center 2.032 0) (radius 0.254) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (rectangle (start 2.794 8.382) (end -2.794 -7.62) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "FC-B1010RGBT-HG_1_1" - (pin passive line (at 5.08 0 180) (length 2.54) - (name "A" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -5.08 5.08 0) (length 2.54) - (name "RK" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -5.08 0 0) (length 2.54) - (name "GK" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -5.08 -5.08 0) (length 2.54) - (name "BK" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "FT2232H_MINI_MODULE" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 15.24 22.86 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "FT2232H_MINI_MODULE" (id 1) (at -2.54 48.26 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 15.24 22.86 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 15.24 22.86 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "FT2232H_MINI_MODULE_0_1" - (rectangle (start -20.32 45.72) (end 17.78 -45.72) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "FT2232H_MINI_MODULE_1_1" - (pin power_out line (at -22.86 27.94 0) (length 2.54) - (name "V3V3" (effects (font (size 1.27 1.27)))) - (number "2.1" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 40.64 180) (length 2.54) - (name "SPI_A_DO/AD1" (effects (font (size 1.27 1.27)))) - (number "2.10" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 15.24 0) (length 2.54) - (name "VIO" (effects (font (size 1.27 1.27)))) - (number "2.11" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 35.56 180) (length 2.54) - (name "SPI_A_CS/AD3" (effects (font (size 1.27 1.27)))) - (number "2.12" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 30.48 180) (length 2.54) - (name "SPI_A_GPIO_L1/AD5" (effects (font (size 1.27 1.27)))) - (number "2.13" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 33.02 180) (length 2.54) - (name "SPI_A_GPIO_L0/AD4" (effects (font (size 1.27 1.27)))) - (number "2.14" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 25.4 180) (length 2.54) - (name "SPI_A_GPIO_L3/AD7" (effects (font (size 1.27 1.27)))) - (number "2.15" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 27.94 180) (length 2.54) - (name "SPI_A_GPIO_L2/AD6" (effects (font (size 1.27 1.27)))) - (number "2.16" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 17.78 180) (length 2.54) - (name "AC1" (effects (font (size 1.27 1.27)))) - (number "2.17" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 20.32 180) (length 2.54) - (name "AC0" (effects (font (size 1.27 1.27)))) - (number "2.18" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 12.7 180) (length 2.54) - (name "AC3" (effects (font (size 1.27 1.27)))) - (number "2.19" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 0 0) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2.2" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 15.24 180) (length 2.54) - (name "AC2" (effects (font (size 1.27 1.27)))) - (number "2.20" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 12.7 0) (length 2.54) - (name "VIO" (effects (font (size 1.27 1.27)))) - (number "2.21" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 10.16 180) (length 2.54) - (name "AC4" (effects (font (size 1.27 1.27)))) - (number "2.22" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 5.08 180) (length 2.54) - (name "AC6" (effects (font (size 1.27 1.27)))) - (number "2.23" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 7.62 180) (length 2.54) - (name "AC5" (effects (font (size 1.27 1.27)))) - (number "2.24" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at -22.86 -40.64 0) (length 2.54) - (name "SUSPEND#" (effects (font (size 1.27 1.27)))) - (number "2.25" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 2.54 180) (length 2.54) - (name "AC7" (effects (font (size 1.27 1.27)))) - (number "2.26" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at -22.86 25.4 0) (length 2.54) - (name "V3V3" (effects (font (size 1.27 1.27)))) - (number "2.3" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 -2.54 0) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2.4" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at -22.86 22.86 0) (length 2.54) - (name "V3V3" (effects (font (size 1.27 1.27)))) - (number "2.5" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 -5.08 0) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2.6" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 43.18 180) (length 2.54) - (name "SPI_A_CLK/AD0" (effects (font (size 1.27 1.27)))) - (number "2.7" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -22.86 -30.48 0) (length 2.54) - (name "RESET#" (effects (font (size 1.27 1.27)))) - (number "2.8" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 38.1 180) (length 2.54) - (name "SPI_A_DI/AD2" (effects (font (size 1.27 1.27)))) - (number "2.9" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at -22.86 43.18 0) (length 2.54) - (name "VBUS_(5V)" (effects (font (size 1.27 1.27)))) - (number "3.1" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -40.64 180) (length 2.54) - (name "BC6" (effects (font (size 1.27 1.27)))) - (number "3.10" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -38.1 180) (length 2.54) - (name "BC5" (effects (font (size 1.27 1.27)))) - (number "3.11" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 10.16 0) (length 2.54) - (name "VIO" (effects (font (size 1.27 1.27)))) - (number "3.12" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -35.56 180) (length 2.54) - (name "BC4" (effects (font (size 1.27 1.27)))) - (number "3.13" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -33.02 180) (length 2.54) - (name "BC3" (effects (font (size 1.27 1.27)))) - (number "3.14" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -30.48 180) (length 2.54) - (name "BC2" (effects (font (size 1.27 1.27)))) - (number "3.15" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -27.94 180) (length 2.54) - (name "BC1" (effects (font (size 1.27 1.27)))) - (number "3.16" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -25.4 180) (length 2.54) - (name "BC0" (effects (font (size 1.27 1.27)))) - (number "3.17" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -20.32 180) (length 2.54) - (name "SPI_B_GPIO_L3/BD7" (effects (font (size 1.27 1.27)))) - (number "3.18" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -17.78 180) (length 2.54) - (name "SPI_B_GPIO_L2/BD6" (effects (font (size 1.27 1.27)))) - (number "3.19" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 -7.62 0) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "3.2" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -15.24 180) (length 2.54) - (name "SPI_B_GPIO_L1/BD5" (effects (font (size 1.27 1.27)))) - (number "3.20" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -12.7 180) (length 2.54) - (name "SPI_B_GPIO_L0/BD4" (effects (font (size 1.27 1.27)))) - (number "3.21" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 7.62 0) (length 2.54) - (name "VIO" (effects (font (size 1.27 1.27)))) - (number "3.22" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -10.16 180) (length 2.54) - (name "SPI_B_CS/BD3" (effects (font (size 1.27 1.27)))) - (number "3.23" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -7.62 180) (length 2.54) - (name "SPI_B_DI/BD2" (effects (font (size 1.27 1.27)))) - (number "3.24" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -5.08 180) (length 2.54) - (name "SPI_B_DO/BD1" (effects (font (size 1.27 1.27)))) - (number "3.25" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -2.54 180) (length 2.54) - (name "SPI_B_CLK/BD0" (effects (font (size 1.27 1.27)))) - (number "3.26" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 35.56 0) (length 2.54) - (name "VCC_(5V)" (effects (font (size 1.27 1.27)))) - (number "3.3" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 -10.16 0) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "3.4" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -17.78 0) (length 2.54) - (name "CS" (effects (font (size 1.27 1.27)))) - (number "3.5" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at -22.86 -20.32 0) (length 2.54) - (name "CLK" (effects (font (size 1.27 1.27)))) - (number "3.6" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -22.86 0) (length 2.54) - (name "DATA" (effects (font (size 1.27 1.27)))) - (number "3.7" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at -22.86 -38.1 0) (length 2.54) - (name "PWREN#" (effects (font (size 1.27 1.27)))) - (number "3.8" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 20.32 -43.18 180) (length 2.54) - (name "BC7" (effects (font (size 1.27 1.27)))) - (number "3.9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Ferrite_Bead-Device" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "FB" (id 0) (at -3.81 0.635 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Ferrite_Bead-Device" (id 1) (at 3.81 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at -1.778 0 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "Inductor_* L_* *Ferrite*" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "Ferrite_Bead-Device_0_1" - (polyline - (pts - (xy 0 -1.27) - (xy 0 -1.2192) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 1.27) - (xy 0 1.2954) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.7686 0.4064) - (xy -1.7018 2.2606) - (xy 2.7686 -0.3048) - (xy 1.6764 -2.159) - (xy -2.7686 0.4064) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "Ferrite_Bead-Device_1_1" - (pin passive line (at 0 3.81 270) (length 2.54) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 2.54) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Foot" (in_bom yes) (on_board no) - (property "Reference" "FOOT" (id 0) (at 0 2.54 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Foot" (id 1) (at 0 -1.905 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "Foot_0_1" - (polyline - (pts - (xy -3.175 1.27) - (xy 3.175 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.905 1.27) - (xy 1.27 -0.635) - (xy -1.27 -0.635) - (xy -1.905 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - ) - (symbol "ICE40UP5K-SG48ITR" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -24.13 -44.45 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "ICE40UP5K-SG48ITR" (id 1) (at -15.24 -46.99 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" (id 2) (at -15.24 -49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" (id 3) (at -40.64 -21.59 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "FPGA programmable logic" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "QFN*7x7mm*P0.5mm*EP5.6x5.6mm*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "ICE40UP5K-SG48ITR_0_0" - (polyline - (pts - (xy -6.35 13.97) - (xy -3.81 13.97) - (xy -3.81 -39.37) - (xy -6.35 -39.37) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 6.35 -39.37) - (xy 3.81 -39.37) - (xy 3.81 -13.97) - (xy 6.35 -13.97) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 6.35 -11.43) - (xy 3.81 -11.43) - (xy 3.81 39.37) - (xy 6.35 39.37) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (text "Bank 0" (at -2.54 -11.43 900) - (effects (font (size 1.27 1.27))) - ) - (text "Bank 1" (at 2.54 13.97 900) - (effects (font (size 1.27 1.27))) - ) - (text "Bank 2" (at 2.54 -24.13 900) - (effects (font (size 1.27 1.27))) - ) - ) - (symbol "ICE40UP5K-SG48ITR_1_1" - (rectangle (start -20.32 40.64) (end 22.86 -40.64) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin power_in line (at 25.4 -15.24 180) (length 2.54) - (name "VCCIO_2" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 7.62 180) (length 2.54) - (name "IOB_18a" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 5.08 180) (length 2.54) - (name "IOB_20a" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 2.54 180) (length 2.54) - (name "IOB_22b" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -2.54 180) (length 2.54) - (name "IOB_24a" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 33.02 180) (length 2.54) - (name "IOB_32a_SPI_SO" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 27.94 180) (length 2.54) - (name "IOB_34a_SPI_SCK" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 25.4 180) (length 2.54) - (name "IOB_35b_SPI_SS" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 30.48 180) (length 2.54) - (name "IOB_33b_SPI_SI" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -10.16 180) (length 2.54) - (name "IOB_31b" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -7.62 180) (length 2.54) - (name "IOB_29b" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -33.02 180) (length 2.54) - (name "IOB_6a" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -5.08 180) (length 2.54) - (name "IOB_25b_G3" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 0 180) (length 2.54) - (name "IOB_23b" (effects (font (size 1.27 1.27)))) - (number "21" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 25.4 38.1 180) (length 2.54) - (name "SPI_VCCIO1" (effects (font (size 1.27 1.27)))) - (number "22" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 5.08 0) (length 2.54) - (name "IOT_37a" (effects (font (size 1.27 1.27)))) - (number "23" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 25.4 0) (length 2.54) - (name "VPP_2V5" (effects (font (size 1.27 1.27)))) - (number "24" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 7.62 0) (length 2.54) - (name "IOT_36b" (effects (font (size 1.27 1.27)))) - (number "25" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 0 0) (length 2.54) - (name "IOT_39a" (effects (font (size 1.27 1.27)))) - (number "26" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 2.54 0) (length 2.54) - (name "IOT_38b" (effects (font (size 1.27 1.27)))) - (number "27" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -2.54 0) (length 2.54) - (name "IOT_41a" (effects (font (size 1.27 1.27)))) - (number "28" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 30.48 0) (length 2.54) - (name "VCCPLL" (effects (font (size 1.27 1.27)))) - (number "29" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -38.1 180) (length 2.54) - (name "IOB_9b" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 35.56 0) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "30" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -5.08 0) (length 2.54) - (name "IOT_42b" (effects (font (size 1.27 1.27)))) - (number "31" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -7.62 0) (length 2.54) - (name "IOT_43a" (effects (font (size 1.27 1.27)))) - (number "32" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 12.7 0) (length 2.54) - (name "VCCIO_0" (effects (font (size 1.27 1.27)))) - (number "33" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -10.16 0) (length 2.54) - (name "IOT_44b" (effects (font (size 1.27 1.27)))) - (number "34" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -15.24 0) (length 2.54) - (name "IOT_46b_G0" (effects (font (size 1.27 1.27)))) - (number "35" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -17.78 0) (length 2.54) - (name "IOT_48b" (effects (font (size 1.27 1.27)))) - (number "36" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -12.7 0) (length 2.54) - (name "IOT_45a_G1" (effects (font (size 1.27 1.27)))) - (number "37" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -22.86 0) (length 2.54) - (name "IOT_50b" (effects (font (size 1.27 1.27)))) - (number "38" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at -22.86 -33.02 0) (length 2.54) - (name "RGB0" (effects (font (size 1.27 1.27)))) - (number "39" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -35.56 180) (length 2.54) - (name "IOB_8a" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at -22.86 -35.56 0) (length 2.54) - (name "RGB1" (effects (font (size 1.27 1.27)))) - (number "40" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at -22.86 -38.1 0) (length 2.54) - (name "RGB2" (effects (font (size 1.27 1.27)))) - (number "41" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -25.4 0) (length 2.54) - (name "IOT_51a" (effects (font (size 1.27 1.27)))) - (number "42" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -20.32 0) (length 2.54) - (name "IOT_49a" (effects (font (size 1.27 1.27)))) - (number "43" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -25.4 180) (length 2.54) - (name "IOB_3b_G6" (effects (font (size 1.27 1.27)))) - (number "44" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -30.48 180) (length 2.54) - (name "IOB_5b" (effects (font (size 1.27 1.27)))) - (number "45" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -20.32 180) (length 2.54) - (name "IOB_0a" (effects (font (size 1.27 1.27)))) - (number "46" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -22.86 180) (length 2.54) - (name "IOB_2a" (effects (font (size 1.27 1.27)))) - (number "47" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -27.94 180) (length 2.54) - (name "IOB_4a" (effects (font (size 1.27 1.27)))) - (number "48" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 20.32 0) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "49" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 38.1 0) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 12.7 180) (length 2.54) - (name "IOB_13b" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at 25.4 17.78 180) (length 2.54) - (name "CDONE" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 25.4 20.32 180) (length 2.54) - (name "~{CRESET}" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 10.16 180) (length 2.54) - (name "IOB_16a" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "MC2016Z48.0000C19XSH" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -3.81 5.08 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "MC2016Z48.0000C19XSH" (id 1) (at 0 -6.35 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "MC2016Z48.0000C19XSH_0_1" - (rectangle (start -5.08 3.81) (end 5.08 -3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "MC2016Z48.0000C19XSH_1_1" - (pin input line (at -7.62 -2.54 0) (length 2.54) - (name "INH" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 7.62 -2.54 180) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 7.62 2.54 180) (length 2.54) - (name "Out" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -7.62 2.54 0) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "MCP1824T-2502EOT" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 7.62 -6.35 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "MCP1824T-2502EOT" (id 1) (at 0 7.62 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 19.05 -8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "IC REG LINEAR 2.5V 300MA SOT23-5" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "MCP1824T-2502EOT_1_1" - (rectangle (start -7.62 6.35) (end 7.62 -3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin power_in line (at -10.16 3.81 0) (length 2.54) - (name "Vin" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -6.35 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -1.27 0) (length 2.54) - (name "/SHDN" (effects (font (size 0.762 0.762)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at 10.16 -1.27 180) (length 2.54) - (name "PWRGD" (effects (font (size 0.762 0.762)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at 10.16 3.81 180) (length 2.54) - (name "Vout" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "MIC5258-1.2YM5-TR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 7.62 -6.35 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "MIC5258-1.2YM5-TR" (id 1) (at 0 7.62 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 19.05 -8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "IC REG LINEAR 1.2V 150MA SOT23-5" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "MIC5258-1.2YM5-TR_1_1" - (rectangle (start -7.62 6.35) (end 7.62 -3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin power_in line (at -10.16 3.81 0) (length 2.54) - (name "Vin" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -6.35 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -1.27 0) (length 2.54) - (name "EN" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at 10.16 -1.27 180) (length 2.54) - (name "PG" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at 10.16 3.81 180) (length 2.54) - (name "Vout" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "NCP752BSN33T1G" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 5.08 -6.35 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "NCP752BSN33T1G" (id 1) (at 0 6.35 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "IC REG LINEAR 3.3V 200MA 5TSOP" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "NCP752BSN33T1G_1_1" - (rectangle (start -7.62 5.08) (end 7.62 -5.08) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin power_in line (at -10.16 2.54 0) (length 2.54) - (name "IN" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -7.62 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -2.54 0) (length 2.54) - (name "EN" (effects (font (size 0.762 0.762)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at 10.16 -2.54 180) (length 2.54) - (name "PG" (effects (font (size 0.762 0.762)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at 10.16 2.54 180) (length 2.54) - (name "OUT" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "PT2043AT6" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -6.35 8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "PT2043AT6" (id 1) (at -2.54 -8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 0 12.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at -21.59 12.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "SOT-23-6 Touch Sensors ROHS" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "PT2043AT6_1_1" - (rectangle (start -7.62 7.62) (end 7.62 -7.62) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin output line (at 10.16 6.35 180) (length 2.54) - (name "QC" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 10.16 -6.35 180) (length 2.54) - (name "VSS" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 1.27 0) (length 2.54) - (name "TCH" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -3.81 0) (length 2.54) - (name "AHLB" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -10.16 6.35 0) (length 2.54) - (name "VDD" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -6.35 0) (length 2.54) - (name "TOG" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Screw" (in_bom yes) (on_board no) - (property "Reference" "SCREW" (id 0) (at 0 2.54 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Screw" (id 1) (at 0 -2.54 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "Screw_0_1" - (polyline - (pts - (xy 0.635 0.635) - (xy 0 -0.635) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 0.635) - (xy 0.635 -0.635) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.905 0.635) - (xy 1.27 -0.635) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 2.54 0.635) - (xy 1.905 -0.635) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 3.175 0.635) - (xy 2.54 -0.635) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0.635) - (xy 3.175 0.635) - (xy 3.175 -0.635) - (xy 0 -0.635) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 1.27) - (xy -1.27 -1.27) - (xy 0 -1.27) - (xy 0 1.27) - (xy -1.27 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - ) - (symbol "W25Q80DVSNIG" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -8.89 8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "W25Q80DVSNIG" (id 1) (at 7.62 8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.winbond.com/resource-files/w25q80dv_revg_07212015.pdf" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "flash memory SPI" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "32Mb Serial Flash Memory, Standard/Dual/Quad SPI, SOIC-8" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "SOIC*5.23x5.23mm*P1.27mm*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "W25Q80DVSNIG_0_1" - (rectangle (start -10.16 7.62) (end 10.16 -7.62) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "W25Q80DVSNIG_1_1" - (pin input line (at -12.7 2.54 0) (length 2.54) - (name "~{CS}" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 2.54 180) (length 2.54) - (name "DO(IO1)" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 -2.54 180) (length 2.54) - (name "IO2" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -10.16 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 5.08 180) (length 2.54) - (name "DI(IO0)" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -2.54 0) (length 2.54) - (name "CLK" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 -5.08 180) (length 2.54) - (name "IO3" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 10.16 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "W25Q80DVUXIE" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -8.89 8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "W25Q80DVUXIE" (id 1) (at 7.62 8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "mta1:W25Q80DVUXIE" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.winbond.com/resource-files/w25x40cl_f%2020140325.pdf" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "flash memory SPI" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "IC FLASH 8MBIT SPI 104MHZ 8USON" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "W25Q80DVUXIE_0_1" - (rectangle (start -10.16 7.62) (end 10.16 -7.62) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "W25Q80DVUXIE_1_1" - (pin input line (at -12.7 2.54 0) (length 2.54) - (name "~{CS}" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 2.54 180) (length 2.54) - (name "DO(IO1)" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 -2.54 180) (length 2.54) - (name "IO2" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -10.16 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 5.08 180) (length 2.54) - (name "DI(IO0)" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -2.54 0) (length 2.54) - (name "CLK" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 -5.08 180) (length 2.54) - (name "IO3" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 10.16 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin no_connect line (at 5.08 -10.16 90) (length 2.54) - (name "EXP" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "mta1_usb_ch554_pogo" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -5.08 -19.05 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "mta1_usb_ch554_pogo" (id 1) (at 0 19.05 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "mta1:mta1_usb_ch554_pogo" (id 2) (at 0 21.59 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at -8.89 17.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "mta1_usb_ch554_pogo_1_1" - (rectangle (start -8.89 16.51) (end 8.89 -16.51) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin power_in line (at -11.43 15.24 0) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -11.43 -7.62 0) (length 2.54) - (name "GPIO1" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -11.43 -10.16 0) (length 2.54) - (name "GPIO2" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -11.43 -12.7 0) (length 2.54) - (name "GPIO3" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -11.43 -15.24 0) (length 2.54) - (name "GPIO4" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 11.43 -15.24 180) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 11.43 -12.7 180) (length 2.54) - (name "CDONE" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 11.43 -10.16 180) (length 2.54) - (name "CRESET" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 11.43 -7.62 180) (length 2.54) - (name "MISO" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 11.43 -5.08 180) (length 2.54) - (name "SS" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 11.43 -2.54 180) (length 2.54) - (name "MOSI" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -11.43 12.7 0) (length 2.54) - (name "5V" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 11.43 0 180) (length 2.54) - (name "SCK" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin no_connect line (at 11.43 2.54 180) (length 2.54) - (name "NC" (effects (font (size 1.27 1.27)))) - (number "21" (effects (font (size 1.27 1.27)))) - ) - (pin no_connect line (at 11.43 5.08 180) (length 2.54) - (name "NC" (effects (font (size 1.27 1.27)))) - (number "22" (effects (font (size 1.27 1.27)))) - ) - (pin no_connect line (at 11.43 7.62 180) (length 2.54) - (name "NC" (effects (font (size 1.27 1.27)))) - (number "23" (effects (font (size 1.27 1.27)))) - ) - (pin no_connect line (at 11.43 10.16 180) (length 2.54) - (name "NC" (effects (font (size 1.27 1.27)))) - (number "24" (effects (font (size 1.27 1.27)))) - ) - (pin no_connect line (at 11.43 12.7 180) (length 2.54) - (name "NC" (effects (font (size 1.27 1.27)))) - (number "25" (effects (font (size 1.27 1.27)))) - ) - (pin no_connect line (at 11.43 15.24 180) (length 2.54) - (name "NC" (effects (font (size 1.27 1.27)))) - (number "26" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at -11.43 10.16 0) (length 2.54) - (name "3.3V" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at -11.43 7.62 0) (length 2.54) - (name "2.5V" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at -11.43 5.08 0) (length 2.54) - (name "1.2V" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -11.43 2.54 0) (length 2.54) - (name "TX" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -11.43 0 0) (length 2.54) - (name "RX" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -11.43 -2.54 0) (length 2.54) - (name "RTS" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -11.43 -5.08 0) (length 2.54) - (name "CTS" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) -) +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "+1V2_PLL" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2_PLL" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V2_PLL_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+1V2_PLL_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V2_PLL" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "+3V3_FTDI" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3_FTDI" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_FTDI_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+3V3_FTDI_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3_FTDI" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "+3V3_IN" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3_IN" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_IN_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+3V3_IN_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3_IN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "+5V_FTDI" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V_FTDI" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_FTDI_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+5V_FTDI_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V_FTDI" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "+5V_USB" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V_USB" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_USB_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+5V_USB_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V_USB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "1674954-1" (pin_names (offset 0.762)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 16.51 7.62 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1674954-1" (id 1) (at 16.51 5.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "1674954-1" (id 2) (at 16.51 2.54 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=6-1773460-8_Spring_Fingers&DocType=Data+Sheet&DocLang=English&PartCntxt=1674954-1&DocFormat=pdf" (id 3) (at 16.51 0 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Description" "AMP - TE CONNECTIVITY - 1674954-1 - SPRING FINGER, MOBILE PHONES" (id 4) (at 16.51 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Height" "" (id 5) (at 16.51 -5.08 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Mouser Part Number" "571-1674954-1" (id 6) (at 16.51 -7.62 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Mouser Price/Stock" "https://www.mouser.co.uk/ProductDetail/TE-Connectivity/1674954-1?qs=o4qE4s2E%252BcyEbD%252ByxeI18A%3D%3D" (id 7) (at 16.51 -10.16 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Manufacturer_Name" "TE Connectivity" (id 8) (at 16.51 -12.7 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Manufacturer_Part_Number" "1674954-1" (id 9) (at 16.51 -15.24 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "ki_description" "AMP - TE CONNECTIVITY - 1674954-1 - SPRING FINGER, MOBILE PHONES" (id 10) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "1674954-1_0_0" + (pin passive line (at 0 0 0) (length 5.08) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "1674954-1_0_1" + (polyline + (pts + (xy 5.08 2.54) + (xy 15.24 2.54) + (xy 15.24 -2.54) + (xy 5.08 -2.54) + (xy 5.08 2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + ) + (symbol "AP22802AW5" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 7.62 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP22802AW5" (id 1) (at -1.27 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at -1.27 -16.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AP22802AW5_1_1" + (rectangle (start -7.62 6.35) (end 7.62 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_out line (at 10.16 3.81 180) (length 2.54) + (name "OUT" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -6.35 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 10.16 -1.27 180) (length 2.54) + (name "~{FLG}" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 3.81 0) (length 2.54) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "BC-1-208" (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "BC-1-208" (id 1) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "mta1:BC-1-208" (id 2) (at 1.27 -5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "BC-1-208_1_1" + (pin passive line (at 2.54 0 180) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -2.54 180) (length 2.54) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "BC-1-701" (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "BC-1-701" (id 1) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "mta1:BC-1-701" (id 2) (at 0 -17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "BC-1-701_1_1" + (pin passive line (at 2.54 0 180) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -2.54 180) (length 2.54) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -5.08 180) (length 2.54) + (name "3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -7.62 180) (length 2.54) + (name "4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -10.16 180) (length 2.54) + (name "5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -12.7 180) (length 2.54) + (name "6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -15.24 180) (length 2.54) + (name "7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "CH552E" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -8.89 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "CH552E" (id 1) (at 7.62 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 58.42 -17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 58.42 -17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-bit enhanced USB microcontroller CH552" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "CH552E_0_1" + (rectangle (start -10.16 2.54) (end 12.7 -17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "CH552E_1_1" + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "RTS(P1.4)" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -12.7 -2.54 0) (length 2.54) + (name "V33" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 15.24 -7.62 180) (length 2.54) + (name "CTS(P1.5)" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 15.24 -2.54 180) (length 2.54) + (name "RX(PP1.6)" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 0 180) (length 2.54) + (name "TX(P1.7)" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -15.24 0) (length 2.54) + (name "RST" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -7.62 0) (length 2.54) + (name "UDP(P3.6)" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -10.16 0) (length 2.54) + (name "UDM(P3.7)" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -15.24 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 0 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "FC-B1010RGBT-HG" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 9.398 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "FC-B1010RGBT-HG" (id 1) (at 0 -8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "mta1:0402rgb-1010" (id 2) (at 1.27 -11.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "FC-B1010RGBT-HG_0_0" + (text "B" (at -1.905 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (text "G" (at -1.905 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (text "R" (at -1.905 3.81 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "FC-B1010RGBT-HG_0_1" + (polyline + (pts + (xy -1.27 -5.08) + (xy -2.54 -5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -5.08) + (xy 1.27 -5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -3.81) + (xy -1.27 -6.35) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 0) + (xy -2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 1.27) + (xy -1.27 -1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 5.08) + (xy -2.54 5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 5.08) + (xy 1.27 5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 6.35) + (xy -1.27 3.81) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy -1.27 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy 2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 1.27) + (xy -1.27 -1.27) + (xy -1.27 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 6.35) + (xy -1.27 3.81) + (xy -1.27 3.81) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -5.08) + (xy 2.032 -5.08) + (xy 2.032 5.08) + (xy 1.27 5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 1.27 -6.35) + (xy -1.27 -5.08) + (xy 1.27 -3.81) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 1.27) + (xy 1.27 -1.27) + (xy -1.27 0) + (xy 1.27 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 6.35) + (xy 1.27 3.81) + (xy -1.27 5.08) + (xy 1.27 6.35) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 -3.81) + (xy 0.508 -2.286) + (xy -0.254 -2.286) + (xy 0.508 -2.286) + (xy 0.508 -3.048) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 1.27) + (xy 0.508 2.794) + (xy -0.254 2.794) + (xy 0.508 2.794) + (xy 0.508 2.032) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 6.35) + (xy 0.508 7.874) + (xy -0.254 7.874) + (xy 0.508 7.874) + (xy 0.508 7.112) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -3.81) + (xy 1.524 -2.286) + (xy 0.762 -2.286) + (xy 1.524 -2.286) + (xy 1.524 -3.048) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 1.524 2.794) + (xy 0.762 2.794) + (xy 1.524 2.794) + (xy 1.524 2.032) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 6.35) + (xy 1.524 7.874) + (xy 0.762 7.874) + (xy 1.524 7.874) + (xy 1.524 7.112) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 1.27 -1.27) (end 1.27 1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 1.27 1.27) (end 1.27 1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 1.27 3.81) (end 1.27 6.35) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 1.27 6.35) (end 1.27 6.35) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 2.794 8.382) (end -2.794 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "FC-B1010RGBT-HG_1_1" + (pin passive line (at 5.08 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 2.54) + (name "RK" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "GK" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 2.54) + (name "BK" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "FT2232H_MINI_MODULE" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 15.24 22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "FT2232H_MINI_MODULE" (id 1) (at -2.54 48.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 15.24 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 15.24 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "FT2232H_MINI_MODULE_0_1" + (rectangle (start -20.32 45.72) (end 17.78 -45.72) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "FT2232H_MINI_MODULE_1_1" + (pin power_out line (at -22.86 27.94 0) (length 2.54) + (name "V3V3" (effects (font (size 1.27 1.27)))) + (number "2.1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 40.64 180) (length 2.54) + (name "SPI_A_DO/AD1" (effects (font (size 1.27 1.27)))) + (number "2.10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 15.24 0) (length 2.54) + (name "VIO" (effects (font (size 1.27 1.27)))) + (number "2.11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 35.56 180) (length 2.54) + (name "SPI_A_CS/AD3" (effects (font (size 1.27 1.27)))) + (number "2.12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 30.48 180) (length 2.54) + (name "SPI_A_GPIO_L1/AD5" (effects (font (size 1.27 1.27)))) + (number "2.13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 33.02 180) (length 2.54) + (name "SPI_A_GPIO_L0/AD4" (effects (font (size 1.27 1.27)))) + (number "2.14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 25.4 180) (length 2.54) + (name "SPI_A_GPIO_L3/AD7" (effects (font (size 1.27 1.27)))) + (number "2.15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 27.94 180) (length 2.54) + (name "SPI_A_GPIO_L2/AD6" (effects (font (size 1.27 1.27)))) + (number "2.16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 17.78 180) (length 2.54) + (name "AC1" (effects (font (size 1.27 1.27)))) + (number "2.17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 20.32 180) (length 2.54) + (name "AC0" (effects (font (size 1.27 1.27)))) + (number "2.18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 12.7 180) (length 2.54) + (name "AC3" (effects (font (size 1.27 1.27)))) + (number "2.19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 0 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2.2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 15.24 180) (length 2.54) + (name "AC2" (effects (font (size 1.27 1.27)))) + (number "2.20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 12.7 0) (length 2.54) + (name "VIO" (effects (font (size 1.27 1.27)))) + (number "2.21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 10.16 180) (length 2.54) + (name "AC4" (effects (font (size 1.27 1.27)))) + (number "2.22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 5.08 180) (length 2.54) + (name "AC6" (effects (font (size 1.27 1.27)))) + (number "2.23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 7.62 180) (length 2.54) + (name "AC5" (effects (font (size 1.27 1.27)))) + (number "2.24" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -22.86 -40.64 0) (length 2.54) + (name "SUSPEND#" (effects (font (size 1.27 1.27)))) + (number "2.25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 2.54 180) (length 2.54) + (name "AC7" (effects (font (size 1.27 1.27)))) + (number "2.26" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -22.86 25.4 0) (length 2.54) + (name "V3V3" (effects (font (size 1.27 1.27)))) + (number "2.3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 -2.54 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2.4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -22.86 22.86 0) (length 2.54) + (name "V3V3" (effects (font (size 1.27 1.27)))) + (number "2.5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 -5.08 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2.6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 43.18 180) (length 2.54) + (name "SPI_A_CLK/AD0" (effects (font (size 1.27 1.27)))) + (number "2.7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -30.48 0) (length 2.54) + (name "RESET#" (effects (font (size 1.27 1.27)))) + (number "2.8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 38.1 180) (length 2.54) + (name "SPI_A_DI/AD2" (effects (font (size 1.27 1.27)))) + (number "2.9" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -22.86 43.18 0) (length 2.54) + (name "VBUS_(5V)" (effects (font (size 1.27 1.27)))) + (number "3.1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -40.64 180) (length 2.54) + (name "BC6" (effects (font (size 1.27 1.27)))) + (number "3.10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -38.1 180) (length 2.54) + (name "BC5" (effects (font (size 1.27 1.27)))) + (number "3.11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 10.16 0) (length 2.54) + (name "VIO" (effects (font (size 1.27 1.27)))) + (number "3.12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -35.56 180) (length 2.54) + (name "BC4" (effects (font (size 1.27 1.27)))) + (number "3.13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -33.02 180) (length 2.54) + (name "BC3" (effects (font (size 1.27 1.27)))) + (number "3.14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -30.48 180) (length 2.54) + (name "BC2" (effects (font (size 1.27 1.27)))) + (number "3.15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -27.94 180) (length 2.54) + (name "BC1" (effects (font (size 1.27 1.27)))) + (number "3.16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -25.4 180) (length 2.54) + (name "BC0" (effects (font (size 1.27 1.27)))) + (number "3.17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -20.32 180) (length 2.54) + (name "SPI_B_GPIO_L3/BD7" (effects (font (size 1.27 1.27)))) + (number "3.18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -17.78 180) (length 2.54) + (name "SPI_B_GPIO_L2/BD6" (effects (font (size 1.27 1.27)))) + (number "3.19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 -7.62 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3.2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -15.24 180) (length 2.54) + (name "SPI_B_GPIO_L1/BD5" (effects (font (size 1.27 1.27)))) + (number "3.20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -12.7 180) (length 2.54) + (name "SPI_B_GPIO_L0/BD4" (effects (font (size 1.27 1.27)))) + (number "3.21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 7.62 0) (length 2.54) + (name "VIO" (effects (font (size 1.27 1.27)))) + (number "3.22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -10.16 180) (length 2.54) + (name "SPI_B_CS/BD3" (effects (font (size 1.27 1.27)))) + (number "3.23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -7.62 180) (length 2.54) + (name "SPI_B_DI/BD2" (effects (font (size 1.27 1.27)))) + (number "3.24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -5.08 180) (length 2.54) + (name "SPI_B_DO/BD1" (effects (font (size 1.27 1.27)))) + (number "3.25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -2.54 180) (length 2.54) + (name "SPI_B_CLK/BD0" (effects (font (size 1.27 1.27)))) + (number "3.26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 35.56 0) (length 2.54) + (name "VCC_(5V)" (effects (font (size 1.27 1.27)))) + (number "3.3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 -10.16 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3.4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -17.78 0) (length 2.54) + (name "CS" (effects (font (size 1.27 1.27)))) + (number "3.5" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -22.86 -20.32 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "3.6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -22.86 0) (length 2.54) + (name "DATA" (effects (font (size 1.27 1.27)))) + (number "3.7" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -22.86 -38.1 0) (length 2.54) + (name "PWREN#" (effects (font (size 1.27 1.27)))) + (number "3.8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -43.18 180) (length 2.54) + (name "BC7" (effects (font (size 1.27 1.27)))) + (number "3.9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Ferrite_Bead-Device" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "FB" (id 0) (at -3.81 0.635 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Ferrite_Bead-Device" (id 1) (at 3.81 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Inductor_* L_* *Ferrite*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Ferrite_Bead-Device_0_1" + (polyline + (pts + (xy 0 -1.27) + (xy 0 -1.2192) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 0 1.2954) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.7686 0.4064) + (xy -1.7018 2.2606) + (xy 2.7686 -0.3048) + (xy 1.6764 -2.159) + (xy -2.7686 0.4064) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Ferrite_Bead-Device_1_1" + (pin passive line (at 0 3.81 270) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Foot" (in_bom yes) (on_board no) + (property "Reference" "FOOT" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Foot" (id 1) (at 0 -1.905 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Foot_0_1" + (polyline + (pts + (xy -3.175 1.27) + (xy 3.175 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.905 1.27) + (xy 1.27 -0.635) + (xy -1.27 -0.635) + (xy -1.905 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + ) + (symbol "ICE40UP5K-SG48ITR" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -24.13 -44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "ICE40UP5K-SG48ITR" (id 1) (at -15.24 -46.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" (id 2) (at -15.24 -49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" (id 3) (at -40.64 -21.59 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "FPGA programmable logic" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "QFN*7x7mm*P0.5mm*EP5.6x5.6mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "ICE40UP5K-SG48ITR_0_0" + (polyline + (pts + (xy -6.35 13.97) + (xy -3.81 13.97) + (xy -3.81 -39.37) + (xy -6.35 -39.37) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -39.37) + (xy 3.81 -39.37) + (xy 3.81 -13.97) + (xy 6.35 -13.97) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -11.43) + (xy 3.81 -11.43) + (xy 3.81 39.37) + (xy 6.35 39.37) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (text "Bank 0" (at -2.54 -11.43 900) + (effects (font (size 1.27 1.27))) + ) + (text "Bank 1" (at 2.54 13.97 900) + (effects (font (size 1.27 1.27))) + ) + (text "Bank 2" (at 2.54 -24.13 900) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "ICE40UP5K-SG48ITR_1_1" + (rectangle (start -20.32 40.64) (end 22.86 -40.64) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 25.4 -15.24 180) (length 2.54) + (name "VCCIO_2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 7.62 180) (length 2.54) + (name "IOB_18a" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 5.08 180) (length 2.54) + (name "IOB_20a" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 2.54 180) (length 2.54) + (name "IOB_22b" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -2.54 180) (length 2.54) + (name "IOB_24a" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 33.02 180) (length 2.54) + (name "IOB_32a_SPI_SO" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 27.94 180) (length 2.54) + (name "IOB_34a_SPI_SCK" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 25.4 180) (length 2.54) + (name "IOB_35b_SPI_SS" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 30.48 180) (length 2.54) + (name "IOB_33b_SPI_SI" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -10.16 180) (length 2.54) + (name "IOB_31b" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -7.62 180) (length 2.54) + (name "IOB_29b" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -33.02 180) (length 2.54) + (name "IOB_6a" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -5.08 180) (length 2.54) + (name "IOB_25b_G3" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 0 180) (length 2.54) + (name "IOB_23b" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 25.4 38.1 180) (length 2.54) + (name "SPI_VCCIO1" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 5.08 0) (length 2.54) + (name "IOT_37a" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 25.4 0) (length 2.54) + (name "VPP_2V5" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 7.62 0) (length 2.54) + (name "IOT_36b" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 0 0) (length 2.54) + (name "IOT_39a" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 2.54 0) (length 2.54) + (name "IOT_38b" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -2.54 0) (length 2.54) + (name "IOT_41a" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 30.48 0) (length 2.54) + (name "VCCPLL" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -38.1 180) (length 2.54) + (name "IOB_9b" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 35.56 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -5.08 0) (length 2.54) + (name "IOT_42b" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -7.62 0) (length 2.54) + (name "IOT_43a" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 12.7 0) (length 2.54) + (name "VCCIO_0" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -10.16 0) (length 2.54) + (name "IOT_44b" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -15.24 0) (length 2.54) + (name "IOT_46b_G0" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -17.78 0) (length 2.54) + (name "IOT_48b" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -12.7 0) (length 2.54) + (name "IOT_45a_G1" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -22.86 0) (length 2.54) + (name "IOT_50b" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -22.86 -33.02 0) (length 2.54) + (name "RGB0" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -35.56 180) (length 2.54) + (name "IOB_8a" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -22.86 -35.56 0) (length 2.54) + (name "RGB1" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -22.86 -38.1 0) (length 2.54) + (name "RGB2" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -25.4 0) (length 2.54) + (name "IOT_51a" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -20.32 0) (length 2.54) + (name "IOT_49a" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -25.4 180) (length 2.54) + (name "IOB_3b_G6" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -30.48 180) (length 2.54) + (name "IOB_5b" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -20.32 180) (length 2.54) + (name "IOB_0a" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -22.86 180) (length 2.54) + (name "IOB_2a" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -27.94 180) (length 2.54) + (name "IOB_4a" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 20.32 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 38.1 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 12.7 180) (length 2.54) + (name "IOB_13b" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 25.4 17.78 180) (length 2.54) + (name "CDONE" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 25.4 20.32 180) (length 2.54) + (name "~{CRESET}" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 10.16 180) (length 2.54) + (name "IOB_16a" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MC2016Z48.0000C19XSH" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -3.81 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MC2016Z48.0000C19XSH" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MC2016Z48.0000C19XSH_0_1" + (rectangle (start -5.08 3.81) (end 5.08 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MC2016Z48.0000C19XSH_1_1" + (pin input line (at -7.62 -2.54 0) (length 2.54) + (name "INH" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -2.54 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 7.62 2.54 180) (length 2.54) + (name "Out" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MCP1824T-2502EOT" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 7.62 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MCP1824T-2502EOT" (id 1) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 19.05 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC REG LINEAR 2.5V 300MA SOT23-5" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MCP1824T-2502EOT_1_1" + (rectangle (start -7.62 6.35) (end 7.62 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -10.16 3.81 0) (length 2.54) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -6.35 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "/SHDN" (effects (font (size 0.762 0.762)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 10.16 -1.27 180) (length 2.54) + (name "PWRGD" (effects (font (size 0.762 0.762)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 10.16 3.81 180) (length 2.54) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MIC5258-1.2YM5-TR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 7.62 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MIC5258-1.2YM5-TR" (id 1) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 19.05 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC REG LINEAR 1.2V 150MA SOT23-5" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MIC5258-1.2YM5-TR_1_1" + (rectangle (start -7.62 6.35) (end 7.62 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -10.16 3.81 0) (length 2.54) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -6.35 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 10.16 -1.27 180) (length 2.54) + (name "PG" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 10.16 3.81 180) (length 2.54) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "NCP752BSN33T1G" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 5.08 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NCP752BSN33T1G" (id 1) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC REG LINEAR 3.3V 200MA 5TSOP" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "NCP752BSN33T1G_1_1" + (rectangle (start -7.62 5.08) (end 7.62 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -10.16 2.54 0) (length 2.54) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "EN" (effects (font (size 0.762 0.762)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 10.16 -2.54 180) (length 2.54) + (name "PG" (effects (font (size 0.762 0.762)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 10.16 2.54 180) (length 2.54) + (name "OUT" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "PT2043AT6" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -6.35 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "PT2043AT6" (id 1) (at -2.54 -8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 0 12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at -21.59 12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "SOT-23-6 Touch Sensors ROHS" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PT2043AT6_1_1" + (rectangle (start -7.62 7.62) (end 7.62 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin output line (at 10.16 6.35 180) (length 2.54) + (name "QC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -6.35 180) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 2.54) + (name "TCH" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 2.54) + (name "AHLB" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 6.35 0) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 2.54) + (name "TOG" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Screw" (in_bom yes) (on_board no) + (property "Reference" "SCREW" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Screw" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Screw_0_1" + (polyline + (pts + (xy 0.635 0.635) + (xy 0 -0.635) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0.635) + (xy 0.635 -0.635) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.905 0.635) + (xy 1.27 -0.635) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 0.635) + (xy 1.905 -0.635) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.175 0.635) + (xy 2.54 -0.635) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0.635) + (xy 3.175 0.635) + (xy 3.175 -0.635) + (xy 0 -0.635) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 1.27) + (xy -1.27 -1.27) + (xy 0 -1.27) + (xy 0 1.27) + (xy -1.27 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + ) + (symbol "W25Q80DVSNIG" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -8.89 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W25Q80DVSNIG" (id 1) (at 7.62 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.winbond.com/resource-files/w25q80dv_revg_07212015.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "flash memory SPI" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "32Mb Serial Flash Memory, Standard/Dual/Quad SPI, SOIC-8" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOIC*5.23x5.23mm*P1.27mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "W25Q80DVSNIG_0_1" + (rectangle (start -10.16 7.62) (end 10.16 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "W25Q80DVSNIG_1_1" + (pin input line (at -12.7 2.54 0) (length 2.54) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 2.54) + (name "DO(IO1)" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 2.54) + (name "IO2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 2.54) + (name "DI(IO0)" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 2.54) + (name "IO3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 10.16 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "W25Q80DVUXIE" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -8.89 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W25Q80DVUXIE" (id 1) (at 7.62 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "mta1:W25Q80DVUXIE" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.winbond.com/resource-files/w25x40cl_f%2020140325.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "flash memory SPI" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC FLASH 8MBIT SPI 104MHZ 8USON" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "W25Q80DVUXIE_0_1" + (rectangle (start -10.16 7.62) (end 10.16 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "W25Q80DVUXIE_1_1" + (pin input line (at -12.7 2.54 0) (length 2.54) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 2.54) + (name "DO(IO1)" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 2.54) + (name "IO2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 2.54) + (name "DI(IO0)" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 2.54) + (name "IO3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 10.16 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 5.08 -10.16 90) (length 2.54) + (name "EXP" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "mta1_usb_ch554_pogo" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -5.08 -19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "mta1_usb_ch554_pogo" (id 1) (at 0 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "mta1:mta1_usb_ch554_pogo" (id 2) (at 0 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at -8.89 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "mta1_usb_ch554_pogo_1_1" + (rectangle (start -8.89 16.51) (end 8.89 -16.51) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -11.43 15.24 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 -7.62 0) (length 2.54) + (name "GPIO1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 -10.16 0) (length 2.54) + (name "GPIO2" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 -12.7 0) (length 2.54) + (name "GPIO3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 -15.24 0) (length 2.54) + (name "GPIO4" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 11.43 -15.24 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 11.43 -12.7 180) (length 2.54) + (name "CDONE" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 11.43 -10.16 180) (length 2.54) + (name "CRESET" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 11.43 -7.62 180) (length 2.54) + (name "MISO" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 11.43 -5.08 180) (length 2.54) + (name "SS" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 11.43 -2.54 180) (length 2.54) + (name "MOSI" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 12.7 0) (length 2.54) + (name "5V" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 11.43 0 180) (length 2.54) + (name "SCK" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 2.54 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 5.08 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 7.62 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 10.16 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 12.7 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 15.24 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -11.43 10.16 0) (length 2.54) + (name "3.3V" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -11.43 7.62 0) (length 2.54) + (name "2.5V" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -11.43 5.08 0) (length 2.54) + (name "1.2V" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 2.54 0) (length 2.54) + (name "TX" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 0 0) (length 2.54) + (name "RX" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 -2.54 0) (length 2.54) + (name "RTS" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 -5.08 0) (length 2.54) + (name "CTS" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/hw/boards/mta1-library/mta1.pretty/1674954-1.kicad_mod b/hw/boards/mta1-library/mta1.pretty/1674954-1.kicad_mod new file mode 100644 index 0000000..e40f084 --- /dev/null +++ b/hw/boards/mta1-library/mta1.pretty/1674954-1.kicad_mod @@ -0,0 +1,32 @@ +(footprint "1674954-1" (version 20211014) (generator pcbnew) + (layer "F.Cu") + (tedit 0) + (descr "1674954-1") + (tags "Undefined or Miscellaneous") + (attr smd) + (fp_text reference "U**" (at -2.733 -0.184) (layer "F.SilkS") + (effects (font (size 1.27 1.27) (thickness 0.254))) + (tstamp e149e0b1-47fa-4b20-b36e-2d51ee1e85c3) + ) + (fp_text value "1674954-1" (at -2.733 -0.184) (layer "F.SilkS") hide + (effects (font (size 1.27 1.27) (thickness 0.254))) + (tstamp e68c5170-03c3-4a20-abd0-ad610c43d035) + ) + (fp_text user "${REFERENCE}" (at -2.733 -0.184) (layer "F.Fab") + (effects (font (size 1.27 1.27) (thickness 0.254))) + (tstamp 81c041f3-483e-477d-9475-d108280de2a9) + ) + (fp_line (start 0 -1.3) (end 0 1.3) (layer "F.SilkS") (width 0.1) (tstamp 5994a946-119f-4db4-aafe-00ae73b5b800)) + (fp_line (start 0 -1.3) (end -0.25 -1.3) (layer "F.SilkS") (width 0.1) (tstamp b680b4a7-6cb0-40b5-a7ec-a02910a0daa4)) + (fp_line (start 0 1.3) (end -0.25 1.3) (layer "F.SilkS") (width 0.1) (tstamp c5a1761e-3391-4e74-90c9-947fd66e1fc6)) + (fp_line (start 0 -1.3) (end 0 1.3) (layer "F.Fab") (width 0.1) (tstamp 08e2d62f-f99a-4268-8b33-617dfcc63e75)) + (fp_line (start -4.5 -1.3) (end 0 -1.3) (layer "F.Fab") (width 0.1) (tstamp 92e8f8c3-0985-4c0d-8e38-92cbbf365409)) + (fp_line (start 0 1.3) (end -4.5 1.3) (layer "F.Fab") (width 0.1) (tstamp eaef1172-3351-417c-bfc4-74a598f141cb)) + (fp_line (start -4.5 1.3) (end -4.5 -1.3) (layer "F.Fab") (width 0.1) (tstamp f6ee98b5-4773-4eeb-a825-33c1705abace)) + (pad "1" smd rect (at -2.45 0 90) (size 2.6 4.1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a1c7b1f5-f895-4192-9484-2357882c73e0)) + (model "1674954-1.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 -90)) + ) +) diff --git a/hw/boards/mta1-library/mta1.pretty/3d_models/1674954-1.step b/hw/boards/mta1-library/mta1.pretty/3d_models/1674954-1.step new file mode 100644 index 0000000..6cede44 --- /dev/null +++ b/hw/boards/mta1-library/mta1.pretty/3d_models/1674954-1.step @@ -0,0 +1,1461 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-1674954-01-3','2007-10-10T',('workeradm'),( +'Tyco Electronics Corporation'), +'PRO/ENGINEER BY PARAMETRIC TECHNOLOGY CORPORATION, 2005450', +'PRO/ENGINEER BY PARAMETRIC TECHNOLOGY CORPORATION, 2005450',''); +FILE_SCHEMA(('CONFIG_CONTROL_DESIGN', 'GEOMETRIC_VALIDATION_PROPERTIES_MIM')); +ENDSEC; +DATA; +#1=DRAUGHTING_PRE_DEFINED_COLOUR('black'); +#2=COLOUR_RGB('',0.E0,0.E0,3.6E-1); +#3=COLOUR_RGB('',0.E0,0.E0,4.8E-1); +#4=DRAUGHTING_PRE_DEFINED_COLOUR('blue'); +#5=COLOUR_RGB('',0.E0,3.6E-1,9.E-2); +#6=COLOUR_RGB('',0.E0,3.6E-1,2.7E-1); +#7=COLOUR_RGB('',0.E0,5.6E-1,6.4E-1); +#8=COLOUR_RGB('',0.E0,5.8E-1,8.E-1); +#9=COLOUR_RGB('',0.E0,7.1E-1,6.6E-1); +#10=COLOUR_RGB('',0.E0,7.13E-1,0.E0); +#11=COLOUR_RGB('',0.E0,9.5E-1,8.4E-1); +#12=DRAUGHTING_PRE_DEFINED_COLOUR('green'); +#13=DRAUGHTING_PRE_DEFINED_COLOUR('cyan'); +#14=COLOUR_RGB('',4.E-2,0.E0,1.6E-1); +#15=COLOUR_RGB('',9.E-2,0.E0,1.2E-1); +#16=COLOUR_RGB('',1.E-1,0.E0,2.5E-1); +#17=COLOUR_RGB('',1.6E-1,3.2E-2,0.E0); +#18=COLOUR_RGB('',1.6E-1,4.6E-1,6.5E-1); +#19=COLOUR_RGB('',1.6E-1,1.E0,1.6E-1); +#20=COLOUR_RGB('',2.E-1,3.2E-2,0.E0); +#21=COLOUR_RGB('',3.1E-1,3.1E-1,5.6E-1); +#22=COLOUR_RGB('',3.2E-1,1.5E-1,0.E0); +#23=COLOUR_RGB('',4.E-1,4.E-1,4.E-1); +#24=COLOUR_RGB('',4.2E-1,4.2E-1,1.E0); +#25=COLOUR_RGB('',4.4E-1,8.5E-1,1.E0); +#26=COLOUR_RGB('',4.9E-1,4.9E-1,0.E0); +#27=COLOUR_RGB('',4.9E-1,1.E0,0.E0); +#28=COLOUR_RGB('',5.E-1,0.E0,0.E0); +#29=COLOUR_RGB('',5.E-1,0.E0,2.3E-1); +#30=COLOUR_RGB('',5.E-1,5.E-1,5.E-1); +#31=COLOUR_RGB('',5.3E-1,0.E0,0.E0); +#32=COLOUR_RGB('',5.4E-1,0.E0,4.4E-1); +#33=COLOUR_RGB('',5.5E-1,3.E-1,0.E0); +#34=COLOUR_RGB('',5.6E-1,1.E-1,5.E-2); +#35=COLOUR_RGB('',5.8E-1,2.2E-1,1.E-2); +#36=COLOUR_RGB('',6.E-1,6.E-1,6.E-1); +#37=COLOUR_RGB('',6.2E-1,0.E0,5.5E-1); +#38=COLOUR_RGB('',6.4E-1,0.E0,2.5E-1); +#39=COLOUR_RGB('',6.4E-1,1.E-2,0.E0); +#40=COLOUR_RGB('',6.8E-1,7.5E-1,1.E0); +#41=COLOUR_RGB('',7.1E-1,3.1E-1,0.E0); +#42=COLOUR_RGB('',7.2E-1,7.2E-1,7.2E-1); +#43=COLOUR_RGB('',7.4E-1,1.E0,2.6E-1); +#44=COLOUR_RGB('',7.5E-1,3.E-2,0.E0); +#45=COLOUR_RGB('',7.6E-1,0.E0,4.7E-1); +#46=COLOUR_RGB('',8.1E-1,4.E-2,0.E0); +#47=COLOUR_RGB('',8.1E-1,6.1E-1,0.E0); +#48=COLOUR_RGB('',8.2E-1,4.E-2,6.6E-1); +#49=COLOUR_RGB('',8.5E-1,8.5E-1,8.5E-1); +#50=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#51=COLOUR_RGB('',9.E-1,1.E0,0.E0); +#52=COLOUR_RGB('',9.37E-1,7.85E-1,0.E0); +#53=COLOUR_RGB('',9.5E-1,2.2E-1,8.1E-1); +#54=COLOUR_RGB('',9.6E-1,3.7E-1,3.E-1); +#55=COLOUR_RGB('',9.6E-1,7.1E-1,3.75E-1); +#56=DRAUGHTING_PRE_DEFINED_COLOUR('red'); +#57=COLOUR_RGB('',1.E0,0.E0,6.1E-1); +#58=COLOUR_RGB('',1.E0,4.E-2,0.E0); +#59=COLOUR_RGB('',1.E0,2.3E-1,0.E0); +#60=COLOUR_RGB('',1.E0,3.5E-1,5.2E-1); +#61=COLOUR_RGB('',1.E0,3.6E-1,0.E0); +#62=COLOUR_RGB('',1.E0,4.9E-1,3.7E-1); +#63=COLOUR_RGB('',1.E0,5.E-1,0.E0); +#64=COLOUR_RGB('',1.E0,5.6E-1,7.8E-1); +#65=COLOUR_RGB('',1.E0,6.4E-1,4.9E-1); +#66=COLOUR_RGB('',1.E0,6.7E-1,0.E0); +#67=COLOUR_RGB('',1.E0,7.6E-1,6.5E-1); +#68=COLOUR_RGB('',1.E0,8.6E-1,4.E-2); +#69=COLOUR_RGB('',1.E0,9.8E-1,7.2E-1); +#70=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); +#71=DRAUGHTING_PRE_DEFINED_COLOUR('white'); +#72=DIRECTION('',(0.E0,1.E0,0.E0)); +#73=VECTOR('',#72,8.E-2); +#74=CARTESIAN_POINT('',(-1.3E0,0.E0,-3.7E0)); +#75=LINE('',#74,#73); +#76=DIRECTION('',(0.E0,0.E0,1.E0)); +#77=VECTOR('',#76,6.E-1); +#78=CARTESIAN_POINT('',(-1.3E0,0.E0,-4.3E0)); +#79=LINE('',#78,#77); +#80=DIRECTION('',(0.E0,1.E0,0.E0)); +#81=VECTOR('',#80,8.E-2); +#82=CARTESIAN_POINT('',(-1.3E0,0.E0,-4.3E0)); +#83=LINE('',#82,#81); +#84=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85=VECTOR('',#84,6.E-1); +#86=CARTESIAN_POINT('',(-1.3E0,8.E-2,-3.7E0)); +#87=LINE('',#86,#85); +#88=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89=VECTOR('',#88,1.3E0); +#90=CARTESIAN_POINT('',(-1.3E0,8.E-2,-4.E-1)); +#91=LINE('',#90,#89); +#92=DIRECTION('',(0.E0,1.E0,0.E0)); +#93=VECTOR('',#92,8.E-2); +#94=CARTESIAN_POINT('',(-1.3E0,0.E0,-4.E-1)); +#95=LINE('',#94,#93); +#96=DIRECTION('',(0.E0,0.E0,1.E0)); +#97=VECTOR('',#96,1.3E0); +#98=CARTESIAN_POINT('',(-1.3E0,0.E0,-1.7E0)); +#99=LINE('',#98,#97); +#100=DIRECTION('',(0.E0,1.E0,0.E0)); +#101=VECTOR('',#100,8.E-2); +#102=CARTESIAN_POINT('',(-1.3E0,0.E0,-1.7E0)); +#103=LINE('',#102,#101); +#104=DIRECTION('',(-1.E0,0.E0,0.E0)); +#105=VECTOR('',#104,2.E-1); +#106=CARTESIAN_POINT('',(1.3E0,8.E-2,-4.E-1)); +#107=LINE('',#106,#105); +#108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109=VECTOR('',#108,1.2E-1); +#110=CARTESIAN_POINT('',(1.1E0,8.E-2,-2.8E-1)); +#111=LINE('',#110,#109); +#112=DIRECTION('',(1.E0,0.E0,0.E0)); +#113=VECTOR('',#112,2.2E0); +#114=CARTESIAN_POINT('',(-1.1E0,8.E-2,-2.8E-1)); +#115=LINE('',#114,#113); +#116=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117=VECTOR('',#116,1.2E-1); +#118=CARTESIAN_POINT('',(-1.1E0,8.E-2,-2.8E-1)); +#119=LINE('',#118,#117); +#120=DIRECTION('',(1.E0,0.E0,0.E0)); +#121=VECTOR('',#120,2.E-1); +#122=CARTESIAN_POINT('',(-1.3E0,8.E-2,-4.E-1)); +#123=LINE('',#122,#121); +#124=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#125=VECTOR('',#124,2.828427124746E-1); +#126=CARTESIAN_POINT('',(-1.1E0,8.E-2,-1.9E0)); +#127=LINE('',#126,#125); +#128=DIRECTION('',(0.E0,0.E0,1.E0)); +#129=VECTOR('',#128,1.6E0); +#130=CARTESIAN_POINT('',(-1.1E0,8.E-2,-3.5E0)); +#131=LINE('',#130,#129); +#132=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#133=VECTOR('',#132,2.828427124746E-1); +#134=CARTESIAN_POINT('',(-1.3E0,8.E-2,-3.7E0)); +#135=LINE('',#134,#133); +#136=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#137=VECTOR('',#136,2.828427124746E-1); +#138=CARTESIAN_POINT('',(-1.1E0,8.E-2,-4.5E0)); +#139=LINE('',#138,#137); +#140=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#141=VECTOR('',#140,2.828427124746E-1); +#142=CARTESIAN_POINT('',(-6.E-1,8.E-2,-4.3E0)); +#143=LINE('',#142,#141); +#144=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#145=VECTOR('',#144,2.828427124746E-1); +#146=CARTESIAN_POINT('',(8.E-1,8.E-2,-4.5E0)); +#147=LINE('',#146,#145); +#148=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#149=VECTOR('',#148,2.828427124746E-1); +#150=CARTESIAN_POINT('',(1.3E0,8.E-2,-4.3E0)); +#151=LINE('',#150,#149); +#152=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#153=VECTOR('',#152,2.828427124746E-1); +#154=CARTESIAN_POINT('',(1.1E0,8.E-2,-3.5E0)); +#155=LINE('',#154,#153); +#156=DIRECTION('',(0.E0,0.E0,-1.E0)); +#157=VECTOR('',#156,1.6E0); +#158=CARTESIAN_POINT('',(1.1E0,8.E-2,-1.9E0)); +#159=LINE('',#158,#157); +#160=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#161=VECTOR('',#160,2.828427124746E-1); +#162=CARTESIAN_POINT('',(1.3E0,8.E-2,-1.7E0)); +#163=LINE('',#162,#161); +#164=DIRECTION('',(0.E0,1.E0,0.E0)); +#165=VECTOR('',#164,8.E-2); +#166=CARTESIAN_POINT('',(1.3E0,0.E0,-3.7E0)); +#167=LINE('',#166,#165); +#168=DIRECTION('',(0.E0,0.E0,-1.E0)); +#169=VECTOR('',#168,6.E-1); +#170=CARTESIAN_POINT('',(1.3E0,8.E-2,-3.7E0)); +#171=LINE('',#170,#169); +#172=DIRECTION('',(0.E0,1.E0,0.E0)); +#173=VECTOR('',#172,8.E-2); +#174=CARTESIAN_POINT('',(1.3E0,0.E0,-4.3E0)); +#175=LINE('',#174,#173); +#176=DIRECTION('',(0.E0,0.E0,1.E0)); +#177=VECTOR('',#176,6.E-1); +#178=CARTESIAN_POINT('',(1.3E0,0.E0,-4.3E0)); +#179=LINE('',#178,#177); +#180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#181=VECTOR('',#180,1.3E0); +#182=CARTESIAN_POINT('',(1.3E0,8.E-2,-4.E-1)); +#183=LINE('',#182,#181); +#184=DIRECTION('',(0.E0,1.E0,0.E0)); +#185=VECTOR('',#184,8.E-2); +#186=CARTESIAN_POINT('',(1.3E0,0.E0,-1.7E0)); +#187=LINE('',#186,#185); +#188=DIRECTION('',(0.E0,0.E0,1.E0)); +#189=VECTOR('',#188,1.3E0); +#190=CARTESIAN_POINT('',(1.3E0,0.E0,-1.7E0)); +#191=LINE('',#190,#189); +#192=DIRECTION('',(0.E0,1.E0,0.E0)); +#193=VECTOR('',#192,8.E-2); +#194=CARTESIAN_POINT('',(1.3E0,0.E0,-4.E-1)); +#195=LINE('',#194,#193); +#196=DIRECTION('',(0.E0,1.E0,0.E0)); +#197=VECTOR('',#196,8.E-2); +#198=CARTESIAN_POINT('',(1.1E0,0.E0,-3.5E0)); +#199=LINE('',#198,#197); +#200=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#201=VECTOR('',#200,2.828427124746E-1); +#202=CARTESIAN_POINT('',(1.3E0,0.E0,-1.7E0)); +#203=LINE('',#202,#201); +#204=DIRECTION('',(0.E0,0.E0,-1.E0)); +#205=VECTOR('',#204,1.6E0); +#206=CARTESIAN_POINT('',(1.1E0,0.E0,-1.9E0)); +#207=LINE('',#206,#205); +#208=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#209=VECTOR('',#208,2.828427124746E-1); +#210=CARTESIAN_POINT('',(1.1E0,0.E0,-3.5E0)); +#211=LINE('',#210,#209); +#212=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#213=VECTOR('',#212,2.828427124746E-1); +#214=CARTESIAN_POINT('',(1.3E0,0.E0,-4.3E0)); +#215=LINE('',#214,#213); +#216=DIRECTION('',(1.E0,0.E0,0.E0)); +#217=VECTOR('',#216,3.E-1); +#218=CARTESIAN_POINT('',(8.E-1,0.E0,-4.5E0)); +#219=LINE('',#218,#217); +#220=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#221=VECTOR('',#220,2.828427124746E-1); +#222=CARTESIAN_POINT('',(8.E-1,0.E0,-4.5E0)); +#223=LINE('',#222,#221); +#224=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#225=VECTOR('',#224,2.828427124746E-1); +#226=CARTESIAN_POINT('',(-6.E-1,0.E0,-4.3E0)); +#227=LINE('',#226,#225); +#228=DIRECTION('',(1.E0,0.E0,0.E0)); +#229=VECTOR('',#228,3.E-1); +#230=CARTESIAN_POINT('',(-1.1E0,0.E0,-4.5E0)); +#231=LINE('',#230,#229); +#232=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#233=VECTOR('',#232,2.828427124746E-1); +#234=CARTESIAN_POINT('',(-1.1E0,0.E0,-4.5E0)); +#235=LINE('',#234,#233); +#236=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#237=VECTOR('',#236,2.828427124746E-1); +#238=CARTESIAN_POINT('',(-1.3E0,0.E0,-3.7E0)); +#239=LINE('',#238,#237); +#240=DIRECTION('',(0.E0,0.E0,1.E0)); +#241=VECTOR('',#240,1.6E0); +#242=CARTESIAN_POINT('',(-1.1E0,0.E0,-3.5E0)); +#243=LINE('',#242,#241); +#244=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#245=VECTOR('',#244,2.828427124746E-1); +#246=CARTESIAN_POINT('',(-1.1E0,0.E0,-1.9E0)); +#247=LINE('',#246,#245); +#248=DIRECTION('',(1.E0,0.E0,0.E0)); +#249=VECTOR('',#248,2.E-1); +#250=CARTESIAN_POINT('',(-1.3E0,0.E0,-4.E-1)); +#251=LINE('',#250,#249); +#252=DIRECTION('',(0.E0,0.E0,-1.E0)); +#253=VECTOR('',#252,1.2E-1); +#254=CARTESIAN_POINT('',(-1.1E0,0.E0,-2.8E-1)); +#255=LINE('',#254,#253); +#256=DIRECTION('',(0.E0,0.E0,-1.E0)); +#257=VECTOR('',#256,1.2E-1); +#258=CARTESIAN_POINT('',(1.1E0,0.E0,-2.8E-1)); +#259=LINE('',#258,#257); +#260=DIRECTION('',(-1.E0,0.E0,0.E0)); +#261=VECTOR('',#260,2.E-1); +#262=CARTESIAN_POINT('',(1.3E0,0.E0,-4.E-1)); +#263=LINE('',#262,#261); +#264=DIRECTION('',(0.E0,1.E0,0.E0)); +#265=VECTOR('',#264,8.E-2); +#266=CARTESIAN_POINT('',(1.1E0,0.E0,-1.9E0)); +#267=LINE('',#266,#265); +#268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#269=VECTOR('',#268,8.E-2); +#270=CARTESIAN_POINT('',(-1.1E0,8.E-2,-4.5E0)); +#271=LINE('',#270,#269); +#272=DIRECTION('',(0.E0,-1.E0,0.E0)); +#273=VECTOR('',#272,8.E-2); +#274=CARTESIAN_POINT('',(-8.E-1,8.E-2,-4.5E0)); +#275=LINE('',#274,#273); +#276=DIRECTION('',(1.E0,0.E0,0.E0)); +#277=VECTOR('',#276,3.E-1); +#278=CARTESIAN_POINT('',(-1.1E0,8.E-2,-4.5E0)); +#279=LINE('',#278,#277); +#280=DIRECTION('',(0.E0,-1.E0,0.E0)); +#281=VECTOR('',#280,8.E-2); +#282=CARTESIAN_POINT('',(8.E-1,8.E-2,-4.5E0)); +#283=LINE('',#282,#281); +#284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#285=VECTOR('',#284,8.E-2); +#286=CARTESIAN_POINT('',(1.1E0,8.E-2,-4.5E0)); +#287=LINE('',#286,#285); +#288=DIRECTION('',(1.E0,0.E0,0.E0)); +#289=VECTOR('',#288,3.E-1); +#290=CARTESIAN_POINT('',(8.E-1,8.E-2,-4.5E0)); +#291=LINE('',#290,#289); +#292=DIRECTION('',(0.E0,1.E0,0.E0)); +#293=VECTOR('',#292,8.E-2); +#294=CARTESIAN_POINT('',(-6.E-1,0.E0,-4.3E0)); +#295=LINE('',#294,#293); +#296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#297=VECTOR('',#296,1.2E0); +#298=CARTESIAN_POINT('',(6.E-1,8.E-2,-4.3E0)); +#299=LINE('',#298,#297); +#300=DIRECTION('',(1.E0,0.E0,0.E0)); +#301=VECTOR('',#300,1.2E0); +#302=CARTESIAN_POINT('',(-6.E-1,0.E0,-4.3E0)); +#303=LINE('',#302,#301); +#304=DIRECTION('',(0.E0,1.E0,0.E0)); +#305=VECTOR('',#304,8.E-2); +#306=CARTESIAN_POINT('',(6.E-1,0.E0,-4.3E0)); +#307=LINE('',#306,#305); +#308=DIRECTION('',(0.E0,1.E0,0.E0)); +#309=VECTOR('',#308,8.E-2); +#310=CARTESIAN_POINT('',(-1.1E0,0.E0,-3.5E0)); +#311=LINE('',#310,#309); +#312=DIRECTION('',(0.E0,1.E0,0.E0)); +#313=VECTOR('',#312,8.E-2); +#314=CARTESIAN_POINT('',(-1.1E0,0.E0,-1.9E0)); +#315=LINE('',#314,#313); +#316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#317=VECTOR('',#316,8.E-2); +#318=CARTESIAN_POINT('',(-1.1E0,2.2E0,-3.4E0)); +#319=LINE('',#318,#317); +#320=DIRECTION('',(0.E0,-1.E0,0.E0)); +#321=VECTOR('',#320,8.E-2); +#322=CARTESIAN_POINT('',(-1.1E0,8.E-2,-4.E-1)); +#323=LINE('',#322,#321); +#324=DIRECTION('',(0.E0,0.E0,1.E0)); +#325=VECTOR('',#324,3.2E-1); +#326=CARTESIAN_POINT('',(8.E-1,2.2E0,-3.72E0)); +#327=LINE('',#326,#325); +#328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#329=VECTOR('',#328,3.E-1); +#330=CARTESIAN_POINT('',(1.1E0,2.2E0,-3.4E0)); +#331=LINE('',#330,#329); +#332=DIRECTION('',(0.E0,0.E0,-1.E0)); +#333=VECTOR('',#332,9.131007212849E-1); +#334=CARTESIAN_POINT('',(1.1E0,2.2E0,-2.486899278715E0)); +#335=LINE('',#334,#333); +#336=DIRECTION('',(1.E0,0.E0,0.E0)); +#337=VECTOR('',#336,2.2E0); +#338=CARTESIAN_POINT('',(-1.1E0,2.2E0,-2.486899278715E0)); +#339=LINE('',#338,#337); +#340=DIRECTION('',(0.E0,0.E0,-1.E0)); +#341=VECTOR('',#340,9.131007212849E-1); +#342=CARTESIAN_POINT('',(-1.1E0,2.2E0,-2.486899278715E0)); +#343=LINE('',#342,#341); +#344=DIRECTION('',(1.E0,0.E0,0.E0)); +#345=VECTOR('',#344,3.E-1); +#346=CARTESIAN_POINT('',(-1.1E0,2.2E0,-3.4E0)); +#347=LINE('',#346,#345); +#348=DIRECTION('',(0.E0,0.E0,1.E0)); +#349=VECTOR('',#348,3.2E-1); +#350=CARTESIAN_POINT('',(-8.E-1,2.2E0,-3.72E0)); +#351=LINE('',#350,#349); +#352=DIRECTION('',(0.E0,-1.E0,0.E0)); +#353=VECTOR('',#352,8.E-2); +#354=CARTESIAN_POINT('',(8.E-1,2.2E0,-3.4E0)); +#355=LINE('',#354,#353); +#356=CARTESIAN_POINT('',(8.E-1,1.92E0,-3.72E0)); +#357=DIRECTION('',(-1.E0,0.E0,0.E0)); +#358=DIRECTION('',(0.E0,1.E0,-1.427429603089E-14)); +#359=AXIS2_PLACEMENT_3D('',#356,#357,#358); +#361=DIRECTION('',(1.E0,0.E0,0.E0)); +#362=VECTOR('',#361,1.6E0); +#363=CARTESIAN_POINT('',(-8.E-1,2.2E0,-3.72E0)); +#364=LINE('',#363,#362); +#365=CARTESIAN_POINT('',(-8.E-1,1.92E0,-3.72E0)); +#366=DIRECTION('',(-1.E0,0.E0,0.E0)); +#367=DIRECTION('',(0.E0,1.E0,-1.586032892322E-14)); +#368=AXIS2_PLACEMENT_3D('',#365,#366,#367); +#370=DIRECTION('',(0.E0,1.E0,0.E0)); +#371=VECTOR('',#370,8.E-2); +#372=CARTESIAN_POINT('',(-8.E-1,2.12E0,-3.4E0)); +#373=LINE('',#372,#371); +#374=DIRECTION('',(0.E0,0.E0,1.E0)); +#375=VECTOR('',#374,3.2E-1); +#376=CARTESIAN_POINT('',(8.E-1,2.12E0,-3.72E0)); +#377=LINE('',#376,#375); +#378=DIRECTION('',(1.E0,0.E0,0.E0)); +#379=VECTOR('',#378,1.6E0); +#380=CARTESIAN_POINT('',(-8.E-1,2.12E0,-3.72E0)); +#381=LINE('',#380,#379); +#382=DIRECTION('',(0.E0,0.E0,1.E0)); +#383=VECTOR('',#382,3.2E-1); +#384=CARTESIAN_POINT('',(-8.E-1,2.12E0,-3.72E0)); +#385=LINE('',#384,#383); +#386=DIRECTION('',(1.E0,0.E0,0.E0)); +#387=VECTOR('',#386,3.E-1); +#388=CARTESIAN_POINT('',(-1.1E0,2.12E0,-3.4E0)); +#389=LINE('',#388,#387); +#390=DIRECTION('',(0.E0,0.E0,1.E0)); +#391=VECTOR('',#390,9.131007212849E-1); +#392=CARTESIAN_POINT('',(-1.1E0,2.12E0,-3.4E0)); +#393=LINE('',#392,#391); +#394=DIRECTION('',(0.E0,0.E0,1.E0)); +#395=VECTOR('',#394,9.131007212849E-1); +#396=CARTESIAN_POINT('',(1.1E0,2.12E0,-3.4E0)); +#397=LINE('',#396,#395); +#398=DIRECTION('',(-1.E0,0.E0,0.E0)); +#399=VECTOR('',#398,3.E-1); +#400=CARTESIAN_POINT('',(1.1E0,2.12E0,-3.4E0)); +#401=LINE('',#400,#399); +#402=CARTESIAN_POINT('',(8.E-1,1.92E0,-3.72E0)); +#403=DIRECTION('',(-1.E0,0.E0,0.E0)); +#404=DIRECTION('',(0.E0,1.E0,1.998401444325E-14)); +#405=AXIS2_PLACEMENT_3D('',#402,#403,#404); +#407=DIRECTION('',(1.E0,0.E0,0.E0)); +#408=VECTOR('',#407,1.6E0); +#409=CARTESIAN_POINT('',(-8.E-1,1.759471745473E0,-3.839292411739E0)); +#410=LINE('',#409,#408); +#411=CARTESIAN_POINT('',(-8.E-1,1.92E0,-3.72E0)); +#412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#413=DIRECTION('',(0.E0,1.E0,1.998401444325E-14)); +#414=AXIS2_PLACEMENT_3D('',#411,#412,#413); +#416=DIRECTION('',(0.E0,5.964620586969E-1,-8.026412726337E-1)); +#417=VECTOR('',#416,1.668606458954E0); +#418=CARTESIAN_POINT('',(8.E-1,7.642113018107E-1,-2.5E0)); +#419=LINE('',#418,#417); +#420=DIRECTION('',(1.E0,0.E0,0.E0)); +#421=VECTOR('',#420,1.6E0); +#422=CARTESIAN_POINT('',(-8.E-1,7.642113018107E-1,-2.5E0)); +#423=LINE('',#422,#421); +#424=DIRECTION('',(0.E0,5.964620586969E-1,-8.026412726337E-1)); +#425=VECTOR('',#424,1.668606458954E0); +#426=CARTESIAN_POINT('',(-8.E-1,7.642113018107E-1,-2.5E0)); +#427=LINE('',#426,#425); +#428=DIRECTION('',(0.E0,8.026412726337E-1,5.964620586969E-1)); +#429=VECTOR('',#428,8.E-2); +#430=CARTESIAN_POINT('',(8.E-1,7.E-1,-2.547716964696E0)); +#431=LINE('',#430,#429); +#432=DIRECTION('',(1.E0,0.E0,0.E0)); +#433=VECTOR('',#432,1.6E0); +#434=CARTESIAN_POINT('',(-8.E-1,7.E-1,-2.547716964696E0)); +#435=LINE('',#434,#433); +#436=DIRECTION('',(0.E0,8.026412726337E-1,5.964620586969E-1)); +#437=VECTOR('',#436,8.E-2); +#438=CARTESIAN_POINT('',(-8.E-1,7.E-1,-2.547716964696E0)); +#439=LINE('',#438,#437); +#440=DIRECTION('',(0.E0,-5.964620586969E-1,8.026412726337E-1)); +#441=VECTOR('',#440,1.668606458954E0); +#442=CARTESIAN_POINT('',(8.E-1,1.695260443663E0,-3.887009376435E0)); +#443=LINE('',#442,#441); +#444=DIRECTION('',(1.E0,0.E0,0.E0)); +#445=VECTOR('',#444,1.6E0); +#446=CARTESIAN_POINT('',(-8.E-1,1.695260443663E0,-3.887009376435E0)); +#447=LINE('',#446,#445); +#448=DIRECTION('',(0.E0,-5.964620586969E-1,8.026412726337E-1)); +#449=VECTOR('',#448,1.668606458954E0); +#450=CARTESIAN_POINT('',(-8.E-1,1.695260443663E0,-3.887009376435E0)); +#451=LINE('',#450,#449); +#452=DIRECTION('',(1.E0,0.E0,0.E0)); +#453=VECTOR('',#452,2.2E0); +#454=CARTESIAN_POINT('',(-1.1E0,2.12E0,-2.486899278715E0)); +#455=LINE('',#454,#453); +#456=CARTESIAN_POINT('',(-1.1E0,1.92E0,-2.486899278715E0)); +#457=DIRECTION('',(-1.E0,0.E0,0.E0)); +#458=DIRECTION('',(0.E0,8.026412726337E-1,5.964620586969E-1)); +#459=AXIS2_PLACEMENT_3D('',#456,#457,#458); +#461=CARTESIAN_POINT('',(1.1E0,1.92E0,-2.486899278715E0)); +#462=DIRECTION('',(-1.E0,0.E0,0.E0)); +#463=DIRECTION('',(0.E0,8.026412726337E-1,5.964620586969E-1)); +#464=AXIS2_PLACEMENT_3D('',#461,#462,#463); +#466=DIRECTION('',(1.E0,0.E0,0.E0)); +#467=VECTOR('',#466,2.2E0); +#468=CARTESIAN_POINT('',(-1.1E0,2.080528254527E0,-2.367606866976E0)); +#469=LINE('',#468,#467); +#470=DIRECTION('',(0.E0,-5.964620586969E-1,8.026412726337E-1)); +#471=VECTOR('',#470,2.749546221905E0); +#472=CARTESIAN_POINT('',(-1.1E0,2.080528254527E0,-2.367606866976E0)); +#473=LINE('',#472,#471); +#474=DIRECTION('',(0.E0,-5.964620586969E-1,8.026412726337E-1)); +#475=VECTOR('',#474,2.749546221905E0); +#476=CARTESIAN_POINT('',(1.1E0,2.080528254527E0,-2.367606866976E0)); +#477=LINE('',#476,#475); +#478=DIRECTION('',(1.E0,0.E0,0.E0)); +#479=VECTOR('',#478,2.2E0); +#480=CARTESIAN_POINT('',(-1.1E0,4.405282545267E-1,-1.607075882606E-1)); +#481=LINE('',#480,#479); +#482=CARTESIAN_POINT('',(-1.1E0,2.8E-1,-2.8E-1)); +#483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#484=DIRECTION('',(0.E0,-1.E0,0.E0)); +#485=AXIS2_PLACEMENT_3D('',#482,#483,#484); +#487=CARTESIAN_POINT('',(1.1E0,2.8E-1,-2.8E-1)); +#488=DIRECTION('',(-1.E0,0.E0,0.E0)); +#489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#490=AXIS2_PLACEMENT_3D('',#487,#488,#489); +#492=DIRECTION('',(0.E0,-1.E0,0.E0)); +#493=VECTOR('',#492,8.E-2); +#494=CARTESIAN_POINT('',(1.1E0,2.2E0,-3.4E0)); +#495=LINE('',#494,#493); +#496=DIRECTION('',(0.E0,1.E0,0.E0)); +#497=VECTOR('',#496,8.E-2); +#498=CARTESIAN_POINT('',(1.1E0,0.E0,-4.E-1)); +#499=LINE('',#498,#497); +#500=DIRECTION('',(1.E0,0.E0,0.E0)); +#501=VECTOR('',#500,2.2E0); +#502=CARTESIAN_POINT('',(-1.1E0,0.E0,-2.8E-1)); +#503=LINE('',#502,#501); +#504=CARTESIAN_POINT('',(-1.1E0,2.8E-1,-2.8E-1)); +#505=DIRECTION('',(-1.E0,0.E0,0.E0)); +#506=DIRECTION('',(0.E0,-1.E0,0.E0)); +#507=AXIS2_PLACEMENT_3D('',#504,#505,#506); +#509=CARTESIAN_POINT('',(1.1E0,2.8E-1,-2.8E-1)); +#510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#511=DIRECTION('',(0.E0,-1.E0,0.E0)); +#512=AXIS2_PLACEMENT_3D('',#509,#510,#511); +#514=DIRECTION('',(1.E0,0.E0,0.E0)); +#515=VECTOR('',#514,2.2E0); +#516=CARTESIAN_POINT('',(-1.1E0,5.047395563374E-1,-1.129906235649E-1)); +#517=LINE('',#516,#515); +#518=DIRECTION('',(0.E0,5.964620586969E-1,-8.026412726337E-1)); +#519=VECTOR('',#518,2.749546221905E0); +#520=CARTESIAN_POINT('',(-1.1E0,5.047395563374E-1,-1.129906235649E-1)); +#521=LINE('',#520,#519); +#522=DIRECTION('',(0.E0,5.964620586969E-1,-8.026412726337E-1)); +#523=VECTOR('',#522,2.749546221905E0); +#524=CARTESIAN_POINT('',(1.1E0,5.047395563374E-1,-1.129906235649E-1)); +#525=LINE('',#524,#523); +#526=DIRECTION('',(1.E0,0.E0,0.E0)); +#527=VECTOR('',#526,2.2E0); +#528=CARTESIAN_POINT('',(-1.1E0,2.144739556337E0,-2.319889902280E0)); +#529=LINE('',#528,#527); +#530=CARTESIAN_POINT('',(-1.1E0,1.92E0,-2.486899278715E0)); +#531=DIRECTION('',(-1.E0,0.E0,0.E0)); +#532=DIRECTION('',(0.E0,8.026412726337E-1,5.964620586970E-1)); +#533=AXIS2_PLACEMENT_3D('',#530,#531,#532); +#535=CARTESIAN_POINT('',(1.1E0,1.92E0,-2.486899278715E0)); +#536=DIRECTION('',(-1.E0,0.E0,0.E0)); +#537=DIRECTION('',(0.E0,8.026412726337E-1,5.964620586970E-1)); +#538=AXIS2_PLACEMENT_3D('',#535,#536,#537); +#540=CARTESIAN_POINT('',(-1.3E0,0.E0,-3.7E0)); +#541=CARTESIAN_POINT('',(-1.3E0,8.E-2,-3.7E0)); +#542=VERTEX_POINT('',#540); +#543=VERTEX_POINT('',#541); +#544=CARTESIAN_POINT('',(-1.3E0,0.E0,-1.7E0)); +#545=CARTESIAN_POINT('',(-1.3E0,8.E-2,-1.7E0)); +#546=VERTEX_POINT('',#544); +#547=VERTEX_POINT('',#545); +#548=CARTESIAN_POINT('',(-1.3E0,0.E0,-4.3E0)); +#549=CARTESIAN_POINT('',(-1.3E0,8.E-2,-4.3E0)); +#550=VERTEX_POINT('',#548); +#551=VERTEX_POINT('',#549); +#552=CARTESIAN_POINT('',(1.3E0,0.E0,-1.7E0)); +#553=CARTESIAN_POINT('',(1.3E0,8.E-2,-1.7E0)); +#554=VERTEX_POINT('',#552); +#555=VERTEX_POINT('',#553); +#556=CARTESIAN_POINT('',(1.3E0,0.E0,-3.7E0)); +#557=CARTESIAN_POINT('',(1.3E0,8.E-2,-3.7E0)); +#558=VERTEX_POINT('',#556); +#559=VERTEX_POINT('',#557); +#560=CARTESIAN_POINT('',(1.3E0,0.E0,-4.3E0)); +#561=CARTESIAN_POINT('',(1.3E0,8.E-2,-4.3E0)); +#562=VERTEX_POINT('',#560); +#563=VERTEX_POINT('',#561); +#564=CARTESIAN_POINT('',(-1.1E0,8.E-2,-3.5E0)); +#565=VERTEX_POINT('',#564); +#566=CARTESIAN_POINT('',(-1.1E0,8.E-2,-1.9E0)); +#567=VERTEX_POINT('',#566); +#568=CARTESIAN_POINT('',(-1.1E0,8.E-2,-4.5E0)); +#569=VERTEX_POINT('',#568); +#570=CARTESIAN_POINT('',(8.E-1,8.E-2,-4.5E0)); +#571=CARTESIAN_POINT('',(6.E-1,8.E-2,-4.3E0)); +#572=VERTEX_POINT('',#570); +#573=VERTEX_POINT('',#571); +#574=CARTESIAN_POINT('',(-6.E-1,8.E-2,-4.3E0)); +#575=CARTESIAN_POINT('',(-8.E-1,8.E-2,-4.5E0)); +#576=VERTEX_POINT('',#574); +#577=VERTEX_POINT('',#575); +#578=CARTESIAN_POINT('',(1.1E0,8.E-2,-1.9E0)); +#579=VERTEX_POINT('',#578); +#580=CARTESIAN_POINT('',(1.1E0,8.E-2,-3.5E0)); +#581=VERTEX_POINT('',#580); +#582=CARTESIAN_POINT('',(1.1E0,8.E-2,-4.5E0)); +#583=VERTEX_POINT('',#582); +#584=CARTESIAN_POINT('',(-1.1E0,0.E0,-4.5E0)); +#585=VERTEX_POINT('',#584); +#586=CARTESIAN_POINT('',(8.E-1,0.E0,-4.5E0)); +#587=VERTEX_POINT('',#586); +#588=CARTESIAN_POINT('',(-8.E-1,0.E0,-4.5E0)); +#589=VERTEX_POINT('',#588); +#590=CARTESIAN_POINT('',(1.1E0,0.E0,-4.5E0)); +#591=VERTEX_POINT('',#590); +#592=CARTESIAN_POINT('',(-1.1E0,0.E0,-3.5E0)); +#593=VERTEX_POINT('',#592); +#594=CARTESIAN_POINT('',(-1.1E0,0.E0,-1.9E0)); +#595=VERTEX_POINT('',#594); +#596=CARTESIAN_POINT('',(6.E-1,0.E0,-4.3E0)); +#597=VERTEX_POINT('',#596); +#598=CARTESIAN_POINT('',(-6.E-1,0.E0,-4.3E0)); +#599=VERTEX_POINT('',#598); +#600=CARTESIAN_POINT('',(1.1E0,0.E0,-1.9E0)); +#601=VERTEX_POINT('',#600); +#602=CARTESIAN_POINT('',(1.1E0,0.E0,-3.5E0)); +#603=VERTEX_POINT('',#602); +#604=CARTESIAN_POINT('',(-1.3E0,8.E-2,-4.E-1)); +#605=VERTEX_POINT('',#604); +#606=CARTESIAN_POINT('',(-1.3E0,0.E0,-4.E-1)); +#607=VERTEX_POINT('',#606); +#608=CARTESIAN_POINT('',(1.3E0,8.E-2,-4.E-1)); +#609=VERTEX_POINT('',#608); +#610=CARTESIAN_POINT('',(1.3E0,0.E0,-4.E-1)); +#611=VERTEX_POINT('',#610); +#612=CARTESIAN_POINT('',(-1.1E0,0.E0,-2.8E-1)); +#613=CARTESIAN_POINT('',(1.1E0,0.E0,-2.8E-1)); +#614=VERTEX_POINT('',#612); +#615=VERTEX_POINT('',#613); +#616=CARTESIAN_POINT('',(-1.1E0,5.047395563374E-1,-1.129906235649E-1)); +#617=CARTESIAN_POINT('',(1.1E0,5.047395563374E-1,-1.129906235649E-1)); +#618=VERTEX_POINT('',#616); +#619=VERTEX_POINT('',#617); +#620=CARTESIAN_POINT('',(-1.1E0,2.144739556337E0,-2.319889902280E0)); +#621=CARTESIAN_POINT('',(1.1E0,2.144739556337E0,-2.319889902280E0)); +#622=VERTEX_POINT('',#620); +#623=VERTEX_POINT('',#621); +#624=CARTESIAN_POINT('',(-1.1E0,2.2E0,-2.486899278715E0)); +#625=CARTESIAN_POINT('',(1.1E0,2.2E0,-2.486899278715E0)); +#626=VERTEX_POINT('',#624); +#627=VERTEX_POINT('',#625); +#628=CARTESIAN_POINT('',(-1.1E0,2.12E0,-2.486899278715E0)); +#629=CARTESIAN_POINT('',(1.1E0,2.12E0,-2.486899278715E0)); +#630=VERTEX_POINT('',#628); +#631=VERTEX_POINT('',#629); +#632=CARTESIAN_POINT('',(-1.1E0,2.080528254527E0,-2.367606866976E0)); +#633=CARTESIAN_POINT('',(1.1E0,2.080528254527E0,-2.367606866976E0)); +#634=VERTEX_POINT('',#632); +#635=VERTEX_POINT('',#633); +#636=CARTESIAN_POINT('',(-1.1E0,4.405282545267E-1,-1.607075882606E-1)); +#637=CARTESIAN_POINT('',(1.1E0,4.405282545267E-1,-1.607075882606E-1)); +#638=VERTEX_POINT('',#636); +#639=VERTEX_POINT('',#637); +#640=CARTESIAN_POINT('',(-1.1E0,8.E-2,-2.8E-1)); +#641=CARTESIAN_POINT('',(1.1E0,8.E-2,-2.8E-1)); +#642=VERTEX_POINT('',#640); +#643=VERTEX_POINT('',#641); +#644=CARTESIAN_POINT('',(-1.1E0,8.E-2,-4.E-1)); +#645=VERTEX_POINT('',#644); +#646=CARTESIAN_POINT('',(-1.1E0,0.E0,-4.E-1)); +#647=VERTEX_POINT('',#646); +#648=CARTESIAN_POINT('',(1.1E0,8.E-2,-4.E-1)); +#649=VERTEX_POINT('',#648); +#650=CARTESIAN_POINT('',(1.1E0,0.E0,-4.E-1)); +#651=VERTEX_POINT('',#650); +#652=CARTESIAN_POINT('',(-8.E-1,2.2E0,-3.72E0)); +#653=CARTESIAN_POINT('',(-8.E-1,2.2E0,-3.4E0)); +#654=VERTEX_POINT('',#652); +#655=VERTEX_POINT('',#653); +#656=CARTESIAN_POINT('',(-1.1E0,2.2E0,-3.4E0)); +#657=VERTEX_POINT('',#656); +#658=CARTESIAN_POINT('',(-8.E-1,1.695260443663E0,-3.887009376435E0)); +#659=VERTEX_POINT('',#658); +#660=CARTESIAN_POINT('',(-8.E-1,7.E-1,-2.547716964696E0)); +#661=VERTEX_POINT('',#660); +#662=CARTESIAN_POINT('',(-8.E-1,7.642113018107E-1,-2.5E0)); +#663=VERTEX_POINT('',#662); +#664=CARTESIAN_POINT('',(-8.E-1,1.759471745473E0,-3.839292411739E0)); +#665=VERTEX_POINT('',#664); +#666=CARTESIAN_POINT('',(-8.E-1,2.12E0,-3.72E0)); +#667=VERTEX_POINT('',#666); +#668=CARTESIAN_POINT('',(-8.E-1,2.12E0,-3.4E0)); +#669=VERTEX_POINT('',#668); +#670=CARTESIAN_POINT('',(-1.1E0,2.12E0,-3.4E0)); +#671=VERTEX_POINT('',#670); +#672=CARTESIAN_POINT('',(8.E-1,2.2E0,-3.72E0)); +#673=CARTESIAN_POINT('',(8.E-1,2.2E0,-3.4E0)); +#674=VERTEX_POINT('',#672); +#675=VERTEX_POINT('',#673); +#676=CARTESIAN_POINT('',(1.1E0,2.2E0,-3.4E0)); +#677=VERTEX_POINT('',#676); +#678=CARTESIAN_POINT('',(8.E-1,1.695260443663E0,-3.887009376435E0)); +#679=VERTEX_POINT('',#678); +#680=CARTESIAN_POINT('',(8.E-1,7.E-1,-2.547716964696E0)); +#681=VERTEX_POINT('',#680); +#682=CARTESIAN_POINT('',(8.E-1,7.642113018107E-1,-2.5E0)); +#683=VERTEX_POINT('',#682); +#684=CARTESIAN_POINT('',(8.E-1,1.759471745473E0,-3.839292411739E0)); +#685=VERTEX_POINT('',#684); +#686=CARTESIAN_POINT('',(8.E-1,2.12E0,-3.72E0)); +#687=VERTEX_POINT('',#686); +#688=CARTESIAN_POINT('',(8.E-1,2.12E0,-3.4E0)); +#689=VERTEX_POINT('',#688); +#690=CARTESIAN_POINT('',(1.1E0,2.12E0,-3.4E0)); +#691=VERTEX_POINT('',#690); +#692=CARTESIAN_POINT('',(-1.3E0,0.E0,0.E0)); +#693=DIRECTION('',(-1.E0,0.E0,0.E0)); +#694=DIRECTION('',(0.E0,0.E0,1.E0)); +#695=AXIS2_PLACEMENT_3D('',#692,#693,#694); +#696=PLANE('',#695); +#698=ORIENTED_EDGE('',*,*,#697,.F.); +#700=ORIENTED_EDGE('',*,*,#699,.F.); +#702=ORIENTED_EDGE('',*,*,#701,.T.); +#704=ORIENTED_EDGE('',*,*,#703,.F.); +#705=EDGE_LOOP('',(#698,#700,#702,#704)); +#706=FACE_OUTER_BOUND('',#705,.F.); +#707=ADVANCED_FACE('',(#706),#696,.T.); +#708=CARTESIAN_POINT('',(-1.3E0,0.E0,0.E0)); +#709=DIRECTION('',(-1.E0,0.E0,0.E0)); +#710=DIRECTION('',(0.E0,0.E0,1.E0)); +#711=AXIS2_PLACEMENT_3D('',#708,#709,#710); +#712=PLANE('',#711); +#714=ORIENTED_EDGE('',*,*,#713,.F.); +#716=ORIENTED_EDGE('',*,*,#715,.F.); +#718=ORIENTED_EDGE('',*,*,#717,.F.); +#720=ORIENTED_EDGE('',*,*,#719,.T.); +#721=EDGE_LOOP('',(#714,#716,#718,#720)); +#722=FACE_OUTER_BOUND('',#721,.F.); +#723=ADVANCED_FACE('',(#722),#712,.T.); +#724=CARTESIAN_POINT('',(-1.3E0,0.E0,-3.7E0)); +#725=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#726=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#727=AXIS2_PLACEMENT_3D('',#724,#725,#726); +#728=PLANE('',#727); +#729=ORIENTED_EDGE('',*,*,#697,.T.); +#731=ORIENTED_EDGE('',*,*,#730,.T.); +#733=ORIENTED_EDGE('',*,*,#732,.F.); +#735=ORIENTED_EDGE('',*,*,#734,.F.); +#736=EDGE_LOOP('',(#729,#731,#733,#735)); +#737=FACE_OUTER_BOUND('',#736,.F.); +#738=ADVANCED_FACE('',(#737),#728,.F.); +#739=CARTESIAN_POINT('',(-1.3E0,8.E-2,-2.8E-1)); +#740=DIRECTION('',(0.E0,1.E0,0.E0)); +#741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#742=AXIS2_PLACEMENT_3D('',#739,#740,#741); +#743=PLANE('',#742); +#745=ORIENTED_EDGE('',*,*,#744,.F.); +#747=ORIENTED_EDGE('',*,*,#746,.T.); +#749=ORIENTED_EDGE('',*,*,#748,.F.); +#751=ORIENTED_EDGE('',*,*,#750,.F.); +#753=ORIENTED_EDGE('',*,*,#752,.T.); +#755=ORIENTED_EDGE('',*,*,#754,.F.); +#756=ORIENTED_EDGE('',*,*,#713,.T.); +#758=ORIENTED_EDGE('',*,*,#757,.F.); +#760=ORIENTED_EDGE('',*,*,#759,.F.); +#761=ORIENTED_EDGE('',*,*,#730,.F.); +#762=ORIENTED_EDGE('',*,*,#703,.T.); +#764=ORIENTED_EDGE('',*,*,#763,.F.); +#766=ORIENTED_EDGE('',*,*,#765,.T.); +#768=ORIENTED_EDGE('',*,*,#767,.F.); +#770=ORIENTED_EDGE('',*,*,#769,.F.); +#772=ORIENTED_EDGE('',*,*,#771,.F.); +#774=ORIENTED_EDGE('',*,*,#773,.T.); +#776=ORIENTED_EDGE('',*,*,#775,.F.); +#778=ORIENTED_EDGE('',*,*,#777,.F.); +#780=ORIENTED_EDGE('',*,*,#779,.F.); +#782=ORIENTED_EDGE('',*,*,#781,.F.); +#784=ORIENTED_EDGE('',*,*,#783,.F.); +#785=EDGE_LOOP('',(#745,#747,#749,#751,#753,#755,#756,#758,#760,#761,#762,#764, +#766,#768,#770,#772,#774,#776,#778,#780,#782,#784)); +#786=FACE_OUTER_BOUND('',#785,.F.); +#787=ADVANCED_FACE('',(#786),#743,.T.); +#788=CARTESIAN_POINT('',(1.3E0,0.E0,0.E0)); +#789=DIRECTION('',(-1.E0,0.E0,0.E0)); +#790=DIRECTION('',(0.E0,0.E0,1.E0)); +#791=AXIS2_PLACEMENT_3D('',#788,#789,#790); +#792=PLANE('',#791); +#794=ORIENTED_EDGE('',*,*,#793,.T.); +#795=ORIENTED_EDGE('',*,*,#777,.T.); +#797=ORIENTED_EDGE('',*,*,#796,.F.); +#799=ORIENTED_EDGE('',*,*,#798,.T.); +#800=EDGE_LOOP('',(#794,#795,#797,#799)); +#801=FACE_OUTER_BOUND('',#800,.F.); +#802=ADVANCED_FACE('',(#801),#792,.F.); +#803=CARTESIAN_POINT('',(1.3E0,0.E0,0.E0)); +#804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#805=DIRECTION('',(0.E0,0.E0,1.E0)); +#806=AXIS2_PLACEMENT_3D('',#803,#804,#805); +#807=PLANE('',#806); +#808=ORIENTED_EDGE('',*,*,#744,.T.); +#810=ORIENTED_EDGE('',*,*,#809,.F.); +#812=ORIENTED_EDGE('',*,*,#811,.T.); +#814=ORIENTED_EDGE('',*,*,#813,.T.); +#815=EDGE_LOOP('',(#808,#810,#812,#814)); +#816=FACE_OUTER_BOUND('',#815,.F.); +#817=ADVANCED_FACE('',(#816),#807,.F.); +#818=CARTESIAN_POINT('',(1.1E0,0.E0,-3.5E0)); +#819=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#820=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#821=AXIS2_PLACEMENT_3D('',#818,#819,#820); +#822=PLANE('',#821); +#823=ORIENTED_EDGE('',*,*,#793,.F.); +#825=ORIENTED_EDGE('',*,*,#824,.F.); +#827=ORIENTED_EDGE('',*,*,#826,.T.); +#828=ORIENTED_EDGE('',*,*,#779,.T.); +#829=EDGE_LOOP('',(#823,#825,#827,#828)); +#830=FACE_OUTER_BOUND('',#829,.F.); +#831=ADVANCED_FACE('',(#830),#822,.F.); +#832=CARTESIAN_POINT('',(-1.3E0,0.E0,-4.5E0)); +#833=DIRECTION('',(0.E0,-1.E0,0.E0)); +#834=DIRECTION('',(0.E0,0.E0,1.E0)); +#835=AXIS2_PLACEMENT_3D('',#832,#833,#834); +#836=PLANE('',#835); +#837=ORIENTED_EDGE('',*,*,#811,.F.); +#839=ORIENTED_EDGE('',*,*,#838,.T.); +#841=ORIENTED_EDGE('',*,*,#840,.T.); +#842=ORIENTED_EDGE('',*,*,#824,.T.); +#843=ORIENTED_EDGE('',*,*,#798,.F.); +#845=ORIENTED_EDGE('',*,*,#844,.T.); +#847=ORIENTED_EDGE('',*,*,#846,.F.); +#849=ORIENTED_EDGE('',*,*,#848,.T.); +#851=ORIENTED_EDGE('',*,*,#850,.F.); +#853=ORIENTED_EDGE('',*,*,#852,.T.); +#855=ORIENTED_EDGE('',*,*,#854,.F.); +#857=ORIENTED_EDGE('',*,*,#856,.T.); +#858=ORIENTED_EDGE('',*,*,#699,.T.); +#859=ORIENTED_EDGE('',*,*,#734,.T.); +#861=ORIENTED_EDGE('',*,*,#860,.T.); +#863=ORIENTED_EDGE('',*,*,#862,.T.); +#864=ORIENTED_EDGE('',*,*,#717,.T.); +#866=ORIENTED_EDGE('',*,*,#865,.T.); +#868=ORIENTED_EDGE('',*,*,#867,.F.); +#870=ORIENTED_EDGE('',*,*,#869,.T.); +#872=ORIENTED_EDGE('',*,*,#871,.T.); +#874=ORIENTED_EDGE('',*,*,#873,.F.); +#875=EDGE_LOOP('',(#837,#839,#841,#842,#843,#845,#847,#849,#851,#853,#855,#857, +#858,#859,#861,#863,#864,#866,#868,#870,#872,#874)); +#876=FACE_OUTER_BOUND('',#875,.F.); +#877=ADVANCED_FACE('',(#876),#836,.T.); +#878=CARTESIAN_POINT('',(1.3E0,0.E0,-1.7E0)); +#879=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#880=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#881=AXIS2_PLACEMENT_3D('',#878,#879,#880); +#882=PLANE('',#881); +#883=ORIENTED_EDGE('',*,*,#809,.T.); +#884=ORIENTED_EDGE('',*,*,#783,.T.); +#886=ORIENTED_EDGE('',*,*,#885,.F.); +#887=ORIENTED_EDGE('',*,*,#838,.F.); +#888=EDGE_LOOP('',(#883,#884,#886,#887)); +#889=FACE_OUTER_BOUND('',#888,.F.); +#890=ADVANCED_FACE('',(#889),#882,.F.); +#891=CARTESIAN_POINT('',(1.1E0,0.E0,-1.9E0)); +#892=DIRECTION('',(-1.E0,0.E0,0.E0)); +#893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#894=AXIS2_PLACEMENT_3D('',#891,#892,#893); +#895=PLANE('',#894); +#896=ORIENTED_EDGE('',*,*,#781,.T.); +#897=ORIENTED_EDGE('',*,*,#826,.F.); +#898=ORIENTED_EDGE('',*,*,#840,.F.); +#899=ORIENTED_EDGE('',*,*,#885,.T.); +#900=EDGE_LOOP('',(#896,#897,#898,#899)); +#901=FACE_OUTER_BOUND('',#900,.F.); +#902=ADVANCED_FACE('',(#901),#895,.F.); +#903=CARTESIAN_POINT('',(1.3E0,0.E0,-4.3E0)); +#904=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#905=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#906=AXIS2_PLACEMENT_3D('',#903,#904,#905); +#907=PLANE('',#906); +#908=ORIENTED_EDGE('',*,*,#796,.T.); +#909=ORIENTED_EDGE('',*,*,#775,.T.); +#911=ORIENTED_EDGE('',*,*,#910,.T.); +#912=ORIENTED_EDGE('',*,*,#844,.F.); +#913=EDGE_LOOP('',(#908,#909,#911,#912)); +#914=FACE_OUTER_BOUND('',#913,.F.); +#915=ADVANCED_FACE('',(#914),#907,.F.); +#916=CARTESIAN_POINT('',(-1.3E0,8.E-2,-4.5E0)); +#917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#919=AXIS2_PLACEMENT_3D('',#916,#917,#918); +#920=PLANE('',#919); +#922=ORIENTED_EDGE('',*,*,#921,.T.); +#923=ORIENTED_EDGE('',*,*,#854,.T.); +#925=ORIENTED_EDGE('',*,*,#924,.F.); +#926=ORIENTED_EDGE('',*,*,#765,.F.); +#927=EDGE_LOOP('',(#922,#923,#925,#926)); +#928=FACE_OUTER_BOUND('',#927,.F.); +#929=ADVANCED_FACE('',(#928),#920,.T.); +#930=CARTESIAN_POINT('',(-1.3E0,8.E-2,-4.5E0)); +#931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#932=DIRECTION('',(0.E0,-1.E0,0.E0)); +#933=AXIS2_PLACEMENT_3D('',#930,#931,#932); +#934=PLANE('',#933); +#936=ORIENTED_EDGE('',*,*,#935,.T.); +#937=ORIENTED_EDGE('',*,*,#846,.T.); +#938=ORIENTED_EDGE('',*,*,#910,.F.); +#939=ORIENTED_EDGE('',*,*,#773,.F.); +#940=EDGE_LOOP('',(#936,#937,#938,#939)); +#941=FACE_OUTER_BOUND('',#940,.F.); +#942=ADVANCED_FACE('',(#941),#934,.T.); +#943=CARTESIAN_POINT('',(-1.1E0,0.E0,-4.5E0)); +#944=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#945=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#946=AXIS2_PLACEMENT_3D('',#943,#944,#945); +#947=PLANE('',#946); +#948=ORIENTED_EDGE('',*,*,#701,.F.); +#949=ORIENTED_EDGE('',*,*,#856,.F.); +#950=ORIENTED_EDGE('',*,*,#921,.F.); +#951=ORIENTED_EDGE('',*,*,#763,.T.); +#952=EDGE_LOOP('',(#948,#949,#950,#951)); +#953=FACE_OUTER_BOUND('',#952,.F.); +#954=ADVANCED_FACE('',(#953),#947,.F.); +#955=CARTESIAN_POINT('',(-6.E-1,0.E0,-4.3E0)); +#956=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#957=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#958=AXIS2_PLACEMENT_3D('',#955,#956,#957); +#959=PLANE('',#958); +#960=ORIENTED_EDGE('',*,*,#767,.T.); +#961=ORIENTED_EDGE('',*,*,#924,.T.); +#962=ORIENTED_EDGE('',*,*,#852,.F.); +#964=ORIENTED_EDGE('',*,*,#963,.T.); +#965=EDGE_LOOP('',(#960,#961,#962,#964)); +#966=FACE_OUTER_BOUND('',#965,.F.); +#967=ADVANCED_FACE('',(#966),#959,.F.); +#968=CARTESIAN_POINT('',(6.E-1,0.E0,-4.3E0)); +#969=DIRECTION('',(0.E0,0.E0,1.E0)); +#970=DIRECTION('',(-1.E0,0.E0,0.E0)); +#971=AXIS2_PLACEMENT_3D('',#968,#969,#970); +#972=PLANE('',#971); +#973=ORIENTED_EDGE('',*,*,#769,.T.); +#974=ORIENTED_EDGE('',*,*,#963,.F.); +#975=ORIENTED_EDGE('',*,*,#850,.T.); +#977=ORIENTED_EDGE('',*,*,#976,.T.); +#978=EDGE_LOOP('',(#973,#974,#975,#977)); +#979=FACE_OUTER_BOUND('',#978,.F.); +#980=ADVANCED_FACE('',(#979),#972,.F.); +#981=CARTESIAN_POINT('',(8.E-1,0.E0,-4.5E0)); +#982=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#983=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#984=AXIS2_PLACEMENT_3D('',#981,#982,#983); +#985=PLANE('',#984); +#986=ORIENTED_EDGE('',*,*,#771,.T.); +#987=ORIENTED_EDGE('',*,*,#976,.F.); +#988=ORIENTED_EDGE('',*,*,#848,.F.); +#989=ORIENTED_EDGE('',*,*,#935,.F.); +#990=EDGE_LOOP('',(#986,#987,#988,#989)); +#991=FACE_OUTER_BOUND('',#990,.F.); +#992=ADVANCED_FACE('',(#991),#985,.F.); +#993=CARTESIAN_POINT('',(-1.1E0,0.E0,-3.5E0)); +#994=DIRECTION('',(1.E0,0.E0,0.E0)); +#995=DIRECTION('',(0.E0,0.E0,1.E0)); +#996=AXIS2_PLACEMENT_3D('',#993,#994,#995); +#997=PLANE('',#996); +#998=ORIENTED_EDGE('',*,*,#759,.T.); +#1000=ORIENTED_EDGE('',*,*,#999,.F.); +#1001=ORIENTED_EDGE('',*,*,#860,.F.); +#1002=ORIENTED_EDGE('',*,*,#732,.T.); +#1003=EDGE_LOOP('',(#998,#1000,#1001,#1002)); +#1004=FACE_OUTER_BOUND('',#1003,.F.); +#1005=ADVANCED_FACE('',(#1004),#997,.F.); +#1006=CARTESIAN_POINT('',(-1.1E0,0.E0,-1.9E0)); +#1007=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#1008=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#1009=AXIS2_PLACEMENT_3D('',#1006,#1007,#1008); +#1010=PLANE('',#1009); +#1011=ORIENTED_EDGE('',*,*,#719,.F.); +#1012=ORIENTED_EDGE('',*,*,#862,.F.); +#1013=ORIENTED_EDGE('',*,*,#999,.T.); +#1014=ORIENTED_EDGE('',*,*,#757,.T.); +#1015=EDGE_LOOP('',(#1011,#1012,#1013,#1014)); +#1016=FACE_OUTER_BOUND('',#1015,.F.); +#1017=ADVANCED_FACE('',(#1016),#1010,.F.); +#1018=CARTESIAN_POINT('',(-1.3E0,8.E-2,-4.E-1)); +#1019=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1021=AXIS2_PLACEMENT_3D('',#1018,#1019,#1020); +#1022=PLANE('',#1021); +#1023=ORIENTED_EDGE('',*,*,#715,.T.); +#1024=ORIENTED_EDGE('',*,*,#754,.T.); +#1026=ORIENTED_EDGE('',*,*,#1025,.T.); +#1027=ORIENTED_EDGE('',*,*,#865,.F.); +#1028=EDGE_LOOP('',(#1023,#1024,#1026,#1027)); +#1029=FACE_OUTER_BOUND('',#1028,.F.); +#1030=ADVANCED_FACE('',(#1029),#1022,.F.); +#1031=CARTESIAN_POINT('',(-1.1E0,0.E0,-3.5E0)); +#1032=DIRECTION('',(1.E0,0.E0,0.E0)); +#1033=DIRECTION('',(0.E0,0.E0,1.E0)); +#1034=AXIS2_PLACEMENT_3D('',#1031,#1032,#1033); +#1035=PLANE('',#1034); +#1037=ORIENTED_EDGE('',*,*,#1036,.F.); +#1039=ORIENTED_EDGE('',*,*,#1038,.F.); +#1041=ORIENTED_EDGE('',*,*,#1040,.F.); +#1043=ORIENTED_EDGE('',*,*,#1042,.F.); +#1045=ORIENTED_EDGE('',*,*,#1044,.F.); +#1046=ORIENTED_EDGE('',*,*,#867,.T.); +#1047=ORIENTED_EDGE('',*,*,#1025,.F.); +#1048=ORIENTED_EDGE('',*,*,#752,.F.); +#1050=ORIENTED_EDGE('',*,*,#1049,.T.); +#1052=ORIENTED_EDGE('',*,*,#1051,.F.); +#1054=ORIENTED_EDGE('',*,*,#1053,.T.); +#1056=ORIENTED_EDGE('',*,*,#1055,.F.); +#1057=EDGE_LOOP('',(#1037,#1039,#1041,#1043,#1045,#1046,#1047,#1048,#1050,#1052, +#1054,#1056)); +#1058=FACE_OUTER_BOUND('',#1057,.F.); +#1059=ADVANCED_FACE('',(#1058),#1035,.F.); +#1060=CARTESIAN_POINT('',(-1.1E0,2.12E0,-3.4E0)); +#1061=DIRECTION('',(0.E0,0.E0,1.E0)); +#1062=DIRECTION('',(0.E0,1.E0,0.E0)); +#1063=AXIS2_PLACEMENT_3D('',#1060,#1061,#1062); +#1064=PLANE('',#1063); +#1066=ORIENTED_EDGE('',*,*,#1065,.F.); +#1067=ORIENTED_EDGE('',*,*,#1036,.T.); +#1069=ORIENTED_EDGE('',*,*,#1068,.T.); +#1071=ORIENTED_EDGE('',*,*,#1070,.T.); +#1072=EDGE_LOOP('',(#1066,#1067,#1069,#1071)); +#1073=FACE_OUTER_BOUND('',#1072,.F.); +#1074=ADVANCED_FACE('',(#1073),#1064,.F.); +#1075=CARTESIAN_POINT('',(-1.3E0,2.2E0,-2.486899278715E0)); +#1076=DIRECTION('',(0.E0,1.E0,0.E0)); +#1077=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1078=AXIS2_PLACEMENT_3D('',#1075,#1076,#1077); +#1079=PLANE('',#1078); +#1081=ORIENTED_EDGE('',*,*,#1080,.T.); +#1083=ORIENTED_EDGE('',*,*,#1082,.F.); +#1085=ORIENTED_EDGE('',*,*,#1084,.F.); +#1087=ORIENTED_EDGE('',*,*,#1086,.F.); +#1088=ORIENTED_EDGE('',*,*,#1038,.T.); +#1089=ORIENTED_EDGE('',*,*,#1065,.T.); +#1091=ORIENTED_EDGE('',*,*,#1090,.F.); +#1093=ORIENTED_EDGE('',*,*,#1092,.T.); +#1094=EDGE_LOOP('',(#1081,#1083,#1085,#1087,#1088,#1089,#1091,#1093)); +#1095=FACE_OUTER_BOUND('',#1094,.F.); +#1096=ADVANCED_FACE('',(#1095),#1079,.T.); +#1097=CARTESIAN_POINT('',(8.E-1,2.2E0,-2.486899278715E0)); +#1098=DIRECTION('',(1.E0,0.E0,0.E0)); +#1099=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1100=AXIS2_PLACEMENT_3D('',#1097,#1098,#1099); +#1101=PLANE('',#1100); +#1102=ORIENTED_EDGE('',*,*,#1080,.F.); +#1104=ORIENTED_EDGE('',*,*,#1103,.T.); +#1106=ORIENTED_EDGE('',*,*,#1105,.T.); +#1108=ORIENTED_EDGE('',*,*,#1107,.T.); +#1110=ORIENTED_EDGE('',*,*,#1109,.T.); +#1112=ORIENTED_EDGE('',*,*,#1111,.F.); +#1114=ORIENTED_EDGE('',*,*,#1113,.T.); +#1116=ORIENTED_EDGE('',*,*,#1115,.F.); +#1117=EDGE_LOOP('',(#1102,#1104,#1106,#1108,#1110,#1112,#1114,#1116)); +#1118=FACE_OUTER_BOUND('',#1117,.F.); +#1119=ADVANCED_FACE('',(#1118),#1101,.T.); +#1120=CARTESIAN_POINT('',(-1.3E0,1.92E0,-3.72E0)); +#1121=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1122=DIRECTION('',(0.E0,0.E0,1.E0)); +#1123=AXIS2_PLACEMENT_3D('',#1120,#1121,#1122); +#1124=CYLINDRICAL_SURFACE('',#1123,2.8E-1); +#1125=ORIENTED_EDGE('',*,*,#1103,.F.); +#1126=ORIENTED_EDGE('',*,*,#1092,.F.); +#1128=ORIENTED_EDGE('',*,*,#1127,.T.); +#1130=ORIENTED_EDGE('',*,*,#1129,.T.); +#1131=EDGE_LOOP('',(#1125,#1126,#1128,#1130)); +#1132=FACE_OUTER_BOUND('',#1131,.F.); +#1133=ADVANCED_FACE('',(#1132),#1124,.T.); +#1134=CARTESIAN_POINT('',(-8.E-1,2.2E0,-2.486899278715E0)); +#1135=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1136=DIRECTION('',(0.E0,0.E0,1.E0)); +#1137=AXIS2_PLACEMENT_3D('',#1134,#1135,#1136); +#1138=PLANE('',#1137); +#1139=ORIENTED_EDGE('',*,*,#1090,.T.); +#1140=ORIENTED_EDGE('',*,*,#1070,.F.); +#1142=ORIENTED_EDGE('',*,*,#1141,.F.); +#1144=ORIENTED_EDGE('',*,*,#1143,.T.); +#1146=ORIENTED_EDGE('',*,*,#1145,.F.); +#1148=ORIENTED_EDGE('',*,*,#1147,.F.); +#1150=ORIENTED_EDGE('',*,*,#1149,.F.); +#1151=ORIENTED_EDGE('',*,*,#1127,.F.); +#1152=EDGE_LOOP('',(#1139,#1140,#1142,#1144,#1146,#1148,#1150,#1151)); +#1153=FACE_OUTER_BOUND('',#1152,.F.); +#1154=ADVANCED_FACE('',(#1153),#1138,.T.); +#1155=CARTESIAN_POINT('',(-1.3E0,2.12E0,-3.72E0)); +#1156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1157=DIRECTION('',(0.E0,0.E0,1.E0)); +#1158=AXIS2_PLACEMENT_3D('',#1155,#1156,#1157); +#1159=PLANE('',#1158); +#1160=ORIENTED_EDGE('',*,*,#1113,.F.); +#1162=ORIENTED_EDGE('',*,*,#1161,.F.); +#1163=ORIENTED_EDGE('',*,*,#1141,.T.); +#1164=ORIENTED_EDGE('',*,*,#1068,.F.); +#1165=ORIENTED_EDGE('',*,*,#1055,.T.); +#1167=ORIENTED_EDGE('',*,*,#1166,.T.); +#1169=ORIENTED_EDGE('',*,*,#1168,.F.); +#1171=ORIENTED_EDGE('',*,*,#1170,.T.); +#1172=EDGE_LOOP('',(#1160,#1162,#1163,#1164,#1165,#1167,#1169,#1171)); +#1173=FACE_OUTER_BOUND('',#1172,.F.); +#1174=ADVANCED_FACE('',(#1173),#1159,.T.); +#1175=CARTESIAN_POINT('',(-1.3E0,1.92E0,-3.72E0)); +#1176=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1177=DIRECTION('',(0.E0,0.E0,1.E0)); +#1178=AXIS2_PLACEMENT_3D('',#1175,#1176,#1177); +#1179=CYLINDRICAL_SURFACE('',#1178,2.E-1); +#1180=ORIENTED_EDGE('',*,*,#1111,.T.); +#1182=ORIENTED_EDGE('',*,*,#1181,.F.); +#1183=ORIENTED_EDGE('',*,*,#1143,.F.); +#1184=ORIENTED_EDGE('',*,*,#1161,.T.); +#1185=EDGE_LOOP('',(#1180,#1182,#1183,#1184)); +#1186=FACE_OUTER_BOUND('',#1185,.F.); +#1187=ADVANCED_FACE('',(#1186),#1179,.F.); +#1188=CARTESIAN_POINT('',(-1.3E0,7.642113018107E-1,-2.5E0)); +#1189=DIRECTION('',(0.E0,8.026412726337E-1,5.964620586969E-1)); +#1190=DIRECTION('',(0.E0,5.964620586969E-1,-8.026412726337E-1)); +#1191=AXIS2_PLACEMENT_3D('',#1188,#1189,#1190); +#1192=PLANE('',#1191); +#1193=ORIENTED_EDGE('',*,*,#1109,.F.); +#1195=ORIENTED_EDGE('',*,*,#1194,.F.); +#1196=ORIENTED_EDGE('',*,*,#1145,.T.); +#1197=ORIENTED_EDGE('',*,*,#1181,.T.); +#1198=EDGE_LOOP('',(#1193,#1195,#1196,#1197)); +#1199=FACE_OUTER_BOUND('',#1198,.F.); +#1200=ADVANCED_FACE('',(#1199),#1192,.T.); +#1201=CARTESIAN_POINT('',(-1.3E0,7.E-1,-2.547716964696E0)); +#1202=DIRECTION('',(0.E0,-5.964620586969E-1,8.026412726337E-1)); +#1203=DIRECTION('',(0.E0,8.026412726337E-1,5.964620586969E-1)); +#1204=AXIS2_PLACEMENT_3D('',#1201,#1202,#1203); +#1205=PLANE('',#1204); +#1206=ORIENTED_EDGE('',*,*,#1107,.F.); +#1208=ORIENTED_EDGE('',*,*,#1207,.F.); +#1209=ORIENTED_EDGE('',*,*,#1147,.T.); +#1210=ORIENTED_EDGE('',*,*,#1194,.T.); +#1211=EDGE_LOOP('',(#1206,#1208,#1209,#1210)); +#1212=FACE_OUTER_BOUND('',#1211,.F.); +#1213=ADVANCED_FACE('',(#1212),#1205,.T.); +#1214=CARTESIAN_POINT('',(-1.3E0,1.695260443663E0,-3.887009376435E0)); +#1215=DIRECTION('',(0.E0,-8.026412726337E-1,-5.964620586969E-1)); +#1216=DIRECTION('',(0.E0,-5.964620586969E-1,8.026412726337E-1)); +#1217=AXIS2_PLACEMENT_3D('',#1214,#1215,#1216); +#1218=PLANE('',#1217); +#1219=ORIENTED_EDGE('',*,*,#1105,.F.); +#1220=ORIENTED_EDGE('',*,*,#1129,.F.); +#1221=ORIENTED_EDGE('',*,*,#1149,.T.); +#1222=ORIENTED_EDGE('',*,*,#1207,.T.); +#1223=EDGE_LOOP('',(#1219,#1220,#1221,#1222)); +#1224=FACE_OUTER_BOUND('',#1223,.F.); +#1225=ADVANCED_FACE('',(#1224),#1218,.T.); +#1226=CARTESIAN_POINT('',(-1.3E0,1.92E0,-2.486899278715E0)); +#1227=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1228=DIRECTION('',(0.E0,0.E0,1.E0)); +#1229=AXIS2_PLACEMENT_3D('',#1226,#1227,#1228); +#1230=CYLINDRICAL_SURFACE('',#1229,2.E-1); +#1231=ORIENTED_EDGE('',*,*,#1166,.F.); +#1232=ORIENTED_EDGE('',*,*,#1053,.F.); +#1234=ORIENTED_EDGE('',*,*,#1233,.T.); +#1236=ORIENTED_EDGE('',*,*,#1235,.T.); +#1237=EDGE_LOOP('',(#1231,#1232,#1234,#1236)); +#1238=FACE_OUTER_BOUND('',#1237,.F.); +#1239=ADVANCED_FACE('',(#1238),#1230,.F.); +#1240=CARTESIAN_POINT('',(-1.3E0,2.080528254527E0,-2.367606866976E0)); +#1241=DIRECTION('',(0.E0,-8.026412726337E-1,-5.964620586969E-1)); +#1242=DIRECTION('',(0.E0,-5.964620586969E-1,8.026412726337E-1)); +#1243=AXIS2_PLACEMENT_3D('',#1240,#1241,#1242); +#1244=PLANE('',#1243); +#1245=ORIENTED_EDGE('',*,*,#1233,.F.); +#1246=ORIENTED_EDGE('',*,*,#1051,.T.); +#1248=ORIENTED_EDGE('',*,*,#1247,.T.); +#1250=ORIENTED_EDGE('',*,*,#1249,.F.); +#1251=EDGE_LOOP('',(#1245,#1246,#1248,#1250)); +#1252=FACE_OUTER_BOUND('',#1251,.F.); +#1253=ADVANCED_FACE('',(#1252),#1244,.T.); +#1254=CARTESIAN_POINT('',(-1.3E0,2.8E-1,-2.8E-1)); +#1255=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1256=DIRECTION('',(0.E0,0.E0,1.E0)); +#1257=AXIS2_PLACEMENT_3D('',#1254,#1255,#1256); +#1258=CYLINDRICAL_SURFACE('',#1257,2.E-1); +#1259=ORIENTED_EDGE('',*,*,#1247,.F.); +#1260=ORIENTED_EDGE('',*,*,#1049,.F.); +#1261=ORIENTED_EDGE('',*,*,#750,.T.); +#1263=ORIENTED_EDGE('',*,*,#1262,.T.); +#1264=EDGE_LOOP('',(#1259,#1260,#1261,#1263)); +#1265=FACE_OUTER_BOUND('',#1264,.F.); +#1266=ADVANCED_FACE('',(#1265),#1258,.F.); +#1267=CARTESIAN_POINT('',(1.1E0,0.E0,-1.9E0)); +#1268=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1269=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1270=AXIS2_PLACEMENT_3D('',#1267,#1268,#1269); +#1271=PLANE('',#1270); +#1273=ORIENTED_EDGE('',*,*,#1272,.T.); +#1274=ORIENTED_EDGE('',*,*,#1168,.T.); +#1275=ORIENTED_EDGE('',*,*,#1235,.F.); +#1276=ORIENTED_EDGE('',*,*,#1249,.T.); +#1277=ORIENTED_EDGE('',*,*,#1262,.F.); +#1278=ORIENTED_EDGE('',*,*,#748,.T.); +#1280=ORIENTED_EDGE('',*,*,#1279,.F.); +#1281=ORIENTED_EDGE('',*,*,#871,.F.); +#1283=ORIENTED_EDGE('',*,*,#1282,.T.); +#1285=ORIENTED_EDGE('',*,*,#1284,.T.); +#1287=ORIENTED_EDGE('',*,*,#1286,.T.); +#1288=ORIENTED_EDGE('',*,*,#1084,.T.); +#1289=EDGE_LOOP('',(#1273,#1274,#1275,#1276,#1277,#1278,#1280,#1281,#1283,#1285, +#1287,#1288)); +#1290=FACE_OUTER_BOUND('',#1289,.F.); +#1291=ADVANCED_FACE('',(#1290),#1271,.F.); +#1292=CARTESIAN_POINT('',(1.1E0,2.2E0,-3.4E0)); +#1293=DIRECTION('',(0.E0,0.E0,1.E0)); +#1294=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1295=AXIS2_PLACEMENT_3D('',#1292,#1293,#1294); +#1296=PLANE('',#1295); +#1297=ORIENTED_EDGE('',*,*,#1082,.T.); +#1298=ORIENTED_EDGE('',*,*,#1115,.T.); +#1299=ORIENTED_EDGE('',*,*,#1170,.F.); +#1300=ORIENTED_EDGE('',*,*,#1272,.F.); +#1301=EDGE_LOOP('',(#1297,#1298,#1299,#1300)); +#1302=FACE_OUTER_BOUND('',#1301,.F.); +#1303=ADVANCED_FACE('',(#1302),#1296,.F.); +#1304=CARTESIAN_POINT('',(1.3E0,0.E0,-4.E-1)); +#1305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1306=DIRECTION('',(0.E0,1.E0,0.E0)); +#1307=AXIS2_PLACEMENT_3D('',#1304,#1305,#1306); +#1308=PLANE('',#1307); +#1309=ORIENTED_EDGE('',*,*,#813,.F.); +#1310=ORIENTED_EDGE('',*,*,#873,.T.); +#1311=ORIENTED_EDGE('',*,*,#1279,.T.); +#1312=ORIENTED_EDGE('',*,*,#746,.F.); +#1313=EDGE_LOOP('',(#1309,#1310,#1311,#1312)); +#1314=FACE_OUTER_BOUND('',#1313,.F.); +#1315=ADVANCED_FACE('',(#1314),#1308,.F.); +#1316=CARTESIAN_POINT('',(-1.3E0,2.8E-1,-2.8E-1)); +#1317=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1318=DIRECTION('',(0.E0,0.E0,1.E0)); +#1319=AXIS2_PLACEMENT_3D('',#1316,#1317,#1318); +#1320=CYLINDRICAL_SURFACE('',#1319,2.8E-1); +#1321=ORIENTED_EDGE('',*,*,#869,.F.); +#1322=ORIENTED_EDGE('',*,*,#1044,.T.); +#1324=ORIENTED_EDGE('',*,*,#1323,.T.); +#1325=ORIENTED_EDGE('',*,*,#1282,.F.); +#1326=EDGE_LOOP('',(#1321,#1322,#1324,#1325)); +#1327=FACE_OUTER_BOUND('',#1326,.F.); +#1328=ADVANCED_FACE('',(#1327),#1320,.T.); +#1329=CARTESIAN_POINT('',(-1.3E0,5.047395563374E-1,-1.129906235649E-1)); +#1330=DIRECTION('',(0.E0,8.026412726337E-1,5.964620586969E-1)); +#1331=DIRECTION('',(0.E0,5.964620586969E-1,-8.026412726337E-1)); +#1332=AXIS2_PLACEMENT_3D('',#1329,#1330,#1331); +#1333=PLANE('',#1332); +#1334=ORIENTED_EDGE('',*,*,#1323,.F.); +#1335=ORIENTED_EDGE('',*,*,#1042,.T.); +#1337=ORIENTED_EDGE('',*,*,#1336,.T.); +#1338=ORIENTED_EDGE('',*,*,#1284,.F.); +#1339=EDGE_LOOP('',(#1334,#1335,#1337,#1338)); +#1340=FACE_OUTER_BOUND('',#1339,.F.); +#1341=ADVANCED_FACE('',(#1340),#1333,.T.); +#1342=CARTESIAN_POINT('',(-1.3E0,1.92E0,-2.486899278715E0)); +#1343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1344=DIRECTION('',(0.E0,0.E0,1.E0)); +#1345=AXIS2_PLACEMENT_3D('',#1342,#1343,#1344); +#1346=CYLINDRICAL_SURFACE('',#1345,2.8E-1); +#1347=ORIENTED_EDGE('',*,*,#1336,.F.); +#1348=ORIENTED_EDGE('',*,*,#1040,.T.); +#1349=ORIENTED_EDGE('',*,*,#1086,.T.); +#1350=ORIENTED_EDGE('',*,*,#1286,.F.); +#1351=EDGE_LOOP('',(#1347,#1348,#1349,#1350)); +#1352=FACE_OUTER_BOUND('',#1351,.F.); +#1353=ADVANCED_FACE('',(#1352),#1346,.T.); +#1354=CLOSED_SHELL('',(#707,#723,#738,#787,#802,#817,#831,#877,#890,#902,#915, +#929,#942,#954,#967,#980,#992,#1005,#1017,#1030,#1059,#1074,#1096,#1119,#1133, +#1154,#1174,#1187,#1200,#1213,#1225,#1239,#1253,#1266,#1291,#1303,#1315,#1328, +#1341,#1353)); +#1355=MANIFOLD_SOLID_BREP('',#1354); +#1356=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#1357=DIMENSIONAL_EXPONENTS(0.E0,0.E0,0.E0,0.E0,0.E0,0.E0,0.E0); +#1358=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#1359=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#1358); +#1360=(CONVERSION_BASED_UNIT('DEGREE',#1359)NAMED_UNIT(#1357)PLANE_ANGLE_UNIT( +)); +#1361=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#1362=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(5.643660337941E-4),#1356, +'closure', +'Maximum model space distance between geometric entities at asserted connectivities'); +#1363=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#1362))GLOBAL_UNIT_ASSIGNED_CONTEXT((#1356,#1360,#1361))REPRESENTATION_CONTEXT( +'ID1','3')); +#1365=APPLICATION_CONTEXT( +'CONFIGURATION CONTROLLED 3D DESIGNS OF MECHANICAL PARTS AND ASSEMBLIES'); +#1366=APPLICATION_PROTOCOL_DEFINITION('international standard', +'ccd_cla_gvp_ast_asd',1995,#1365); +#1367=DESIGN_CONTEXT('',#1365,'design'); +#1368=MECHANICAL_CONTEXT('',#1365,'mechanical'); +#1369=PRODUCT('C-1674954-01-3','C-1674954-01-3','NOT SPECIFIED',(#1368)); +#1370=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('1','LAST_VERSION', +#1369,.MADE.); +#1377=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#1378=DERIVED_UNIT_ELEMENT(#1377,2.E0); +#1379=DERIVED_UNIT((#1378)); +#1380=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +4.971978748249E1),#1379); +#1384=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#1385=DERIVED_UNIT_ELEMENT(#1384,3.E0); +#1386=DERIVED_UNIT((#1385)); +#1387=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.899465368935E0),#1386); +#1391=CARTESIAN_POINT('centre point',(2.816381075046E-13,8.364866961196E-1, +-2.161112480214E0)); +#1396=PRODUCT_CATEGORY('part',''); +#1397=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#1369)); +#1398=PRODUCT_CATEGORY_RELATIONSHIP('','',#1396,#1397); +#1399=SECURITY_CLASSIFICATION_LEVEL('unclassified'); +#1400=SECURITY_CLASSIFICATION('','',#1399); +#1401=CC_DESIGN_SECURITY_CLASSIFICATION(#1400,(#1370)); +#1402=APPROVAL_STATUS('approved'); +#1403=APPROVAL(#1402,''); +#1404=CC_DESIGN_APPROVAL(#1403,(#1400,#1370,#1371)); +#1405=CALENDAR_DATE(107,10,10); +#1406=COORDINATED_UNIVERSAL_TIME_OFFSET(3,0,.BEHIND.); +#1407=LOCAL_TIME(18,8,3.E1,#1406); +#1408=DATE_AND_TIME(#1405,#1407); +#1409=APPROVAL_DATE_TIME(#1408,#1403); +#1410=DATE_TIME_ROLE('creation_date'); +#1411=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#1408,#1410,(#1371)); +#1412=DATE_TIME_ROLE('classification_date'); +#1413=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#1408,#1412,(#1400)); +#1414=PERSON('UNSPECIFIED','UNSPECIFIED',$,$,$,$); +#1415=ORGANIZATION('UNSPECIFIED','UNSPECIFIED','UNSPECIFIED'); +#1416=PERSON_AND_ORGANIZATION(#1414,#1415); +#1417=APPROVAL_ROLE('approver'); +#1418=APPROVAL_PERSON_ORGANIZATION(#1416,#1403,#1417); +#1419=PERSON_AND_ORGANIZATION_ROLE('creator'); +#1420=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1416,#1419,(#1370,#1371)); +#1421=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); +#1422=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1416,#1421,(#1370)); +#1423=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); +#1424=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1416,#1423,(#1400)); +#1425=PERSON_AND_ORGANIZATION_ROLE('design_owner'); +#1426=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1416,#1425,(#1369)); +#360=CIRCLE('',#359,2.8E-1); +#369=CIRCLE('',#368,2.8E-1); +#406=CIRCLE('',#405,2.E-1); +#415=CIRCLE('',#414,2.E-1); +#460=CIRCLE('',#459,2.E-1); +#465=CIRCLE('',#464,2.E-1); +#486=CIRCLE('',#485,2.E-1); +#491=CIRCLE('',#490,2.E-1); +#508=CIRCLE('',#507,2.8E-1); +#513=CIRCLE('',#512,2.8E-1); +#534=CIRCLE('',#533,2.8E-1); +#539=CIRCLE('',#538,2.8E-1); +#697=EDGE_CURVE('',#542,#543,#75,.T.); +#699=EDGE_CURVE('',#550,#542,#79,.T.); +#701=EDGE_CURVE('',#550,#551,#83,.T.); +#703=EDGE_CURVE('',#543,#551,#87,.T.); +#713=EDGE_CURVE('',#605,#547,#91,.T.); +#715=EDGE_CURVE('',#607,#605,#95,.T.); +#717=EDGE_CURVE('',#546,#607,#99,.T.); +#719=EDGE_CURVE('',#546,#547,#103,.T.); +#730=EDGE_CURVE('',#543,#565,#135,.T.); +#732=EDGE_CURVE('',#593,#565,#311,.T.); +#734=EDGE_CURVE('',#542,#593,#239,.T.); +#744=EDGE_CURVE('',#609,#555,#183,.T.); +#746=EDGE_CURVE('',#609,#649,#107,.T.); +#748=EDGE_CURVE('',#643,#649,#111,.T.); +#750=EDGE_CURVE('',#642,#643,#115,.T.); +#752=EDGE_CURVE('',#642,#645,#119,.T.); +#754=EDGE_CURVE('',#605,#645,#123,.T.); +#757=EDGE_CURVE('',#567,#547,#127,.T.); +#759=EDGE_CURVE('',#565,#567,#131,.T.); +#763=EDGE_CURVE('',#569,#551,#139,.T.); +#765=EDGE_CURVE('',#569,#577,#279,.T.); +#767=EDGE_CURVE('',#576,#577,#143,.T.); +#769=EDGE_CURVE('',#573,#576,#299,.T.); +#771=EDGE_CURVE('',#572,#573,#147,.T.); +#773=EDGE_CURVE('',#572,#583,#291,.T.); +#775=EDGE_CURVE('',#563,#583,#151,.T.); +#777=EDGE_CURVE('',#559,#563,#171,.T.); +#779=EDGE_CURVE('',#581,#559,#155,.T.); +#781=EDGE_CURVE('',#579,#581,#159,.T.); +#783=EDGE_CURVE('',#555,#579,#163,.T.); +#793=EDGE_CURVE('',#558,#559,#167,.T.); +#796=EDGE_CURVE('',#562,#563,#175,.T.); +#798=EDGE_CURVE('',#562,#558,#179,.T.); +#809=EDGE_CURVE('',#554,#555,#187,.T.); +#811=EDGE_CURVE('',#554,#611,#191,.T.); +#813=EDGE_CURVE('',#611,#609,#195,.T.); +#824=EDGE_CURVE('',#603,#558,#211,.T.); +#826=EDGE_CURVE('',#603,#581,#199,.T.); +#838=EDGE_CURVE('',#554,#601,#203,.T.); +#840=EDGE_CURVE('',#601,#603,#207,.T.); +#844=EDGE_CURVE('',#562,#591,#215,.T.); +#846=EDGE_CURVE('',#587,#591,#219,.T.); +#848=EDGE_CURVE('',#587,#597,#223,.T.); +#850=EDGE_CURVE('',#599,#597,#303,.T.); +#852=EDGE_CURVE('',#599,#589,#227,.T.); +#854=EDGE_CURVE('',#585,#589,#231,.T.); +#856=EDGE_CURVE('',#585,#550,#235,.T.); +#860=EDGE_CURVE('',#593,#595,#243,.T.); +#862=EDGE_CURVE('',#595,#546,#247,.T.); +#865=EDGE_CURVE('',#607,#647,#251,.T.); +#867=EDGE_CURVE('',#614,#647,#255,.T.); +#869=EDGE_CURVE('',#614,#615,#503,.T.); +#871=EDGE_CURVE('',#615,#651,#259,.T.); +#873=EDGE_CURVE('',#611,#651,#263,.T.); +#885=EDGE_CURVE('',#601,#579,#267,.T.); +#910=EDGE_CURVE('',#583,#591,#287,.T.); +#921=EDGE_CURVE('',#569,#585,#271,.T.); +#924=EDGE_CURVE('',#577,#589,#275,.T.); +#935=EDGE_CURVE('',#572,#587,#283,.T.); +#963=EDGE_CURVE('',#599,#576,#295,.T.); +#976=EDGE_CURVE('',#597,#573,#307,.T.); +#999=EDGE_CURVE('',#595,#567,#315,.T.); +#1025=EDGE_CURVE('',#645,#647,#323,.T.); +#1036=EDGE_CURVE('',#657,#671,#319,.T.); +#1038=EDGE_CURVE('',#626,#657,#343,.T.); +#1040=EDGE_CURVE('',#622,#626,#534,.T.); +#1042=EDGE_CURVE('',#618,#622,#521,.T.); +#1044=EDGE_CURVE('',#614,#618,#508,.T.); +#1049=EDGE_CURVE('',#642,#638,#486,.T.); +#1051=EDGE_CURVE('',#634,#638,#473,.T.); +#1053=EDGE_CURVE('',#634,#630,#460,.T.); +#1055=EDGE_CURVE('',#671,#630,#393,.T.); +#1065=EDGE_CURVE('',#657,#655,#347,.T.); +#1068=EDGE_CURVE('',#671,#669,#389,.T.); +#1070=EDGE_CURVE('',#669,#655,#373,.T.); +#1080=EDGE_CURVE('',#674,#675,#327,.T.); +#1082=EDGE_CURVE('',#677,#675,#331,.T.); +#1084=EDGE_CURVE('',#627,#677,#335,.T.); +#1086=EDGE_CURVE('',#626,#627,#339,.T.); +#1090=EDGE_CURVE('',#654,#655,#351,.T.); +#1092=EDGE_CURVE('',#654,#674,#364,.T.); +#1103=EDGE_CURVE('',#674,#679,#360,.T.); +#1105=EDGE_CURVE('',#679,#681,#443,.T.); +#1107=EDGE_CURVE('',#681,#683,#431,.T.); +#1109=EDGE_CURVE('',#683,#685,#419,.T.); +#1111=EDGE_CURVE('',#687,#685,#406,.T.); +#1113=EDGE_CURVE('',#687,#689,#377,.T.); +#1115=EDGE_CURVE('',#675,#689,#355,.T.); +#1127=EDGE_CURVE('',#654,#659,#369,.T.); +#1129=EDGE_CURVE('',#659,#679,#447,.T.); +#1141=EDGE_CURVE('',#667,#669,#385,.T.); +#1143=EDGE_CURVE('',#667,#665,#415,.T.); +#1145=EDGE_CURVE('',#663,#665,#427,.T.); +#1147=EDGE_CURVE('',#661,#663,#439,.T.); +#1149=EDGE_CURVE('',#659,#661,#451,.T.); +#1161=EDGE_CURVE('',#667,#687,#381,.T.); +#1166=EDGE_CURVE('',#630,#631,#455,.T.); +#1168=EDGE_CURVE('',#691,#631,#397,.T.); +#1170=EDGE_CURVE('',#691,#689,#401,.T.); +#1181=EDGE_CURVE('',#665,#685,#410,.T.); +#1194=EDGE_CURVE('',#663,#683,#423,.T.); +#1207=EDGE_CURVE('',#661,#681,#435,.T.); +#1233=EDGE_CURVE('',#634,#635,#469,.T.); +#1235=EDGE_CURVE('',#635,#631,#465,.T.); +#1247=EDGE_CURVE('',#638,#639,#481,.T.); +#1249=EDGE_CURVE('',#635,#639,#477,.T.); +#1262=EDGE_CURVE('',#643,#639,#491,.T.); +#1272=EDGE_CURVE('',#677,#691,#495,.T.); +#1279=EDGE_CURVE('',#651,#649,#499,.T.); +#1282=EDGE_CURVE('',#615,#619,#513,.T.); +#1284=EDGE_CURVE('',#619,#623,#525,.T.); +#1286=EDGE_CURVE('',#623,#627,#539,.T.); +#1323=EDGE_CURVE('',#618,#619,#517,.T.); +#1336=EDGE_CURVE('',#622,#623,#529,.T.); +#1364=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1355),#1363); +#1371=PRODUCT_DEFINITION('design','',#1370,#1367); +#1372=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-1674954-01-3.',#1371); +#1373=SHAPE_REPRESENTATION('',(#1355),#1363); +#1374=SHAPE_ASPECT('','solid data associated with C-1674954-01-3',#1372,.F.); +#1375=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#1374); +#1376=SHAPE_DEFINITION_REPRESENTATION(#1375,#1373); +#1381=PROPERTY_DEFINITION('geometric_validation_property', +'area of C-1674954-01-3',#1374); +#1382=REPRESENTATION('surface area',(#1380),#1363); +#1383=PROPERTY_DEFINITION_REPRESENTATION(#1381,#1382); +#1388=PROPERTY_DEFINITION('geometric_validation_property', +'volume of C-1674954-01-3',#1374); +#1389=REPRESENTATION('volume',(#1387),#1363); +#1390=PROPERTY_DEFINITION_REPRESENTATION(#1388,#1389); +#1392=PROPERTY_DEFINITION('geometric_validation_property', +'centroid of C-1674954-01-3',#1374); +#1393=REPRESENTATION('centroid',(#1391),#1363); +#1394=PROPERTY_DEFINITION_REPRESENTATION(#1392,#1393); +#1395=SHAPE_DEFINITION_REPRESENTATION(#1372,#1364); +ENDSEC; +END-ISO-10303-21; diff --git a/hw/boards/tk1/application_fpga.kicad_sch b/hw/boards/tk1/application_fpga.kicad_sch index 14dbfae..0a57a23 100644 --- a/hw/boards/tk1/application_fpga.kicad_sch +++ b/hw/boards/tk1/application_fpga.kicad_sch @@ -1,3413 +1,3739 @@ -(kicad_sch (version 20211123) (generator eeschema) - - (uuid e42fd0d4-9927-4308-81d9-4cca814c8ea9) - - (paper "A4") - - (title_block - (title "Application FPGA") - (date "2021-11-14") - (rev "V1") - (company "Tillitis AB") - (comment 1 "2022") - ) - - (lib_symbols - (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) - (property "Reference" "TP" (id 0) (at 0 6.858 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "TestPoint" (id 1) (at 0 5.08 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 5.08 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 5.08 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "test point" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "TestPoint_0_1" - (circle (center 0 3.302) (radius 0.762) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "TestPoint_1_1" - (pin passive line (at 0 0 90) (length 2.54) - (name "1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) - (property "Reference" "C" (id 0) (at 0.635 2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "C" (id 1) (at 0.635 -2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "C_0_1" - (polyline - (pts - (xy -2.032 -0.762) - (xy 2.032 -0.762) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.032 0.762) - (xy 2.032 0.762) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "C_1_1" - (pin passive line (at 0 3.81 270) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "R" (id 0) (at 2.032 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "R" (id 1) (at 0 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at -1.778 0 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Resistor" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "R_0_1" - (rectangle (start -1.016 -2.54) (end 1.016 2.54) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "R_1_1" - (pin passive line (at 0 3.81 270) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "mta1:FC-B1010RGBT-HG" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) - (property "Reference" "D" (id 0) (at 0 9.398 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "FC-B1010RGBT-HG" (id 1) (at 0 -8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "mta1:0402rgb-1010" (id 2) (at 1.27 -11.43 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 -1.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "FC-B1010RGBT-HG_0_0" - (text "B" (at -1.905 -6.35 0) - (effects (font (size 1.27 1.27))) - ) - (text "G" (at -1.905 -1.27 0) - (effects (font (size 1.27 1.27))) - ) - (text "R" (at -1.905 3.81 0) - (effects (font (size 1.27 1.27))) - ) - ) - (symbol "FC-B1010RGBT-HG_0_1" - (polyline - (pts - (xy -1.27 -5.08) - (xy -2.54 -5.08) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 -5.08) - (xy 1.27 -5.08) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 -3.81) - (xy -1.27 -6.35) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 0) - (xy -2.54 0) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 1.27) - (xy -1.27 -1.27) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 5.08) - (xy -2.54 5.08) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 5.08) - (xy 1.27 5.08) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 6.35) - (xy -1.27 3.81) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 0) - (xy -1.27 0) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 0) - (xy 2.54 0) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 1.27) - (xy -1.27 -1.27) - (xy -1.27 -1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 6.35) - (xy -1.27 3.81) - (xy -1.27 3.81) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 -5.08) - (xy 2.032 -5.08) - (xy 2.032 5.08) - (xy 1.27 5.08) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 -3.81) - (xy 1.27 -6.35) - (xy -1.27 -5.08) - (xy 1.27 -3.81) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 1.27) - (xy 1.27 -1.27) - (xy -1.27 0) - (xy 1.27 1.27) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 6.35) - (xy 1.27 3.81) - (xy -1.27 5.08) - (xy 1.27 6.35) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.016 -3.81) - (xy 0.508 -2.286) - (xy -0.254 -2.286) - (xy 0.508 -2.286) - (xy 0.508 -3.048) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.016 1.27) - (xy 0.508 2.794) - (xy -0.254 2.794) - (xy 0.508 2.794) - (xy 0.508 2.032) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.016 6.35) - (xy 0.508 7.874) - (xy -0.254 7.874) - (xy 0.508 7.874) - (xy 0.508 7.112) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 -3.81) - (xy 1.524 -2.286) - (xy 0.762 -2.286) - (xy 1.524 -2.286) - (xy 1.524 -3.048) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 1.27) - (xy 1.524 2.794) - (xy 0.762 2.794) - (xy 1.524 2.794) - (xy 1.524 2.032) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 6.35) - (xy 1.524 7.874) - (xy 0.762 7.874) - (xy 1.524 7.874) - (xy 1.524 7.112) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 1.27 -1.27) (end 1.27 1.27) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 1.27 1.27) (end 1.27 1.27) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 1.27 3.81) (end 1.27 6.35) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 1.27 6.35) (end 1.27 6.35) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (circle (center 2.032 0) (radius 0.254) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (rectangle (start 2.794 8.382) (end -2.794 -7.62) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "FC-B1010RGBT-HG_1_1" - (pin passive line (at 5.08 0 180) (length 2.54) - (name "A" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -5.08 5.08 0) (length 2.54) - (name "RK" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -5.08 0 0) (length 2.54) - (name "GK" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -5.08 -5.08 0) (length 2.54) - (name "BK" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "mta1:Ferrite_Bead-Device" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "FB" (id 0) (at -3.81 0.635 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Ferrite_Bead-Device" (id 1) (at 3.81 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at -1.778 0 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "Inductor_* L_* *Ferrite*" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "Ferrite_Bead-Device_0_1" - (polyline - (pts - (xy 0 -1.27) - (xy 0 -1.2192) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 1.27) - (xy 0 1.2954) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.7686 0.4064) - (xy -1.7018 2.2606) - (xy 2.7686 -0.3048) - (xy 1.6764 -2.159) - (xy -2.7686 0.4064) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "Ferrite_Bead-Device_1_1" - (pin passive line (at 0 3.81 270) (length 2.54) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 2.54) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "mta1:ICE40UP5K-SG48ITR" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -24.13 -44.45 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "ICE40UP5K-SG48ITR" (id 1) (at -15.24 -46.99 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" (id 2) (at -15.24 -49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" (id 3) (at -40.64 -21.59 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "FPGA programmable logic" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "QFN*7x7mm*P0.5mm*EP5.6x5.6mm*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "ICE40UP5K-SG48ITR_0_0" - (polyline - (pts - (xy -6.35 13.97) - (xy -3.81 13.97) - (xy -3.81 -39.37) - (xy -6.35 -39.37) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 6.35 -39.37) - (xy 3.81 -39.37) - (xy 3.81 -13.97) - (xy 6.35 -13.97) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 6.35 -11.43) - (xy 3.81 -11.43) - (xy 3.81 39.37) - (xy 6.35 39.37) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (text "Bank 0" (at -2.54 -11.43 900) - (effects (font (size 1.27 1.27))) - ) - (text "Bank 1" (at 2.54 13.97 900) - (effects (font (size 1.27 1.27))) - ) - (text "Bank 2" (at 2.54 -24.13 900) - (effects (font (size 1.27 1.27))) - ) - ) - (symbol "ICE40UP5K-SG48ITR_1_1" - (rectangle (start -20.32 40.64) (end 22.86 -40.64) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin power_in line (at 25.4 -15.24 180) (length 2.54) - (name "VCCIO_2" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 7.62 180) (length 2.54) - (name "IOB_18a" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 5.08 180) (length 2.54) - (name "IOB_20a" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 2.54 180) (length 2.54) - (name "IOB_22b" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -2.54 180) (length 2.54) - (name "IOB_24a" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 33.02 180) (length 2.54) - (name "IOB_32a_SPI_SO" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 27.94 180) (length 2.54) - (name "IOB_34a_SPI_SCK" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 25.4 180) (length 2.54) - (name "IOB_35b_SPI_SS" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 30.48 180) (length 2.54) - (name "IOB_33b_SPI_SI" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -10.16 180) (length 2.54) - (name "IOB_31b" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -7.62 180) (length 2.54) - (name "IOB_29b" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -33.02 180) (length 2.54) - (name "IOB_6a" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -5.08 180) (length 2.54) - (name "IOB_25b_G3" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 0 180) (length 2.54) - (name "IOB_23b" (effects (font (size 1.27 1.27)))) - (number "21" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 25.4 38.1 180) (length 2.54) - (name "SPI_VCCIO1" (effects (font (size 1.27 1.27)))) - (number "22" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 5.08 0) (length 2.54) - (name "IOT_37a" (effects (font (size 1.27 1.27)))) - (number "23" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 25.4 0) (length 2.54) - (name "VPP_2V5" (effects (font (size 1.27 1.27)))) - (number "24" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 7.62 0) (length 2.54) - (name "IOT_36b" (effects (font (size 1.27 1.27)))) - (number "25" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 0 0) (length 2.54) - (name "IOT_39a" (effects (font (size 1.27 1.27)))) - (number "26" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 2.54 0) (length 2.54) - (name "IOT_38b" (effects (font (size 1.27 1.27)))) - (number "27" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -2.54 0) (length 2.54) - (name "IOT_41a" (effects (font (size 1.27 1.27)))) - (number "28" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 30.48 0) (length 2.54) - (name "VCCPLL" (effects (font (size 1.27 1.27)))) - (number "29" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -38.1 180) (length 2.54) - (name "IOB_9b" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 35.56 0) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "30" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -5.08 0) (length 2.54) - (name "IOT_42b" (effects (font (size 1.27 1.27)))) - (number "31" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -7.62 0) (length 2.54) - (name "IOT_43a" (effects (font (size 1.27 1.27)))) - (number "32" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 12.7 0) (length 2.54) - (name "VCCIO_0" (effects (font (size 1.27 1.27)))) - (number "33" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -10.16 0) (length 2.54) - (name "IOT_44b" (effects (font (size 1.27 1.27)))) - (number "34" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -15.24 0) (length 2.54) - (name "IOT_46b_G0" (effects (font (size 1.27 1.27)))) - (number "35" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -17.78 0) (length 2.54) - (name "IOT_48b" (effects (font (size 1.27 1.27)))) - (number "36" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -12.7 0) (length 2.54) - (name "IOT_45a_G1" (effects (font (size 1.27 1.27)))) - (number "37" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -22.86 0) (length 2.54) - (name "IOT_50b" (effects (font (size 1.27 1.27)))) - (number "38" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at -22.86 -33.02 0) (length 2.54) - (name "RGB0" (effects (font (size 1.27 1.27)))) - (number "39" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -35.56 180) (length 2.54) - (name "IOB_8a" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at -22.86 -35.56 0) (length 2.54) - (name "RGB1" (effects (font (size 1.27 1.27)))) - (number "40" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at -22.86 -38.1 0) (length 2.54) - (name "RGB2" (effects (font (size 1.27 1.27)))) - (number "41" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -25.4 0) (length 2.54) - (name "IOT_51a" (effects (font (size 1.27 1.27)))) - (number "42" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -22.86 -20.32 0) (length 2.54) - (name "IOT_49a" (effects (font (size 1.27 1.27)))) - (number "43" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -25.4 180) (length 2.54) - (name "IOB_3b_G6" (effects (font (size 1.27 1.27)))) - (number "44" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -30.48 180) (length 2.54) - (name "IOB_5b" (effects (font (size 1.27 1.27)))) - (number "45" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -20.32 180) (length 2.54) - (name "IOB_0a" (effects (font (size 1.27 1.27)))) - (number "46" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -22.86 180) (length 2.54) - (name "IOB_2a" (effects (font (size 1.27 1.27)))) - (number "47" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 -27.94 180) (length 2.54) - (name "IOB_4a" (effects (font (size 1.27 1.27)))) - (number "48" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 20.32 0) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "49" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -22.86 38.1 0) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 12.7 180) (length 2.54) - (name "IOB_13b" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at 25.4 17.78 180) (length 2.54) - (name "CDONE" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 25.4 20.32 180) (length 2.54) - (name "~{CRESET}" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 25.4 10.16 180) (length 2.54) - (name "IOB_16a" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "mta1:PT2043AT6" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -6.35 8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "PT2043AT6" (id 1) (at -2.54 -8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 0 12.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at -21.59 12.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "SOT-23-6 Touch Sensors ROHS" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "PT2043AT6_1_1" - (rectangle (start -7.62 7.62) (end 7.62 -7.62) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin output line (at 10.16 6.35 180) (length 2.54) - (name "QC" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 10.16 -6.35 180) (length 2.54) - (name "VSS" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 1.27 0) (length 2.54) - (name "TCH" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -3.81 0) (length 2.54) - (name "AHLB" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -10.16 6.35 0) (length 2.54) - (name "VDD" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -6.35 0) (length 2.54) - (name "TOG" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "mta1:W25Q80DVUXIE" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -8.89 8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "W25Q80DVUXIE" (id 1) (at 7.62 8.89 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "mta1:W25Q80DVUXIE" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.winbond.com/resource-files/w25x40cl_f%2020140325.pdf" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "flash memory SPI" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "IC FLASH 8MBIT SPI 104MHZ 8USON" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "W25Q80DVUXIE_0_1" - (rectangle (start -10.16 7.62) (end 10.16 -7.62) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "W25Q80DVUXIE_1_1" - (pin input line (at -12.7 2.54 0) (length 2.54) - (name "~{CS}" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 2.54 180) (length 2.54) - (name "DO(IO1)" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 -2.54 180) (length 2.54) - (name "IO2" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -10.16 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 5.08 180) (length 2.54) - (name "DI(IO0)" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -2.54 0) (length 2.54) - (name "CLK" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 12.7 -5.08 180) (length 2.54) - (name "IO3" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 10.16 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin no_connect line (at 5.08 -10.16 90) (length 2.54) - (name "EXP" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+1V2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+1V2" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+1V2\"" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+1V2_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+1V2_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+1V2" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+2V5" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+2V5" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+2V5\"" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+2V5_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+2V5_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+2V5" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+3.3V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+3.3V\"" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+3.3V_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+3.3V_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+3V3" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 0 -3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "GND_0_1" - (polyline - (pts - (xy 0 0) - (xy 0 -1.27) - (xy 1.27 -1.27) - (xy 0 -2.54) - (xy -1.27 -1.27) - (xy 0 -1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "GND_1_1" - (pin power_in line (at 0 0 270) (length 0) hide - (name "GND" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - ) - - - (junction (at 201.93 82.55) (diameter 0) (color 0 0 0 0) - (uuid 1bdf2b87-e380-4485-8219-3210fd09433f) - ) - (junction (at 223.52 24.13) (diameter 0) (color 0 0 0 0) - (uuid 2f3fa841-b787-4db5-92d2-e7d5ff6fba03) - ) - (junction (at 214.63 109.22) (diameter 0) (color 0 0 0 0) - (uuid 2f7c7d65-d0af-48f7-95af-2b8d17dd473a) - ) - (junction (at 203.2 60.96) (diameter 0) (color 0 0 0 0) - (uuid 3a4c5515-4fbe-4892-ace1-1ab0b3ee00fa) - ) - (junction (at 40.64 85.09) (diameter 0) (color 0 0 0 0) - (uuid 3f43c2dc-daa2-45ba-b8ca-7ae5aebed882) - ) - (junction (at 41.91 27.94) (diameter 0) (color 0 0 0 0) - (uuid 494d4ce3-60c4-4021-8bd1-ab41a12b14ed) - ) - (junction (at 81.28 53.34) (diameter 0) (color 0 0 0 0) - (uuid 544086de-d657-4ebc-a0a8-afebb5bf9267) - ) - (junction (at 55.88 27.94) (diameter 0) (color 0 0 0 0) - (uuid 8313e187-c805-4927-8002-313a51839243) - ) - (junction (at 203.2 101.6) (diameter 0) (color 0 0 0 0) - (uuid 8cf40b68-dc06-484f-9ea1-32cfdfa497a7) - ) - (junction (at 41.91 35.56) (diameter 0) (color 0 0 0 0) - (uuid a419542a-0c78-421e-9ac7-81d3afba6186) - ) - (junction (at 41.91 53.34) (diameter 0) (color 0 0 0 0) - (uuid a43f2e19-4e11-4e86-a12a-58a691d6df28) - ) - (junction (at 41.91 45.72) (diameter 0) (color 0 0 0 0) - (uuid b1240f00-ec43-4c0b-9a41-43264db8a893) - ) - (junction (at 175.26 97.79) (diameter 0) (color 0 0 0 0) - (uuid b1308e79-7ed3-46eb-9876-069b0466740f) - ) - (junction (at 40.64 66.04) (diameter 0) (color 0 0 0 0) - (uuid b5cea0b5-192f-476b-a3c8-0c26e2231699) - ) - (junction (at 157.48 106.68) (diameter 0) (color 0 0 0 0) - (uuid c482f4f0-b441-4301-a9f1-c7f9e511d699) - ) - (junction (at 55.88 45.72) (diameter 0) (color 0 0 0 0) - (uuid d23840a6-3c61-45ca-968a-bc57332fd7a4) - ) - (junction (at 203.2 44.45) (diameter 0) (color 0 0 0 0) - (uuid d43cf615-aafb-42c6-91db-d03d1b33b6a9) - ) - (junction (at 157.48 53.34) (diameter 0) (color 0 0 0 0) - (uuid d554632b-6dd0-47f8-b59b-3ce25177ca3e) - ) - - (no_connect (at 129.54 86.36) (uuid 1af3d97e-2dd3-461e-9941-50f6a56d2c86)) - (no_connect (at 129.54 127) (uuid 1b2a9058-271f-4242-b401-00ff659dbdda)) - (no_connect (at 129.54 124.46) (uuid 1d5dfe08-ead4-4610-acd2-12190c648c24)) - (no_connect (at 129.54 119.38) (uuid 8841214d-dd0e-4d87-bdf6-f5358a0d764c)) - (no_connect (at 81.28 104.14) (uuid 9992cc39-7d2d-46ba-b80a-7cfe166d6ece)) - (no_connect (at 129.54 129.54) (uuid a9a22f6a-50e1-4aeb-a401-2f18ba3a6716)) - (no_connect (at 129.54 93.98) (uuid da97cac7-0d2a-44a0-85b6-ab481c1e829a)) - (no_connect (at 81.28 86.36) (uuid de0c2090-8c17-4027-b569-33ddbe66b30e)) - (no_connect (at 81.28 99.06) (uuid de0c2090-8c17-4027-b569-33ddbe66b310)) - (no_connect (at 81.28 106.68) (uuid de0c2090-8c17-4027-b569-33ddbe66b312)) - (no_connect (at 81.28 101.6) (uuid de0c2090-8c17-4027-b569-33ddbe66b313)) - (no_connect (at 81.28 116.84) (uuid de0c2090-8c17-4027-b569-33ddbe66b314)) - (no_connect (at 81.28 111.76) (uuid de0c2090-8c17-4027-b569-33ddbe66b315)) - (no_connect (at 129.54 116.84) (uuid de0c2090-8c17-4027-b569-33ddbe66b317)) - (no_connect (at 129.54 83.82) (uuid de0c2090-8c17-4027-b569-33ddbe66b319)) - (no_connect (at 129.54 81.28) (uuid de0c2090-8c17-4027-b569-33ddbe66b31b)) - (no_connect (at 129.54 101.6) (uuid de0c2090-8c17-4027-b569-33ddbe66b31c)) - (no_connect (at 129.54 91.44) (uuid de0c2090-8c17-4027-b569-33ddbe66b31d)) - (no_connect (at 129.54 96.52) (uuid de0c2090-8c17-4027-b569-33ddbe66b31e)) - (no_connect (at 129.54 99.06) (uuid de0c2090-8c17-4027-b569-33ddbe66b31f)) - (no_connect (at 81.28 96.52) (uuid e232641d-bc08-4c5f-8d75-26a965d11537)) - (no_connect (at 129.54 114.3) (uuid f399f9b9-5025-46df-9899-b3b955a461d5)) - (no_connect (at 129.54 88.9) (uuid f6cc949f-155c-4f41-a673-31bee07a84fa)) - - (wire (pts (xy 50.8 78.74) (xy 50.8 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 01c59306-91a3-452b-92b5-9af8f8f257d6) - ) - (wire (pts (xy 73.66 45.72) (xy 73.66 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 020b7e1f-8bb0-4882-91d4-7894bf18db84) - ) - (polyline (pts (xy 17.78 99.06) (xy 53.34 99.06)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 08712338-d85d-4931-9202-6870883d2bd9) - ) - - (wire (pts (xy 210.82 44.45) (xy 203.2 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 09d2deba-984a-4ed9-8adb-bf351b4e9fbe) - ) - (wire (pts (xy 39.37 175.26) (xy 43.18 175.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0cc094e7-c1c0-457d-bd94-3db91c23be55) - ) - (wire (pts (xy 223.52 36.83) (xy 223.52 24.13)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0f0dc3ef-76ec-4fdf-ace3-a4007f0953c4) - ) - (wire (pts (xy 213.36 96.52) (xy 214.63 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0f7bc491-ea25-4b9e-9106-2b6a8749bd88) - ) - (wire (pts (xy 55.88 53.34) (xy 41.91 53.34)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 100847e3-630c-4c13-ba45-180e92370805) - ) - (wire (pts (xy 68.58 83.82) (xy 81.28 83.82)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 11739269-79a4-4949-b2a5-fb5fdda3513d) - ) - (wire (pts (xy 203.2 41.91) (xy 203.2 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 15535c83-587a-4847-9957-3807322142c0) - ) - (wire (pts (xy 214.63 106.68) (xy 214.63 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1570f143-d24a-4409-aac7-1ae79bb74fd0) - ) - (polyline (pts (xy 280.67 125.73) (xy 280.67 71.12)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 17947abb-c320-41a0-b4c2-7e2bf00837ec) - ) - - (wire (pts (xy 203.2 111.76) (xy 203.2 113.03)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1a03043c-c2e3-4239-bfe7-e422f6cd653d) - ) - (polyline (pts (xy 238.76 113.03) (xy 238.76 123.19)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 206c21f8-6380-4c59-b7e2-4d3abef2eba0) - ) - - (wire (pts (xy 137.16 78.74) (xy 129.54 78.74)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 231e97b0-9cce-42bc-91b2-4e6124d994a5) - ) - (wire (pts (xy 175.26 97.79) (xy 179.07 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 25802f6b-6e02-4d39-ad78-26be0554a620) - ) - (wire (pts (xy 81.28 27.94) (xy 55.88 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 29ec1a54-dea0-4d1a-a3dc-a7441a09bb9e) - ) - (wire (pts (xy 213.36 82.55) (xy 213.36 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2affa324-adec-483f-ac77-30c8ba311db7) - ) - (wire (pts (xy 95.25 168.91) (xy 96.52 168.91)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2bf9c737-8f92-41b5-bd81-ed9f26f5a4ab) - ) - (wire (pts (xy 223.52 58.42) (xy 223.52 57.15)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2c18c29a-2733-48c1-ada0-9f47c5a49270) - ) - (wire (pts (xy 81.28 109.22) (xy 73.66 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2cc594b4-7a90-43c6-bb08-95a5d95e6a00) - ) - (wire (pts (xy 201.93 82.55) (xy 213.36 82.55)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2e075c7b-ee11-4ca5-acdd-fc4e86a47e89) - ) - (wire (pts (xy 55.88 45.72) (xy 41.91 45.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2edc487e-09a5-4e4e-9675-a7b323f56380) - ) - (wire (pts (xy 274.32 48.26) (xy 274.32 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2f9d16ec-2d4d-404a-847d-eed32ac2780f) - ) - (wire (pts (xy 214.63 109.22) (xy 214.63 111.76)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3105a3ce-a922-478f-a353-771e76519480) - ) - (wire (pts (xy 81.28 27.94) (xy 81.28 53.34)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 337d1242-91ab-4446-8b9e-7609c6a49e3c) - ) - (wire (pts (xy 198.12 44.45) (xy 203.2 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 38a51d01-4274-44c4-a236-8cac38840c9d) - ) - (wire (pts (xy 68.58 91.44) (xy 81.28 91.44)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3a48d86e-2b93-4963-b69c-9afa6d2b2405) - ) - (polyline (pts (xy 76.2 152.4) (xy 17.78 152.4)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3dbc1b14-20e2-4dcb-8347-d33c13d3f0e0) - ) - - (wire (pts (xy 133.35 176.53) (xy 135.89 176.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3e932326-629d-4229-9744-7dba96c444ba) - ) - (wire (pts (xy 55.88 35.56) (xy 41.91 35.56)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 414f80f7-b2d5-43c3-a018-819efe44fe30) - ) - (polyline (pts (xy 17.78 99.06) (xy 17.78 147.32)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 418dabf6-f5c6-4a9a-a83d-b9bd58618ba9) - ) - (polyline (pts (xy 171.45 125.73) (xy 280.67 125.73)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 42663ec9-bd8e-4873-af28-f2e800ae073e) - ) - - (wire (pts (xy 35.56 107.95) (xy 36.83 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 42e2ead0-1cf3-4ef2-9d43-125cb937a21e) - ) - (wire (pts (xy 203.2 60.96) (xy 198.12 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 43bda124-db2d-4a75-8af4-8cb927c9415c) - ) - (wire (pts (xy 137.16 58.42) (xy 129.54 58.42)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 45a58c23-3e6d-4df0-af01-6d5948b0075c) - ) - (wire (pts (xy 243.84 41.91) (xy 236.22 41.91)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4706cbd5-4b9b-4fdc-967e-b0298f7c0eec) - ) - (wire (pts (xy 73.66 124.46) (xy 81.28 124.46)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 48034820-9d25-4020-8e74-d44c1441e803) - ) - (wire (pts (xy 175.26 85.09) (xy 175.26 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 483a6339-023f-4380-b088-f4c15b1dfb16) - ) - (polyline (pts (xy 76.2 193.04) (xy 76.2 152.4)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4b534cd1-c414-4029-9164-e46766faf60e) - ) - - (wire (pts (xy 203.2 33.02) (xy 203.2 34.29)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5146355b-d187-42d7-bcfd-5946aeb905a6) - ) - (wire (pts (xy 158.75 166.37) (xy 158.75 167.64)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5206328f-de7d-41ba-bad8-f1768b7701cb) - ) - (wire (pts (xy 203.2 52.07) (xy 203.2 53.34)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 52fc2be8-1b89-4cc4-b3cc-41c310ca5d52) - ) - (polyline (pts (xy 17.78 193.04) (xy 76.2 193.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 53ae21b8-f187-4817-8c27-1f06278d249b) - ) - - (wire (pts (xy 129.54 60.96) (xy 137.16 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5641be26-f5e9-482f-8616-297f17f4eae2) - ) - (wire (pts (xy 156.21 176.53) (xy 158.75 176.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5698a460-6e24-4857-84d8-4a43acd2325d) - ) - (wire (pts (xy 55.88 45.72) (xy 73.66 45.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5778dc8c-60fe-435e-b75a-362eae1b81ab) - ) - (wire (pts (xy 201.93 82.55) (xy 201.93 83.82)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5d77de84-25e6-4d1a-9ad5-3900c8221eca) - ) - (polyline (pts (xy 114.3 152.4) (xy 114.3 193.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5dcc3715-6636-4cd7-b121-ae49c29e2b19) - ) - (polyline (pts (xy 171.45 71.12) (xy 171.45 125.73)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5fcb713d-d516-4afc-a911-c5ecdde8734e) - ) - - (wire (pts (xy 135.89 175.26) (xy 135.89 176.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 629fdb7a-7978-43d0-987e-b84465775826) - ) - (wire (pts (xy 265.43 39.37) (xy 265.43 40.64)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 646f3cf7-fde8-47c2-84ac-a5eb8e9841de) - ) - (wire (pts (xy 81.28 114.3) (xy 73.66 114.3)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 653fe367-8004-4901-94fc-821c1fd9776a) - ) - (wire (pts (xy 35.56 127) (xy 36.83 127)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 675c4f34-6153-4762-a47d-cfa7ff489549) - ) - (wire (pts (xy 39.37 170.18) (xy 43.18 170.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 680c3e83-f590-4924-85a1-36d51b076683) - ) - (wire (pts (xy 68.58 88.9) (xy 81.28 88.9)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6941e658-b68e-4f54-b2d5-5f2e83de0ad4) - ) - (wire (pts (xy 179.07 97.79) (xy 179.07 95.25)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 69880f28-ab45-46bf-8eb3-43b3ebe24ef8) - ) - (wire (pts (xy 35.56 120.65) (xy 36.83 120.65)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 69ef4c76-a3ae-4d57-aa12-5a6ab91a4a8b) - ) - (wire (pts (xy 50.8 175.26) (xy 53.34 175.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6e77d4d6-0239-4c20-98f8-23ae4f71d638) - ) - (wire (pts (xy 265.43 49.53) (xy 236.22 49.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6ec10485-d5ca-4517-b1b0-7327aae419bc) - ) - (polyline (pts (xy 114.3 193.04) (xy 172.72 193.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 70274ae2-c41b-4436-8c39-7eb020925b43) - ) - - (wire (pts (xy 40.64 66.04) (xy 81.28 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 750e60a2-e808-4253-8275-b79930fb2714) - ) - (wire (pts (xy 137.16 111.76) (xy 129.54 111.76)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 753124a5-ec00-44f4-bd5c-4886957bc0c0) - ) - (wire (pts (xy 265.43 48.26) (xy 265.43 49.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 79747e80-fed5-4988-ad10-c27b2aedc3a0) - ) - (wire (pts (xy 137.16 73.66) (xy 129.54 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7df9ce6f-7f38-4582-a049-7f92faf1abc9) - ) - (wire (pts (xy 179.07 85.09) (xy 179.07 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8053b5c6-8842-4ee3-bfd7-09128bce1bc2) - ) - (polyline (pts (xy 280.67 68.58) (xy 280.67 15.24)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8204b2ff-6263-4490-90ae-6ff81606c657) - ) - - (wire (pts (xy 55.88 27.94) (xy 41.91 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 84febc35-87fd-4cad-8e04-2b66390cfc12) - ) - (wire (pts (xy 129.54 66.04) (xy 137.16 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 86143bb0-7899-4df8-b1df-baa3c0ac7889) - ) - (polyline (pts (xy 238.76 113.03) (xy 278.13 113.03)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 88c1c5dc-3a2f-4b7a-a2c3-9ab0d7d44025) - ) - - (wire (pts (xy 210.82 60.96) (xy 210.82 49.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8d0c40d1-8378-4772-873c-48dfa5a5cbda) - ) - (wire (pts (xy 137.16 63.5) (xy 129.54 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 90d503cf-92b2-4120-a4b0-03a2eddde893) - ) - (wire (pts (xy 137.16 71.12) (xy 129.54 71.12)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 93afd2e8-e16c-4e06-b872-cf0e624aee35) - ) - (wire (pts (xy 203.2 101.6) (xy 203.2 104.14)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 94051324-f132-408d-b6f9-3dccaef81d18) - ) - (wire (pts (xy 50.8 180.34) (xy 53.34 180.34)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9666bb6a-0c1d-4c92-be6d-94a465ec5c51) - ) - (wire (pts (xy 234.95 111.76) (xy 234.95 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9ab0480b-eea4-4dbc-b00d-13667680ff17) - ) - (wire (pts (xy 243.84 24.13) (xy 223.52 24.13)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9af4de66-a4db-411a-a99c-c67ccd06528a) - ) - (wire (pts (xy 158.75 175.26) (xy 158.75 176.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9c5933cf-1535-4465-90dd-da9b75afcdcf) - ) - (wire (pts (xy 157.48 53.34) (xy 129.54 53.34)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a09cb1c4-cc63-49c7-a35f-4b80c3ba2217) - ) - (wire (pts (xy 236.22 44.45) (xy 243.84 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a4e390b4-ee47-4bb4-8bfe-6a8c6eea02cb) - ) - (polyline (pts (xy 171.45 15.24) (xy 171.45 68.58)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a75a5fcb-b583-41ff-a68b-4d6c36e397b0) - ) - (polyline (pts (xy 53.34 99.06) (xy 53.34 147.32)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid aa7a7244-a10e-40e0-a3fa-9e9f12d8372f) - ) - - (wire (pts (xy 95.25 181.61) (xy 96.52 181.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ad94749a-711a-44b8-9b4b-9921c0b40d95) - ) - (wire (pts (xy 274.32 39.37) (xy 274.32 40.64)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid aea7c361-403d-4945-b632-c39d14613f06) - ) - (wire (pts (xy 210.82 60.96) (xy 203.2 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b014e159-1dbb-4834-8e90-d256c7e60bca) - ) - (wire (pts (xy 68.58 93.98) (xy 81.28 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b38e7aa8-7b2d-4b27-8fcf-f45e7f953f18) - ) - (wire (pts (xy 33.02 45.72) (xy 41.91 45.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b5d84bc0-4d9a-4d1d-a476-5c6b51309fca) - ) - (wire (pts (xy 35.56 114.3) (xy 36.83 114.3)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b800ee9f-456e-4b94-b3f1-e7b9e6163877) - ) - (wire (pts (xy 22.86 175.26) (xy 22.86 166.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b853d9ac-7829-468f-99ac-dc9996502e94) - ) - (wire (pts (xy 135.89 166.37) (xy 135.89 167.64)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b9ba71ae-a537-4491-91b7-492ea8debfeb) - ) - (polyline (pts (xy 278.13 123.19) (xy 238.76 123.19)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid bcee039d-7317-4199-8033-b9d0d0536b7c) - ) - - (wire (pts (xy 39.37 180.34) (xy 43.18 180.34)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid be030c62-e776-405f-97d8-4a4c1aa2e428) - ) - (wire (pts (xy 73.66 127) (xy 81.28 127)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid be118b00-015b-445a-8fc5-7bf35350fda8) - ) - (polyline (pts (xy 78.74 193.04) (xy 111.76 193.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bedd4bb2-4336-4e92-82be-50b1dcf6f009) - ) - - (wire (pts (xy 29.21 175.26) (xy 22.86 175.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c10ace36-a93c-4c08-ac75-059ef9e1f71c) - ) - (polyline (pts (xy 78.74 152.4) (xy 78.74 193.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c6657fb4-5b7a-4a80-9139-a5b38c9f702a) - ) - (polyline (pts (xy 111.76 152.4) (xy 78.74 152.4)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c76eca00-18a9-43c1-bddb-9374cd0a2b08) - ) - - (wire (pts (xy 95.25 175.26) (xy 96.52 175.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cb27464a-2b6c-40a4-8ab4-ff6f5018fe18) - ) - (wire (pts (xy 201.93 81.28) (xy 201.93 82.55)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cb5139ee-ce16-444c-8e60-45a2ae40a0ff) - ) - (polyline (pts (xy 171.45 68.58) (xy 280.67 68.58)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d12199af-82a1-42e8-9edd-bd4e7629bb34) - ) - - (wire (pts (xy 73.66 71.12) (xy 81.28 71.12)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d1d2090c-5d1b-4041-8765-343b19185605) - ) - (wire (pts (xy 81.28 53.34) (xy 81.28 55.88)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d1eebe93-5d32-45e2-8200-064c920e6e63) - ) - (wire (pts (xy 234.95 96.52) (xy 238.76 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d298608e-f3da-4106-9cb1-e0cf2d51caa1) - ) - (polyline (pts (xy 280.67 71.12) (xy 171.45 71.12)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d305a170-7ab2-48f5-9e38-86a1649d8483) - ) - (polyline (pts (xy 17.78 152.4) (xy 17.78 193.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d33c6077-a8ec-48ca-b0e0-97f3539ef54c) - ) - (polyline (pts (xy 17.78 147.32) (xy 53.34 147.32)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d3ba6219-49a5-4783-b0e4-a780dc9865fa) - ) - - (wire (pts (xy 236.22 52.07) (xy 274.32 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d714fbc8-78be-46ee-a7c3-84e37e6ee165) - ) - (wire (pts (xy 95.25 162.56) (xy 96.52 162.56)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid db8ec888-9de2-4b8c-8e4d-c5112f235471) - ) - (polyline (pts (xy 111.76 193.04) (xy 111.76 152.4)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dba136d2-90f0-4ad5-8079-36f14b4d69d8) - ) - - (wire (pts (xy 129.54 121.92) (xy 137.16 121.92)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dbcaf9a0-30f6-40c5-8106-7af5cd389e7c) - ) - (wire (pts (xy 157.48 106.68) (xy 129.54 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dd3da890-32ef-4a5a-aea4-e5d2141f1ff1) - ) - (wire (pts (xy 203.2 101.6) (xy 214.63 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e06e2aa1-3b51-4d0a-8de4-5ceef6ba9665) - ) - (wire (pts (xy 40.64 85.09) (xy 50.8 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e1fe6230-75c5-4750-aaea-24a9b80589d8) - ) - (polyline (pts (xy 278.13 113.03) (xy 278.13 123.19)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid e2b773e4-ad94-425e-97d0-53a47aef1196) - ) - (polyline (pts (xy 172.72 193.04) (xy 172.72 152.4)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e3656032-45e9-4f82-8714-66b2b116a76a) - ) - - (wire (pts (xy 50.8 170.18) (xy 53.34 170.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e46ecd61-0bbe-4b9f-a151-a2cacac5967b) - ) - (wire (pts (xy 175.26 101.6) (xy 194.31 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e5f059b7-543a-4d01-a839-135527104101) - ) - (wire (pts (xy 201.93 101.6) (xy 203.2 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e6d7c3bc-f39e-4ac1-93e0-2ff3df6c6213) - ) - (wire (pts (xy 81.28 60.96) (xy 73.66 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e7376da1-2f59-4570-81e8-46fca0289df0) - ) - (wire (pts (xy 73.66 129.54) (xy 81.28 129.54)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e8312cc4-6502-4783-b578-55c01e0393af) - ) - (wire (pts (xy 175.26 97.79) (xy 175.26 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e88c3236-1eb8-4bfb-8eaf-c9970c6c1ca3) - ) - (wire (pts (xy 50.8 78.74) (xy 81.28 78.74)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ef3a2f4c-5879-4e98-ad30-6b8614410fba) - ) - (wire (pts (xy 35.56 133.35) (xy 36.83 133.35)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid efbf8616-466b-4223-a943-1cb791dbd055) - ) - (polyline (pts (xy 280.67 15.24) (xy 171.45 15.24)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f5d87626-2717-4b75-8ae9-4b2555ec4880) - ) - - (wire (pts (xy 179.07 85.09) (xy 175.26 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f61a552a-1c8d-4e4a-a497-ddf53496bf6e) - ) - (wire (pts (xy 35.56 146.05) (xy 36.83 146.05)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fb172360-4b51-4746-9422-75bd49ab684b) - ) - (polyline (pts (xy 172.72 152.4) (xy 114.3 152.4)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fbb0b552-fb08-401b-8d69-530f2e464c49) - ) - - (wire (pts (xy 16.51 45.72) (xy 25.4 45.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fe9bdc33-eab1-4bdc-9603-57decb38d2a2) - ) - (wire (pts (xy 35.56 139.7) (xy 36.83 139.7)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ff9e1764-6810-434b-85a8-f480f1855a4e) - ) - - (text "Application FPGA" (at 88.9 25.4 0) - (effects (font (size 6 6) (thickness 0.254) bold) (justify left bottom)) - (uuid 003974b6-cb8f-491b-a226-fc7891eb9a62) - ) - (text "Mode\nSyncronous, active high\nSyncronous, active low\nToggle, power-on state = 0\nToggle, power-on state = 1" - (at 250.19 123.19 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 0f33a150-59ae-4553-bc3c-9d875c9d06f8) - ) - (text "Capacitive sensor" (at 217.17 73.66 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1d74167c-2d9b-4f93-959d-cc0cf7a092be) - ) - (text "SPI boot flash" (at 217.17 17.78 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 21636f0f-001d-4a8d-9fb9-b2496d20afed) - ) - (text "FPGA signal notes:\n* APP_ICE* pins are fixed and cannot be changed\n* APP_LED* pins can be swapped within their group\n* All other pins are generic GPIO, and can be reassigned as needed." - (at 63.5 147.32 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 24a3aacb-b48f-43cd-9ee5-f6689c857cfc) - ) - (text "Boot strapping resistors" (at 130.81 154.94 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 27e3c71f-5a63-4710-8adf-b600b805ce02) - ) - (text "AHLB\n0\n1\n0\n1" (at 245.11 123.19 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3b44bf6a-fbe1-4057-954c-becf37a7a594) - ) - (text "Status LED" (at 38.1 154.94 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 60960af7-b938-44a8-82b5-e9c36f2e6817) - ) - (text "Note: Tune C27 for sensitivity" (at 173.99 123.19 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 65f3eece-8699-4f19-9e27-e3ba656f80ee) - ) - (text "Programming test points" (at 22.86 101.6 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a3f137d8-c2d7-4725-bd97-c2291fcda72f) - ) - (text "Mode setting" (at 238.76 111.76 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a671ef8b-6f36-4512-995e-04ff03feb99b) - ) - (text "Note: Any generic SPI flash with >1Mbit capacity is acceptable." - (at 173.99 66.04 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b6822d6e-e847-4666-8fcd-d0e406d1e2da) - ) - (text "Extra GPIO" (at 88.9 154.94 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid cf28700e-7a0c-40eb-b03f-5fb683698211) - ) - (text "TOG\n0\n0\n1\n1" (at 238.76 123.19 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f56e6a0b-7824-46c9-82f1-38ccab0c9ad0) - ) - - (label "APP_FLASH_WP" (at 243.84 49.53 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 0cd577a2-42ef-4733-8afe-9d3894fc9450) - ) - (label "APP_+1.2_PLL" (at 58.42 45.72 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 55fa5fa0-9426-4801-b40c-682e71189d8a) - ) - (label "TOUCH_PAD" (at 180.34 101.6 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7454e4d5-6c2b-40d8-af0b-9db4fbff2923) - ) - (label "APP_FLASH_HOLD" (at 243.84 52.07 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c79a2758-0570-4ed0-acee-e9cd9af92967) - ) - - (global_label "APP_ICE_SCK" (shape input) (at 198.12 60.96 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 025bbe41-7b48-41ab-a547-5c3ab944b117) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 183.4587 60.8806 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_ICE_MOSI" (shape output) (at 243.84 44.45 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 0329f94c-417b-44f4-986d-92f2ba690867) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 259.348 44.3706 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "APP_LED_RED" (shape output) (at 73.66 124.46 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 08da8f18-02c3-4a28-a400-670f01755980) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "APP_GPIO1" (shape bidirectional) (at 95.25 162.56 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 0a898b6b-d131-41d6-b800-d2f51042aaf6) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 82.6448 162.4806 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "INTERFACE_RTS" (shape input) (at 68.58 93.98 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 0b1d8013-78f0-47f3-8b72-884a9f8b4e9f) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 51.9229 93.9006 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_GPIO4" (shape bidirectional) (at 95.25 181.61 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 121ecb0c-ba90-4116-963e-aabcda5830be) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 82.6448 181.5306 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_CRESET" (shape input) (at 137.16 71.12 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 19515fa4-c166-4b6e-837d-c01a89e98000) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "APP_CDONE" (shape output) (at 35.56 139.7 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 29189bbb-55be-4ff3-8f67-84a643f3f8f5) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 22.2896 139.6206 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_LED_RED" (shape output) (at 53.34 170.18 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 35343f32-90ff-4059-a108-111fb444c3d2) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "APP_ICE_MISO" (shape bidirectional) (at 35.56 127 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 36df84bd-7612-4f7b-b680-6344064a6bca) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 20.052 126.9206 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_CRESET" (shape input) (at 35.56 133.35 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 3de1ee7d-e9bb-49ba-be2a-f73e42469367) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 21.6244 133.2706 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_GPIO2" (shape bidirectional) (at 95.25 168.91 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 44be79a5-da03-4010-99c1-226e2bdc0978) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 82.6448 168.8306 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_ICE_SCK" (shape output) (at 137.16 63.5 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 4d51bc15-1f84-46be-8e16-e836b10f854e) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 151.8213 63.4206 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "APP_GPIO1" (shape bidirectional) (at 73.66 109.22 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 5a6ca6b7-a725-4c03-8c8c-1f7bf427d402) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 61.0548 109.1406 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_GPIO4" (shape bidirectional) (at 137.16 111.76 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 5d8f211c-73f1-4716-ac96-37450bfb57d1) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 149.7652 111.8394 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "TOUCH_EVENT" (shape input) (at 137.16 78.74 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 5e52668e-b0bd-4721-9b30-3da0d177c47c) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.3656 78.6606 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "APP_CDONE" (shape output) (at 137.16 73.66 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 6474aa6c-825c-4f0f-9938-759b68df02a5) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "INTERFACE_TX" (shape input) (at 68.58 83.82 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 68d8aebb-3ad3-4d91-bd8e-70e9abf7bbdd) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 53.1929 83.7406 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_ICE_SS" (shape input) (at 198.12 44.45 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 7238ddfb-e468-4449-a89d-5b8c08425df2) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 184.7891 44.3706 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_ICE_MISO" (shape output) (at 137.16 58.42 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 784e3230-2053-4bc9-a786-5ac2bd0df0f5) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.668 58.3406 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "APP_LED_GREEN" (shape output) (at 53.34 175.26 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 7b75907b-b2ae-4362-89fa-d520339aaa5c) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "APP_LED_GREEN" (shape output) (at 73.66 127 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 7c0866b5-b180-4be6-9e62-43f5b191d6d4) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "APP_GPIO3" (shape bidirectional) (at 95.25 175.26 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 89a24b9a-c563-44b3-adb0-8281cba332ae) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 82.6448 175.1806 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_CRESET" (shape input) (at 133.35 176.53 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 8c253e73-ce42-4a84-bca6-bf85b5f8d124) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at -81.28 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "APP_GPIO2" (shape bidirectional) (at 73.66 114.3 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 96cc7ecb-75d1-4eab-8c20-bea35d5d7e1a) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 61.0548 114.2206 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_LED_BLUE" (shape output) (at 53.34 180.34 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 9c0314b1-f82f-432d-95a0-65e191202552) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "APP_GPIO3" (shape bidirectional) (at 137.16 121.92 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 9c6df3ec-9e0e-4040-b211-c975e61fa631) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 149.7652 121.9994 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "APP_ICE_SS" (shape output) (at 137.16 66.04 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 9e18f8b3-9e1a-4022-9224-10c12ca8a28d) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 150.4909 65.9606 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "INTERFACE_CTS" (shape output) (at 68.58 88.9 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 9f6462e9-8671-45d4-a430-ffa3cda80434) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 51.9229 88.8206 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_ICE_MOSI" (shape bidirectional) (at 35.56 120.65 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid acc3716f-1174-45a9-91d1-ec2c154d8ff7) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 20.052 120.5706 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_ICE_MOSI" (shape input) (at 137.16 60.96 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid b1731e91-7698-42fa-ad60-5c60fdd0e1fc) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.668 60.8806 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "TOUCH_EVENT" (shape output) (at 238.76 96.52 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid b1cdcd87-1a85-4770-bfb3-18a91412a339) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 253.9656 96.4406 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "INTERFACE_RX" (shape output) (at 68.58 91.44 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid c26b9b6d-8c45-4c1f-927d-f3b63e0fb498) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 52.8906 91.3606 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_LED_BLUE" (shape output) (at 73.66 129.54 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid c81031ca-cd56-4ea3-b0db-833cbbdd7b2e) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "APP_ICE_MISO" (shape input) (at 243.84 41.91 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid cabe8048-9f6d-4d7f-804c-69110c880c24) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 259.348 41.8306 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "APP_CDONE" (shape output) (at 156.21 176.53 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid dde4c43d-f33e-48ba-86f3-779fdfce00c2) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at -81.28 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "APP_ICE_SCK" (shape bidirectional) (at 35.56 107.95 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid e522b6c4-d309-41a8-bbb3-be68a18a18ec) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 20.8987 107.8706 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "APP_ICE_SS" (shape bidirectional) (at 35.56 114.3 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid f468a87b-04d2-4b64-8a27-d5455bd45d60) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 22.2291 114.2206 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - - (symbol (lib_id "mta1:ICE40UP5K-SG48ITR") (at 104.14 91.44 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006131a243) - (property "Reference" "U6" (id 0) (at 102.87 45.72 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "ICE40UP5K-SG48ITR" (id 1) (at 93.98 48.26 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" (id 2) (at 104.14 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" (id 3) (at 93.98 66.04 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Lattice" (id 4) (at 104.14 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "ICE40UP5K-SG48ITR" (id 5) (at 104.14 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "Digikey" (id 6) (at 104.14 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "220-2145-2-ND" (id 7) (at 104.14 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a203f518-aa71-4b14-99cb-767758973fd7)) - (pin "10" (uuid 211acb84-04af-46f9-8b37-5942b92a5c30)) - (pin "11" (uuid d6fd7593-6eab-4fb5-9980-a5964811ca23)) - (pin "12" (uuid b7205e58-9462-464a-9323-5386a219fa7d)) - (pin "13" (uuid 74c26df3-587d-4243-bb42-a22d8ad7d0cd)) - (pin "14" (uuid d11df5d5-83f9-4785-9aac-8a60191eb1d4)) - (pin "15" (uuid 1589bbd4-1578-4125-a3e7-99bbdd17bdb3)) - (pin "16" (uuid 49ac1b50-2fc6-42bd-a1a4-4bb7d932423f)) - (pin "17" (uuid 0d5ed84b-e57b-4082-a979-b3c837f9347e)) - (pin "18" (uuid 2ea5102d-0361-4bba-934e-88f71e191a43)) - (pin "19" (uuid 8660124b-5090-47f8-be11-d6df6de4753a)) - (pin "2" (uuid 29b95dfc-2a47-4ebc-9445-6b455387f883)) - (pin "20" (uuid 488b33ec-02d4-48a2-adea-b39b3c860220)) - (pin "21" (uuid 8b767695-8fd0-447e-b5e3-b45a29cde6f9)) - (pin "22" (uuid 2f310b40-cd2a-4b4a-a5c3-75e72126e361)) - (pin "23" (uuid a11d2ce4-40a9-47df-b4b4-0670f14d980a)) - (pin "24" (uuid 2dfa8b1b-0f41-4a9e-8cbf-470d7945fd57)) - (pin "25" (uuid f7ca82cc-8329-4f7a-8f4b-85b5e64c9fb5)) - (pin "26" (uuid e2ccc26e-57ba-4d06-8451-728562d01905)) - (pin "27" (uuid 872ab99e-876e-45bc-a425-8d42774d2b06)) - (pin "28" (uuid 2dd88822-46d8-48e3-8061-20a829e916c3)) - (pin "29" (uuid fcac5ced-2656-4c90-886a-cc0b7fa787ce)) - (pin "3" (uuid 9fe60bef-213d-46f7-be72-415b477824b9)) - (pin "30" (uuid 1a023612-c07c-4e86-9aa3-5cf05a659305)) - (pin "31" (uuid 34a62214-7303-4147-90cb-8a37c385d630)) - (pin "32" (uuid c19e2542-60a9-4175-9949-2abf370eb6e5)) - (pin "33" (uuid 3f7e104d-d8c3-4e24-9861-272420f53c2b)) - (pin "34" (uuid 6e568d9b-521c-4462-9ffa-5ffa38b7c5cb)) - (pin "35" (uuid c879e7de-8da9-43d1-a17b-bb97b70f2899)) - (pin "36" (uuid a5eb48cd-8440-4566-b518-b6e2a9e3e8d0)) - (pin "37" (uuid c0c766e9-e88d-494f-8314-1917fa2ec970)) - (pin "38" (uuid 9018df96-4440-4b43-93b2-2830d8097548)) - (pin "39" (uuid 8268a12a-3a74-4905-bfc2-3c25f5b34acb)) - (pin "4" (uuid eec1d0e0-f13d-4847-ab9b-06f81c6f3eb3)) - (pin "40" (uuid d7d1b1c3-4fa5-4ec3-b553-09645f6a24cf)) - (pin "41" (uuid 4889af1b-c8ff-4f8b-b9b1-c0955080de75)) - (pin "42" (uuid b20479ae-8d71-45bc-b3d2-d61a4b857bb0)) - (pin "43" (uuid cf1e217c-8efa-4a5d-9bd5-474a24c3355d)) - (pin "44" (uuid f2632247-31a5-4afb-a76b-8ec7549dc9ef)) - (pin "45" (uuid eab73e3c-a7de-45c5-8e73-20f4a0c968ba)) - (pin "46" (uuid 59065db4-0a13-4e04-941d-b09b118f73e7)) - (pin "47" (uuid de1ea028-63a9-41ac-a1d0-298f3347a9c7)) - (pin "48" (uuid f63829d0-b0b2-43d7-81b4-a46e43292e9b)) - (pin "49" (uuid b4257229-bc02-4093-b3d9-41a5771fd747)) - (pin "5" (uuid 58476a88-cff4-4776-942d-49efbf570585)) - (pin "6" (uuid c72f49b8-3b9b-44a2-bb44-25315ffb7eed)) - (pin "7" (uuid abc84c16-ece1-4c0b-b653-fad052cb46eb)) - (pin "8" (uuid 5d705702-23ba-4004-9200-60a876af0b0d)) - (pin "9" (uuid 14542fec-2073-4af9-b884-7884d3681d64)) - ) - - (symbol (lib_id "Device:R") (at 135.89 171.45 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006138caff) - (property "Reference" "R20" (id 0) (at 137.668 170.2816 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10k" (id 1) (at 137.668 172.593 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 134.112 171.45 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 135.89 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 135.89 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 135.89 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 53ed8089-f481-4e3e-a3e5-c69aa52119e0)) - (pin "2" (uuid bfb5e7c3-ec60-41c0-a79b-ada084d506c2)) - ) - - (symbol (lib_id "Device:R") (at 158.75 171.45 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006138f043) - (property "Reference" "R19" (id 0) (at 160.528 170.2816 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10k" (id 1) (at 160.528 172.593 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 156.972 171.45 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 158.75 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 158.75 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 158.75 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b3b59522-1b11-4878-8041-7a56150c9cbe)) - (pin "2" (uuid 3a6ea4d6-2426-41d6-a74a-40dae971b275)) - ) - - (symbol (lib_id "power:+3.3V") (at 158.75 166.37 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006138f04b) - (property "Reference" "#PWR049" (id 0) (at 158.75 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 159.131 161.9758 0)) - (property "Footprint" "" (id 2) (at 158.75 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 158.75 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b56461d6-c2c2-494c-986b-e5829b205971)) - ) - - (symbol (lib_id "power:+2V5") (at 40.64 66.04 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006138f580) - (property "Reference" "#PWR040" (id 0) (at 40.64 69.85 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+2V5" (id 1) (at 41.021 61.6458 0)) - (property "Footprint" "" (id 2) (at 40.64 66.04 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 40.64 66.04 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 51704af3-eba9-423c-9412-d64815d32552)) - ) - - (symbol (lib_id "power:+1V2") (at 41.91 27.94 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006138fc2a) - (property "Reference" "#PWR038" (id 0) (at 41.91 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+1V2" (id 1) (at 42.291 23.5458 0)) - (property "Footprint" "" (id 2) (at 41.91 27.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 41.91 27.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 66950b6c-bb56-46d2-a85a-1c0ba8314796)) - ) - - (symbol (lib_id "power:GND") (at 41.91 35.56 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006155307d) - (property "Reference" "#GND022" (id 0) (at 41.91 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 42.037 39.9542 0)) - (property "Footprint" "" (id 2) (at 41.91 35.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 41.91 35.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7b4900dc-bcd0-4072-9695-79226fc4fe2a)) - ) - - (symbol (lib_id "power:GND") (at 41.91 53.34 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006155308a) - (property "Reference" "#GND023" (id 0) (at 41.91 59.69 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 42.037 57.7342 0)) - (property "Footprint" "" (id 2) (at 41.91 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 41.91 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 81c43b45-356d-49a3-970b-675531fefdf8)) - ) - - (symbol (lib_id "Device:C") (at 157.48 57.15 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000615530a2) - (property "Reference" "C21" (id 0) (at 160.401 55.9816 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 160.401 58.293 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 158.4452 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 157.48 57.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 157.48 57.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 157.48 57.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 1c790aed-ff42-4acb-94e3-780c3781b07c)) - (pin "2" (uuid 702f05a4-fae3-4f6c-99ae-b98c29fc4287)) - ) - - (symbol (lib_id "Device:C") (at 40.64 88.9 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000615530a8) - (property "Reference" "C19" (id 0) (at 43.561 87.7316 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 43.561 90.043 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 41.6052 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 40.64 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 40.64 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 40.64 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 2ca01c33-e841-4b2a-9b0d-ae7494e57d9e)) - (pin "2" (uuid 101c9541-312a-443a-8575-709412b36c04)) - ) - - (symbol (lib_id "mta1:FC-B1010RGBT-HG") (at 34.29 175.26 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000615e01fa) - (property "Reference" "D3" (id 0) (at 34.29 162.6362 0)) - (property "Value" "LED_ARGB" (id 1) (at 34.29 164.9476 0)) - (property "Footprint" "mta1:0402rgb-1010" (id 2) (at 34.29 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 34.29 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Foshan NationStar" (id 4) (at 34.29 175.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "FC-B1010RGBT-HG" (id 5) (at 34.29 175.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "LCSC" (id 6) (at 34.29 175.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "C158099" (id 7) (at 34.29 175.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 80609c0c-2452-440b-a294-83998571cdad)) - (pin "2" (uuid 3fbb6330-0581-499f-9f36-f36e017e6fec)) - (pin "3" (uuid 7aacff48-474b-4b07-91d1-3ae2bb730a02)) - (pin "4" (uuid 637d5b97-3b49-4bf0-abc5-0c2edd930838)) - ) - - (symbol (lib_id "Device:R") (at 46.99 170.18 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000615e0200) - (property "Reference" "R16" (id 0) (at 44.45 167.64 90)) - (property "Value" "1k" (id 1) (at 49.53 167.64 90)) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 46.99 168.402 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 46.99 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 46.99 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 46.99 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid cf543218-01b6-4dd3-a9ea-53148c8662c0)) - (pin "2" (uuid c9345459-ed87-46f0-b24e-2fe29b373568)) - ) - - (symbol (lib_id "power:+3.3V") (at 22.86 166.37 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000615e021d) - (property "Reference" "#PWR037" (id 0) (at 22.86 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 23.241 161.9758 0)) - (property "Footprint" "" (id 2) (at 22.86 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 22.86 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 4356c024-325b-4703-9726-6918a038d4aa)) - ) - - (symbol (lib_id "power:+3.3V") (at 157.48 53.34 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000615f7d0f) - (property "Reference" "#PWR045" (id 0) (at 157.48 57.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 157.861 48.9458 0)) - (property "Footprint" "" (id 2) (at 157.48 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 157.48 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 90086944-cefc-4611-91d8-4a25097ca817)) - ) - - (symbol (lib_id "power:+3.3V") (at 157.48 106.68 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000615f8e26) - (property "Reference" "#PWR046" (id 0) (at 157.48 110.49 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 157.861 102.2858 0)) - (property "Footprint" "" (id 2) (at 157.48 106.68 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 157.48 106.68 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 900b1186-41b2-4267-a81a-0b14053e9b25)) - ) - - (symbol (lib_id "power:+3.3V") (at 40.64 85.09 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000615f9e61) - (property "Reference" "#PWR041" (id 0) (at 40.64 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 41.021 80.6958 0)) - (property "Footprint" "" (id 2) (at 40.64 85.09 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 40.64 85.09 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 16d82530-61e1-4817-a075-0259e2cbf3e9)) - ) - - (symbol (lib_id "power:GND") (at 40.64 92.71 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000061681e90) - (property "Reference" "#GND025" (id 0) (at 40.64 99.06 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 40.767 97.1042 0)) - (property "Footprint" "" (id 2) (at 40.64 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 40.64 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid cb5c7de6-c027-4f9f-b47b-7f9cea14adb5)) - ) - - (symbol (lib_id "mta1:Ferrite_Bead-Device") (at 29.21 45.72 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617217ef) - (property "Reference" "FB3" (id 0) (at 29.21 41.91 90)) - (property "Value" "BLM18KG300TN1D" (id 1) (at 29.21 50.8 90)) - (property "Footprint" "mta1:Ferritbead_0603_1608Metric" (id 2) (at 29.21 43.942 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 29.21 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Murata" (id 4) (at 29.21 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "BLM18KG300TN1D" (id 5) (at 29.21 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "Digikey" (id 6) (at 29.21 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "490-5447-1-ND" (id 7) (at 29.21 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 5e8bad9a-aa35-4151-981c-9465de4bd104)) - (pin "2" (uuid 667a068b-b3fd-422c-a0a7-dd62e92f866b)) - ) - - (symbol (lib_id "Device:C") (at 41.91 49.53 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617217f5) - (property "Reference" "C25" (id 0) (at 44.831 48.3616 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10uF" (id 1) (at 44.831 50.673 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 42.8752 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 41.91 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 41.91 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "10V,X5R,20%" (id 5) (at 41.91 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 0c1db64c-2e51-493f-b239-1aad3d23a759)) - (pin "2" (uuid e273d73f-8139-45f5-8134-aa0879a1a97c)) - ) - - (symbol (lib_id "power:+1V2") (at 16.51 45.72 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006172ecd3) - (property "Reference" "#PWR036" (id 0) (at 16.51 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+1V2" (id 1) (at 16.891 41.3258 0)) - (property "Footprint" "" (id 2) (at 16.51 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 16.51 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 13805148-4cae-4eba-8f0b-3699a6bd6775)) - ) - - (symbol (lib_id "Device:C") (at 157.48 110.49 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f14fd) - (property "Reference" "C22" (id 0) (at 160.401 109.3216 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 160.401 111.633 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 158.4452 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 157.48 110.49 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 157.48 110.49 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 157.48 110.49 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 4a2c1bdf-0a71-44a4-8d8b-b79de8e9e31e)) - (pin "2" (uuid aa60ebf9-743b-4fb7-972f-d11c3bc8f8fb)) - ) - - (symbol (lib_id "Device:C") (at 41.91 31.75 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f14fe) - (property "Reference" "C16" (id 0) (at 44.831 30.5816 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 44.831 32.893 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 42.8752 35.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 41.91 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 41.91 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 41.91 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a48083fc-38dd-482c-9ace-494bc5fa6d69)) - (pin "2" (uuid f8d049d9-590d-481a-a9d0-d6dee152894c)) - ) - - (symbol (lib_id "Device:C") (at 55.88 49.53 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f1500) - (property "Reference" "C17" (id 0) (at 58.801 48.3616 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 58.801 50.673 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 56.8452 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 55.88 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 55.88 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 55.88 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 9b8ecbeb-d237-48e9-998b-0c7c2512da82)) - (pin "2" (uuid 27b2f6f4-8398-4215-90e1-4f8bee0ce4aa)) - ) - - (symbol (lib_id "power:GND") (at 40.64 73.66 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f1502) - (property "Reference" "#GND024" (id 0) (at 40.64 80.01 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 40.767 78.0542 0)) - (property "Footprint" "" (id 2) (at 40.64 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 40.64 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 92630609-c40d-4375-8262-a708a73e319b)) - ) - - (symbol (lib_id "Device:C") (at 40.64 69.85 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f1503) - (property "Reference" "C18" (id 0) (at 43.561 68.6816 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 43.561 70.993 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 41.6052 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 40.64 69.85 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 40.64 69.85 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 40.64 69.85 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d35de69a-dc3f-4d4d-990b-eb1d7d7b872a)) - (pin "2" (uuid 928705e0-a86d-447c-b1be-dde9b02a20da)) - ) - - (symbol (lib_id "Device:C") (at 55.88 31.75 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f1506) - (property "Reference" "C20" (id 0) (at 58.801 30.5816 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 58.801 32.893 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 56.8452 35.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 55.88 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 55.88 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 55.88 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 1222ae44-4df0-4a86-9536-902c34d43ead)) - (pin "2" (uuid 88f3b249-9419-4564-8361-9ed13e8b09d9)) - ) - - (symbol (lib_id "Device:R") (at 46.99 175.26 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f150b) - (property "Reference" "R17" (id 0) (at 44.45 172.72 90)) - (property "Value" "1k" (id 1) (at 49.53 172.72 90)) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 46.99 173.482 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 46.99 175.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 46.99 175.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 46.99 175.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 15656228-0176-4d42-865e-c3fdb32e59eb)) - (pin "2" (uuid 309ebee5-2360-490e-ae34-549c5eb6eee2)) - ) - - (symbol (lib_id "Device:R") (at 46.99 180.34 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f150c) - (property "Reference" "R18" (id 0) (at 44.45 177.8 90)) - (property "Value" "1k" (id 1) (at 49.53 177.8 90)) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 46.99 178.562 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 46.99 180.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 46.99 180.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 46.99 180.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f09551d9-20f7-47c0-8535-4192750f9009)) - (pin "2" (uuid 4411327a-d242-4a4e-b683-ede39e81bddf)) - ) - - (symbol (lib_id "power:GND") (at 157.48 114.3 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f1516) - (property "Reference" "#GND027" (id 0) (at 157.48 120.65 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 157.607 118.6942 0)) - (property "Footprint" "" (id 2) (at 157.48 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 157.48 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a2c368eb-b056-41cb-8f26-73f08b0760ab)) - ) - - (symbol (lib_id "power:GND") (at 157.48 60.96 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f1517) - (property "Reference" "#GND026" (id 0) (at 157.48 67.31 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 157.607 65.3542 0)) - (property "Footprint" "" (id 2) (at 157.48 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 157.48 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 013a8155-601a-43ee-9eaf-091d52a0631b)) - ) - - (symbol (lib_id "Device:C") (at 179.07 91.44 180) (unit 1) - (in_bom yes) (on_board yes) - (uuid 0f8bd06f-60b1-4be8-90d9-1a7f1cd60020) - (property "Reference" "C8" (id 0) (at 182.88 90.17 0)) - (property "Value" "1uF" (id 1) (at 186.69 92.71 0)) - (property "Footprint" "Capacitor_SMD:C_1206_3216Metric" (id 2) (at 178.1048 87.63 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 179.07 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "50V,X7R,10%,1.6mm thickness" (id 4) (at 179.07 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "TDK Corporation" (id 5) (at 179.07 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "C3216X7R1H105K160AE" (id 6) (at 179.07 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "Digikey" (id 7) (at 179.07 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "445-8904-2-ND" (id 8) (at 179.07 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a369e2da-4075-4f0c-a909-dceb52c2dd26)) - (pin "2" (uuid 6ad55953-7a86-4bfb-a23b-dcf3a404bbd3)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 36.83 127 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 0f9d611d-221f-42fe-a161-07c0ff52c542) - (property "Reference" "TP15" (id 0) (at 38.3032 124.0028 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_ICE_MISO" (id 1) (at 38.3032 126.3142 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 127 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 41.91 127 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f92730e2-e5dc-4eff-86da-4195bd82ce4e)) - ) - - (symbol (lib_id "power:+3.3V") (at 274.32 39.37 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 108707ea-61b9-473e-b912-26cc352df875) - (property "Reference" "#PWR028" (id 0) (at 274.32 43.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 274.701 34.9758 0)) - (property "Footprint" "" (id 2) (at 274.32 39.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 274.32 39.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 93fadcd1-2a60-4e0a-8b5d-9ce1d81073e4)) - ) - - (symbol (lib_id "Device:C") (at 243.84 27.94 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 17dff2a5-14b1-4b13-b0b5-dee2988a7fcc) - (property "Reference" "C23" (id 0) (at 246.761 26.7716 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 246.761 29.083 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 244.8052 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 243.84 27.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 243.84 27.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 243.84 27.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid fd7eb3ba-e2fe-42b9-a851-07218753eaf6)) - (pin "2" (uuid 08fd27dc-b457-47d2-8618-aca6877ce31e)) - ) - - (symbol (lib_id "Device:R") (at 265.43 44.45 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 1dc40799-6ae3-4c4a-b25a-16b0a8f8d3c0) - (property "Reference" "R25" (id 0) (at 267.208 43.2816 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10k" (id 1) (at 267.208 45.593 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 263.652 44.45 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 265.43 44.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 265.43 44.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 265.43 44.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid c499ade5-baa5-423a-824c-967635ae434a)) - (pin "2" (uuid e1e9150d-7df2-443d-9dfe-5dece867a39a)) - ) - - (symbol (lib_id "power:+3.3V") (at 265.43 39.37 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 1f9c54e3-462b-47fe-b9a3-d8744999c184) - (property "Reference" "#PWR027" (id 0) (at 265.43 43.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 265.811 34.9758 0)) - (property "Footprint" "" (id 2) (at 265.43 39.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 265.43 39.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid bf95a126-cc2e-4eb7-b319-f7e3146b9cd2)) - ) - - (symbol (lib_id "mta1:PT2043AT6") (at 224.79 102.87 0) (unit 1) - (in_bom yes) (on_board yes) (fields_autoplaced) - (uuid 206cf77e-9615-45a1-84e2-dd89660f9255) - (property "Reference" "U10" (id 0) (at 224.79 90.17 0)) - (property "Value" "" (id 1) (at 224.79 92.71 0)) - (property "Footprint" "" (id 2) (at 203.2 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 203.2 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "PinTeng" (id 4) (at 224.79 102.87 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "PT2043AT6" (id 5) (at 224.79 102.87 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "LCSC" (id 6) (at 224.79 102.87 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "C2914233" (id 7) (at 224.79 102.87 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7ac2a652-e9d2-4fea-8a5f-bf0a64705bd1)) - (pin "2" (uuid e043f7bc-83fb-4bb0-b408-187776366125)) - (pin "3" (uuid 4eeac86b-f365-4630-8ad0-799807b0ae71)) - (pin "4" (uuid 335f474a-4ced-442d-9f12-0d5604b4a52b)) - (pin "5" (uuid 81bf64a9-7c0d-45e0-9076-87ebec2ecf6d)) - (pin "6" (uuid 27075fea-b7ef-4891-9008-893d2021c62f)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 36.83 139.7 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 32f54cf3-1e0f-4aaa-b508-267b9568217c) - (property "Reference" "TP17" (id 0) (at 38.3032 136.7028 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_CDONE" (id 1) (at 38.3032 139.0142 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 139.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 41.91 139.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8185b808-3d63-450d-a2d5-c7e9c6507264)) - ) - - (symbol (lib_id "Device:C") (at 203.2 107.95 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 391c56db-bc39-4ec7-bb45-dcbe1aaf2b1a) - (property "Reference" "C27" (id 0) (at 206.121 106.7816 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "1pF" (id 1) (at 206.121 109.093 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 204.1652 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 203.2 107.95 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 203.2 107.95 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 203.2 107.95 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 5aed2f62-37cb-4bcf-8a27-15a25a6cc984)) - (pin "2" (uuid fd9bbb3d-18d7-4cd1-8f7c-17c8d05cb3e0)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 36.83 120.65 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 3c9864fc-0a77-4fe0-8c96-c0260a516ba1) - (property "Reference" "TP14" (id 0) (at 38.3032 117.6528 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_ICE_MOSI" (id 1) (at 38.3032 119.9642 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 120.65 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 41.91 120.65 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid bad428bf-003b-41b9-99ab-e99152a71f46)) - ) - - (symbol (lib_id "power:+3.3V") (at 203.2 33.02 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 49c3622e-071c-4c17-98b8-dcd7768c951d) - (property "Reference" "#PWR011" (id 0) (at 203.2 36.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 203.581 28.6258 0)) - (property "Footprint" "" (id 2) (at 203.2 33.02 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 203.2 33.02 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 744b4d8f-f27a-401f-94ac-7aa09acf4fd3)) - ) - - (symbol (lib_id "power:GND") (at 214.63 111.76 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 62d3b20b-9f61-410e-af5d-e367b9b7c6a2) - (property "Reference" "#GND028" (id 0) (at 214.63 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 214.757 116.1542 0)) - (property "Footprint" "" (id 2) (at 214.63 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 214.63 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid fc6e2aac-fb0f-40af-8606-5c939c68853f)) - ) - - (symbol (lib_id "power:GND") (at 201.93 91.44 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 63263417-b18d-4afb-baba-9adc94489e6d) - (property "Reference" "#GND019" (id 0) (at 201.93 97.79 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 202.057 95.8342 0)) - (property "Footprint" "" (id 2) (at 201.93 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 201.93 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 198262b8-e567-408b-b093-1f3e07f4abec)) - ) - - (symbol (lib_id "Device:R") (at 198.12 101.6 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid 6bc1edc9-8890-4578-b994-f654ccefb54e) - (property "Reference" "R30" (id 0) (at 195.58 99.06 90)) - (property "Value" "2k" (id 1) (at 200.66 99.06 90)) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 198.12 99.822 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 198.12 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 198.12 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,1%" (id 5) (at 198.12 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 5c07d25d-4ea4-4ce5-ade6-e231d263196b)) - (pin "2" (uuid 96bf715e-d467-4e40-b776-d75f84834d6c)) - ) - - (symbol (lib_id "power:+3.3V") (at 223.52 24.13 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 6c864d85-37de-41d9-a2ed-9e7d556bb188) - (property "Reference" "#PWR022" (id 0) (at 223.52 27.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 223.901 19.7358 0)) - (property "Footprint" "" (id 2) (at 223.52 24.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 223.52 24.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 5583cd91-5a29-48e5-ae9b-ca9814203ee7)) - ) - - (symbol (lib_id "power:GND") (at 223.52 58.42 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 727753b4-f966-45cc-89b3-3467a9b495c8) - (property "Reference" "#PWR026" (id 0) (at 223.52 64.77 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 223.647 62.8142 0)) - (property "Footprint" "" (id 2) (at 223.52 58.42 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 223.52 58.42 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid c6f686aa-790c-4464-9bc4-53e278e6d7aa)) - ) - - (symbol (lib_id "Device:R") (at 274.32 44.45 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 7732c5e0-271e-4de5-9ee2-962192432222) - (property "Reference" "R26" (id 0) (at 276.098 43.2816 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10k" (id 1) (at 276.098 45.593 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 272.542 44.45 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 274.32 44.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 274.32 44.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 274.32 44.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 0adca7f7-e639-4a15-b581-be7934836bec)) - (pin "2" (uuid 8dcf2234-5752-4911-b870-567ad7b25133)) - ) - - (symbol (lib_id "power:+3.3V") (at 135.89 166.37 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 7e3375ec-ba03-419e-91de-403da04c426c) - (property "Reference" "#PWR0113" (id 0) (at 135.89 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 136.271 161.9758 0)) - (property "Footprint" "" (id 2) (at 135.89 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 135.89 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 93ee5c31-4456-40ca-a207-06d7442b2b2d)) - ) - - (symbol (lib_id "Device:C") (at 201.93 87.63 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 8474ba4a-e004-4036-b038-4ff6552cee7d) - (property "Reference" "C26" (id 0) (at 204.851 86.4616 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 204.851 88.773 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 202.8952 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 201.93 87.63 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 201.93 87.63 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 201.93 87.63 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 17c85b10-fb6c-4c65-a2bb-235f8a8e6c16)) - (pin "2" (uuid 1bed94cf-998a-4278-897a-12037110f80e)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 96.52 168.91 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 855a271d-d14e-4340-88ef-5eb1d2805d77) - (property "Reference" "TP19" (id 0) (at 97.9932 165.9128 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_GPIO2" (id 1) (at 97.9932 168.2242 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 101.6 168.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 101.6 168.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f4e0208c-0521-4a50-abdc-38016cc53aaf)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 96.52 175.26 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 8aa5c85f-46b3-4ac4-bd48-3e3352ebb3f6) - (property "Reference" "TP20" (id 0) (at 97.9932 172.2628 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_GPIO3" (id 1) (at 97.9932 174.5742 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 101.6 175.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 101.6 175.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d92faf97-4d0d-45ac-9d54-07cb2cf9e4e5)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 96.52 181.61 0) (unit 1) - (in_bom no) (on_board yes) - (uuid a6d52094-2dbe-49fa-99c1-8b125d3ae4c6) - (property "Reference" "TP21" (id 0) (at 97.9932 178.6128 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_GPIO4" (id 1) (at 97.9932 180.9242 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 101.6 181.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 101.6 181.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 2b52f0ea-df3a-4715-bfe8-82decca12914)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 36.83 146.05 0) (unit 1) - (in_bom no) (on_board yes) - (uuid ab35f289-cbe7-449b-a15d-459a3b4e9240) - (property "Reference" "TP5" (id 0) (at 38.3032 143.0528 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_GND" (id 1) (at 38.3032 145.3642 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 41.91 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 62420d60-cdd1-489e-b5cf-8a3a7a0d4993)) - ) - - (symbol (lib_id "power:GND") (at 243.84 31.75 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid b1a11e45-92cc-431d-8cf7-703ad06727e6) - (property "Reference" "#GND09" (id 0) (at 243.84 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 243.967 36.1442 0)) - (property "Footprint" "" (id 2) (at 243.84 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 243.84 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 0855f4b6-f511-4651-a81d-aa8f47c8991f)) - ) - - (symbol (lib_id "power:GND") (at 35.56 146.05 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid b1b5e825-ddfe-4e1f-ada8-89efd01d7e58) - (property "Reference" "#GND030" (id 0) (at 29.21 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 31.1658 146.177 0)) - (property "Footprint" "" (id 2) (at 35.56 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 35.56 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8084958f-70ff-49b3-b191-9099e39f9334)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 36.83 107.95 0) (unit 1) - (in_bom no) (on_board yes) - (uuid b84f4f68-cc10-43a0-962e-10a1890e7eaf) - (property "Reference" "TP12" (id 0) (at 38.3032 104.9528 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_ICE_SCK" (id 1) (at 38.3032 107.2642 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 107.95 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 41.91 107.95 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 6ee4fd8b-eba6-4885-a7c5-67b88353c850)) - ) - - (symbol (lib_id "power:GND") (at 203.2 113.03 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid b889953b-c916-4107-8112-566c6919744d) - (property "Reference" "#GND021" (id 0) (at 203.2 119.38 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 203.327 117.4242 0)) - (property "Footprint" "" (id 2) (at 203.2 113.03 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 203.2 113.03 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b5f32c4c-7fe2-4bb8-b81b-78e3c16d0e46)) - ) - - (symbol (lib_id "power:GND") (at 73.66 71.12 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid bd9ce2d7-a742-4270-aa1d-0ad8b18d7e79) - (property "Reference" "#GND0103" (id 0) (at 73.66 77.47 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 73.787 75.5142 0)) - (property "Footprint" "" (id 2) (at 73.66 71.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 73.66 71.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 5b52d0ac-0398-4bb3-9cd4-b9ff2429735b)) - ) - - (symbol (lib_id "power:+3.3V") (at 203.2 52.07 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid cf5d65ff-1235-4993-8f68-bb2914b1df4c) - (property "Reference" "#PWR021" (id 0) (at 203.2 55.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 203.581 47.6758 0)) - (property "Footprint" "" (id 2) (at 203.2 52.07 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 203.2 52.07 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid bb38c0a5-e34a-4245-9455-49a2a69c6d2d)) - ) - - (symbol (lib_id "Device:R") (at 203.2 57.15 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid d3227fe1-e3fb-433e-8be2-5ff5302bdec8) - (property "Reference" "R24" (id 0) (at 204.978 55.9816 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10k" (id 1) (at 204.978 58.293 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 201.422 57.15 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 203.2 57.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 203.2 57.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 203.2 57.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f15a3f70-7e02-4a9d-ab2b-1348eee2cddd)) - (pin "2" (uuid 2b5bc962-830f-40bc-852f-987867af017f)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 36.83 133.35 0) (unit 1) - (in_bom no) (on_board yes) - (uuid d7bf813c-bb4e-4ed8-b86f-d9ec1d86656d) - (property "Reference" "TP16" (id 0) (at 38.3032 130.3528 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_CRESET" (id 1) (at 38.3032 132.6642 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 133.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 41.91 133.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 74385b70-2bb7-4565-ab12-0c0ff48ffb90)) - ) - - (symbol (lib_id "power:GND") (at 234.95 111.76 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid dd7d4f71-4b84-459a-a048-8d2180afb73f) - (property "Reference" "#GND029" (id 0) (at 234.95 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 235.077 116.1542 0)) - (property "Footprint" "" (id 2) (at 234.95 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 234.95 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 84601c7d-8939-4331-9fe0-a3af69c506f9)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 96.52 162.56 0) (unit 1) - (in_bom no) (on_board yes) - (uuid e7d44998-7d27-4371-9f3d-0a968eddee9d) - (property "Reference" "TP18" (id 0) (at 97.9932 159.5628 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_GPIO1" (id 1) (at 97.9932 161.8742 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 101.6 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 101.6 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 67c84900-f0bc-4178-b066-cd7e9287edf9)) - ) - - (symbol (lib_id "power:+3.3V") (at 201.93 81.28 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid f2d3dec6-f2ba-4093-96f3-67d1f7fcb35c) - (property "Reference" "#PWR029" (id 0) (at 201.93 85.09 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 202.311 76.8858 0)) - (property "Footprint" "" (id 2) (at 201.93 81.28 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 201.93 81.28 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 47fc54b1-56b3-4767-aecd-cc31979f15b4)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 36.83 114.3 0) (unit 1) - (in_bom no) (on_board yes) - (uuid f77c0061-34af-41f5-8a00-bb8ae07fe922) - (property "Reference" "TP13" (id 0) (at 38.3032 111.3028 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "APP_ICE_SS" (id 1) (at 38.3032 113.6142 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 41.91 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f1bf1def-702f-41d9-86f4-3c6d665b9300)) - ) - - (symbol (lib_id "mta1:W25Q80DVUXIE") (at 223.52 46.99 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid fb1bfba7-59bf-4387-b0fd-53ae34c08235) - (property "Reference" "U8" (id 0) (at 224.79 34.29 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "W25Q80DVUXIE" (id 1) (at 224.79 36.83 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:W25Q80DVUXIE" (id 2) (at 223.52 46.99 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.winbond.com/resource-files/w25x40cl_f%2020140325.pdf" (id 3) (at 223.52 46.99 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Winbond Electronics" (id 4) (at 223.52 46.99 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "W25Q80DVUXIE" (id 5) (at 223.52 46.99 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "Digikey" (id 6) (at 223.52 46.99 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "W25Q80DVUXIETR-ND" (id 7) (at 223.52 46.99 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b6769fb1-3cef-4b2a-9230-4852238c61db)) - (pin "2" (uuid 7e5100ef-1573-4c23-8a6c-c173aaaf89b7)) - (pin "3" (uuid 7798d880-86a0-4ea6-a19c-62585563cad2)) - (pin "4" (uuid 1c7a81ce-9f4d-4a92-a7c0-9ee88ff238c2)) - (pin "5" (uuid 61c1725e-d273-475d-9660-67d272c546a3)) - (pin "6" (uuid e37c3e85-2c67-4273-8298-e6fe135207e9)) - (pin "7" (uuid 771bb791-6799-4acd-8494-791716f06928)) - (pin "8" (uuid 7ffddda5-1c81-47a0-909e-fcec6a78a851)) - (pin "9" (uuid ecedc1a8-6428-455a-a470-58e1c1603d50)) - ) - - (symbol (lib_id "Device:R") (at 203.2 38.1 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid fe6cb91d-e57b-4761-a6eb-995b9ad40281) - (property "Reference" "R23" (id 0) (at 204.978 36.9316 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10k" (id 1) (at 204.978 39.243 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 201.422 38.1 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 203.2 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 203.2 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 203.2 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 00c18d7b-20ab-46ba-9d73-6fdb95280406)) - (pin "2" (uuid 61ed56bb-5660-4ee7-9955-20383fee3be6)) - ) -) +(kicad_sch (version 20211123) (generator eeschema) + + (uuid e42fd0d4-9927-4308-81d9-4cca814c8ea9) + + (paper "A4") + + (title_block + (title "Application FPGA") + (date "2021-11-14") + (rev "V1") + (company "Tillitis AB") + (comment 1 "2022") + ) + + (lib_symbols + (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "TP" (id 0) (at 0 6.858 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TestPoint" (id 1) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "test point" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TestPoint_0_1" + (circle (center 0 3.302) (radius 0.762) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "TestPoint_1_1" + (pin passive line (at 0 0 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "C" (id 0) (at 0.635 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C" (id 1) (at 0.635 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) + (xy 2.032 -0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.762) + (xy 2.032 0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "C_1_1" + (pin passive line (at 0 3.81 270) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (rectangle (start -1.016 -2.54) (end 1.016 2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "mta1:1674954-1" (pin_names (offset 0.762)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 16.51 7.62 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1674954-1" (id 1) (at 16.51 5.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "1674954-1" (id 2) (at 16.51 2.54 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=6-1773460-8_Spring_Fingers&DocType=Data+Sheet&DocLang=English&PartCntxt=1674954-1&DocFormat=pdf" (id 3) (at 16.51 0 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Description" "AMP - TE CONNECTIVITY - 1674954-1 - SPRING FINGER, MOBILE PHONES" (id 4) (at 16.51 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Height" "" (id 5) (at 16.51 -5.08 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Mouser Part Number" "571-1674954-1" (id 6) (at 16.51 -7.62 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Mouser Price/Stock" "https://www.mouser.co.uk/ProductDetail/TE-Connectivity/1674954-1?qs=o4qE4s2E%252BcyEbD%252ByxeI18A%3D%3D" (id 7) (at 16.51 -10.16 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Manufacturer_Name" "TE Connectivity" (id 8) (at 16.51 -12.7 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Manufacturer_Part_Number" "1674954-1" (id 9) (at 16.51 -15.24 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "ki_description" "AMP - TE CONNECTIVITY - 1674954-1 - SPRING FINGER, MOBILE PHONES" (id 10) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "1674954-1_0_0" + (pin passive line (at 0 0 0) (length 5.08) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "1674954-1_0_1" + (polyline + (pts + (xy 5.08 2.54) + (xy 15.24 2.54) + (xy 15.24 -2.54) + (xy 5.08 -2.54) + (xy 5.08 2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + ) + (symbol "mta1:FC-B1010RGBT-HG" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 9.398 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "FC-B1010RGBT-HG" (id 1) (at 0 -8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "mta1:0402rgb-1010" (id 2) (at 1.27 -11.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "FC-B1010RGBT-HG_0_0" + (text "B" (at -1.905 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (text "G" (at -1.905 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (text "R" (at -1.905 3.81 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "FC-B1010RGBT-HG_0_1" + (polyline + (pts + (xy -1.27 -5.08) + (xy -2.54 -5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -5.08) + (xy 1.27 -5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -3.81) + (xy -1.27 -6.35) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 0) + (xy -2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 1.27) + (xy -1.27 -1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 5.08) + (xy -2.54 5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 5.08) + (xy 1.27 5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 6.35) + (xy -1.27 3.81) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy -1.27 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy 2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 1.27) + (xy -1.27 -1.27) + (xy -1.27 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 6.35) + (xy -1.27 3.81) + (xy -1.27 3.81) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -5.08) + (xy 2.032 -5.08) + (xy 2.032 5.08) + (xy 1.27 5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 1.27 -6.35) + (xy -1.27 -5.08) + (xy 1.27 -3.81) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 1.27) + (xy 1.27 -1.27) + (xy -1.27 0) + (xy 1.27 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 6.35) + (xy 1.27 3.81) + (xy -1.27 5.08) + (xy 1.27 6.35) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 -3.81) + (xy 0.508 -2.286) + (xy -0.254 -2.286) + (xy 0.508 -2.286) + (xy 0.508 -3.048) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 1.27) + (xy 0.508 2.794) + (xy -0.254 2.794) + (xy 0.508 2.794) + (xy 0.508 2.032) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 6.35) + (xy 0.508 7.874) + (xy -0.254 7.874) + (xy 0.508 7.874) + (xy 0.508 7.112) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -3.81) + (xy 1.524 -2.286) + (xy 0.762 -2.286) + (xy 1.524 -2.286) + (xy 1.524 -3.048) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 1.524 2.794) + (xy 0.762 2.794) + (xy 1.524 2.794) + (xy 1.524 2.032) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 6.35) + (xy 1.524 7.874) + (xy 0.762 7.874) + (xy 1.524 7.874) + (xy 1.524 7.112) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 1.27 -1.27) (end 1.27 1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 1.27 1.27) (end 1.27 1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 1.27 3.81) (end 1.27 6.35) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 1.27 6.35) (end 1.27 6.35) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 2.794 8.382) (end -2.794 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "FC-B1010RGBT-HG_1_1" + (pin passive line (at 5.08 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 2.54) + (name "RK" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "GK" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 2.54) + (name "BK" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "mta1:Ferrite_Bead-Device" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "FB" (id 0) (at -3.81 0.635 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Ferrite_Bead-Device" (id 1) (at 3.81 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Inductor_* L_* *Ferrite*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Ferrite_Bead-Device_0_1" + (polyline + (pts + (xy 0 -1.27) + (xy 0 -1.2192) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 0 1.2954) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.7686 0.4064) + (xy -1.7018 2.2606) + (xy 2.7686 -0.3048) + (xy 1.6764 -2.159) + (xy -2.7686 0.4064) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Ferrite_Bead-Device_1_1" + (pin passive line (at 0 3.81 270) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "mta1:ICE40UP5K-SG48ITR" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -24.13 -44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "ICE40UP5K-SG48ITR" (id 1) (at -15.24 -46.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" (id 2) (at -15.24 -49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" (id 3) (at -40.64 -21.59 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "FPGA programmable logic" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "QFN*7x7mm*P0.5mm*EP5.6x5.6mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "ICE40UP5K-SG48ITR_0_0" + (polyline + (pts + (xy -6.35 13.97) + (xy -3.81 13.97) + (xy -3.81 -39.37) + (xy -6.35 -39.37) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -39.37) + (xy 3.81 -39.37) + (xy 3.81 -13.97) + (xy 6.35 -13.97) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -11.43) + (xy 3.81 -11.43) + (xy 3.81 39.37) + (xy 6.35 39.37) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (text "Bank 0" (at -2.54 -11.43 900) + (effects (font (size 1.27 1.27))) + ) + (text "Bank 1" (at 2.54 13.97 900) + (effects (font (size 1.27 1.27))) + ) + (text "Bank 2" (at 2.54 -24.13 900) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "ICE40UP5K-SG48ITR_1_1" + (rectangle (start -20.32 40.64) (end 22.86 -40.64) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 25.4 -15.24 180) (length 2.54) + (name "VCCIO_2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 7.62 180) (length 2.54) + (name "IOB_18a" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 5.08 180) (length 2.54) + (name "IOB_20a" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 2.54 180) (length 2.54) + (name "IOB_22b" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -2.54 180) (length 2.54) + (name "IOB_24a" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 33.02 180) (length 2.54) + (name "IOB_32a_SPI_SO" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 27.94 180) (length 2.54) + (name "IOB_34a_SPI_SCK" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 25.4 180) (length 2.54) + (name "IOB_35b_SPI_SS" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 30.48 180) (length 2.54) + (name "IOB_33b_SPI_SI" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -10.16 180) (length 2.54) + (name "IOB_31b" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -7.62 180) (length 2.54) + (name "IOB_29b" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -33.02 180) (length 2.54) + (name "IOB_6a" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -5.08 180) (length 2.54) + (name "IOB_25b_G3" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 0 180) (length 2.54) + (name "IOB_23b" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 25.4 38.1 180) (length 2.54) + (name "SPI_VCCIO1" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 5.08 0) (length 2.54) + (name "IOT_37a" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 25.4 0) (length 2.54) + (name "VPP_2V5" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 7.62 0) (length 2.54) + (name "IOT_36b" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 0 0) (length 2.54) + (name "IOT_39a" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 2.54 0) (length 2.54) + (name "IOT_38b" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -2.54 0) (length 2.54) + (name "IOT_41a" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 30.48 0) (length 2.54) + (name "VCCPLL" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -38.1 180) (length 2.54) + (name "IOB_9b" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 35.56 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -5.08 0) (length 2.54) + (name "IOT_42b" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -7.62 0) (length 2.54) + (name "IOT_43a" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 12.7 0) (length 2.54) + (name "VCCIO_0" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -10.16 0) (length 2.54) + (name "IOT_44b" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -15.24 0) (length 2.54) + (name "IOT_46b_G0" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -17.78 0) (length 2.54) + (name "IOT_48b" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -12.7 0) (length 2.54) + (name "IOT_45a_G1" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -22.86 0) (length 2.54) + (name "IOT_50b" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -22.86 -33.02 0) (length 2.54) + (name "RGB0" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -35.56 180) (length 2.54) + (name "IOB_8a" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -22.86 -35.56 0) (length 2.54) + (name "RGB1" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -22.86 -38.1 0) (length 2.54) + (name "RGB2" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -25.4 0) (length 2.54) + (name "IOT_51a" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -20.32 0) (length 2.54) + (name "IOT_49a" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -25.4 180) (length 2.54) + (name "IOB_3b_G6" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -30.48 180) (length 2.54) + (name "IOB_5b" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -20.32 180) (length 2.54) + (name "IOB_0a" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -22.86 180) (length 2.54) + (name "IOB_2a" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -27.94 180) (length 2.54) + (name "IOB_4a" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 20.32 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 38.1 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 12.7 180) (length 2.54) + (name "IOB_13b" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 25.4 17.78 180) (length 2.54) + (name "CDONE" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 25.4 20.32 180) (length 2.54) + (name "~{CRESET}" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 10.16 180) (length 2.54) + (name "IOB_16a" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "mta1:PT2043AT6" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -6.35 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "PT2043AT6" (id 1) (at -2.54 -8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 0 12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at -21.59 12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "SOT-23-6 Touch Sensors ROHS" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PT2043AT6_1_1" + (rectangle (start -7.62 7.62) (end 7.62 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin output line (at 10.16 6.35 180) (length 2.54) + (name "QC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -6.35 180) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 2.54) + (name "TCH" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 2.54) + (name "AHLB" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 6.35 0) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 2.54) + (name "TOG" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "mta1:W25Q80DVUXIE" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -8.89 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W25Q80DVUXIE" (id 1) (at 7.62 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "mta1:W25Q80DVUXIE" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.winbond.com/resource-files/w25x40cl_f%2020140325.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "flash memory SPI" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC FLASH 8MBIT SPI 104MHZ 8USON" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "W25Q80DVUXIE_0_1" + (rectangle (start -10.16 7.62) (end 10.16 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "W25Q80DVUXIE_1_1" + (pin input line (at -12.7 2.54 0) (length 2.54) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 2.54) + (name "DO(IO1)" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 2.54) + (name "IO2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 2.54) + (name "DI(IO0)" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 2.54) + (name "IO3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 10.16 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 5.08 -10.16 90) (length 2.54) + (name "EXP" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+1V2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+1V2\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V2_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+1V2_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+2V5" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+2V5" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+2V5\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+2V5_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+2V5_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+2V5" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3.3V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3.3V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3.3V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+3.3V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 179.07 95.25) (diameter 0) (color 0 0 0 0) + (uuid 14a5820a-1786-4c33-a203-8349279cff42) + ) + (junction (at 201.93 82.55) (diameter 0) (color 0 0 0 0) + (uuid 1bdf2b87-e380-4485-8219-3210fd09433f) + ) + (junction (at 187.96 87.63) (diameter 0) (color 0 0 0 0) + (uuid 21e27834-a7f0-4a8c-ad5a-c60887b761fa) + ) + (junction (at 223.52 24.13) (diameter 0) (color 0 0 0 0) + (uuid 2f3fa841-b787-4db5-92d2-e7d5ff6fba03) + ) + (junction (at 214.63 109.22) (diameter 0) (color 0 0 0 0) + (uuid 2f7c7d65-d0af-48f7-95af-2b8d17dd473a) + ) + (junction (at 203.2 60.96) (diameter 0) (color 0 0 0 0) + (uuid 3a4c5515-4fbe-4892-ace1-1ab0b3ee00fa) + ) + (junction (at 40.64 85.09) (diameter 0) (color 0 0 0 0) + (uuid 3f43c2dc-daa2-45ba-b8ca-7ae5aebed882) + ) + (junction (at 41.91 27.94) (diameter 0) (color 0 0 0 0) + (uuid 494d4ce3-60c4-4021-8bd1-ab41a12b14ed) + ) + (junction (at 81.28 53.34) (diameter 0) (color 0 0 0 0) + (uuid 544086de-d657-4ebc-a0a8-afebb5bf9267) + ) + (junction (at 55.88 27.94) (diameter 0) (color 0 0 0 0) + (uuid 8313e187-c805-4927-8002-313a51839243) + ) + (junction (at 203.2 101.6) (diameter 0) (color 0 0 0 0) + (uuid 8cf40b68-dc06-484f-9ea1-32cfdfa497a7) + ) + (junction (at 41.91 35.56) (diameter 0) (color 0 0 0 0) + (uuid a419542a-0c78-421e-9ac7-81d3afba6186) + ) + (junction (at 41.91 53.34) (diameter 0) (color 0 0 0 0) + (uuid a43f2e19-4e11-4e86-a12a-58a691d6df28) + ) + (junction (at 41.91 45.72) (diameter 0) (color 0 0 0 0) + (uuid b1240f00-ec43-4c0b-9a41-43264db8a893) + ) + (junction (at 175.26 97.79) (diameter 0) (color 0 0 0 0) + (uuid b1308e79-7ed3-46eb-9876-069b0466740f) + ) + (junction (at 40.64 66.04) (diameter 0) (color 0 0 0 0) + (uuid b5cea0b5-192f-476b-a3c8-0c26e2231699) + ) + (junction (at 157.48 106.68) (diameter 0) (color 0 0 0 0) + (uuid c482f4f0-b441-4301-a9f1-c7f9e511d699) + ) + (junction (at 179.07 87.63) (diameter 0) (color 0 0 0 0) + (uuid cda99caf-0c79-4b11-8a43-7e896461f4dc) + ) + (junction (at 55.88 45.72) (diameter 0) (color 0 0 0 0) + (uuid d23840a6-3c61-45ca-968a-bc57332fd7a4) + ) + (junction (at 203.2 44.45) (diameter 0) (color 0 0 0 0) + (uuid d43cf615-aafb-42c6-91db-d03d1b33b6a9) + ) + (junction (at 157.48 53.34) (diameter 0) (color 0 0 0 0) + (uuid d554632b-6dd0-47f8-b59b-3ce25177ca3e) + ) + + (no_connect (at 129.54 86.36) (uuid 1af3d97e-2dd3-461e-9941-50f6a56d2c86)) + (no_connect (at 129.54 127) (uuid 1b2a9058-271f-4242-b401-00ff659dbdda)) + (no_connect (at 129.54 124.46) (uuid 1d5dfe08-ead4-4610-acd2-12190c648c24)) + (no_connect (at 129.54 119.38) (uuid 8841214d-dd0e-4d87-bdf6-f5358a0d764c)) + (no_connect (at 81.28 104.14) (uuid 9992cc39-7d2d-46ba-b80a-7cfe166d6ece)) + (no_connect (at 129.54 129.54) (uuid a9a22f6a-50e1-4aeb-a401-2f18ba3a6716)) + (no_connect (at 129.54 93.98) (uuid da97cac7-0d2a-44a0-85b6-ab481c1e829a)) + (no_connect (at 81.28 86.36) (uuid de0c2090-8c17-4027-b569-33ddbe66b30e)) + (no_connect (at 81.28 99.06) (uuid de0c2090-8c17-4027-b569-33ddbe66b310)) + (no_connect (at 81.28 106.68) (uuid de0c2090-8c17-4027-b569-33ddbe66b312)) + (no_connect (at 81.28 101.6) (uuid de0c2090-8c17-4027-b569-33ddbe66b313)) + (no_connect (at 81.28 116.84) (uuid de0c2090-8c17-4027-b569-33ddbe66b314)) + (no_connect (at 81.28 111.76) (uuid de0c2090-8c17-4027-b569-33ddbe66b315)) + (no_connect (at 129.54 116.84) (uuid de0c2090-8c17-4027-b569-33ddbe66b317)) + (no_connect (at 129.54 83.82) (uuid de0c2090-8c17-4027-b569-33ddbe66b319)) + (no_connect (at 129.54 81.28) (uuid de0c2090-8c17-4027-b569-33ddbe66b31b)) + (no_connect (at 129.54 101.6) (uuid de0c2090-8c17-4027-b569-33ddbe66b31c)) + (no_connect (at 129.54 91.44) (uuid de0c2090-8c17-4027-b569-33ddbe66b31d)) + (no_connect (at 129.54 96.52) (uuid de0c2090-8c17-4027-b569-33ddbe66b31e)) + (no_connect (at 129.54 99.06) (uuid de0c2090-8c17-4027-b569-33ddbe66b31f)) + (no_connect (at 81.28 96.52) (uuid e232641d-bc08-4c5f-8d75-26a965d11537)) + (no_connect (at 129.54 114.3) (uuid f399f9b9-5025-46df-9899-b3b955a461d5)) + (no_connect (at 129.54 88.9) (uuid f6cc949f-155c-4f41-a673-31bee07a84fa)) + + (wire (pts (xy 50.8 78.74) (xy 50.8 85.09)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 01c59306-91a3-452b-92b5-9af8f8f257d6) + ) + (wire (pts (xy 73.66 45.72) (xy 73.66 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 020b7e1f-8bb0-4882-91d4-7894bf18db84) + ) + (polyline (pts (xy 17.78 99.06) (xy 53.34 99.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 08712338-d85d-4931-9202-6870883d2bd9) + ) + + (wire (pts (xy 210.82 44.45) (xy 203.2 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 09d2deba-984a-4ed9-8adb-bf351b4e9fbe) + ) + (wire (pts (xy 39.37 175.26) (xy 43.18 175.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0cc094e7-c1c0-457d-bd94-3db91c23be55) + ) + (wire (pts (xy 223.52 36.83) (xy 223.52 24.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0f0dc3ef-76ec-4fdf-ace3-a4007f0953c4) + ) + (wire (pts (xy 213.36 96.52) (xy 214.63 96.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0f7bc491-ea25-4b9e-9106-2b6a8749bd88) + ) + (wire (pts (xy 55.88 53.34) (xy 41.91 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 100847e3-630c-4c13-ba45-180e92370805) + ) + (wire (pts (xy 68.58 83.82) (xy 81.28 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 11739269-79a4-4949-b2a5-fb5fdda3513d) + ) + (wire (pts (xy 203.2 41.91) (xy 203.2 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 15535c83-587a-4847-9957-3807322142c0) + ) + (wire (pts (xy 214.63 106.68) (xy 214.63 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1570f143-d24a-4409-aac7-1ae79bb74fd0) + ) + (polyline (pts (xy 280.67 125.73) (xy 280.67 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 17947abb-c320-41a0-b4c2-7e2bf00837ec) + ) + + (wire (pts (xy 203.2 111.76) (xy 203.2 113.03)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1a03043c-c2e3-4239-bfe7-e422f6cd653d) + ) + (polyline (pts (xy 238.76 113.03) (xy 238.76 123.19)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 206c21f8-6380-4c59-b7e2-4d3abef2eba0) + ) + + (wire (pts (xy 137.16 78.74) (xy 129.54 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 231e97b0-9cce-42bc-91b2-4e6124d994a5) + ) + (wire (pts (xy 175.26 97.79) (xy 179.07 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 25802f6b-6e02-4d39-ad78-26be0554a620) + ) + (wire (pts (xy 81.28 27.94) (xy 55.88 27.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 29ec1a54-dea0-4d1a-a3dc-a7441a09bb9e) + ) + (wire (pts (xy 213.36 82.55) (xy 213.36 96.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2affa324-adec-483f-ac77-30c8ba311db7) + ) + (wire (pts (xy 95.25 168.91) (xy 96.52 168.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2bf9c737-8f92-41b5-bd81-ed9f26f5a4ab) + ) + (wire (pts (xy 223.52 58.42) (xy 223.52 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2c18c29a-2733-48c1-ada0-9f47c5a49270) + ) + (wire (pts (xy 81.28 109.22) (xy 73.66 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2cc594b4-7a90-43c6-bb08-95a5d95e6a00) + ) + (wire (pts (xy 201.93 82.55) (xy 213.36 82.55)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2e075c7b-ee11-4ca5-acdd-fc4e86a47e89) + ) + (wire (pts (xy 55.88 45.72) (xy 41.91 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2edc487e-09a5-4e4e-9675-a7b323f56380) + ) + (wire (pts (xy 274.32 48.26) (xy 274.32 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2f9d16ec-2d4d-404a-847d-eed32ac2780f) + ) + (wire (pts (xy 214.63 109.22) (xy 214.63 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3105a3ce-a922-478f-a353-771e76519480) + ) + (wire (pts (xy 81.28 27.94) (xy 81.28 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 337d1242-91ab-4446-8b9e-7609c6a49e3c) + ) + (wire (pts (xy 198.12 44.45) (xy 203.2 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 38a51d01-4274-44c4-a236-8cac38840c9d) + ) + (wire (pts (xy 179.07 87.63) (xy 187.96 87.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3979143c-9aaf-4fbf-8ff0-d14d88a64d42) + ) + (wire (pts (xy 68.58 91.44) (xy 81.28 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3a48d86e-2b93-4963-b69c-9afa6d2b2405) + ) + (polyline (pts (xy 76.2 152.4) (xy 17.78 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3dbc1b14-20e2-4dcb-8347-d33c13d3f0e0) + ) + + (wire (pts (xy 133.35 176.53) (xy 135.89 176.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3e932326-629d-4229-9744-7dba96c444ba) + ) + (wire (pts (xy 55.88 35.56) (xy 41.91 35.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 414f80f7-b2d5-43c3-a018-819efe44fe30) + ) + (polyline (pts (xy 17.78 99.06) (xy 17.78 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 418dabf6-f5c6-4a9a-a83d-b9bd58618ba9) + ) + (polyline (pts (xy 171.45 125.73) (xy 280.67 125.73)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 42663ec9-bd8e-4873-af28-f2e800ae073e) + ) + + (wire (pts (xy 35.56 107.95) (xy 36.83 107.95)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 42e2ead0-1cf3-4ef2-9d43-125cb937a21e) + ) + (wire (pts (xy 203.2 60.96) (xy 198.12 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 43bda124-db2d-4a75-8af4-8cb927c9415c) + ) + (wire (pts (xy 137.16 58.42) (xy 129.54 58.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 45a58c23-3e6d-4df0-af01-6d5948b0075c) + ) + (wire (pts (xy 243.84 41.91) (xy 236.22 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4706cbd5-4b9b-4fdc-967e-b0298f7c0eec) + ) + (wire (pts (xy 73.66 124.46) (xy 81.28 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 48034820-9d25-4020-8e74-d44c1441e803) + ) + (wire (pts (xy 175.26 85.09) (xy 175.26 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 483a6339-023f-4380-b088-f4c15b1dfb16) + ) + (polyline (pts (xy 76.2 193.04) (xy 76.2 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4b534cd1-c414-4029-9164-e46766faf60e) + ) + + (wire (pts (xy 203.2 33.02) (xy 203.2 34.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5146355b-d187-42d7-bcfd-5946aeb905a6) + ) + (wire (pts (xy 158.75 166.37) (xy 158.75 167.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5206328f-de7d-41ba-bad8-f1768b7701cb) + ) + (wire (pts (xy 203.2 52.07) (xy 203.2 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 52fc2be8-1b89-4cc4-b3cc-41c310ca5d52) + ) + (polyline (pts (xy 17.78 193.04) (xy 76.2 193.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 53ae21b8-f187-4817-8c27-1f06278d249b) + ) + + (wire (pts (xy 129.54 60.96) (xy 137.16 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5641be26-f5e9-482f-8616-297f17f4eae2) + ) + (wire (pts (xy 156.21 176.53) (xy 158.75 176.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5698a460-6e24-4857-84d8-4a43acd2325d) + ) + (wire (pts (xy 55.88 45.72) (xy 73.66 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5778dc8c-60fe-435e-b75a-362eae1b81ab) + ) + (wire (pts (xy 201.93 82.55) (xy 201.93 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5d77de84-25e6-4d1a-9ad5-3900c8221eca) + ) + (polyline (pts (xy 114.3 152.4) (xy 114.3 193.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5dcc3715-6636-4cd7-b121-ae49c29e2b19) + ) + (polyline (pts (xy 171.45 71.12) (xy 171.45 125.73)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5fcb713d-d516-4afc-a911-c5ecdde8734e) + ) + + (wire (pts (xy 135.89 175.26) (xy 135.89 176.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 629fdb7a-7978-43d0-987e-b84465775826) + ) + (wire (pts (xy 265.43 39.37) (xy 265.43 40.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 646f3cf7-fde8-47c2-84ac-a5eb8e9841de) + ) + (wire (pts (xy 81.28 114.3) (xy 73.66 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 653fe367-8004-4901-94fc-821c1fd9776a) + ) + (wire (pts (xy 35.56 127) (xy 36.83 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 675c4f34-6153-4762-a47d-cfa7ff489549) + ) + (wire (pts (xy 39.37 170.18) (xy 43.18 170.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 680c3e83-f590-4924-85a1-36d51b076683) + ) + (wire (pts (xy 68.58 88.9) (xy 81.28 88.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6941e658-b68e-4f54-b2d5-5f2e83de0ad4) + ) + (wire (pts (xy 179.07 97.79) (xy 179.07 95.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 69880f28-ab45-46bf-8eb3-43b3ebe24ef8) + ) + (wire (pts (xy 35.56 120.65) (xy 36.83 120.65)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 69ef4c76-a3ae-4d57-aa12-5a6ab91a4a8b) + ) + (wire (pts (xy 50.8 175.26) (xy 53.34 175.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6e77d4d6-0239-4c20-98f8-23ae4f71d638) + ) + (wire (pts (xy 265.43 49.53) (xy 236.22 49.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6ec10485-d5ca-4517-b1b0-7327aae419bc) + ) + (polyline (pts (xy 114.3 193.04) (xy 172.72 193.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 70274ae2-c41b-4436-8c39-7eb020925b43) + ) + + (wire (pts (xy 40.64 66.04) (xy 81.28 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 750e60a2-e808-4253-8275-b79930fb2714) + ) + (wire (pts (xy 137.16 111.76) (xy 129.54 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 753124a5-ec00-44f4-bd5c-4886957bc0c0) + ) + (wire (pts (xy 265.43 48.26) (xy 265.43 49.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 79747e80-fed5-4988-ad10-c27b2aedc3a0) + ) + (wire (pts (xy 137.16 73.66) (xy 129.54 73.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7df9ce6f-7f38-4582-a049-7f92faf1abc9) + ) + (wire (pts (xy 179.07 85.09) (xy 179.07 87.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8053b5c6-8842-4ee3-bfd7-09128bce1bc2) + ) + (polyline (pts (xy 280.67 68.58) (xy 280.67 15.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8204b2ff-6263-4490-90ae-6ff81606c657) + ) + + (wire (pts (xy 55.88 27.94) (xy 41.91 27.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 84febc35-87fd-4cad-8e04-2b66390cfc12) + ) + (wire (pts (xy 129.54 66.04) (xy 137.16 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 86143bb0-7899-4df8-b1df-baa3c0ac7889) + ) + (polyline (pts (xy 238.76 113.03) (xy 278.13 113.03)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 88c1c5dc-3a2f-4b7a-a2c3-9ab0d7d44025) + ) + + (wire (pts (xy 210.82 60.96) (xy 210.82 49.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8d0c40d1-8378-4772-873c-48dfa5a5cbda) + ) + (wire (pts (xy 137.16 63.5) (xy 129.54 63.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 90d503cf-92b2-4120-a4b0-03a2eddde893) + ) + (wire (pts (xy 137.16 71.12) (xy 129.54 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 93afd2e8-e16c-4e06-b872-cf0e624aee35) + ) + (wire (pts (xy 203.2 101.6) (xy 203.2 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 94051324-f132-408d-b6f9-3dccaef81d18) + ) + (wire (pts (xy 50.8 180.34) (xy 53.34 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9666bb6a-0c1d-4c92-be6d-94a465ec5c51) + ) + (wire (pts (xy 234.95 111.76) (xy 234.95 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9ab0480b-eea4-4dbc-b00d-13667680ff17) + ) + (wire (pts (xy 243.84 24.13) (xy 223.52 24.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9af4de66-a4db-411a-a99c-c67ccd06528a) + ) + (wire (pts (xy 158.75 175.26) (xy 158.75 176.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9c5933cf-1535-4465-90dd-da9b75afcdcf) + ) + (wire (pts (xy 157.48 53.34) (xy 129.54 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a09cb1c4-cc63-49c7-a35f-4b80c3ba2217) + ) + (wire (pts (xy 179.07 95.25) (xy 187.96 95.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a4a14bf4-c394-4663-9ac3-da737c7a52a5) + ) + (wire (pts (xy 236.22 44.45) (xy 243.84 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a4e390b4-ee47-4bb4-8bfe-6a8c6eea02cb) + ) + (polyline (pts (xy 171.45 15.24) (xy 171.45 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a75a5fcb-b583-41ff-a68b-4d6c36e397b0) + ) + (polyline (pts (xy 53.34 99.06) (xy 53.34 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid aa7a7244-a10e-40e0-a3fa-9e9f12d8372f) + ) + + (wire (pts (xy 95.25 181.61) (xy 96.52 181.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ad94749a-711a-44b8-9b4b-9921c0b40d95) + ) + (wire (pts (xy 274.32 39.37) (xy 274.32 40.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid aea7c361-403d-4945-b632-c39d14613f06) + ) + (wire (pts (xy 210.82 60.96) (xy 203.2 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b014e159-1dbb-4834-8e90-d256c7e60bca) + ) + (wire (pts (xy 68.58 93.98) (xy 81.28 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b38e7aa8-7b2d-4b27-8fcf-f45e7f953f18) + ) + (wire (pts (xy 33.02 45.72) (xy 41.91 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b5d84bc0-4d9a-4d1d-a476-5c6b51309fca) + ) + (wire (pts (xy 35.56 114.3) (xy 36.83 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b800ee9f-456e-4b94-b3f1-e7b9e6163877) + ) + (wire (pts (xy 22.86 175.26) (xy 22.86 166.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b853d9ac-7829-468f-99ac-dc9996502e94) + ) + (wire (pts (xy 135.89 166.37) (xy 135.89 167.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b9ba71ae-a537-4491-91b7-492ea8debfeb) + ) + (polyline (pts (xy 278.13 123.19) (xy 238.76 123.19)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bcee039d-7317-4199-8033-b9d0d0536b7c) + ) + + (wire (pts (xy 39.37 180.34) (xy 43.18 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid be030c62-e776-405f-97d8-4a4c1aa2e428) + ) + (wire (pts (xy 73.66 127) (xy 81.28 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid be118b00-015b-445a-8fc5-7bf35350fda8) + ) + (polyline (pts (xy 78.74 193.04) (xy 111.76 193.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bedd4bb2-4336-4e92-82be-50b1dcf6f009) + ) + + (wire (pts (xy 29.21 175.26) (xy 22.86 175.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c10ace36-a93c-4c08-ac75-059ef9e1f71c) + ) + (polyline (pts (xy 78.74 152.4) (xy 78.74 193.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c6657fb4-5b7a-4a80-9139-a5b38c9f702a) + ) + (polyline (pts (xy 111.76 152.4) (xy 78.74 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c76eca00-18a9-43c1-bddb-9374cd0a2b08) + ) + + (wire (pts (xy 95.25 175.26) (xy 96.52 175.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cb27464a-2b6c-40a4-8ab4-ff6f5018fe18) + ) + (wire (pts (xy 201.93 81.28) (xy 201.93 82.55)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cb5139ee-ce16-444c-8e60-45a2ae40a0ff) + ) + (polyline (pts (xy 171.45 68.58) (xy 280.67 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d12199af-82a1-42e8-9edd-bd4e7629bb34) + ) + + (wire (pts (xy 73.66 71.12) (xy 81.28 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d1d2090c-5d1b-4041-8765-343b19185605) + ) + (wire (pts (xy 81.28 53.34) (xy 81.28 55.88)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d1eebe93-5d32-45e2-8200-064c920e6e63) + ) + (wire (pts (xy 234.95 96.52) (xy 238.76 96.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d298608e-f3da-4106-9cb1-e0cf2d51caa1) + ) + (polyline (pts (xy 280.67 71.12) (xy 171.45 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d305a170-7ab2-48f5-9e38-86a1649d8483) + ) + (polyline (pts (xy 17.78 152.4) (xy 17.78 193.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d33c6077-a8ec-48ca-b0e0-97f3539ef54c) + ) + (polyline (pts (xy 17.78 147.32) (xy 53.34 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d3ba6219-49a5-4783-b0e4-a780dc9865fa) + ) + + (wire (pts (xy 236.22 52.07) (xy 274.32 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d714fbc8-78be-46ee-a7c3-84e37e6ee165) + ) + (wire (pts (xy 95.25 162.56) (xy 96.52 162.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid db8ec888-9de2-4b8c-8e4d-c5112f235471) + ) + (polyline (pts (xy 111.76 193.04) (xy 111.76 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dba136d2-90f0-4ad5-8079-36f14b4d69d8) + ) + + (wire (pts (xy 129.54 121.92) (xy 137.16 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dbcaf9a0-30f6-40c5-8106-7af5cd389e7c) + ) + (wire (pts (xy 157.48 106.68) (xy 129.54 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dd3da890-32ef-4a5a-aea4-e5d2141f1ff1) + ) + (wire (pts (xy 203.2 101.6) (xy 214.63 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e06e2aa1-3b51-4d0a-8de4-5ceef6ba9665) + ) + (wire (pts (xy 40.64 85.09) (xy 50.8 85.09)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e1fe6230-75c5-4750-aaea-24a9b80589d8) + ) + (polyline (pts (xy 278.13 113.03) (xy 278.13 123.19)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e2b773e4-ad94-425e-97d0-53a47aef1196) + ) + (polyline (pts (xy 172.72 193.04) (xy 172.72 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e3656032-45e9-4f82-8714-66b2b116a76a) + ) + + (wire (pts (xy 50.8 170.18) (xy 53.34 170.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e46ecd61-0bbe-4b9f-a151-a2cacac5967b) + ) + (wire (pts (xy 175.26 101.6) (xy 194.31 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e5f059b7-543a-4d01-a839-135527104101) + ) + (wire (pts (xy 201.93 101.6) (xy 203.2 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e6d7c3bc-f39e-4ac1-93e0-2ff3df6c6213) + ) + (wire (pts (xy 81.28 60.96) (xy 73.66 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e7376da1-2f59-4570-81e8-46fca0289df0) + ) + (wire (pts (xy 73.66 129.54) (xy 81.28 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e8312cc4-6502-4783-b578-55c01e0393af) + ) + (wire (pts (xy 187.96 95.25) (xy 187.96 87.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e85e7b53-fc27-4677-abdf-c28b2ca07cfc) + ) + (wire (pts (xy 175.26 97.79) (xy 175.26 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e88c3236-1eb8-4bfb-8eaf-c9970c6c1ca3) + ) + (wire (pts (xy 50.8 78.74) (xy 81.28 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ef3a2f4c-5879-4e98-ad30-6b8614410fba) + ) + (wire (pts (xy 35.56 133.35) (xy 36.83 133.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid efbf8616-466b-4223-a943-1cb791dbd055) + ) + (polyline (pts (xy 280.67 15.24) (xy 171.45 15.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f5d87626-2717-4b75-8ae9-4b2555ec4880) + ) + + (wire (pts (xy 179.07 85.09) (xy 175.26 85.09)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f61a552a-1c8d-4e4a-a497-ddf53496bf6e) + ) + (wire (pts (xy 35.56 146.05) (xy 36.83 146.05)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fb172360-4b51-4746-9422-75bd49ab684b) + ) + (polyline (pts (xy 172.72 152.4) (xy 114.3 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fbb0b552-fb08-401b-8d69-530f2e464c49) + ) + + (wire (pts (xy 16.51 45.72) (xy 25.4 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fe9bdc33-eab1-4bdc-9603-57decb38d2a2) + ) + (wire (pts (xy 35.56 139.7) (xy 36.83 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ff9e1764-6810-434b-85a8-f480f1855a4e) + ) + + (text "Application FPGA" (at 88.9 25.4 0) + (effects (font (size 6 6) (thickness 0.254) bold) (justify left bottom)) + (uuid 003974b6-cb8f-491b-a226-fc7891eb9a62) + ) + (text "Mode\nSyncronous, active high\nSyncronous, active low\nToggle, power-on state = 0\nToggle, power-on state = 1" + (at 250.19 123.19 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0f33a150-59ae-4553-bc3c-9d875c9d06f8) + ) + (text "Capacitive sensor" (at 217.17 73.66 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1d74167c-2d9b-4f93-959d-cc0cf7a092be) + ) + (text "SPI boot flash" (at 217.17 17.78 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 21636f0f-001d-4a8d-9fb9-b2496d20afed) + ) + (text "FPGA signal notes:\n* APP_ICE* pins are fixed and cannot be changed\n* APP_LED* pins can be swapped within their group\n* All other pins are generic GPIO, and can be reassigned as needed." + (at 63.5 147.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 24a3aacb-b48f-43cd-9ee5-f6689c857cfc) + ) + (text "Boot strapping resistors" (at 130.81 154.94 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 27e3c71f-5a63-4710-8adf-b600b805ce02) + ) + (text "AHLB\n0\n1\n0\n1" (at 245.11 123.19 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3b44bf6a-fbe1-4057-954c-becf37a7a594) + ) + (text "Status LED" (at 38.1 154.94 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 60960af7-b938-44a8-82b5-e9c36f2e6817) + ) + (text "Note: Tune C27 for sensitivity" (at 173.99 123.19 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 65f3eece-8699-4f19-9e27-e3ba656f80ee) + ) + (text "Programming test points" (at 22.86 101.6 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a3f137d8-c2d7-4725-bd97-c2291fcda72f) + ) + (text "Note: C8 Not populated. \nU11 used instead but \non C8 footprint." + (at 173.99 109.22 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a64e4ded-c775-489a-9996-ddd97aa3988a) + ) + (text "Mode setting" (at 238.76 111.76 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a671ef8b-6f36-4512-995e-04ff03feb99b) + ) + (text "Note: Any generic SPI flash with >1Mbit capacity is acceptable." + (at 173.99 66.04 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b6822d6e-e847-4666-8fcd-d0e406d1e2da) + ) + (text "Extra GPIO" (at 88.9 154.94 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cf28700e-7a0c-40eb-b03f-5fb683698211) + ) + (text "TOG\n0\n0\n1\n1" (at 238.76 123.19 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f56e6a0b-7824-46c9-82f1-38ccab0c9ad0) + ) + + (label "APP_FLASH_WP" (at 243.84 49.53 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0cd577a2-42ef-4733-8afe-9d3894fc9450) + ) + (label "APP_+1.2_PLL" (at 58.42 45.72 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 55fa5fa0-9426-4801-b40c-682e71189d8a) + ) + (label "TOUCH_PAD" (at 180.34 101.6 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7454e4d5-6c2b-40d8-af0b-9db4fbff2923) + ) + (label "APP_FLASH_HOLD" (at 243.84 52.07 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c79a2758-0570-4ed0-acee-e9cd9af92967) + ) + + (global_label "APP_ICE_SCK" (shape input) (at 198.12 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 025bbe41-7b48-41ab-a547-5c3ab944b117) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 183.4587 60.8806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_ICE_MOSI" (shape output) (at 243.84 44.45 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0329f94c-417b-44f4-986d-92f2ba690867) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 259.348 44.3706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "APP_LED_RED" (shape output) (at 73.66 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 08da8f18-02c3-4a28-a400-670f01755980) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "APP_GPIO1" (shape bidirectional) (at 95.25 162.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0a898b6b-d131-41d6-b800-d2f51042aaf6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 82.6448 162.4806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "INTERFACE_RTS" (shape input) (at 68.58 93.98 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0b1d8013-78f0-47f3-8b72-884a9f8b4e9f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 51.9229 93.9006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_GPIO4" (shape bidirectional) (at 95.25 181.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 121ecb0c-ba90-4116-963e-aabcda5830be) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 82.6448 181.5306 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_CRESET" (shape input) (at 137.16 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 19515fa4-c166-4b6e-837d-c01a89e98000) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "APP_CDONE" (shape output) (at 35.56 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 29189bbb-55be-4ff3-8f67-84a643f3f8f5) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 22.2896 139.6206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_LED_RED" (shape output) (at 53.34 170.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 35343f32-90ff-4059-a108-111fb444c3d2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "APP_ICE_MISO" (shape bidirectional) (at 35.56 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 36df84bd-7612-4f7b-b680-6344064a6bca) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 20.052 126.9206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_CRESET" (shape input) (at 35.56 133.35 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3de1ee7d-e9bb-49ba-be2a-f73e42469367) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 21.6244 133.2706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_GPIO2" (shape bidirectional) (at 95.25 168.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 44be79a5-da03-4010-99c1-226e2bdc0978) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 82.6448 168.8306 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_ICE_SCK" (shape output) (at 137.16 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4d51bc15-1f84-46be-8e16-e836b10f854e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 151.8213 63.4206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "APP_GPIO1" (shape bidirectional) (at 73.66 109.22 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5a6ca6b7-a725-4c03-8c8c-1f7bf427d402) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 61.0548 109.1406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_GPIO4" (shape bidirectional) (at 137.16 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5d8f211c-73f1-4716-ac96-37450bfb57d1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 149.7652 111.8394 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "TOUCH_EVENT" (shape input) (at 137.16 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5e52668e-b0bd-4721-9b30-3da0d177c47c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.3656 78.6606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "APP_CDONE" (shape output) (at 137.16 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6474aa6c-825c-4f0f-9938-759b68df02a5) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "INTERFACE_TX" (shape input) (at 68.58 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 68d8aebb-3ad3-4d91-bd8e-70e9abf7bbdd) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 53.1929 83.7406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_ICE_SS" (shape input) (at 198.12 44.45 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7238ddfb-e468-4449-a89d-5b8c08425df2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 184.7891 44.3706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_ICE_MISO" (shape output) (at 137.16 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 784e3230-2053-4bc9-a786-5ac2bd0df0f5) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.668 58.3406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "APP_LED_GREEN" (shape output) (at 53.34 175.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7b75907b-b2ae-4362-89fa-d520339aaa5c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "APP_LED_GREEN" (shape output) (at 73.66 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7c0866b5-b180-4be6-9e62-43f5b191d6d4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "APP_GPIO3" (shape bidirectional) (at 95.25 175.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 89a24b9a-c563-44b3-adb0-8281cba332ae) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 82.6448 175.1806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_CRESET" (shape input) (at 133.35 176.53 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8c253e73-ce42-4a84-bca6-bf85b5f8d124) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at -81.28 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "APP_GPIO2" (shape bidirectional) (at 73.66 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 96cc7ecb-75d1-4eab-8c20-bea35d5d7e1a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 61.0548 114.2206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_LED_BLUE" (shape output) (at 53.34 180.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9c0314b1-f82f-432d-95a0-65e191202552) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "APP_GPIO3" (shape bidirectional) (at 137.16 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9c6df3ec-9e0e-4040-b211-c975e61fa631) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 149.7652 121.9994 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "APP_ICE_SS" (shape output) (at 137.16 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9e18f8b3-9e1a-4022-9224-10c12ca8a28d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 150.4909 65.9606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "INTERFACE_CTS" (shape output) (at 68.58 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9f6462e9-8671-45d4-a430-ffa3cda80434) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 51.9229 88.8206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_ICE_MOSI" (shape bidirectional) (at 35.56 120.65 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid acc3716f-1174-45a9-91d1-ec2c154d8ff7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 20.052 120.5706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_ICE_MOSI" (shape input) (at 137.16 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b1731e91-7698-42fa-ad60-5c60fdd0e1fc) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.668 60.8806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "TOUCH_EVENT" (shape output) (at 238.76 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b1cdcd87-1a85-4770-bfb3-18a91412a339) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 253.9656 96.4406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "INTERFACE_RX" (shape output) (at 68.58 91.44 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c26b9b6d-8c45-4c1f-927d-f3b63e0fb498) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 52.8906 91.3606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_LED_BLUE" (shape output) (at 73.66 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c81031ca-cd56-4ea3-b0db-833cbbdd7b2e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "APP_ICE_MISO" (shape input) (at 243.84 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid cabe8048-9f6d-4d7f-804c-69110c880c24) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 259.348 41.8306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "APP_CDONE" (shape output) (at 156.21 176.53 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid dde4c43d-f33e-48ba-86f3-779fdfce00c2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at -81.28 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "APP_ICE_SCK" (shape bidirectional) (at 35.56 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e522b6c4-d309-41a8-bbb3-be68a18a18ec) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 20.8987 107.8706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "APP_ICE_SS" (shape bidirectional) (at 35.56 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f468a87b-04d2-4b64-8a27-d5455bd45d60) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 22.2291 114.2206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + + (symbol (lib_id "mta1:ICE40UP5K-SG48ITR") (at 104.14 91.44 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006131a243) + (property "Reference" "U6" (id 0) (at 102.87 45.72 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "ICE40UP5K-SG48ITR" (id 1) (at 93.98 48.26 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" (id 2) (at 104.14 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40Ultra" (id 3) (at 93.98 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Lattice" (id 4) (at 104.14 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "ICE40UP5K-SG48ITR" (id 5) (at 104.14 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digikey" (id 6) (at 104.14 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "220-2145-2-ND" (id 7) (at 104.14 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a203f518-aa71-4b14-99cb-767758973fd7)) + (pin "10" (uuid 211acb84-04af-46f9-8b37-5942b92a5c30)) + (pin "11" (uuid d6fd7593-6eab-4fb5-9980-a5964811ca23)) + (pin "12" (uuid b7205e58-9462-464a-9323-5386a219fa7d)) + (pin "13" (uuid 74c26df3-587d-4243-bb42-a22d8ad7d0cd)) + (pin "14" (uuid d11df5d5-83f9-4785-9aac-8a60191eb1d4)) + (pin "15" (uuid 1589bbd4-1578-4125-a3e7-99bbdd17bdb3)) + (pin "16" (uuid 49ac1b50-2fc6-42bd-a1a4-4bb7d932423f)) + (pin "17" (uuid 0d5ed84b-e57b-4082-a979-b3c837f9347e)) + (pin "18" (uuid 2ea5102d-0361-4bba-934e-88f71e191a43)) + (pin "19" (uuid 8660124b-5090-47f8-be11-d6df6de4753a)) + (pin "2" (uuid 29b95dfc-2a47-4ebc-9445-6b455387f883)) + (pin "20" (uuid 488b33ec-02d4-48a2-adea-b39b3c860220)) + (pin "21" (uuid 8b767695-8fd0-447e-b5e3-b45a29cde6f9)) + (pin "22" (uuid 2f310b40-cd2a-4b4a-a5c3-75e72126e361)) + (pin "23" (uuid a11d2ce4-40a9-47df-b4b4-0670f14d980a)) + (pin "24" (uuid 2dfa8b1b-0f41-4a9e-8cbf-470d7945fd57)) + (pin "25" (uuid f7ca82cc-8329-4f7a-8f4b-85b5e64c9fb5)) + (pin "26" (uuid e2ccc26e-57ba-4d06-8451-728562d01905)) + (pin "27" (uuid 872ab99e-876e-45bc-a425-8d42774d2b06)) + (pin "28" (uuid 2dd88822-46d8-48e3-8061-20a829e916c3)) + (pin "29" (uuid fcac5ced-2656-4c90-886a-cc0b7fa787ce)) + (pin "3" (uuid 9fe60bef-213d-46f7-be72-415b477824b9)) + (pin "30" (uuid 1a023612-c07c-4e86-9aa3-5cf05a659305)) + (pin "31" (uuid 34a62214-7303-4147-90cb-8a37c385d630)) + (pin "32" (uuid c19e2542-60a9-4175-9949-2abf370eb6e5)) + (pin "33" (uuid 3f7e104d-d8c3-4e24-9861-272420f53c2b)) + (pin "34" (uuid 6e568d9b-521c-4462-9ffa-5ffa38b7c5cb)) + (pin "35" (uuid c879e7de-8da9-43d1-a17b-bb97b70f2899)) + (pin "36" (uuid a5eb48cd-8440-4566-b518-b6e2a9e3e8d0)) + (pin "37" (uuid c0c766e9-e88d-494f-8314-1917fa2ec970)) + (pin "38" (uuid 9018df96-4440-4b43-93b2-2830d8097548)) + (pin "39" (uuid 8268a12a-3a74-4905-bfc2-3c25f5b34acb)) + (pin "4" (uuid eec1d0e0-f13d-4847-ab9b-06f81c6f3eb3)) + (pin "40" (uuid d7d1b1c3-4fa5-4ec3-b553-09645f6a24cf)) + (pin "41" (uuid 4889af1b-c8ff-4f8b-b9b1-c0955080de75)) + (pin "42" (uuid b20479ae-8d71-45bc-b3d2-d61a4b857bb0)) + (pin "43" (uuid cf1e217c-8efa-4a5d-9bd5-474a24c3355d)) + (pin "44" (uuid f2632247-31a5-4afb-a76b-8ec7549dc9ef)) + (pin "45" (uuid eab73e3c-a7de-45c5-8e73-20f4a0c968ba)) + (pin "46" (uuid 59065db4-0a13-4e04-941d-b09b118f73e7)) + (pin "47" (uuid de1ea028-63a9-41ac-a1d0-298f3347a9c7)) + (pin "48" (uuid f63829d0-b0b2-43d7-81b4-a46e43292e9b)) + (pin "49" (uuid b4257229-bc02-4093-b3d9-41a5771fd747)) + (pin "5" (uuid 58476a88-cff4-4776-942d-49efbf570585)) + (pin "6" (uuid c72f49b8-3b9b-44a2-bb44-25315ffb7eed)) + (pin "7" (uuid abc84c16-ece1-4c0b-b653-fad052cb46eb)) + (pin "8" (uuid 5d705702-23ba-4004-9200-60a876af0b0d)) + (pin "9" (uuid 14542fec-2073-4af9-b884-7884d3681d64)) + ) + + (symbol (lib_id "Device:R") (at 135.89 171.45 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006138caff) + (property "Reference" "R20" (id 0) (at 137.668 170.2816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 137.668 172.593 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 134.112 171.45 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 135.89 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 135.89 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 135.89 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 53ed8089-f481-4e3e-a3e5-c69aa52119e0)) + (pin "2" (uuid bfb5e7c3-ec60-41c0-a79b-ada084d506c2)) + ) + + (symbol (lib_id "Device:R") (at 158.75 171.45 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006138f043) + (property "Reference" "R19" (id 0) (at 160.528 170.2816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 160.528 172.593 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 156.972 171.45 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 158.75 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 158.75 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 158.75 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b3b59522-1b11-4878-8041-7a56150c9cbe)) + (pin "2" (uuid 3a6ea4d6-2426-41d6-a74a-40dae971b275)) + ) + + (symbol (lib_id "power:+3.3V") (at 158.75 166.37 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006138f04b) + (property "Reference" "#PWR049" (id 0) (at 158.75 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 159.131 161.9758 0)) + (property "Footprint" "" (id 2) (at 158.75 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 158.75 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b56461d6-c2c2-494c-986b-e5829b205971)) + ) + + (symbol (lib_id "power:+2V5") (at 40.64 66.04 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006138f580) + (property "Reference" "#PWR040" (id 0) (at 40.64 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+2V5" (id 1) (at 41.021 61.6458 0)) + (property "Footprint" "" (id 2) (at 40.64 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 51704af3-eba9-423c-9412-d64815d32552)) + ) + + (symbol (lib_id "power:+1V2") (at 41.91 27.94 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006138fc2a) + (property "Reference" "#PWR038" (id 0) (at 41.91 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (id 1) (at 42.291 23.5458 0)) + (property "Footprint" "" (id 2) (at 41.91 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 41.91 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 66950b6c-bb56-46d2-a85a-1c0ba8314796)) + ) + + (symbol (lib_id "power:GND") (at 41.91 35.56 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006155307d) + (property "Reference" "#GND022" (id 0) (at 41.91 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 42.037 39.9542 0)) + (property "Footprint" "" (id 2) (at 41.91 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 41.91 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7b4900dc-bcd0-4072-9695-79226fc4fe2a)) + ) + + (symbol (lib_id "power:GND") (at 41.91 53.34 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006155308a) + (property "Reference" "#GND023" (id 0) (at 41.91 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 42.037 57.7342 0)) + (property "Footprint" "" (id 2) (at 41.91 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 41.91 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 81c43b45-356d-49a3-970b-675531fefdf8)) + ) + + (symbol (lib_id "Device:C") (at 157.48 57.15 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000615530a2) + (property "Reference" "C21" (id 0) (at 160.401 55.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 160.401 58.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 158.4452 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 157.48 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 157.48 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 157.48 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1c790aed-ff42-4acb-94e3-780c3781b07c)) + (pin "2" (uuid 702f05a4-fae3-4f6c-99ae-b98c29fc4287)) + ) + + (symbol (lib_id "Device:C") (at 40.64 88.9 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000615530a8) + (property "Reference" "C19" (id 0) (at 43.561 87.7316 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 43.561 90.043 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 41.6052 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 40.64 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 40.64 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 40.64 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2ca01c33-e841-4b2a-9b0d-ae7494e57d9e)) + (pin "2" (uuid 101c9541-312a-443a-8575-709412b36c04)) + ) + + (symbol (lib_id "mta1:FC-B1010RGBT-HG") (at 34.29 175.26 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000615e01fa) + (property "Reference" "D3" (id 0) (at 34.29 162.6362 0)) + (property "Value" "LED_ARGB" (id 1) (at 34.29 164.9476 0)) + (property "Footprint" "mta1:0402rgb-1010" (id 2) (at 34.29 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 34.29 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Foshan NationStar" (id 4) (at 34.29 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "FC-B1010RGBT-HG" (id 5) (at 34.29 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "LCSC" (id 6) (at 34.29 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "C158099" (id 7) (at 34.29 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 80609c0c-2452-440b-a294-83998571cdad)) + (pin "2" (uuid 3fbb6330-0581-499f-9f36-f36e017e6fec)) + (pin "3" (uuid 7aacff48-474b-4b07-91d1-3ae2bb730a02)) + (pin "4" (uuid 637d5b97-3b49-4bf0-abc5-0c2edd930838)) + ) + + (symbol (lib_id "Device:R") (at 46.99 170.18 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000615e0200) + (property "Reference" "R16" (id 0) (at 44.45 167.64 90)) + (property "Value" "1k" (id 1) (at 49.53 167.64 90)) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 46.99 168.402 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 46.99 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 46.99 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 46.99 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cf543218-01b6-4dd3-a9ea-53148c8662c0)) + (pin "2" (uuid c9345459-ed87-46f0-b24e-2fe29b373568)) + ) + + (symbol (lib_id "power:+3.3V") (at 22.86 166.37 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000615e021d) + (property "Reference" "#PWR037" (id 0) (at 22.86 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 23.241 161.9758 0)) + (property "Footprint" "" (id 2) (at 22.86 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 22.86 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4356c024-325b-4703-9726-6918a038d4aa)) + ) + + (symbol (lib_id "power:+3.3V") (at 157.48 53.34 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000615f7d0f) + (property "Reference" "#PWR045" (id 0) (at 157.48 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 157.861 48.9458 0)) + (property "Footprint" "" (id 2) (at 157.48 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 157.48 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 90086944-cefc-4611-91d8-4a25097ca817)) + ) + + (symbol (lib_id "power:+3.3V") (at 157.48 106.68 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000615f8e26) + (property "Reference" "#PWR046" (id 0) (at 157.48 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 157.861 102.2858 0)) + (property "Footprint" "" (id 2) (at 157.48 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 157.48 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 900b1186-41b2-4267-a81a-0b14053e9b25)) + ) + + (symbol (lib_id "power:+3.3V") (at 40.64 85.09 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000615f9e61) + (property "Reference" "#PWR041" (id 0) (at 40.64 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 41.021 80.6958 0)) + (property "Footprint" "" (id 2) (at 40.64 85.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 85.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 16d82530-61e1-4817-a075-0259e2cbf3e9)) + ) + + (symbol (lib_id "power:GND") (at 40.64 92.71 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061681e90) + (property "Reference" "#GND025" (id 0) (at 40.64 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 40.767 97.1042 0)) + (property "Footprint" "" (id 2) (at 40.64 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cb5c7de6-c027-4f9f-b47b-7f9cea14adb5)) + ) + + (symbol (lib_id "mta1:Ferrite_Bead-Device") (at 29.21 45.72 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617217ef) + (property "Reference" "FB3" (id 0) (at 29.21 41.91 90)) + (property "Value" "BLM18KG300TN1D" (id 1) (at 29.21 50.8 90)) + (property "Footprint" "mta1:Ferritbead_0603_1608Metric" (id 2) (at 29.21 43.942 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 29.21 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Murata" (id 4) (at 29.21 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "BLM18KG300TN1D" (id 5) (at 29.21 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digikey" (id 6) (at 29.21 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "490-5447-1-ND" (id 7) (at 29.21 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5e8bad9a-aa35-4151-981c-9465de4bd104)) + (pin "2" (uuid 667a068b-b3fd-422c-a0a7-dd62e92f866b)) + ) + + (symbol (lib_id "Device:C") (at 41.91 49.53 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617217f5) + (property "Reference" "C25" (id 0) (at 44.831 48.3616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10uF" (id 1) (at 44.831 50.673 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 42.8752 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 41.91 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "10V,X5R,20%" (id 5) (at 41.91 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0c1db64c-2e51-493f-b239-1aad3d23a759)) + (pin "2" (uuid e273d73f-8139-45f5-8134-aa0879a1a97c)) + ) + + (symbol (lib_id "power:+1V2") (at 16.51 45.72 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006172ecd3) + (property "Reference" "#PWR036" (id 0) (at 16.51 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (id 1) (at 16.891 41.3258 0)) + (property "Footprint" "" (id 2) (at 16.51 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 16.51 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 13805148-4cae-4eba-8f0b-3699a6bd6775)) + ) + + (symbol (lib_id "Device:C") (at 157.48 110.49 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f14fd) + (property "Reference" "C22" (id 0) (at 160.401 109.3216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 160.401 111.633 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 158.4452 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 157.48 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 157.48 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 157.48 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4a2c1bdf-0a71-44a4-8d8b-b79de8e9e31e)) + (pin "2" (uuid aa60ebf9-743b-4fb7-972f-d11c3bc8f8fb)) + ) + + (symbol (lib_id "Device:C") (at 41.91 31.75 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f14fe) + (property "Reference" "C16" (id 0) (at 44.831 30.5816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 44.831 32.893 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 42.8752 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 41.91 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 41.91 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a48083fc-38dd-482c-9ace-494bc5fa6d69)) + (pin "2" (uuid f8d049d9-590d-481a-a9d0-d6dee152894c)) + ) + + (symbol (lib_id "Device:C") (at 55.88 49.53 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f1500) + (property "Reference" "C17" (id 0) (at 58.801 48.3616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 58.801 50.673 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 56.8452 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 55.88 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 55.88 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 55.88 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9b8ecbeb-d237-48e9-998b-0c7c2512da82)) + (pin "2" (uuid 27b2f6f4-8398-4215-90e1-4f8bee0ce4aa)) + ) + + (symbol (lib_id "power:GND") (at 40.64 73.66 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f1502) + (property "Reference" "#GND024" (id 0) (at 40.64 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 40.767 78.0542 0)) + (property "Footprint" "" (id 2) (at 40.64 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 92630609-c40d-4375-8262-a708a73e319b)) + ) + + (symbol (lib_id "Device:C") (at 40.64 69.85 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f1503) + (property "Reference" "C18" (id 0) (at 43.561 68.6816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 43.561 70.993 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 41.6052 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 40.64 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 40.64 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 40.64 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d35de69a-dc3f-4d4d-990b-eb1d7d7b872a)) + (pin "2" (uuid 928705e0-a86d-447c-b1be-dde9b02a20da)) + ) + + (symbol (lib_id "Device:C") (at 55.88 31.75 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f1506) + (property "Reference" "C20" (id 0) (at 58.801 30.5816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 58.801 32.893 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 56.8452 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 55.88 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 55.88 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 55.88 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1222ae44-4df0-4a86-9536-902c34d43ead)) + (pin "2" (uuid 88f3b249-9419-4564-8361-9ed13e8b09d9)) + ) + + (symbol (lib_id "Device:R") (at 46.99 175.26 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f150b) + (property "Reference" "R17" (id 0) (at 44.45 172.72 90)) + (property "Value" "1k" (id 1) (at 49.53 172.72 90)) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 46.99 173.482 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 46.99 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 46.99 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 46.99 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 15656228-0176-4d42-865e-c3fdb32e59eb)) + (pin "2" (uuid 309ebee5-2360-490e-ae34-549c5eb6eee2)) + ) + + (symbol (lib_id "Device:R") (at 46.99 180.34 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f150c) + (property "Reference" "R18" (id 0) (at 44.45 177.8 90)) + (property "Value" "1k" (id 1) (at 49.53 177.8 90)) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 46.99 178.562 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 46.99 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 46.99 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 46.99 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f09551d9-20f7-47c0-8535-4192750f9009)) + (pin "2" (uuid 4411327a-d242-4a4e-b683-ede39e81bddf)) + ) + + (symbol (lib_id "power:GND") (at 157.48 114.3 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f1516) + (property "Reference" "#GND027" (id 0) (at 157.48 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 157.607 118.6942 0)) + (property "Footprint" "" (id 2) (at 157.48 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 157.48 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a2c368eb-b056-41cb-8f26-73f08b0760ab)) + ) + + (symbol (lib_id "power:GND") (at 157.48 60.96 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f1517) + (property "Reference" "#GND026" (id 0) (at 157.48 67.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 157.607 65.3542 0)) + (property "Footprint" "" (id 2) (at 157.48 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 157.48 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 013a8155-601a-43ee-9eaf-091d52a0631b)) + ) + + (symbol (lib_id "Device:C") (at 179.07 91.44 180) (unit 1) + (in_bom no) (on_board yes) + (uuid 0f8bd06f-60b1-4be8-90d9-1a7f1cd60020) + (property "Reference" "C8" (id 0) (at 182.88 90.17 0)) + (property "Value" "" (id 1) (at 184.15 92.71 0)) + (property "Footprint" "" (id 2) (at 178.1048 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 179.07 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "50V,X7R,10%,1.6mm thickness" (id 4) (at 179.07 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "TDK Corporation" (id 5) (at 179.07 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "C3216X7R1H105K160AE" (id 6) (at 179.07 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digikey" (id 7) (at 179.07 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "445-8904-2-ND" (id 8) (at 179.07 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a369e2da-4075-4f0c-a909-dceb52c2dd26)) + (pin "2" (uuid 6ad55953-7a86-4bfb-a23b-dcf3a404bbd3)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 36.83 127 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 0f9d611d-221f-42fe-a161-07c0ff52c542) + (property "Reference" "TP15" (id 0) (at 38.3032 124.0028 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_ICE_MISO" (id 1) (at 38.3032 126.3142 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f92730e2-e5dc-4eff-86da-4195bd82ce4e)) + ) + + (symbol (lib_id "power:+3.3V") (at 274.32 39.37 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 108707ea-61b9-473e-b912-26cc352df875) + (property "Reference" "#PWR028" (id 0) (at 274.32 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 274.701 34.9758 0)) + (property "Footprint" "" (id 2) (at 274.32 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 274.32 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 93fadcd1-2a60-4e0a-8b5d-9ce1d81073e4)) + ) + + (symbol (lib_id "Device:C") (at 243.84 27.94 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 17dff2a5-14b1-4b13-b0b5-dee2988a7fcc) + (property "Reference" "C23" (id 0) (at 246.761 26.7716 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 246.761 29.083 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 244.8052 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 243.84 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 243.84 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 243.84 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fd7eb3ba-e2fe-42b9-a851-07218753eaf6)) + (pin "2" (uuid 08fd27dc-b457-47d2-8618-aca6877ce31e)) + ) + + (symbol (lib_id "Device:R") (at 265.43 44.45 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 1dc40799-6ae3-4c4a-b25a-16b0a8f8d3c0) + (property "Reference" "R25" (id 0) (at 267.208 43.2816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 267.208 45.593 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 263.652 44.45 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 265.43 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 265.43 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 265.43 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c499ade5-baa5-423a-824c-967635ae434a)) + (pin "2" (uuid e1e9150d-7df2-443d-9dfe-5dece867a39a)) + ) + + (symbol (lib_id "power:+3.3V") (at 265.43 39.37 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 1f9c54e3-462b-47fe-b9a3-d8744999c184) + (property "Reference" "#PWR027" (id 0) (at 265.43 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 265.811 34.9758 0)) + (property "Footprint" "" (id 2) (at 265.43 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 265.43 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bf95a126-cc2e-4eb7-b319-f7e3146b9cd2)) + ) + + (symbol (lib_id "mta1:PT2043AT6") (at 224.79 102.87 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 206cf77e-9615-45a1-84e2-dd89660f9255) + (property "Reference" "U10" (id 0) (at 224.79 90.17 0)) + (property "Value" "PT2043AT6" (id 1) (at 224.79 92.71 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 203.2 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 203.2 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "PinTeng" (id 4) (at 224.79 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "PT2043AT6" (id 5) (at 224.79 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "LCSC" (id 6) (at 224.79 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "C2914233" (id 7) (at 224.79 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7ac2a652-e9d2-4fea-8a5f-bf0a64705bd1)) + (pin "2" (uuid e043f7bc-83fb-4bb0-b408-187776366125)) + (pin "3" (uuid 4eeac86b-f365-4630-8ad0-799807b0ae71)) + (pin "4" (uuid 335f474a-4ced-442d-9f12-0d5604b4a52b)) + (pin "5" (uuid 81bf64a9-7c0d-45e0-9076-87ebec2ecf6d)) + (pin "6" (uuid 27075fea-b7ef-4891-9008-893d2021c62f)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 36.83 139.7 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 32f54cf3-1e0f-4aaa-b508-267b9568217c) + (property "Reference" "TP17" (id 0) (at 38.3032 136.7028 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_CDONE" (id 1) (at 38.3032 139.0142 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8185b808-3d63-450d-a2d5-c7e9c6507264)) + ) + + (symbol (lib_id "Device:C") (at 203.2 107.95 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 391c56db-bc39-4ec7-bb45-dcbe1aaf2b1a) + (property "Reference" "C27" (id 0) (at 206.121 106.7816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1pF" (id 1) (at 206.121 109.093 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 204.1652 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 203.2 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 203.2 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 203.2 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5aed2f62-37cb-4bcf-8a27-15a25a6cc984)) + (pin "2" (uuid fd9bbb3d-18d7-4cd1-8f7c-17c8d05cb3e0)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 36.83 120.65 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 3c9864fc-0a77-4fe0-8c96-c0260a516ba1) + (property "Reference" "TP14" (id 0) (at 38.3032 117.6528 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_ICE_MOSI" (id 1) (at 38.3032 119.9642 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bad428bf-003b-41b9-99ab-e99152a71f46)) + ) + + (symbol (lib_id "power:+3.3V") (at 203.2 33.02 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 49c3622e-071c-4c17-98b8-dcd7768c951d) + (property "Reference" "#PWR011" (id 0) (at 203.2 36.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 203.581 28.6258 0)) + (property "Footprint" "" (id 2) (at 203.2 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 203.2 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 744b4d8f-f27a-401f-94ac-7aa09acf4fd3)) + ) + + (symbol (lib_id "power:GND") (at 214.63 111.76 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 62d3b20b-9f61-410e-af5d-e367b9b7c6a2) + (property "Reference" "#GND028" (id 0) (at 214.63 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 214.757 116.1542 0)) + (property "Footprint" "" (id 2) (at 214.63 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 214.63 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fc6e2aac-fb0f-40af-8606-5c939c68853f)) + ) + + (symbol (lib_id "power:GND") (at 201.93 91.44 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 63263417-b18d-4afb-baba-9adc94489e6d) + (property "Reference" "#GND019" (id 0) (at 201.93 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 202.057 95.8342 0)) + (property "Footprint" "" (id 2) (at 201.93 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 201.93 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 198262b8-e567-408b-b093-1f3e07f4abec)) + ) + + (symbol (lib_id "Device:R") (at 198.12 101.6 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 6bc1edc9-8890-4578-b994-f654ccefb54e) + (property "Reference" "R30" (id 0) (at 195.58 99.06 90)) + (property "Value" "2k" (id 1) (at 200.66 99.06 90)) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 198.12 99.822 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 198.12 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 198.12 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,1%" (id 5) (at 198.12 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5c07d25d-4ea4-4ce5-ade6-e231d263196b)) + (pin "2" (uuid 96bf715e-d467-4e40-b776-d75f84834d6c)) + ) + + (symbol (lib_id "power:+3.3V") (at 223.52 24.13 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 6c864d85-37de-41d9-a2ed-9e7d556bb188) + (property "Reference" "#PWR022" (id 0) (at 223.52 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 223.901 19.7358 0)) + (property "Footprint" "" (id 2) (at 223.52 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 223.52 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5583cd91-5a29-48e5-ae9b-ca9814203ee7)) + ) + + (symbol (lib_id "power:GND") (at 223.52 58.42 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 727753b4-f966-45cc-89b3-3467a9b495c8) + (property "Reference" "#PWR026" (id 0) (at 223.52 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 223.647 62.8142 0)) + (property "Footprint" "" (id 2) (at 223.52 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 223.52 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c6f686aa-790c-4464-9bc4-53e278e6d7aa)) + ) + + (symbol (lib_id "Device:R") (at 274.32 44.45 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7732c5e0-271e-4de5-9ee2-962192432222) + (property "Reference" "R26" (id 0) (at 276.098 43.2816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 276.098 45.593 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 272.542 44.45 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 274.32 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 274.32 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 274.32 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0adca7f7-e639-4a15-b581-be7934836bec)) + (pin "2" (uuid 8dcf2234-5752-4911-b870-567ad7b25133)) + ) + + (symbol (lib_id "power:+3.3V") (at 135.89 166.37 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7e3375ec-ba03-419e-91de-403da04c426c) + (property "Reference" "#PWR0113" (id 0) (at 135.89 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 136.271 161.9758 0)) + (property "Footprint" "" (id 2) (at 135.89 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 135.89 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 93ee5c31-4456-40ca-a207-06d7442b2b2d)) + ) + + (symbol (lib_id "mta1:1674954-1") (at 187.96 87.63 90) (unit 1) + (in_bom yes) (on_board no) (fields_autoplaced) + (uuid 81172fbc-f24e-4173-965f-d88ed2c48035) + (property "Reference" "U11" (id 0) (at 191.77 76.1999 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "" (id 1) (at 191.77 78.7399 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 185.42 71.12 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=6-1773460-8_Spring_Fingers&DocType=Data+Sheet&DocLang=English&PartCntxt=1674954-1&DocFormat=pdf" (id 3) (at 187.96 71.12 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Description" "AMP - TE CONNECTIVITY - 1674954-1 - SPRING FINGER, MOBILE PHONES" (id 4) (at 190.5 71.12 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Height" "" (id 5) (at 193.04 71.12 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Mouser Part Number" "571-1674954-1" (id 6) (at 195.58 71.12 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Mouser Price/Stock" "https://www.mouser.co.uk/ProductDetail/TE-Connectivity/1674954-1?qs=o4qE4s2E%252BcyEbD%252ByxeI18A%3D%3D" (id 7) (at 198.12 71.12 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Manufacturer_Name" "TE Connectivity" (id 8) (at 200.66 71.12 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Manufacturer_Part_Number" "1674954-1" (id 9) (at 203.2 71.12 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (pin "1" (uuid 65d5c78a-4863-4a6e-8ee9-7f7694e5dd47)) + ) + + (symbol (lib_id "Device:C") (at 201.93 87.63 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 8474ba4a-e004-4036-b038-4ff6552cee7d) + (property "Reference" "C26" (id 0) (at 204.851 86.4616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 204.851 88.773 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 202.8952 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 201.93 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 201.93 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 201.93 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 17c85b10-fb6c-4c65-a2bb-235f8a8e6c16)) + (pin "2" (uuid 1bed94cf-998a-4278-897a-12037110f80e)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 96.52 168.91 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 855a271d-d14e-4340-88ef-5eb1d2805d77) + (property "Reference" "TP19" (id 0) (at 97.9932 165.9128 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_GPIO2" (id 1) (at 97.9932 168.2242 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 101.6 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 101.6 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f4e0208c-0521-4a50-abdc-38016cc53aaf)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 96.52 175.26 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 8aa5c85f-46b3-4ac4-bd48-3e3352ebb3f6) + (property "Reference" "TP20" (id 0) (at 97.9932 172.2628 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_GPIO3" (id 1) (at 97.9932 174.5742 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 101.6 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 101.6 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d92faf97-4d0d-45ac-9d54-07cb2cf9e4e5)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 96.52 181.61 0) (unit 1) + (in_bom no) (on_board yes) + (uuid a6d52094-2dbe-49fa-99c1-8b125d3ae4c6) + (property "Reference" "TP21" (id 0) (at 97.9932 178.6128 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_GPIO4" (id 1) (at 97.9932 180.9242 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 101.6 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 101.6 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2b52f0ea-df3a-4715-bfe8-82decca12914)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 36.83 146.05 0) (unit 1) + (in_bom no) (on_board yes) + (uuid ab35f289-cbe7-449b-a15d-459a3b4e9240) + (property "Reference" "TP5" (id 0) (at 38.3032 143.0528 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_GND" (id 1) (at 38.3032 145.3642 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 62420d60-cdd1-489e-b5cf-8a3a7a0d4993)) + ) + + (symbol (lib_id "power:GND") (at 243.84 31.75 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid b1a11e45-92cc-431d-8cf7-703ad06727e6) + (property "Reference" "#GND09" (id 0) (at 243.84 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 243.967 36.1442 0)) + (property "Footprint" "" (id 2) (at 243.84 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 243.84 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0855f4b6-f511-4651-a81d-aa8f47c8991f)) + ) + + (symbol (lib_id "power:GND") (at 35.56 146.05 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid b1b5e825-ddfe-4e1f-ada8-89efd01d7e58) + (property "Reference" "#GND030" (id 0) (at 29.21 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 31.1658 146.177 0)) + (property "Footprint" "" (id 2) (at 35.56 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 35.56 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8084958f-70ff-49b3-b191-9099e39f9334)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 36.83 107.95 0) (unit 1) + (in_bom no) (on_board yes) + (uuid b84f4f68-cc10-43a0-962e-10a1890e7eaf) + (property "Reference" "TP12" (id 0) (at 38.3032 104.9528 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_ICE_SCK" (id 1) (at 38.3032 107.2642 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6ee4fd8b-eba6-4885-a7c5-67b88353c850)) + ) + + (symbol (lib_id "power:GND") (at 203.2 113.03 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid b889953b-c916-4107-8112-566c6919744d) + (property "Reference" "#GND021" (id 0) (at 203.2 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 203.327 117.4242 0)) + (property "Footprint" "" (id 2) (at 203.2 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 203.2 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b5f32c4c-7fe2-4bb8-b81b-78e3c16d0e46)) + ) + + (symbol (lib_id "power:GND") (at 73.66 71.12 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid bd9ce2d7-a742-4270-aa1d-0ad8b18d7e79) + (property "Reference" "#GND0103" (id 0) (at 73.66 77.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 73.787 75.5142 0)) + (property "Footprint" "" (id 2) (at 73.66 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 73.66 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5b52d0ac-0398-4bb3-9cd4-b9ff2429735b)) + ) + + (symbol (lib_id "power:+3.3V") (at 203.2 52.07 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid cf5d65ff-1235-4993-8f68-bb2914b1df4c) + (property "Reference" "#PWR021" (id 0) (at 203.2 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 203.581 47.6758 0)) + (property "Footprint" "" (id 2) (at 203.2 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 203.2 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bb38c0a5-e34a-4245-9455-49a2a69c6d2d)) + ) + + (symbol (lib_id "Device:R") (at 203.2 57.15 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid d3227fe1-e3fb-433e-8be2-5ff5302bdec8) + (property "Reference" "R24" (id 0) (at 204.978 55.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 204.978 58.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 201.422 57.15 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 203.2 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 203.2 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 203.2 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f15a3f70-7e02-4a9d-ab2b-1348eee2cddd)) + (pin "2" (uuid 2b5bc962-830f-40bc-852f-987867af017f)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 36.83 133.35 0) (unit 1) + (in_bom no) (on_board yes) + (uuid d7bf813c-bb4e-4ed8-b86f-d9ec1d86656d) + (property "Reference" "TP16" (id 0) (at 38.3032 130.3528 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_CRESET" (id 1) (at 38.3032 132.6642 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 74385b70-2bb7-4565-ab12-0c0ff48ffb90)) + ) + + (symbol (lib_id "power:GND") (at 234.95 111.76 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid dd7d4f71-4b84-459a-a048-8d2180afb73f) + (property "Reference" "#GND029" (id 0) (at 234.95 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 235.077 116.1542 0)) + (property "Footprint" "" (id 2) (at 234.95 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 234.95 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 84601c7d-8939-4331-9fe0-a3af69c506f9)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 96.52 162.56 0) (unit 1) + (in_bom no) (on_board yes) + (uuid e7d44998-7d27-4371-9f3d-0a968eddee9d) + (property "Reference" "TP18" (id 0) (at 97.9932 159.5628 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_GPIO1" (id 1) (at 97.9932 161.8742 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 101.6 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 101.6 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 67c84900-f0bc-4178-b066-cd7e9287edf9)) + ) + + (symbol (lib_id "power:+3.3V") (at 201.93 81.28 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid f2d3dec6-f2ba-4093-96f3-67d1f7fcb35c) + (property "Reference" "#PWR029" (id 0) (at 201.93 85.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 202.311 76.8858 0)) + (property "Footprint" "" (id 2) (at 201.93 81.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 201.93 81.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 47fc54b1-56b3-4767-aecd-cc31979f15b4)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 36.83 114.3 0) (unit 1) + (in_bom no) (on_board yes) + (uuid f77c0061-34af-41f5-8a00-bb8ae07fe922) + (property "Reference" "TP13" (id 0) (at 38.3032 111.3028 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "APP_ICE_SS" (id 1) (at 38.3032 113.6142 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 41.91 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f1bf1def-702f-41d9-86f4-3c6d665b9300)) + ) + + (symbol (lib_id "mta1:W25Q80DVUXIE") (at 223.52 46.99 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid fb1bfba7-59bf-4387-b0fd-53ae34c08235) + (property "Reference" "U8" (id 0) (at 224.79 34.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "W25Q80DVUXIE" (id 1) (at 224.79 36.83 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:W25Q80DVUXIE" (id 2) (at 223.52 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.winbond.com/resource-files/w25x40cl_f%2020140325.pdf" (id 3) (at 223.52 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Winbond Electronics" (id 4) (at 223.52 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "W25Q80DVUXIE" (id 5) (at 223.52 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digikey" (id 6) (at 223.52 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "W25Q80DVUXIETR-ND" (id 7) (at 223.52 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b6769fb1-3cef-4b2a-9230-4852238c61db)) + (pin "2" (uuid 7e5100ef-1573-4c23-8a6c-c173aaaf89b7)) + (pin "3" (uuid 7798d880-86a0-4ea6-a19c-62585563cad2)) + (pin "4" (uuid 1c7a81ce-9f4d-4a92-a7c0-9ee88ff238c2)) + (pin "5" (uuid 61c1725e-d273-475d-9660-67d272c546a3)) + (pin "6" (uuid e37c3e85-2c67-4273-8298-e6fe135207e9)) + (pin "7" (uuid 771bb791-6799-4acd-8494-791716f06928)) + (pin "8" (uuid 7ffddda5-1c81-47a0-909e-fcec6a78a851)) + (pin "9" (uuid ecedc1a8-6428-455a-a470-58e1c1603d50)) + ) + + (symbol (lib_id "Device:R") (at 203.2 38.1 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid fe6cb91d-e57b-4761-a6eb-995b9ad40281) + (property "Reference" "R23" (id 0) (at 204.978 36.9316 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 204.978 39.243 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 201.422 38.1 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 203.2 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 203.2 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 203.2 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 00c18d7b-20ab-46ba-9d73-6fdb95280406)) + (pin "2" (uuid 61ed56bb-5660-4ee7-9955-20383fee3be6)) + ) + + (sheet_instances + (path "/" (page "1")) + ) + + (symbol_instances + (path "/b1a11e45-92cc-431d-8cf7-703ad06727e6" + (reference "#GND09") (unit 1) (value "GND") (footprint "") + ) + (path "/63263417-b18d-4afb-baba-9adc94489e6d" + (reference "#GND019") (unit 1) (value "GND") (footprint "") + ) + (path "/b889953b-c916-4107-8112-566c6919744d" + (reference "#GND021") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006155307d" + (reference "#GND022") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006155308a" + (reference "#GND023") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f1502" + (reference "#GND024") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061681e90" + (reference "#GND025") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f1517" + (reference "#GND026") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f1516" + (reference "#GND027") (unit 1) (value "GND") (footprint "") + ) + (path "/62d3b20b-9f61-410e-af5d-e367b9b7c6a2" + (reference "#GND028") (unit 1) (value "GND") (footprint "") + ) + (path "/dd7d4f71-4b84-459a-a048-8d2180afb73f" + (reference "#GND029") (unit 1) (value "GND") (footprint "") + ) + (path "/b1b5e825-ddfe-4e1f-ada8-89efd01d7e58" + (reference "#GND030") (unit 1) (value "GND") (footprint "") + ) + (path "/bd9ce2d7-a742-4270-aa1d-0ad8b18d7e79" + (reference "#GND0103") (unit 1) (value "GND") (footprint "") + ) + (path "/49c3622e-071c-4c17-98b8-dcd7768c951d" + (reference "#PWR011") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/cf5d65ff-1235-4993-8f68-bb2914b1df4c" + (reference "#PWR021") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/6c864d85-37de-41d9-a2ed-9e7d556bb188" + (reference "#PWR022") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/727753b4-f966-45cc-89b3-3467a9b495c8" + (reference "#PWR026") (unit 1) (value "GND") (footprint "") + ) + (path "/1f9c54e3-462b-47fe-b9a3-d8744999c184" + (reference "#PWR027") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/108707ea-61b9-473e-b912-26cc352df875" + (reference "#PWR028") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/f2d3dec6-f2ba-4093-96f3-67d1f7fcb35c" + (reference "#PWR029") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006172ecd3" + (reference "#PWR036") (unit 1) (value "+1V2") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000615e021d" + (reference "#PWR037") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006138fc2a" + (reference "#PWR038") (unit 1) (value "+1V2") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006138f580" + (reference "#PWR040") (unit 1) (value "+2V5") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000615f9e61" + (reference "#PWR041") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000615f7d0f" + (reference "#PWR045") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000615f8e26" + (reference "#PWR046") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006138f04b" + (reference "#PWR049") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/7e3375ec-ba03-419e-91de-403da04c426c" + (reference "#PWR0113") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/0f8bd06f-60b1-4be8-90d9-1a7f1cd60020" + (reference "C8") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_1206_3216Metric") + ) + (path "/00000000-0000-0000-0000-0000617f14fe" + (reference "C16") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000617f1500" + (reference "C17") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000617f1503" + (reference "C18") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000615530a8" + (reference "C19") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000617f1506" + (reference "C20") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000615530a2" + (reference "C21") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000617f14fd" + (reference "C22") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/17dff2a5-14b1-4b13-b0b5-dee2988a7fcc" + (reference "C23") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000617217f5" + (reference "C25") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") + ) + (path "/8474ba4a-e004-4036-b038-4ff6552cee7d" + (reference "C26") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/391c56db-bc39-4ec7-bb45-dcbe1aaf2b1a" + (reference "C27") (unit 1) (value "1pF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000615e01fa" + (reference "D3") (unit 1) (value "LED_ARGB") (footprint "mta1:0402rgb-1010") + ) + (path "/00000000-0000-0000-0000-0000617217ef" + (reference "FB3") (unit 1) (value "BLM18KG300TN1D") (footprint "mta1:Ferritbead_0603_1608Metric") + ) + (path "/00000000-0000-0000-0000-0000615e0200" + (reference "R16") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000617f150b" + (reference "R17") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000617f150c" + (reference "R18") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-00006138f043" + (reference "R19") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-00006138caff" + (reference "R20") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/fe6cb91d-e57b-4761-a6eb-995b9ad40281" + (reference "R23") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/d3227fe1-e3fb-433e-8be2-5ff5302bdec8" + (reference "R24") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/1dc40799-6ae3-4c4a-b25a-16b0a8f8d3c0" + (reference "R25") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/7732c5e0-271e-4de5-9ee2-962192432222" + (reference "R26") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/6bc1edc9-8890-4578-b994-f654ccefb54e" + (reference "R30") (unit 1) (value "2k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/ab35f289-cbe7-449b-a15d-459a3b4e9240" + (reference "TP5") (unit 1) (value "APP_GND") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/b84f4f68-cc10-43a0-962e-10a1890e7eaf" + (reference "TP12") (unit 1) (value "APP_ICE_SCK") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/f77c0061-34af-41f5-8a00-bb8ae07fe922" + (reference "TP13") (unit 1) (value "APP_ICE_SS") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/3c9864fc-0a77-4fe0-8c96-c0260a516ba1" + (reference "TP14") (unit 1) (value "APP_ICE_MOSI") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/0f9d611d-221f-42fe-a161-07c0ff52c542" + (reference "TP15") (unit 1) (value "APP_ICE_MISO") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/d7bf813c-bb4e-4ed8-b86f-d9ec1d86656d" + (reference "TP16") (unit 1) (value "APP_CRESET") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/32f54cf3-1e0f-4aaa-b508-267b9568217c" + (reference "TP17") (unit 1) (value "APP_CDONE") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/e7d44998-7d27-4371-9f3d-0a968eddee9d" + (reference "TP18") (unit 1) (value "APP_GPIO1") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/855a271d-d14e-4340-88ef-5eb1d2805d77" + (reference "TP19") (unit 1) (value "APP_GPIO2") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/8aa5c85f-46b3-4ac4-bd48-3e3352ebb3f6" + (reference "TP20") (unit 1) (value "APP_GPIO3") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/a6d52094-2dbe-49fa-99c1-8b125d3ae4c6" + (reference "TP21") (unit 1) (value "APP_GPIO4") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-00006131a243" + (reference "U6") (unit 1) (value "ICE40UP5K-SG48ITR") (footprint "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm") + ) + (path "/fb1bfba7-59bf-4387-b0fd-53ae34c08235" + (reference "U8") (unit 1) (value "W25Q80DVUXIE") (footprint "mta1:W25Q80DVUXIE") + ) + (path "/206cf77e-9615-45a1-84e2-dd89660f9255" + (reference "U10") (unit 1) (value "~") (footprint "") + ) + (path "/81172fbc-f24e-4173-965f-d88ed2c48035" + (reference "U11") (unit 1) (value "Spring") (footprint "1674954-1") + ) + ) +) diff --git a/hw/boards/tk1/powersupply.kicad_sch b/hw/boards/tk1/powersupply.kicad_sch index 64d98c9..1e37756 100644 --- a/hw/boards/tk1/powersupply.kicad_sch +++ b/hw/boards/tk1/powersupply.kicad_sch @@ -1,26536 +1,26535 @@ -(kicad_sch (version 20211123) (generator eeschema) - - (uuid cc15f583-a41b-43af-ba94-a75455506a96) - - (paper "A4") - - (title_block - (title "Power Supply") - (date "2021-11-14") - (rev "V1") - (company "Tillitis AB") - (comment 1 "2022") - ) - - (lib_symbols - (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) - (property "Reference" "TP" (id 0) (at 0 6.858 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "TestPoint" (id 1) (at 0 5.08 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 5.08 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 5.08 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "test point" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "TestPoint_0_1" - (circle (center 0 3.302) (radius 0.762) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "TestPoint_1_1" - (pin passive line (at 0 0 90) (length 2.54) - (name "1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) - (property "Reference" "C" (id 0) (at 0.635 2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "C" (id 1) (at 0.635 -2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "C_0_1" - (polyline - (pts - (xy -2.032 -0.762) - (xy 2.032 -0.762) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.032 0.762) - (xy 2.032 0.762) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "C_1_1" - (pin passive line (at 0 3.81 270) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Device:LED" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) - (property "Reference" "D" (id 0) (at 0 2.54 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "LED" (id 1) (at 0 -2.54 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "LED diode" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Light emitting diode" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "LED_0_1" - (polyline - (pts - (xy -1.27 -1.27) - (xy -1.27 1.27) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 0) - (xy 1.27 0) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.27 -1.27) - (xy 1.27 1.27) - (xy -1.27 0) - (xy 1.27 -1.27) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -3.048 -0.762) - (xy -4.572 -2.286) - (xy -3.81 -2.286) - (xy -4.572 -2.286) - (xy -4.572 -1.524) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.778 -0.762) - (xy -3.302 -2.286) - (xy -2.54 -2.286) - (xy -3.302 -2.286) - (xy -3.302 -1.524) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "LED_1_1" - (pin passive line (at -3.81 0 0) (length 2.54) - (name "K" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 3.81 0 180) (length 2.54) - (name "A" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "R" (id 0) (at 2.032 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "R" (id 1) (at 0 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at -1.778 0 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Resistor" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "R_0_1" - (rectangle (start -1.016 -2.54) (end 1.016 2.54) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "R_1_1" - (pin passive line (at 0 3.81 270) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "mta1:MCP1824T-2502EOT" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 7.62 -6.35 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "MCP1824T-2502EOT" (id 1) (at 0 7.62 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 19.05 -8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "IC REG LINEAR 2.5V 300MA SOT23-5" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "MCP1824T-2502EOT_1_1" - (rectangle (start -7.62 6.35) (end 7.62 -3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin power_in line (at -10.16 3.81 0) (length 2.54) - (name "Vin" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -6.35 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -1.27 0) (length 2.54) - (name "/SHDN" (effects (font (size 0.762 0.762)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at 10.16 -1.27 180) (length 2.54) - (name "PWRGD" (effects (font (size 0.762 0.762)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at 10.16 3.81 180) (length 2.54) - (name "Vout" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "mta1:MIC5258-1.2YM5-TR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 7.62 -6.35 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "MIC5258-1.2YM5-TR" (id 1) (at 0 7.62 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 19.05 -8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "IC REG LINEAR 1.2V 150MA SOT23-5" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "MIC5258-1.2YM5-TR_1_1" - (rectangle (start -7.62 6.35) (end 7.62 -3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin power_in line (at -10.16 3.81 0) (length 2.54) - (name "Vin" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -6.35 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -1.27 0) (length 2.54) - (name "EN" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at 10.16 -1.27 180) (length 2.54) - (name "PG" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at 10.16 3.81 180) (length 2.54) - (name "Vout" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "mta1:NCP752BSN33T1G" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 5.08 -6.35 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "NCP752BSN33T1G" (id 1) (at 0 6.35 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "IC REG LINEAR 3.3V 200MA 5TSOP" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "NCP752BSN33T1G_1_1" - (rectangle (start -7.62 5.08) (end 7.62 -5.08) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (pin power_in line (at -10.16 2.54 0) (length 2.54) - (name "IN" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -7.62 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -2.54 0) (length 2.54) - (name "EN" (effects (font (size 0.762 0.762)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin open_collector line (at 10.16 -2.54 180) (length 2.54) - (name "PG" (effects (font (size 0.762 0.762)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at 10.16 2.54 180) (length 2.54) - (name "OUT" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+1V2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+1V2" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+1V2\"" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+1V2_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+1V2_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+1V2" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+2V5" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+2V5" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+2V5\"" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+2V5_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+2V5_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+2V5" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+3.3V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+3.3V\"" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+3.3V_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+3.3V_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+3V3" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+5V_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+5V_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+5V" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 0 -3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "GND_0_1" - (polyline - (pts - (xy 0 0) - (xy 0 -1.27) - (xy 1.27 -1.27) - (xy 0 -2.54) - (xy -1.27 -1.27) - (xy 0 -1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "GND_1_1" - (pin power_in line (at 0 0 270) (length 0) hide - (name "GND" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - ) - - - (junction (at 30.48 31.75) (diameter 0) (color 0 0 0 0) - (uuid 16121028-bdf5-49c0-aae7-e28fe5bfa771) - ) - (junction (at 33.02 90.17) (diameter 0) (color 0 0 0 0) - (uuid 1ab71a3c-340b-469a-ada5-4f87f0b7b2fa) - ) - (junction (at 41.91 31.75) (diameter 0) (color 0 0 0 0) - (uuid 2454fd1b-3484-4838-8b7e-d26357238fe1) - ) - (junction (at 86.36 60.96) (diameter 0) (color 0 0 0 0) - (uuid 2e0a9f64-1b78-4597-8d50-d12d2268a95a) - ) - (junction (at 92.71 143.51) (diameter 0) (color 0 0 0 0) - (uuid 3e0392c0-affc-4114-9de5-1f1cfe79418a) - ) - (junction (at 95.25 41.91) (diameter 0) (color 0 0 0 0) - (uuid 43707e99-bdd7-4b02-9974-540ed6c2b0aa) - ) - (junction (at 27.94 143.51) (diameter 0) (color 0 0 0 0) - (uuid 6b91a3ee-fdcd-4bfe-ad57-c8d5ea9903a8) - ) - (junction (at 85.09 109.22) (diameter 0) (color 0 0 0 0) - (uuid 6f580eb1-88cc-489d-a7ca-9efa5e590715) - ) - (junction (at 97.79 90.17) (diameter 0) (color 0 0 0 0) - (uuid 79476267-290e-445f-995b-0afd0e11a4b5) - ) - - (no_connect (at 74.93 148.59) (uuid cebb9021-66d3-4116-98d4-5e6f3c1552be)) - - (wire (pts (xy 74.93 41.91) (xy 95.25 41.91)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 008da5b9-6f95-4113-b7d0-d93ac62efd33) - ) - (polyline (pts (xy 111.76 73.66) (xy 111.76 124.46)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 01f82238-6335-48fe-8b0a-6853e227345a) - ) - - (wire (pts (xy 41.91 41.91) (xy 41.91 46.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 04cf2f2c-74bf-400d-b4f6-201720df00ed) - ) - (polyline (pts (xy 114.3 124.46) (xy 114.3 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0cbeb329-a88d-4a47-a5c2-a1d693de2f8c) - ) - (polyline (pts (xy 111.76 124.46) (xy 17.78 124.46)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0e249018-17e7-42b3-ae5d-5ebf3ae299ae) - ) - - (wire (pts (xy 54.61 143.51) (xy 27.94 143.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0fafc6b9-fd35-4a55-9270-7a8e7ce3cb13) - ) - (wire (pts (xy 97.79 100.33) (xy 97.79 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0fd35a3e-b394-4aae-875a-fac843f9cbb7) - ) - (wire (pts (xy 30.48 41.91) (xy 30.48 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1171ce37-6ad7-4662-bb68-5592c945ebf3) - ) - (wire (pts (xy 64.77 153.67) (xy 64.77 160.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 12a24e86-2c38-4685-bba9-fff8dddb4cb0) - ) - (polyline (pts (xy 111.76 71.12) (xy 17.78 71.12)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 13bbfffc-affb-4b43-9eb1-f2ed90a8a919) - ) - - (wire (pts (xy 64.77 52.07) (xy 64.77 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1bdd5841-68b7-42e2-9447-cbdb608d8a08) - ) - (wire (pts (xy 27.94 139.7) (xy 27.94 143.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 252f1275-081d-4d77-8bd5-3b9e6916ef42) - ) - (wire (pts (xy 97.79 90.17) (xy 97.79 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 27b2eb82-662b-42d8-90e6-830fec4bb8d2) - ) - (wire (pts (xy 41.91 31.75) (xy 54.61 31.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2878a73c-5447-4cd9-8194-14f52ab9459c) - ) - (wire (pts (xy 95.25 41.91) (xy 95.25 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 28e37b45-f843-47c2-85c9-ca19f5430ece) - ) - (wire (pts (xy 132.08 105.41) (xy 134.62 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3abc7d0d-7f0b-4ca9-ad83-e4dc3d16650e) - ) - (wire (pts (xy 30.48 27.94) (xy 30.48 31.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3b686d17-1000-4762-ba31-589d599a3edf) - ) - (wire (pts (xy 33.02 101.6) (xy 33.02 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3c8d03bf-f31d-4aa0-b8db-a227ffd7d8d6) - ) - (wire (pts (xy 54.61 41.91) (xy 54.61 31.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 44646447-0a8e-4aec-a74e-22bf765d0f33) - ) - (wire (pts (xy 74.93 60.96) (xy 86.36 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4cafb73d-1ad8-4d24-acf7-63d78095ae46) - ) - (polyline (pts (xy 114.3 127) (xy 172.72 127)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 52a8f1be-73ca-41a8-bc24-2320706b0ec1) - ) - - (wire (pts (xy 119.38 96.52) (xy 119.38 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 576f00e6-a1be-45d3-9b93-e26d9e0fe306) - ) - (wire (pts (xy 73.66 90.17) (xy 97.79 90.17)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5d3d7893-1d11-4f1d-9052-85cf0e07d281) - ) - (polyline (pts (xy 17.78 124.46) (xy 17.78 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 63489ebf-0f52-43a6-a0ab-158b1a7d4988) - ) - - (wire (pts (xy 160.02 96.52) (xy 160.02 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 63c56ea4-91a3-4172-b9de-a4388cc8f894) - ) - (wire (pts (xy 74.93 143.51) (xy 92.71 143.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6513181c-0a6a-4560-9a18-17450c36ae2a) - ) - (wire (pts (xy 140.97 148.59) (xy 140.97 149.86)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 661d03d4-0d8c-40af-b26a-09380a394b11) - ) - (wire (pts (xy 54.61 148.59) (xy 52.07 148.59)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 66218487-e316-4467-9eba-79d4626ab24e) - ) - (polyline (pts (xy 114.3 73.66) (xy 172.72 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6d0c9e39-9878-44c8-8283-9a59e45006fa) - ) - - (wire (pts (xy 121.92 105.41) (xy 121.92 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 713e0777-58b2-4487-baca-60d0ebed27c3) - ) - (polyline (pts (xy 17.78 71.12) (xy 17.78 17.78)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 71f8d568-0f23-4ff2-8e60-1600ce517a48) - ) - (polyline (pts (xy 17.78 73.66) (xy 111.76 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7c00778a-4692-4f9b-87d5-2d355077ce1e) - ) - (polyline (pts (xy 114.3 172.72) (xy 114.3 127)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7c2008c8-0626-4a09-a873-065e83502a0e) - ) - (polyline (pts (xy 111.76 127) (xy 111.76 172.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7db990e4-92e1-4f99-b4d2-435bbec1ba83) - ) - - (wire (pts (xy 92.71 154.94) (xy 92.71 160.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 802c2dc3-ca9f-491e-9d66-7893e89ac34c) - ) - (wire (pts (xy 140.97 139.7) (xy 140.97 140.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 864a8b8b-10d2-4e1b-86cb-76b6d15c33dc) - ) - (wire (pts (xy 95.25 52.07) (xy 95.25 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 88610282-a92d-4c3d-917a-ea95d59e0759) - ) - (wire (pts (xy 85.09 107.95) (xy 85.09 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 89a8e170-a222-41c0-b545-c9f4c5604011) - ) - (wire (pts (xy 97.79 90.17) (xy 97.79 86.36)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8b290a17-6328-4178-9131-29524d345539) - ) - (wire (pts (xy 134.62 105.41) (xy 134.62 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8cd050d6-228c-4da0-9533-b4f8d14cfb34) - ) - (polyline (pts (xy 111.76 172.72) (xy 17.78 172.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8efee08b-b92e-4ba6-8722-c058e18114fe) - ) - - (wire (pts (xy 132.08 96.52) (xy 132.08 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9286cf02-1563-41d2-9931-c192c33bab31) - ) - (wire (pts (xy 85.09 100.33) (xy 85.09 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9529c01f-e1cd-40be-b7f0-83780a544249) - ) - (wire (pts (xy 54.61 46.99) (xy 41.91 46.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 955cc99e-a129-42cf-abc7-aa99813fdb5f) - ) - (polyline (pts (xy 111.76 17.78) (xy 111.76 71.12)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 97581b9a-3f6b-4e88-8768-6fdb60e6aca6) - ) - - (wire (pts (xy 86.36 60.96) (xy 91.44 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9aaeec6e-84fe-4644-b0bc-5de24626ff48) - ) - (wire (pts (xy 144.78 96.52) (xy 144.78 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9b6bb172-1ac4-440a-ac75-c1917d9d59c7) - ) - (polyline (pts (xy 172.72 73.66) (xy 172.72 124.46)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9c607e49-ee5c-4e85-a7da-6fede9912412) - ) - - (wire (pts (xy 33.02 86.36) (xy 33.02 90.17)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a5c8e189-1ddc-4a66-984b-e0fd1529d346) - ) - (wire (pts (xy 140.97 157.48) (xy 140.97 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a64fdbc9-8fbc-44da-bf7e-10fdc13b7363) - ) - (wire (pts (xy 41.91 34.29) (xy 41.91 31.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ae77c3c8-1144-468e-ad5b-a0b4090735bd) - ) - (wire (pts (xy 74.93 46.99) (xy 74.93 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid aeb03be9-98f0-43f6-9432-1bb35aa04bab) - ) - (wire (pts (xy 73.66 95.25) (xy 73.66 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b13e8448-bf35-4ec0-9c70-3f2250718cc2) - ) - (wire (pts (xy 160.02 105.41) (xy 162.56 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b2379fe0-48d5-466d-894e-8e6da216df1b) - ) - (wire (pts (xy 147.32 105.41) (xy 147.32 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ba6fc20e-7eff-4d5f-81e4-d1fad93be155) - ) - (wire (pts (xy 27.94 143.51) (xy 27.94 147.32)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bd793ae5-cde5-43f6-8def-1f95f35b1be6) - ) - (wire (pts (xy 144.78 105.41) (xy 147.32 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c4a49376-0337-41b4-b572-6ebddf88df51) - ) - (wire (pts (xy 33.02 90.17) (xy 53.34 90.17)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c71f56c1-5b7c-4373-9716-fffac482104c) - ) - (polyline (pts (xy 17.78 127) (xy 111.76 127)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cd5e758d-cb66-484a-ae8b-21f53ceee49e) - ) - - (wire (pts (xy 92.71 147.32) (xy 92.71 143.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cf815d51-c956-4c5a-adde-c373cb025b07) - ) - (wire (pts (xy 30.48 31.75) (xy 30.48 34.29)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d0a0deb1-4f0f-4ede-b730-2c6d67cb9618) - ) - (polyline (pts (xy 172.72 172.72) (xy 114.3 172.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d102186a-5b58-41d0-9985-3dbb3593f397) - ) - - (wire (pts (xy 162.56 105.41) (xy 162.56 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d1eca865-05c5-48a4-96cf-ed5f8a640e25) - ) - (wire (pts (xy 86.36 52.07) (xy 86.36 49.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d3e133b7-2c84-4206-a2b1-e693cb57fe56) - ) - (wire (pts (xy 95.25 38.1) (xy 95.25 41.91)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d4c9471f-7503-4339-928c-d1abae1eede6) - ) - (wire (pts (xy 85.09 109.22) (xy 90.17 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d68e5ddb-039c-483f-88a3-1b0b7964b482) - ) - (wire (pts (xy 53.34 95.25) (xy 50.8 95.25)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d7e4abd8-69f5-4706-b12e-898194e5bf56) - ) - (polyline (pts (xy 17.78 17.78) (xy 111.76 17.78)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dbe92a0d-89cb-4d3f-9497-c2c1d93a3018) - ) - - (wire (pts (xy 92.71 143.51) (xy 92.71 139.7)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dca1d7db-c913-4d73-a2cc-fdc9651eda69) - ) - (wire (pts (xy 73.66 109.22) (xy 85.09 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e0c7ddff-8c90-465f-be62-21fb49b059fa) - ) - (polyline (pts (xy 17.78 127) (xy 17.78 172.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e300709f-6c72-488d-a598-efcbd6d3af54) - ) - (polyline (pts (xy 172.72 127) (xy 172.72 172.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e36988d2-ecb2-461b-a443-7006f447e828) - ) - - (wire (pts (xy 27.94 154.94) (xy 27.94 160.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e5217a0c-7f55-4c30-adda-7f8d95709d1b) - ) - (polyline (pts (xy 172.72 124.46) (xy 114.3 124.46)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e5e5220d-5b7e-47da-a902-b997ec8d4d58) - ) - - (wire (pts (xy 33.02 90.17) (xy 33.02 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e70b6168-f98e-4322-bc55-500948ef7b77) - ) - (wire (pts (xy 30.48 31.75) (xy 41.91 31.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e97b5984-9f0f-43a4-9b8a-838eef4cceb2) - ) - (wire (pts (xy 119.38 105.41) (xy 121.92 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ea7b464b-d0cf-4813-9723-6442e8bfdf5d) - ) - (wire (pts (xy 63.5 100.33) (xy 63.5 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f357ddb5-3f44-43b0-b00d-d64f5c62ba4a) - ) - (wire (pts (xy 86.36 59.69) (xy 86.36 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f988d6ea-11c5-4837-b1d1-5c292ded50c6) - ) - - (image (at 232.41 40.64) (scale 0.5) - (uuid 997c2f12-73ba-4c01-9ee0-42e37cbab790) - (data - iVBORw0KGgoAAAANSUhEUgAABz4AAAL2CAIAAAC/vKdgAAAAA3NCSVQICAjb4U/gAAAACXBIWXMA - ABXgAAAV4AGNVCw4AAAgAElEQVR4nOy995NlyXXn9z2Zee8z5bqqurqrvRnb02YcxmGGGCwJgCBB - crmkFIzdlX5aKaQIhRT8GxRShH5ZKUKxCsVSwV8kiiLBBQIAgRmAIDC+x5ue9t5Vd1d3+arnrslz - 9ENe915Vz4JEg5wd5jfeVFe9d+/NzJPn5pv85LknSUTg5eXl5eXl5eXl5eXl5eXl5eXl5eX1eZL6 - x66Al5eXl5eXl5eXl5eXl5eXl5eXl5fXoDy69fLy8vLy8vLy8vLy8vLy8vLy8vL63MmjWy8vLy8v - Ly8vLy8vLy8vLy8vLy+vz508uvXy8vLy8vLy8vLy8vLy8vLy8vLy+tzJo1svLy8vLy8vLy8vLy8v - Ly8vLy8vr8+dPLr18vLy8vLy8vLy8vLy8vLy8vLy8vrcyaNbLy8vLy8vLy8vLy8vLy8vLy8vL6/P - nTy69fLy8vLy8vLy8vLy8vLy8vLy8vL63Mn8Y1fgbhIAAN3l/cGPBEIbH7/h6cXFqfibspM3vv5/ - ypL+P6n/ow2bWT3lbl3wC5p68LC7XFrWVexupf8dyio+knUf9ff14LmfWfzdjHZv9Rm99veoyWfb - 8xcpa+PLVg1Lg9cpL3Uvbqr11/gF/fCzr/lZp/8dC9iglZ95hb+HI/UNV7+gi3zGp/1H/V19rLz2 - RqUMjrR/xyr9R4v/7M65x8P4L+9qv4LSBm3zi/jT33vw6h8rP8v1fpkivjBful5eXl5eXl5eXl5e - XvdQ9wTd/v3Qj/T/QhCq/MoCUWJgAQAKQgDZkriJgQAWVkG0FVgNUlBgAhQILBBkUcUCiACEABZI - wDGgoQKBSaEZsIABDLPiBEpllSANkBRFCohAACSfZNL6tm9sFLp3BMG9lJs/C1esrdyUWiQrWhMg - DLEVzkOAsiBAKHtpQFXrKpxALIGhNUQDCpRfGUwQgCHuRA2uMO9yQi8ErkA7yl4EZ+riJAVoAMJg - gVIgsu4dWEgKGJCplMAVSygBOLMDQ1JAgzQXn0lmEoEVgKGlAphU9rJZXwrl7wEEJveBu3j2E+Cy - SwUgcuWgH7TcBUZnB8pdiFv/WQKR8rPBY91PyWqOzNurxVSOLgwh2Q1AeWsEpTeVNSqYoCrKvot/ - u3NZoBgkIAXWsJTdrk4aMAwqnNb5owK0cm9ZYSalQQqAEKwgTUUbUlQWogiEFEhABDGABgjkXIkE - ZgDA93OlsvSq+fJ7x9mECpcplnAI4PylMy8tbJENBFKaJ/d9FlDh7WRdFQs/7zNdca6rIW1Uf8oW - pAqxBcdQAjIWKiUtuak0oJ2tqbgkcXbPZGOVRu7FBBAsuX+ZkGb+L/0PYZAAFlCSO2k54JEAKeDu - FKKiFwSK3ICSghOIBRlQADFgiM5uLud+hqCc0YpLE1xxVRsVN0g2lstAl1Ix9OVWKwxPUvlZdYDs - 2gPmHRA5exGDOK+A6huEhbKvFsl7jqgynFaWE0u7S//XRqVKXN747j0q+rLqmVIaIbudidd5b/lF - 2X8LFMMa5QNUeWuUw0DmP9nbVB37nLltOUayzqpKVD1MldfMSybIOm9XeT+47xVVVL68RagsOG9V - 0djK2x79enl5eXl5eXl5eXl9YfXLo1s3OavO7H7BAFgMziiLOaIWISsQFkMpyM1rSaCtymZ5AtYQ - 4hixsqrBFjHBKBGwBgmILMOKaJVNXBPLSiFQFhLBtiAawZAQpdAJkAI1QBJb4y7CMOO/SiE/XRgA - VDHpZwZRNpHcqKFSmU66f+8Jvc2AWZVmlpNbBWRs1VoQQSuALSSBRgYFSAm0hWKxCqxJVD59prxd - aRyxjbSypt6ABGADpVznWLZKMYEtp0ZCIp0REsel8uk3gQnsYHvGh0m5XmQgRQGKHBQTMIMZUA4J - aABiITGIAG0dQUcOFJxIlXYQh24B0jZnASh/SxlkoWyVXgMBAId4XH3FOIDo6pAADOSYMCcGUkUT - 2qGCAbCCPr+vsgQWiEOifdwu/7iCOThDMzRwUH8JrjKiQBkqksFYOEFxsxTkSCqgWCSHk9Iffa7X - A6aNsIgF2AIW2gIhWCMBksrxYYETM87KsDECBR0AANI0ieOwVocmd1icotXuNocaYUAEMAMWRoMk - UehAGwD5DW4BKyDJoXy1dtRvuKL9qtqbWdsVRLkLSLkYAFR8NayiW3cfKUG+qOMuRa7LRDJvh3Ik - KwEUYFDpeHehHHNJTioLQ+fVK7qzAuZtgqQNQ1BBIrpLhnRd5+ieGNrRbO14IzEozZElFa2w2b3H - 2b3AQEqZp/cTvuxoAYyzsJS2FtfXKbMlbchI3h4DKGIgRdIBRwgbEAJrsWQBq5EwrAWQNEITssCy - A54gzi+tiy4r7ixVGoVz10W2sJR7J2d413WdM6ySrCVE/bwv/+K6y7Cc3X0MUgxK++/uyiDMYAHE - jYHiviJy76v8yxAuRrL1TasM7ZL3v/NMKjxVF64gki2BiQZl/p97c2XVJb9Q1ZiuSnpdJSs2yb/t - BMUSha2smykAsBoxwNkCKnTeyJK5V0qRfIQhgXBlbNG5R0m+qofqIgcX1S8bVB3RKmOZp7deXl5e - Xl5eXl5eXl9k3YOoWymnhLTBZOtuJ/XNJR2NzVAHw3bTtg51KDWiLIKKNEkWUWrZsrYCIqUQBDpG - miINXOyPZFNfIhCRlQyaKuMCyhiIgC5UHZIwAktIgRgwQD0IkBLYggFR7sV5iKYwkgRGu9k5ZTFJ - 2dR0oG3VD+7xVLKYxkPyqNssoDKzIwik81KZgRQMpAmUIKgLwFBEygFWQKyFMIyGTSCSBsYgcLF6 - DJEMnCMrT8AE1hqUB+Bm7KEMLavCDQzgQhdzlxbgs7gwZxGDOWJkSAIyqLhIf9StOPqVAROxWeRm - hRXkdMRKBrVUledJdkGbxx/rrEJSoooKWClalNdFikjkPu+v1jC/HFUAr+RQts9p+lCL5AX13UVU - uWbewqz3B1FN5YSCcnDuJFIeJwVS4UojgAx4lYbua1DlfMA6LJ6CNDiABeJKVXTBfVKHwhVMmGE5 - SWOSNAwDKAWW1DIFWhs0hpo6yEkrQWkQQSkFEdgIpIEQQhUG2Vf1ku1IYQIqrIBBAmhzsNnXle5U - zmtuikHNDT/Okrqwdn6SMKTCfqUvfLt/kOAKfeKqtcvx0N3J1bOcZ9uod/3qmdtL5+ZX1hCY+sjQ - 2Obdu/btnpjY0lQggKhYYxCgaKEqLsKZYzI5awhllpSi8Ly7xQUSl2/0/WMBKKUYSPOlKbf8wcJI - eoosNMNGQAAJhLW1SAmioTQIIZDj2uy2KHFcYSCpcNuK33J5M7qSpYR6udNJHi8sRROq9LY0a7Gs - Vr29Kn5RuJqquH6Gid3YBUG2qEj9p1fHrsz0xS1X7dh8aaoSeFtZ7KsOtKVhhDNzlzd5UWz12rnz - 9tuTMg/JLVO9zbN1vuybpcpVnXQGxzkfOTPH48GqVpwpz61SDG1S9cl8kCq/TMq7kcr/oehfdSlK - KY/fqIO9vLy8vLy8vLy8vLz+U9fnJ9etQFLH0UQSNzEt42oEIi66SQkUE4SUAaBdegNSUAQNGIjK - 8JeCUiVsK4GKYyLkIAcVU3ULNxc05aPlRMV80E0JWUSEckQsJC7KijYCcYPxQPdKtH5yns2xyzlr - +bw55VNxZggQZKGulE3dsxA/AaXiaEdABIJWCEgIpKEIbCsoQ4EUgRxXrcYxur8YIGgCZdkViPOw - QgYpqgQhlhkKKI+QK2GFBgWO2GSP0w/OyHPk5oolXcQOU9VMJIAoF9tb6aQ8fk0BGuT6WqPCB3Qf - kXafFARJ+iqx0e/9kvwAKRhVFTJU8KxjGlQaEigewHcQqg8HDQTYfVY1HN7qP94ZjbgPhDkKVQD7 - SvQ49YHEDPAokILSgMryCgRlBV2+kcrpzvO0CDiFJCCBKR7iFyUwBBNkNyNbcBETSaGiIWarELr0 - HYApvb1spKNMqNgtd4SKq+adXO3h8qMqu0P/mwOdJlmf5f2VcTRVrVgZBUkVA2cHqLyiFRcoixqE - 8gAARtI7ffrkq8dOv3fhWguhqY+OTk6/+JXfGDp4cMvQZG75Yr1kQ3fIEj7kRxig3pctIbO5c3Vd - DfcsP80rZyBKmJEIQqGssQItbJRWIAPrimNS5ILli2qlALEExHlXFHUW55eqYu6yn0C5JzsHLD/J - Te/80F2RXMqNgUG50pnrm7aB2dbf6flAQNVO67vywFulM1YKH+gkGfC+vkvS4F/l4Ev9r+JyA3Xu - M8JAu6v+WbVDPvAVUpnPBPmTCcp9kVaxf//lB+1MlXtgneU29Fja4NCNDvHy8vLy8vLy8vLy8vpC - 6vOEbpVAC5IEodTDhgWBGFZlCU05YWYoAwTZzL0gThZKKwXtYieZOQVToFUOupjzBLaOYLq4HU2a - lAv/TIE4hSVoh9eUBmkoncV1AQCIoIiKGSgzE0RlAa7r5+7r37yX6r90ltFRiPqmxASoHBgiy+lJ - MC6KyVohCDS0JiEkKXQAAvUScEoQFQYqCJQCp5woKKWdPXMe5QxhygpRnguYUBxmc2jL+VHKPQ9b - QbeungKSEmiSgjj4UmHu2cFUIBNVzumzdAeVC1YRncuxyRgMvFUEAWkolQWOuSoCBsJgBV2xs8o4 - ZOENd+2OSm1LWpeRjUqsMm1wVoFVROUkK3uSPF+B4Lz9VfyXn92fJzUvukIDByAkKIPXUMiTJBeJ - bkuCV61dBi2zzqoAS00weQYPV6wuztA5h5Q0FY4IQoFrIAuLJRPWDFtLVpQx7G7TVBLLAjJGBYaM - asAUPBuF++SdNhBW2AfhqDysqiLzb8myBghdxY+qb5dvCQCoMlq1hI9ZFYs1gPysAbRFeUjhhjyN - BgvnFO21jz58/8d/+/qrx87Fqo5gKBybGh4a3zs19dDOSaRQYIS0vk2lR+bpRim/XwlBf70YZPMT - dfkIuwxckgBBkioWrVWKlMmobDhUujYMScExyEIpkJAhDSTF+AnEESc2ritbC3S++lLeF6rfWFSa - nrLkB+U6BwFlaKYUCVyy3h+0bXkPUN/9sU7FqFV59aVYyE04eOUBlQ5YTY5x94MH/13nCpSPwKr6 - Vr+fy8BHqJi0cIbBdD/ORaujZOVGqNRLA0G2dpP3Wt+iFirFuHFGBj+slLCugZ+dg9jLy8vLy8vL - y8vLy+ufmD5P6JYsyMK2u13u9Pj85StLMwvd+dZQ0JAQCaXWYGRiy577Hx6b2DIWEtwWRQKVEUnj - 5uFKK53PNbNpJ1VQHgtuzZ67Ont5bm3Vhra2qS3NttSDpDWhe6PcYpsytBUVC2BCMqEOzMjw8NTU - 1P59e1W9phzdJUW0wXR0Y0x0T3WXS5eYMI4tQepKlLKdubmLly7OLyx2ut0IJlX1RNUgVtkoQGqC - IBVlao1NE1smJqfHJ7aMjdVU3ZAYKLCCIE1hDUFBKSIRLVw+362oiglyBFhWSBM4J1YuCUUZG1s+ - GFsxWN40nZEYEXLYVyrcKD8yJ2IOkZUgT4oQN2RRt3DB2Mj/y2GOQFMesYvKuRqpqjyS2xd1S31M - 5DNVISTuTwLABEVlyN66g7PmZUYqeGTOtQvguxHaG4CK1WsSrYu6zdqbE20HZGUwPnCgdsgojUPD - xRZpCgA0xFQK10X6XRdFzKmtkSWdZ9dl5jTpWlrpxKtLSwu3b6wuzrVaXVFhrTk6MTU1PDo6PDY2 - tmm8TjVntT6IVlYyzSibVJypEoxZdbEKtlNZxomK4xV+MEgp72Liol8ZSpVvlG6oNr5IdYgYIPjr - bobS8uJgcBR1u91OEgM6ge3Fui1CWgdEUKZoBG2cXjtbSsl6kBzlrT69DwGlQJKneu7ntn1NVwAT - uSUNNmSZFLNaa3XXWp3O2uqdmaurC3OaJLGxNmrztu3Nia21sanGyKZGw4QGJlSa64Iu63K0rlpw - HWx1fwxE4ecENqe3AhTV/o+PxRVeiOpKXdmbec0qx/bfXQOXH4TBFTS/wRdG/w1Z8dZK9w26EBUH - lKsstEGi241Pd7/nfZ6NK+RqJ0V+kb7heB1GV0CYZzjA3c0sfedVV5H6T6BBO+T/yl2vfdc+9dTX - y8vLy8vLy8vLy+sLp3uFbmn95P4XnkMVEXMpKIZKlhYWT1y5/vYHH904e7FzZ6FpQoTaamWN2bL7 - gSc76sDh0frWhgIMAQQDQxCSfHJI0Hl0YkGO8q2ugCSduXzlZ3/7xvFLt5Ziw83JltRb0gjS9rjq - DvMaW8tkUqEotSqo6TA0xmybnj5y5PCuXTsaqAEQZFu7CzMR5RkKNkYN91a0ATJYlz1VrAizWNtt - nzt35uWXX759Z2G11e5anepGrOok1kgcINFGCVGt2RjfPD25eefk1M7NU9t2bN85Pb1tYoJCBYIW - rVg56OCS/pZ9lj8pawFATJlJtcw7qQAmwIWaEqyGrjxInzdEqeK9jGmSzv4qdyfLCy6f5s/Pzw7O - EQOBc6ZcQBNnobIM1Rd/iEoaWJXV1go0V/IxUl+uy5IMDrp+n6rEo8pVilQV1eOK56VdZVVR2Dqa - QlmugkrB/T4n/ek08+OBavehEqXrIrcpty9Vy17fIHJYmbKeywi3zrNkumM0VyylWJTEJBEohVKw - 0ltZu3pr/trtxWu3l+ZmbyzNXl+Zu7m0tApdb45MbNm2Y3Ri08jEprHxzZvGp7du3X3//dONWgbp - y0i/zP3ynMXYgFeu47b9Bsm9Kw/mrLRyA2rWj2dLI21A9hTYZGbO1zgGx0XCYK3WqXyP3eKW5Yg5 - IYK4xAiJJWWUyhOYVM6kfi6cla3cJm+swARTZnkubVSsF2QOU3p4+SkAlScWt0gt0u7CWvvqzbmZ - m/Ozd5ZXlpZvXb2yPH9HIYmTtgl4265do5u3D09MD2/avG37nh279m7fNhoYEmgLlj4rOfDct1xQ - rd/dTFVtad/RgtKPc5Ov7+i8JwuGW60MDXhCFgBe2LjYta5vr7uiCLdaUw4ayCzois5LpLLQaoFU - eZVvUNkKqmR9zRvSV5QqHbWopcoL47ya/Rvm5W7h9r2rBsJSNnbkWL+0XV9t84qsHx0rd0Hf6NYP - bYs31n2vfibU9fLy8vLy8vLy8vLy+qLpnqBb6puM5bo7vZV1L8DtTCU9NGjm+tU/+7O/eOejY4tX - r1C7bSysBpvAmvrW/YfudIPa+L5tUzvrBsJZRC2shsuPWyAyYctgECnSRcI+ZnS7Z0+e+sF3v3fm - xuJyYtL6RMuGQBPcNbzWtGuAUFCz0FGSChEUKaUeuP9+kPz2b32TcqggIgKJkzjQRiuzwfzybnb5 - pdVXQDntz/YYJ6BeM8KWo97c7K2jb77+J//+33d6SZwioVqimzHVIWlNpYHEzFF9qE4G7a4VNUx6 - VOnG7/3zP/jnv/+Hz335yKZNCEBKhZRHWFGeSNcKmFlpyvdzAmBQef42f7BfCZQD6cqlIhWVsVyn - LMel4srudpxxnNwxqpiln9sWPwtmQXl6AQHyyFlkNLd6tCDPZZwH+uVJd/MWMYFVf9ArMjRI/bDi - LjxJqnVERr3L6jv+0Qc2JHukOsOSfWX0UQ6SIk4RFd4i65mro5t92SmcmaTfhrnWp3HoP6IMi1QZ - 7qwCHzGFDfqCha3VlAbacntNIUa9idhevXLxhz99/Qc/ff3Y2au91tKQSgPbiXosKhDVEG1SxamG - qNrefYdf/Oq3/viP/4ft21VIlWWYrC7F1ncbZUVej19zU1P1r/wnFUw4a2R/9GPV1Sovd+Pl6JeQ - DUZWuz0Ws7pRhfAOQNvPMnl+pAXHSDrCsSLRGqx0lskZWoSSFFqK0a9seNWR8t5iZHHlUhbW55nZ - llfVx9xze6W5FRSEIYIkQhwvLy9/ePzEn3/nR8dOXr56Y7HXiWpKGUjcWyF0lI7IUEo1Ns1ac9OT - Tz3/tW/8zh/84b/YtjkgUArRGUp3wJIrpq32oR5w2pISUk4JhVDEd1bvi2JscgyS+s2S8VmXK7m6 - CZZzcqYsnXoOsqmwUdW5irtKioQeBTBe36H5SwApnyoo/aKP8w4EzK83TT+xrZ6Kwind1SstpP46 - F5UtuGrpGVRdCVqvdaCdBntwQDKwrlDS6IG6VxuZ/yp9rfb01svLy8vLy8vLy8vrC65fHt0WM6kN - Jmkbgct+VFWdQUuCpNVZmDt18tP33v9g5tZC2mrXYoRAksLGSaJ4cal17eZiK9EIXKwdtMO1bncy - C+h8hi4MEa0zlsSWs5me1nG3N3/7TtxBYqWXdiECE0IjjdNOGgHgRJg03L5oBBBa7Va7047TOEoS - rUgBZJQCBUGgBmegg9a5h9rYykAe6pX9JIhIyjZJkqjTaa0sJzqAVia2Kk4tyAISSWIRa8XtdouB - JHW7l2nY6NXX355bio6dPPVrX3n0scf2DdeMcRiUxbiYWfdSBLDAkuNTxK4XqDJr5zy9oyrIiFiw - qZrGZcqooiP3U2fN7UcAIhvS2zz9gsvP4BhZJUOsUB+tzCU58EY1eapwRm+JqxSoSouQgY8K/Cp3 - Qlrv4QUDlEp6yRL75hcvPibpb11xZFFtKTLmDviYFGdLYS/JwUvewLJmJd8dKEiQJb+t0rsSF5XE - rAR9lSoWDCzrALIaKZCoEIgTXrz9ox//7NU333n741Nnry+srCWQFLZVsxCGRZJCUhCHCpqRRpeu - zuy4fLObqNzVKmYRqUTdqrxuA6q2suzNPDwy7wHJjUTF4/ZZKO8gN+rnocW9p1GVWwBI8nOMQMm6 - 7ur3hdJ6gzXO7hKGFhOQ0iRZBxGUUSpQKlAD3iA5q6v6EgHkck+74ZMHiq40C5WFmMLn2e0uluVS - EIs4xspSvLz4vR/+6KU33vjo9JXZxaTdMaAg4tSIBDpgy5xCIknRA/U67ej27dtz84tJwgK41R3O - 9jasjApgSB78W+Zi6XNuygkrFadAQ/p72n0k+e1SSclQhJv2G94ZR+W7vcEt4RCEKj3sLsdAZfx3 - XoQi5zL1Dx2o9A+VxeXfMlL9cAN6W7hg4XVUNZcUPHaAauZoOL8YVcL5VcZYud+oebGE7KEH5zob - pqDNMW3/GwPt7m/A4BuAbLhkNHDQ4HsbjH5eXl5eXl5eXl5eXl5fRN2DqNsKSdlwUrYhva3O6xwL - EQgjik6dPv3JJycuXZrhVBlguAl0YQWpgBlRKq0eJ1SDYw+SPc5ZzDqZoDNIwQRy82oS2DRVBBKB - NpoUpxmcYmbUanpq275tE0G81EhXQGSVscoI3OZmbK3duWP7tm3bSGkREQG5zJaKlNKUI6zqTPtu - sUa/vLKSZP27jrRl2JFgs1y1zFZgCKQMiYEEemRi+7apprFNk9ZCWV2dW16+vbDYFbIsUcrp7IXT - d+7MXbl6VlRrdKJ+/327msooEIlkSSoATdCwkDQneIMb0VC5jZzJk+IyHF8XC1LZTlxCQsQIihNV - 5WcOUFJIwVMchlA2Z0iqj90gfxKcK9dz+6HnyhcaiFhniKrMk1tW32WGpWyz+41oUc5EskSrxQP4 - +cUK8OGCLqlaSNFTBe8ZxLUDFAfl49UowJvKo5Yr/iDoo6aOJJGtXKSwcHEfbnDf9l2tgC6q2JeJ - c1hJ6L8Esk+rFtMkSixgYRQWVs6cPv/yD3/4ypvvXr7djVBDMApTHxmuTw2rTaObWMIoobWo1056 - q1Gns9rj9try8kKa9ITrSmVNUFnVCyhtQEEG/PuGH+QEMEdVpErrV6E/LIRBJu/hfoNQvwdUCihs - lEWQS360pKAYlV2zNqR4g4yrP15R8lhiAkMxtBjFBBZBlmYASkQBpHTBDKUIwB+oZ15q2cCiKlJ+ - 5L4UwsJ+KAOPbSUhgIIANrZ3Zo6+9+7LP/rBzz84tRzD0hDMOMLa+MjI5OjoxFiNk8Woe3utvbLS - itY6aZqmUafdba1yGhNqbjNAqtanvK2KpZEyP0D1VQLdancP3hHoP6MP6lZs73KYS5lRuUyY4ALw - Ha0uYScKdJsXmxNnm5vIVIvov5ErwFSqH1KBjCsNKgYPN17ly5Z9Q58bjRVE52sPxQ54xUhFgBBR - 8QUiuSdIttqCYnUhc0PKNy8UKgPb12lgeMwaIJUGrPtfggHeOwhh6S6/V97w0NbLy8vLy8vLy8vL - 65+I7k2uW8qmfMVffdqI3qIP4Drqxxx12m+88eb7H3zMPYaujY6M7J5USzdXkjYsANIqaDRGJxEO - J0AMaIGWPM6ToIIM2omwIiiVQ10BrGVAwUKbkWZz0+jwXKfFYDBGJyYPP/vcH3zrN8ZMPKq6ymgx - oVWBQMLAQGy32x4ZHt42PT02NhaYLLmniLBliCilSA22j/IW/kOob1LMALOkmkTXg1otCIwG0Itg - 0YsBmKF9+x76wz/43V3bNk2OBXWTnj9z7OMP33r1lTdarTRJu0J1KzGvLl08sfrGzvHJbRNT27bV - hg1Bl5iEkeUYtSkUQQ1O6alam4zLGCCFMCSFS5vg6C0MoG3/bJz6DOjCxFJImmUtztFhkqPDCudw - WCMlJBVou87JM9djIlEZ1ciwW/ax5GQKUiRHqMLgHLo5GM25+1fjwGgAW5R4J/snQ0UFGnGN7Yd4 - lZcUlxHJwya5j0nn1RTOaXNWnjtY8spjEOqsc9Ty74F0EeW7Dh6Re4gd/fysEnKbV8LmsbH2xpVL - L/3g+5989PH8nW5odMQBrIGkDx989IUnHz5y6FAQNNfWogtXr5w4f+bUhbMXujMQCCdx1OGkrmpZ - 6ur86i7S0IXKVjtaKr/k8Zskxamc1z93XEfciveqNL//X6n+6KOvlmDKYgVkIQnIcV2NEvOXV6KB - Duh3NsndKCd9DFjmhG3CBUFlJIlNLVecLLtoNXtv+WFWZZVXMn+TigwABtDkskH3tZ/70G3W7/bC - uRP/27/9X07d7PQiKEPW1BE0ENSe+vLzLzzz9O5tE0pWVxevnT59/PjJs+cuXFla6SqxadTlJJYM - VVaz3DEDAFkAACAASURBVBYGqN5ZqqiqlB9nwc5Z9TPIuOH3D+e94A6QIju49NlH9Wc8cASSQayQ - kjOXUIk5XelUFOnq5wKTlXuKAfmQhGqOZneqVG8RlVnCfT74/VH4nLg0L0WSmnIgEgtJAJMvIOX8 - HlWzlU2r3K/ueM5tQhnh7TuFy8tQZajP290/gBTmKXhx6cf93VOMHaqaLaGs290B7sA3hZeXl5eX - l5eXl5eX1xdY92qbsoJUbTyTkg0mkPkH+fytt7x09uyZjz/+5MqV65AwHJ166P6xFx/b/uqPv7/U - QWDQs8aKjlglCByKUoa1g7VW3EyZKY/6IQjYshBpTQiDACKwhMSmcZLGCTibJTfqzV07dz399LOb - wmREdaEoJZMqDUKgCZxGvV69HjYbTRDcI76aICIZt93wUc+NIcKvTiWFI7CIM4/EvU4c9Shn6wxF - Khgfnzp05PH7926Z3BQo27pv7/TTjz309Re/+vJLP3/33U/mlrtdAcggTk+eOTd+9P0nn31uqLlt - RFVQhAiQAClgAZPPoCWPBKXywBLhOeBmMwibRd1qQAl09eHfkj7lvMoQZcyXXJgsSf7ktvTREOR1 - iIEIMO5Z7PXAAEAW5U2sst+Y8tSWIEBUnpmhrE412aMqipOCi1JBAvPOz+EolWkZKozH8diC21bI - W34MFZSlvCgX6DYn2VVxlqOiUtniYOQhitn13e1aiU+WqiWzXi6oTR+9LdDthsPHOm4rigScIumh - vXz5/Nmjb7y+NL8ijFQEurZ5/4EXn3/qay889sSBXdNTm4lMHNsja49/eWFudnF+bqm9tJqOT+6e - 3jpRC6tB1py9BIAGGTcS5P6Q953D47B5VHiWI7gIW644miOtKsfRgpKireuYzEAlei3DMAtzigUl - eXQyS+V4GjR13/Wro2R+WMHrUpKEwIpglYsDVakVtpUcIZpBDJGiFK5ekCRPLuHKtyBdFFptYR+3 - JQHSSt9mH65duXT21Cc3rnU6ERhIWYJ6Y+dDj/zmN7/1zOOPP7hv78RwYNCy3YUnH3/s5uzcnbnF - lVYvqI9u3bZ3fGxEZ9fqj+WUgnI7gIviTixMXSxNqNJQlHfZANHL/QRUxY7FXVVBt1Sht1RJG8x5 - og6uon/0jQnua0fKTBT5qkr1biyHKZffoHhSoUzjUEnoUNZVAK5uH1gsPGQVcuOe5LksKt+1leZy - 8W1bLEcht7+UJWVUV8olmGqPA6Ky61eQbDmqZMA7v/WKEuAcu0DG2T6NlV0odbXXBBukUFhvmb5D - PMT18vLy8vLy8vLy8vqC6p6hW5T0ttRdZqH5BDzbVizDKzM3br959MMzF64tLHZgpvbuvu/RIzue - eHznsaN/A+kqgkCxUGph80sqYlKUJaWFAmkhAOxCKS1bYYJSIIJyjE6B2aY2TVNhKCijtdZqZGRk - 9+7h8RqGadwKEiBVQE5hbCrGEAFpnAIgpSSrsujBXdx/5RqMPSphAPVvjs4QG0e9NIkUQAri0gEL - wnpzevvOHbu3jI9BesMjO7fgkQdffL5LvWTt9q33j51J2SYAYFZmZo4fP3vz1tL2qW2NEWg3neYU - EuehnQSGTaXVa8edTtrrCLMlLSow9SHVGNaNmg4KgKshCsJAkoW1dnorPSwnqkM1ggqC2vDw0FjD - URMrpDM+KAQR9Nrc6a11k8hKWw0nzc0YHZ6s5/kxStBjQQnSVreTrLRUO6kBQ1oP1WpDE6OoVfFj - nrpBAXGSdntJr5ckUUKpNSJGqbBWazQbQX1IgpCp8Fr34LS7BiONEPfardW1XtqzqA2PDQ2PDg0P - AxBro85at9PqxIqpGQ6NDw8hDKDzR7KLR5Ul9+C8fx24EAWQCJizsmyyuLrcjrox1SJqcm3T6JDe - FCJ0tclCFNmF4HGStDqddrfbs6pHzTQYHRoe2lRDYPKDxYK52+0tr7RSUVBam2BkdKxZVxmjT1OO - u732WtSLkzTV2qjakGmO6HqTamBCCmipjCD9rikDv4ogtdHK6vVrN06futNOQYAVKBXu2/vAf/af - /6svHblvz7YhowDLJAKtE+bYcsJqfqkVW7V1AnWCcWMWx0h6dm2l3YuiJLUqjPUQh6OjE41mgKAM - y81BNlusra5241Y3sbqWmiEOR4Y21UZDdzWXJztFe6Xd45UIPQTKmJGRkXqjUdNBap1dOYmiTntt - rbsGTaqmTG24MbxJBaawAEsO7wmARdyRbrzYtq3UWKo3xzbXh8ZDkw8ZjLgTJe3VXrslIkppXWuE - w2OmWYMpU1L08WWxBK74jwZpogzfiUXaXY06SzbpdqFjXZP6UNgcGhqtU871tRvVsrBVAcVx3F3r - 9NY6vYRBqNfCkaHmyPBQ7uTZCBdVlijKuPNrN69eunZhNULsPmbVGBl58MDDf/Qv/2jfztHRBkJB - iLEA28EHbGrjJO1G6VonShjjI6HpX31RAMSCbfbtIIxed63TW2t1oQNLYUombI6G9WZQ0zrI40Xh - mCtBSCQFlFKq/IoRC4jEUafba3e7ScpMOqg3a43herOp8n31Wu2o2+0kvS7bpB4Gm0ZHG6EiBbCF - sUAsabqysBatIdZBK0DcDMdGR5SmECX4zAtNYG231Z5vc4QwgbY6HBkdG22gaRw+5TzKW8AClUV5 - W8tRnHajpNPtpXFEwoFStUDVQ92shapWh3HLUZTmSZQz9s0WNsba4mor6rJJdEPXGsNjE7pmAkIg - QJIkvW6n3YqjqGc1B8O6MT40XKuHUNr5QrHkxpIljbZZjwuDLVzy9yRZay11IumliqHD0NTrtXqj - pusFFc+Br1uqcOO8cxtGinQ16kWdbq/XVYKQ2aQ8UquZoWHVaEpY00UNBErlXFiAgfQfntN6eXl5 - eXl5eXl5ef1T0r1Et9iI3iLnDm4aJ8LCVmkFa8WmFBi4mMo4OXnq7He+96M7Cy2lGzDNZ59+/qnH - purBvFYQQRQBRCAtpIvdowhp33OW5GImrQA2TdJUwrDh2K5NEu2ilpTSWmmtiawRTbVaEidxFLsL - CMAMMgUQBAGhIfd+allrrbKQPwFENojK+4dXFgGXh1GCXOgYmMAk2UO9GTBM0iRlZQKXEVYbA0oB - hSD88uOHF69dvHD2TLedJNyCaiAySyudW7dXllZ7YyP1ILNJD7YLELSGKKR2pd07feHi9Svnb89c - TqKuhTK1xvTOvVt33T+9+4HJLeNBHWkKo6FBYAvbcxz02uWLn164ef7G0mpidBBOTE4dfvSxQw/s - qSMxhqG0FcSEgEWLRHdunThz7vzla3PLa8sYGd71yJ7Hfu35I5uJEXCiTc6mOIGKk5U7p09f+eTM - 7PU7CZlNI2Nbd+858PwzD2+qo+6Ah0MnbKFY0mThztzV67euXr95e3Y+7kSKabjR2LFj2wP37du5 - d084OuUyBzOQJAhMHlBnk2htdf7OrU+PfXzuysz8aufBg48eOvLogYOHtVadbvfyxQsXzp65NruK - cNN9Dz/+yMP3b5kKa6bIFeq4BjPEMoOUIp0H77GIJQHYIk2gNaJea2317bffPn/tWo/qHTSHNu99 - 7PDBA7u2Do8bAvL4XwYxknhpYf7U2XNnzp+fW+l1aCjYtP3goUcP79sxsnUIACRF2kMSXT134a13 - P4hSUWF9eHTiqaef2b1rZ6NGwtJpt+dv37hw9szMzMzS8vLQ0MjE1p277ntoy859Y5vHdA0JQBah - gmKGWCgDsBUSqCJYF0DmNUQg6rS7aytrraiggNro2sT41COHjgyNIXZ+rJSWFGIJypAhhenNo6Kg - KCfFwpAEnYWTxz48f/nG7Pwqh6NpfVN9cufzL3519xatNBREhMVarQgQ2PTyhXMnzlw8e+k6akN6 - ZHNzcueTz73w4O5mSGCbKo6hk4Xrl49duH766u2VmEZHxx574om9+/dPjU/GUWJjG/c683Ozp058 - evrcqaAebJoc27p9z4FDT4xv3l6raaMcnkLKMOIidxmrC2fPX3nvxMXrcy3WQ48//cKDBx/ftnWS - 8o69ee3q1bOnLpw9k6Zpo9GcnN6+7+HDux44UB9RVpBY1AOHUJ1TWHCq3D6EWaZeApmwVjdhaAVp - Et+8cfXY+0cXF2ZXYrHh0NjWHbvve+DRLz1Va0ADqYgGIDpPBx3BxHfmLp08e+nk6YurnSQwm7Zv - feCRA08fOjhJJg+0RwrE1WBbN9oQYXl1eXFtwYUWiwagxzdP7dyza+v20WYDAKIEWiEgsrEoHTQa - 9XodQ0M2FXY3QuEdrpGwltNYiQUYNr169fqps+c/PXGy1hgWXYuF9t730M49e7dM7xif3GRqAJBa - WBYVkE2Z01iRBIGG0RABpzZNCTR7e/bipSvnL1xcXl0V0tt37N53/4P773tgeGTEBEgtLl64cvHi - hRs3bnQ77W3T088+9aU9O7YNNxs2iTRZRq+1Gr199JOLp28lQX1F2WDrxDNPP/Xg9h2NoRpZGCXk - UmKIBUdotY9/euHox+da1vRYU334yaeeeuT+Pbu2DCO1ConSAlj3pQITQGsQ2p3unbnFqzM3Lly8 - vHDnNjgZHWpOjY/umJ7at3vHlm07gqFhaMVAzIhV9iXFLBRHFLevnD7xzien5tqp1MfGp7Y9+ezz - W7btbIYwCp1W68b1q2dPn7p148biatTYNL1tz0OHDh+Znp4yIxAgSSGgwDhOypogbIkTaIJNYFOY - EAkvLKx8/OmpazfmFlbaicXU1Ja9e/bu3bd3cuvE0HBYhNwKp0gZVqBMls5Iw6Z2rds+d+XSlUuX - rl29qoGQWUXRA3v27Lr/oS07dzfHNzcbAYAktdbaWi10p8ZJopVymX/6IsG9vLy8vLy8vLy8vLz+ - aegeo1tU6G2V4QpAIpYtQRQ5kMsikmUdTOLzZ899euL0+UvXW10b1CYmtux59MiT9++vrVy7bRQM - EAFuQx7JNygCAJfS1D3dS8UW5QwQESlFlLEB1lplQXHMzOxSjApERIzWgTHa7cBEUAoMpIIkRRhA - AUn+dG4QBqQofyaaiofc/8FmkjRYXPmr9D1sm8W5BloFmhwdtLACgjKkA1E6sogEwyaAZViCtfum - Nx++b/vUCJa7SChNm0YQsgSLy91Oz+1gA4ChEuhEllrzN2c//PD4yauzl+6s3F5abq/c7rXmwFEq - xFCj41PNsR2N0e2bp/cceHD/c089sWt6crwJJD3oFFoD+Pjjd7/z0huXZ9ttbpAJw8bQby23xhpm - //bNxRxdACvEK0sfvvf2d773g6u37iy3o2U9rracPnjHjg598/6pxnCzeKhfQIz28vmTH//5//Pd - U1fbt1ZD1ptqzanDj83f/9DD9S2ohS5c2CKN0tX5j04c/+DTT85fuXZncXVprbO83E4j0RIMN4dG - h2qbRuubp6Z2PXTkoceeeeDgo5vGoAKQQzRpSsDqytKH77/76qs/+/TMpeVOcu7S9aXV1vZde0+d - Ofvhe0ePf/zB3OzNhRZSM/bAoWvPP/ulr//6r01vqdcNCLDMzKnWzlc1C1gYJC7FpspSYVooRtzr - zt++cPnyqz//6fvHT65xrUMjYzsfWlxaqr3w1GR9z1BNAQ7tJYDtttauXb3y1puvv/bm0flW0qLh - YHzXzO1l85Wnt48/GmgLpODeyq3rH7/31ne//Z1eSqLDxsj40PBIs1Fv1MM3XnvlxLGPZq5cXFma - X11dbbc7QVgLhydGxqe37Nr/4MEnXviNb45PmYm6ewA7FWEil0YEyALAB1yVoLQwmDPvVEAzGFlL - KU2gNEQjJiQp6hrOZRW0UtBAL0lsmtRqdcMqi6WNWsuzl9967SfvH7tw5eZaYkbj5uTorgdGd+wf - au6rj7kCKYu+TGJZXfrgnaM/f/O9k+evSDgszUk1uqWnG83gifu2DRmlwIxe+/L5U2++/v5bn5xb - jGjT+MTtufnf+NrXw4frM9dmPnr/o+PHPlpZml9auL24vGAlDuvB0Ojk1La99z145PCRp44c+dLk - ZJbAWDvP5eTOzWs/+9uX3zl28fJsK6b6zJ2V51bjr3/jt+Zu3zl7+sz7b76+Mnu9NXdz4c6sTdkY - UxvZNLZ117b9Dz/xzLMPH3xk155JASIrUdweCaxD/VpBZc7uQBnZVNIkSWJI0r1y+eJf/dX/115b - WktUT9Wb41sfefyJoU2T23fvmRjWhkUpBFAQhVQQ91rpnbfe/slfv/TKpWtz7Z4yNLl54r7f/Hpt - euuvb55AveagagpEALk0uNVujdIoSjsM6ABamyQNhJQoMKEnMBYNkwVuahVmz80TaoEKINYmYgOt - wNa1xorEGsI2ffvto6dOHL9y+dLKysrtuYWbt26roCYqSKDGJqdGxiaaI6MPPvTw4UOHvvTkEyND - zdBQyiClTaA1UlAMIaR8e3b2/PmL7733wcyNW/MLizdnZ3tRrHQwPDy2aWLz9Lbtzz33wsFDh6e2 - TL/+2ptH3377+syNJEl37dq1uLD6e7/1jYf27xZhcLK2tnDh7LU3Xn31g3fOdqBXDGo7pu7Mz//+ - 176x5dADioqUDoI0Rmvp6qUr77x99K9/+s5KrLsSmOFNd5bXyL6wa8vjSislBEnBKUSgSDrt81fO - vvHuh5ev37p5e25hcWVhcbnXaRnimqahuh4fbkyNj27fteeRI48/ePDw1N4DUs+yXfdS1Nkqtq3F - +Y/ef/vb/+GHaxzGZmR0anpoYisHQ8ZGH7z1s4snPpq5dmVtZaW1urLSSlR9Ymhs29T0tr379x08 - fPiZ554ZGa2FdRftrxWEYF3EsfSiNI4W5u68++775y5cvTYze3t+aWmls9aNrejh4dGJ8amR0dGH - Dz741HNPHnjkwYnRIWvjUFGWeYIt2MLKK6+/8eGpk9du37q5MLe4ML+yvGwINWaTJFOjo6Obp0c2 - b919/8OPHDzw+BNPDA8PaRO43EQCKKPFrTpQ/25m1TQNXl5eXl5eXl5eXl5eX1zde3TrVEkgmD0M - 65KwanL7MzGyx2MFKfdarQ8/+ujY8dN3lq0lTE1tPnz48CMPP7htsrt8yWoine1HQ3k2gCKhoWQl - lFO6LK+gUtqRWBYwi9I655lshQVCCsJibWICHYRGq4x9GpUlE5WURStRsAwClEKgiAWWXQ4GIlJ3 - Bbcbhh/fQ1GRSdDhYwfLMqsQlMs3aJQyWuXxqAIIjFZGsYhlZlHaZZMQAXMwMrJ188RIsx6anmKE - RkWilSDq9jhNgixQNAEn3F49d+78+2+9/9LLP3v/1KWLc8sgrVQvVNYoWEaSQgew1Ei5OTK544nH - jvRWV557+okH904MB8qYAFoBcu3mjU8++ejyzHInrTE0SE9tnX7swP7dWzdDhdaFZQLEdmVp8cSn - x15+6ZXFFjqCNUUYnl0Ntjz60J6tXzrAI0NZqkexgHRXVi6eOffSD1+ZWcZaOgoaxdCEak504lhU - CAVwgqjdnZ/99MTHf/2Tn/z4lVcuXb/VThzBJlitJGiGNbJdTqKwpu4/8vTzX1/4qjUHHnlkYjLj - 9damRnGntXr+zKlPPvzg41M32hYLaz3TGHr48GN/87c/+5uXfnDmxDEbJazqoOGZuZaS+KknD09N - Tme3nWVhFtJKKwJlyXdFSLIt0oB8nYKjbmvhxrVLJz79+N33jvVSAz2GW52RoeEnHtyb3rcD2aPn - DLZAGkfduTu3T5448eabR1sxoEYxPq/CkUP7d6ZPHoZyuVDj1vLcpfOnj77xTixIlQmbY1/+yq83 - h4ZbrdVv/9V33z362q3r1wIFApjBQAJY1Mamdh564hyb5pPPvDC6P7QGRIoVu9BfAsQ90S+iyGT4 - RQSiQUagRRn3aLUCjNGI05XFudMnLx84uG9qCxS7/A8i1oKMAhkFsanlCDVDYsAKYKRxa2X+xLEP - 33/n1OW5qIsm1yab+1u//q25Qw/swphhZzmtwRY27XVap45/8u7RN8/OLFoycW1c6hMHvvTC4wfu - 279tCFohFUTd2ZmrJ48fe++9T5cjGpmYUkF98/TO1KpPPvzkJy//+M3Xfp701kItQUi9XmoFDCAI - HnzkiRf/2Vxgxh459MDW8fz5cAGsXVyY++SjDz/59PKl2bjLKkLDDE/t3PPAqRMnXn/11Ze++1fS - XqzZiDgbtiwQ68bQ1M6vXpv57e7vNEa+unk8UBaSMEwWOq8oz2HgbnNGam1qU8uAJEtLcx999G6n - 1VuN0WJQfWyp3Tvy5LONkfHR5riCZpfFFACzTaKlpYWPP/7gpZd/trTqtr7aNDy6cN/+Z3sRuBzc - pDKQqzKdL4GJUqWZIBqkAkB12u3527euXb6+c+v4+HAtqAfKpcbJhy6bgjRIE6cpQQOKGIAliVPb - W1xZuTFz/aWXXn7llVc+/fSUy8VsGVZcpLZbYdPQ5sFHDn75y8+LjQ8ePLhteqsFtNZ10kAKjhDZ - tbXO8ePHf/qzV773g5duzy9ay71eBJDRxu0w2WwO37l5Y3Vx/sDBw+8cfeu1199cmJ2DMjfmVo2p - PffM0w/t36OUgthee3Xm2qWTxz99/71jqyDWhJ1bSeunDz3Khx7It8l0yU5S7rVu3bx66uTx9957 - pxUHoBpGNwfNkUMP7mM8nuFHF0NtLZL0wvmLP3/97f/3P3zv3OWZuYVlsQLSIFawlMZabI1QU5jc - OvH0l3/txa8tPsr1LfftlxosIBYgIeH22vLFs6fePHo6UmiroLlp6ksvfK3Nwerczb/+9l+e/ODN - +TtLzRAEdGMwtEXdItixZ98zv/aVoNk8eOjg9PYGZ2yesqQHNm2tLN+4eev48RN/8e3/8NGxkzM3 - l8lAoCxDRJMKFIXW2gOHH56dv6HUtx55cP9os6bIQGkIoRctLyxfvjbz3e9+76dvvn7p1o0kSWAT - t9QYsISMQGAJqj68/+FDz375WQEdOHhgy9YtLi6cAKUUAGHp+6r9lX69enl5eXl5eXl5eXl5fZ70 - q0K3A3IzW621cpjRpbJThDThOF5YmH/ttdc+Pn4qIRLUdu65/3d/93f37d5Vjy/BWmIRcRtqu52f - mMAERdkDypThyspm7cyiSSltBMTMYm2YpeITEEiRMtrULPWQpAkpGKO0hli4TXsMoa5hGsrFPQam - JMOWAWaj3P5kmpkdxt2gzb+aUKDyqsWO6hnRViW3dWwltZrIVCpHEFFgSGwTHaggSxXpnmzVYCs2 - MSRaQRLErVWQtklaM4Fx+SJSKzrmqH3yxLHv/uVff+cvv7+0Ei3FBATQARAn1rLNAoANAeA0ibor - yx+///7Zkyf/9b/6o9//1le+/MwBoI0kAdvtO3cdOvjI6tpxWeq1EwsKFhcXZmZu9p54NGWKOdsv - XThtr66uLC2uriEtLBBFS8tLN2/NRulDIGJoxRE4BpL26urywsLqElIL6DokGJqY3LJj+6apMGy4 - k2XpxuxHR9/40z/9k2Nnzt5a6sUAC6yAgkDrgKyOojhAWlNIIz5z6uS1+fb5mTu/+c3f/t3f+/pQ - A6Hjt2JhUxIraWwtALDI5StX/93/8X+eOnf+2sULSZIaDQlCoaAb9VZbq7VaGIYZANOBEdEgiLAV - Ucrk6F1ErNgUigELSlGjZkiBssIJu/2JoAHdS8WE9UazBmIAUIRAg6gWGKMpTZOo5zJiBjC1yALK - 1JsqS14JO9I0jcDllYUJw7DRvHr9xvWZGz/5yY/XVpd6rSXS2bpFYGAtUgEg7dbap8eOXZ/9t//m - v4mnJ75ZmwBpLSJCIHIZRFyqZRA5him9XlInCzJMRpt6IwRS9BidbksH9Uvnz/zP/9P/+N//8X/3 - 4m98aXLUrUqI5VRSKNTCGjUb9RDgNBYBUQgBamakHiS9VhpHBCgYZnRiVrUhXTMWUNYC0EoDAk31 - QK8tL64uLyogEStWAG3qQ2Gjmcf2CYiRxjbpsQUgILJC77z30ZtvvvvOW2/fmb0T93pgTpitBQGB - IAFskly9fPFvoh8rNRqlf/D1r+42GmD3CHpKsEYrm6ZxBCFWOpy9vfBnf/4X77/77tlTp2yrpdO4 - CGTVQK0eWEvLCws/+OGPWlEcC33t11/cPhnUgjFgDamD6JJF12eJcImU1loFAXTdbBof3rNn+8zV - S60lEJBE0fLi0uVLVx545EgYwIAIQb6bmdKWom57dXVpddVdT0PVR8YmNm/ZtnUaQViMNcUqERWJ - bl0aHF2rmUaTQkQJekihw/nr14++9frm8eF/8Tu/Of3EIRFxA2TUhQlBGu1eFIZUMzoMDYly+Xfd - ZYPUvvf2W3/yf/3pmbNnZ+/cSRgCKE1BrcZxArZukFda1xu1WzNX//YnK2dOn/w3/9V//fVvfKM5 - PKxZKUpqJkG01l5unbt49fvf/963v/uDpbVuYgmklAoUkRVmmxJzt7Xy6k9/fPPqlQcPHLp4/mwc - RYBC0KRwiHUdKoRRCiFUZJTAJjaJLBKg5vKqkwlEKQsXUwzldufSSoUKHMVRp9eLAQ0FCKmgLmSi - BJqsIQtiKEGrc+PmzT//s//7J68ePXvlxmo3FQaCGgU1zUnabZFwAAQKYnF7dvEnP375+uyds7Nr - v/df/Leb9oyGBvUaQgkogWKrOAGQMkSRBR07ceqND0989PZr0lrgVkuAXlw8zGANIoHcvDHz9jvv - Iaj/6/+yPrr5UMNl4hZGGoMsou7sjZnvfOd73//Ry1eu31hppykBDGOoHhprkVqxaQyhc+fOLq3M - GWX1b3/jK19+BpzCCpjSduudt9/+X//3f3fx6sytlaVEGAKQggLYOhgrgBUkUXTmzJm5+fmZmzf/ - 6F/+0W9967frjXrx/AgBWlW+ZQe4rXuoJ2uaD8H18vLy8vLy8vLy8vqi6VeIbvsTJoi4VAnuV8cY - WcA8e+vmxx9+cPbM2bnFFYQjI+PTDx888vzzL0yOB71Z1uS2G3NBt8rtk6XAKtvbJZvWVaPD3BPV - AbPq5wAAIABJREFUmgiaCG7vmkottCKthMRaYYgyqt1pnT13+kc/emcUnWG0SbmHx8emtu2Y3Drd - GDJwobziMuUCpEqSQeofaabIWQ3yeNs85BYZXhGAuWh8GZQsNmVrxTqTuqQR2SWjXre1GvciTl0K - TSVMimio0awHgQFCjV579c7Vs3/17b949efv3JprJUCKGulabWjovj17Dx/YNdSATZPV5ZUzZ87P - 3FyLYNOotxbHq8urr7325lCDt+/YNL1lqFmvgZNtO3fs3bv7naMfBTap6SBS6s6d22fO/f/svemT - HVd65ve855zMvFvtK6oKVQWgsAMFgCAIgjubpNiU1D0thzWyPLJGtifCjvF/4nCEQ45wjMYTMeHx - hxmHPOqR1N3qhTsJLuACEiD2QhWqgNr3umtmnnPe1x/yVpFsybYixIkYd9znA6Jwgah7K/Pkycrn - POf3TG1uP9NWLCjTDC/buP7o4ezG2pradbjCMEyFtra27tyd2n7m8RTtBqR27fulhw/nZx9mfV2A - AqmR8QMTx4+pCClD2SS0lc8+/fhv/vovb351Y2PHs4AJ7Z3FnqGRo8dPd7f1ktcr8/Pz0zfmZ6Y1 - UI/jyvLKJ5981tc/dOHJp4cGCqUcjNEQKIKGKMmKfbC1vV13M9OPlja2y76RQBmBE+9BPnU2tSmL - MMM3s5NN059BEPJeRJGmbHFBK0VgD6SAAzlDljgRb5kBRdAGKkj9bpzRWYgFeWgBCZEIO8k2ohuC - MoBOPJxk298z0G1dc2IUB4SEYa1N6/UPPvzIs384v8hsB3o6z1x6vL+nLY0b9+7cWVle2apakHLO - Vra2KlV79fPPj00MPf/0ZHuBNDSD9S6sg8Cq+TVBYEzWHOY7u/t6+4c6u0t2qy4JMzyxr2xv3LpR - /d//zb+5evP6qeNj50+MTYx0tucKZAIlBEBBlGLSWZQVcA6SimvEtW2XwgBKB6AAolPRPkM2KE17 - 7GoIGQpIAkU5LV6MJQVRngKGth45seQtwIa82o3t1xqN23fuTT1YtEm6NL8YRrmDh4+cOnawo2Aa - 9a0vr360sVrjFEGofJoszi+89/7lUtfoyaOjg91oiwBt4EDiFQkREQHKPHy4sFZhgVpZWvDW9Q8P - nz4wMN5TlKQ+NXV/bm6+5qxjAnmu17+8fiNX6jh29GhHfkSHHGZk06y8q5m61YAGKaWUMsoECMgM - 7uu9ePF8bWd1caMaanJMGxubH3zw8YmzF04eG4SCCIwT5QHvG9XKrZs3Fhfnvc8A0MpE+VOnzx44 - eDgI94qnsLck9q3ULQHA4MjIwMiop9uioCj0KoBN1xfm3337jZ3VuWufHD53YvL4wVMjfYNqF/Ac - hBFpb71N40aOCiaI4ABYxJVPPvngnTffvPr5Z9uVapIKFOXzxeGRkWPHT5RKJVKI48a1a18uLC7a - tJ4mksaNeqN+5ZMrffv2PXHpaR2SEg04pJXVpUc/+8lfffLJlbWNmhBAAbRp6+oeGR4eGxrsKOSW - 5x/evXUrrVcf3Lu1tra2vFFp1C10AJiUQyvGMSE7LMQKTlwq3gNQUKw0lGKQz1YnDJrZ4Iw0rVgr - T+QJQBhC56CDlMEgbUBMTbaJd7Mz9//qr3/yweX3p+8/qDa8o0BHxWJ718nTZ8aGBgJ416hsLD74 - 6tOPrQcz6rX07p07tvjR2NnnjhSebB9sQoDEpo1aRWwcAT5Aqk29kX76xbWdmCsLyzpwh4f3nzp2 - uFTMVba3pu9NryyuJYkr5nJbKS8tr77/wcfnnrg0cfzUcH/W2OeJgKQxPzvzlz/+i7fffn9qeq4S - wwMIdaFYmjgwduTQwVyUr1TSpeWt+9MPtnZWN9bX5mYfrCwvsU0VCZgQ2/feeftvfvqLmzduxj6r - YpRcb+/o8L5jRyZK+cikSW197eG9uw8WVisJp41kdW31088+OzBx6MDExNETx3NR8Gu31+aiAb7x - 68W3DN2/hRRqqaWWWmqppZZaaqmlllr6/7++W+v2735kaj5qiQgJhEmkWU3mPVs792D28vuXFxYW - a7FFsWP0yIkTZyaPHi1qhwRWKwhzVokDRSDWcFqsRlYL1OxYByk0O8qaW7axx34lor2yahJoJQqW - XerBYNIoV7a/uv6lSXc6VKMdNRCJMp29AwePHh87dKR3aH97e3uhkM/nwlzYdNxkN6r3bfbe33E4 - voYafDfae2z9NTOFvsH/1YCD7Fpn37RuiSGe4YkyY1fR16wFqlXrSxvVrTrqDk6DTF7E5EPqKpq2 - UPKEiNyj1aVPr3z6s5+/fv9+jQGLUOVKPd19YwcPPH3x9CsvXuxuj2wSry4tvPH6m599fntqeilO - G5YJKrhx43qxhMlzJx+/cHqs1ANJBvaNje7fbyQNJGUlovTG8tKdO/dWNitdgwOdETSgPOqN6oP7 - U8sra5QFRhW0NnDebq7cvX1zdXO7zPuMglEa4uH9o4eLcw9XGfCi4Qlaxsf3Hz92IDQAI4k3N9cW - Pr7y4Vtvv7Oy4ROCyocd7Z2Hjh+bPH/+0lPPDPfu055m79399HL7x668trGeJIBN1+Ye3rpz5+69 - qVJxsi9P0BqOFESRaGo2qVfKlUo1homyDri2UrEtH4opVVJ0dhU7S7lQedXkbwhBMr5yc0WimTHM - ziNBBWDbHLQkDO/Ysfjm+VQapFMnXkQE7J2CB3nsohfYe2FPGemDCEJWiJtmplfs4K1nl5FDnEfs - vPjk1vWbCIyOcn1dA2dPHf7Bay8eHt9Xr5Tff+etL65enZpZ2KokbGO2jMTfvvbFx/u7J08digrF - HBRB7XIeWEvz4mCAoEyQg3iwDbp6hscPHD5+vHbtViWpGbBD7JJtafDln/74k6sfPvHYyc3nL1TO - HN4/NNzdOdDe1hMG2kF55Ixu8gEgDA92cIkTn00Bvgkw9j6LP2tFCgTmptdJCI2KAh0aH3uV7Qhn - aCFiBrPX4qEpVM1TCYAb8eL0DFQeQZhr6zx6+PATZ4+//PzF3o7c9sZiTqfXv/hodt4qoxreJ9Xy - rRs3hkavTT/zZDEaKkZQxsBDhCGiSCnlQWZzcQVLOxCgs3300KHzJw6+/MTpyQMDrl5+//Lly+9f - /urerCQeBGi9Pj//4cefvPb97/d3FnK9bYWIduG2aBIPoEC7WXsiUkBAgwM9T116/NrnH2C6agwZ - ryrlyuefXX3lwXy1eq6tCAE8OwUHjivlzS+vXl94uNkMNyLI5Qtnz08emBjaDfHvTSkhoHdZt7uz - D2Fk//jBiZPdPb90ZdhUPBwgqGxPX/9y7ubVq5d7X3n+pbUnVk8dPdPV1dvZ21vs0CoHQFvn64mn - wJkwgljYWnlz5d03fvnR5ffWNioMkDFRoW3iyLHzj59/9tlnBwf6Q6Oq5a2fd3d8/PHHU1NTSWpd - 4svb/MUXX/QNjZx67GKYDzSFYGBne+7+9FtvvDl1/5EQoCPoQlAqHj526sL5xy6cOTnU0z5148u3 - 8sHszMz66sqDtY0Y2qs8TBHCMcSRctkiowhESDzgiURl2xpIgZRjyS6opsftpEmmgdckCqII2hiv - DJROrLdeNEEpBQ+wR6V899bNv/yLf//g0VK1CgcUO9v69+0/eOTE8y++dObEkfZ8kFQ279+4KvWt - R9N313bgFDY2t5Lbd658+lm07+ih/i7REBHxztuU2AUaQQCCgXXz92dgimjvHB1ov3Th1O+++lJX - R/vq0sKVDy5/+M57y48WEPqKR1KvrMxM371//+HSymD/AATEHhpJuTxz7+7Pf/qzG/cXKw14AqJc - qbPnyJEjz1w8f+nxs13tHVvleHp6/r3LH9y589XG1nJ5Z6u8vcXeKkVIfWN75+0333znnbd36hUT - lHKFQhCFx06fuXjh3LNPX+pub9NJvDb34LPL75tPvrw1Neet2NQtLyxeu3798LGjI+NjUdQh2YD7 - xs3v//MG2TJuW2qppZZaaqmlllpqqaXfMH0n1u3fK+pCBOecEtEEUgTnfBI3arU7t2+/+cYb5XJF - dCBB/onnXjx99ox1iBQCzYqYIAqI8pF1JOwUvBGrkd+1bjP3Vu19EgK01s22p+y9oCULvmmC59jZ - esJO4IDUJVLZWZq+t7kwVbA77VILwyC2HjqMSp0c5PaNTzz/4ovPPv30iRMnclHkHMAIDLICJOe8 - Vso0i9h/7dGS8B/lMVK+bd1m5us3X6JsPy90oEntJVU9gcWDRAcqlwujUAWZuUsETQDNLa3efjC/ - HSMGnCgkjJzqKEVdbaYt4jw5cHLj6ud/8X/9xdZ2TQKkUki9Gd43cuHSpT/+p380eeJQW54KIZFP - 01r1/ORjb7/z4Z/92b+em1/ZrDY8c1znBw8XXn/3o96R0X37xshLV+/Q+Oh4X1cp3Y4TZ9n5zdXF - B7Nzy1vVQYsSQBahNJRrTE/dm300HzMckDIacQwy2FqduksPl1bXasfb2gAQfIIkXl/bXFmtxBYO - BjpAEAwNDYyPD+Yi5OAr8ebHH71z48a11XVrgVTQVux59Qe/98prr1649GSxUCgoEzEeOzbx1JnD - L12a/LN/9b9t33rUcIBzs3MPf/X666P7hw7390EAZ9k7s8tuNgYpGdEhojysD/LBkeOHn37isX0j - B6cePAoLHccnDva053MaSlhncdssHy0gocCA6ethJNCkDUSDUwBevPWpl2YmFAwwWYZAaQNFwa6T - 7yFCwsIeWWuY80AW+QtJGwAqMFABJBCwdS7xGUVUQwVQAcJcW1fXH/3Jf/Xqi5eOHhjsLgUG/tlL - j//1X/6Hn/zs9Xfeu5KyZQDQ07e++qAj+J0ffr/Qc0CHQLNDi3dT382KQCLNYEUAMXRw5PiJP/rj - P175n/+X1a2pUiGse2993YkAKt1c++LTK9PXPvxxV+7Q+IHvv/aDZ55+8cDhwxKAoV0W+FSAMVBh - GOZzYcGoLQGcb0C3kdFg8Q6Os557Ee+aSX9niR07lyZwsBAP5aCNCaIggJYAPoAygcJe6haC7DrK - d3a/+PwLv/Paa9975nxfRxRIvVHZP9iT/+sfF/7tn/9iu+ac9RCDRry4uPLVjZvD/e3d7SUTEESY - vXW2GZL1giiAjpC6U2fOfP/l7/3ot56b6C8O5Bg+Pn7s8OTp4//jn/7Lytx6NRZYB8jmdvmDj67s - 6yqN9T8GuMwxVKR2saqZkQ3H3rMDALiOzuKZMyf6+zqNWUyYjdEpUWVj89GjxcXFrSOHu4xGQAY+ - ga1VK1tfXb+1vFAmgXgDkyu1tR87cXhwOO8EWu3NaLpJCRC9WwvZVFvv4MmT51999bVfvvv5xsw6 - yCMMQYRG3cEuzFR/vPLnv/rpr4YG91986tmXX/vtxy9dCnMIAqggbOvsNRm7IxBbKS/M3b/6yYcz - U9MKIE3Fjq6B/Qf+6//uf3j2maf3DQ4ERhlx4hpHD42+f+bUv/yzf7GwuLhRrjfS+NbtW+29gy// - 7pLKj3ZGCizz0/evfvLpytJqHAvpQKI2VeoZHdv/B3/0J09dvDAx3FdU9omTE89dPPfn/+e/+/D9 - d6/fm3feeziwg2JHmsJIByHp7NSJVhIFJgyMgRYAIvCceu+kOVxIAUrDC+AhHmIhnj18I4Yx0L4W - p4lzzQUNCGz6cOb+zRvX799bcoDRgMeRo0df+f4P/os/+pNCW2cppGJISGtnjo4/c/7k//Gv/tcf - //T1jRpEsLW98/qbb/Uff/KFxx8XQCnSQdDV0V7MR+LRaIBzgkIJ3qC79/TE/n/2Bz988cLJsaEB - TZI0qhfPnVFJ9d3y0uz6joOBCRHo6bm5W3fvnTs1kC30ALy+tDhz5+7a0nIcQxSAMN/ed/jE2f/2 - n/03508eHu5rL+XzLEHlKXvhiYu/+OVPfvqzH4O9t4kJA7i0vrP14P7M9P2p5eUVANa5vq7us09d - evUf/fDihccG+rqJbZim0anj508cP3z8yv/0p/9iYXUrZeeIZmYefPTxlRdeebnY0cHe5wOt/x6+ - 7Xe9StpSSy211FJLLbXUUksttfSfir6r1O3/4xNTlk+lJq5OKcliYwCRTdNr1768du3LhfnFukWh - c6j78LFT5y+OHjqw29Ju2VmtlFJw3jc3YkuWus3COGp317DOMlIEgQiBRISFszSu0qr5MQjwDpry - Ja1jLynEW1CKuJbEaZpsObEBwQoYcOsbqcqtbteqtcbmxubm1vZLLzyfzymtm9uVQVBa/y3Orfyt - L+gbf/4DJdgNyO3+/Zt52913dZlnpjQp9S34H0OTgBtxnV2HgoL3ACOt27W1jz7/4qOrN8oJoDXC - djjq7O09OjE2NtTTVVCIt2ubyw+np6anZqo1xKwsRQjzo0eOvfLa909Pnh4ebCdmI1azLeaLXe0d - 5a3yC8888fobb5erO0KKRa1vbn/8+c1LL+6cmVR5VSi29fT3940MdjdW1qsVBGCXJpVq7dHK5r4q - BjsQeI+0nFa3V5cXt3ZivxdzFoFi+IbUq8trm0s7GGmDgMjGqJSXl9aWV8sOUCrv822mo72/r7u7 - qxAAjOrG2sMPLr8xNzcjBEUwptDWPXz28WdPTD4+ONivgIgReCBn2oKDRRX/5K8H8jOr5RoQBJtb - W1c+/ey1115tHOqLgqwLj8CsIAoQaYKW4fyhs+cunT9z6fEzJybGOzp7z21sgUxPe6mjEGpx5F1W - 1AYAWV5VZf4pBLAWpJDXpJqZSoAEhkwY6KxNb3ffuofOEAggZFudAQew9857p4iCADbLX5OmICRt - mp/QW7gUYFJEzV4tA9LQ0dD4oVdeeen5771yanKiu6hCqWv4Qkfh0oXHlheXP/rgoxgMONLkbaO8 - vb2wvNo9tr/UbVSz4Kjp7Wkixt4PoESIxIN0V//AY09c+OHv/TBXeuOjz645VgoBmVCEYBu1nUY9 - rVRX/M7GRqXauH7j1uHjp8888dTBY8fbCsgc3MA7iBB0LsyHJouHWiiEgRFm9pKt2YiICO9eH0zM - 2DXaoDSUERUIaWbsdkZZcTG7tHmASUGFuf7hM5OTv/vDHz35xBMj+9uUSyJwe763PTq5Mnf2xs2p - z6/PNFIBAczr6xs3bty6dOG05xKsA5ExOgwCow2RgyiQQUf3wODgCy9+78WXXjpy9ECXqoMr0GYg - GD5VPXb69PGl+u3qo61msD9J78/Mrq5vaqMysx5QzUWp5lkngLKfVCCA1flgcLj/yJEDo7dm787V - vVhPFk5NT8/evn1vfPxipCHw5GvYnl9YmFt4tFTe9sIKiKKOgdHxA30DPYV2kP7GrCLm627IPWh4 - JhPtHzv6+7//TxJp9+qz2fkl653zDs4Ghozn7fXNLWxvbGztNBoPlpbe+eSzYydPnJk8eeTQoFHw - HuwbkcHqyvy1q1fWFh+5BKFCLDS0f+y3fvCjc088NXZoPBfAWxjRUTFoi0Z3No6eO328Udna2qnk - coVGo760uvZocbVr3+hIBO3c6qNHM/fuNWqx9xCt4VX/8MEnnnr67ONPjh4Ya8sjj7SY466iSX/n - t4ytzM0v+ESlWUraGA4DR4qb8A8P+Cb4QqQ52QqglA5DJrJAkwmcUYBIoDgwymR3Bsl2gJCJIlLa - CnLZgXTu9s2vpu7doWbVGIxWk5NnLj319MGJAQYCQY5g8h2I0F80J44d/fTazdqDxTSBJOnyw/lH - SyvbZXS0Z5MBGU0K7DNmd9YUScHBw8d+/w9+9NQz5w6O9BRzBuyKpWJ3W+7JC6e35q4/vDxHcFCC - wGxsby+urlsHigBhJPHtr65//MH7jSqLQHQOEo5PnHzupd+efOzS+Eh3R8iRJlBYakMulw9D2T/a - Z5Q/fvRIdi0sLyy889YbqyvLCggpiAUdnZ1PXnrq1OSZ0bGxnIKIi5wNlZS03ignZybPpF/cfLS8 - CCWra2v3pqaWllfau7vzuShblPia3rF3G9y7sbX82pZaaqmlllpqqaWWWmrpN1rfkXX790q8kFYa - 4KZRIlytVi9fvnzt2rV6jBTo6+s7e+GJiRPHewcCsgBi8Yn3qVaKFFLroDnr3dbwTeCiNFO30izH - Qvb0TICIcAbV3QXhSjNO5PKlwsjoSF1vmlT7sDPRpdS0FZHk4kJQW4vrtThBwmD2SlG1XL722edb - m1txo3H40KGx/cPtbc0dnLRbuPL3ODrf4cOlfNsUpl97CchcPOweil3IbWY5aMRpY2lpobdIOsmF - rmqScmNtfuru1NsffPz5rdkGg/MdCDpAfvzAwccfOzU61NmeByrbG0tzq4sL2xuV1MJKAB2ho/fA - seNPPfdMZ3c7AKWUt0aLZDSL0eGhl55/6tZXX0w/nPfkWFS9Vr89NftouVyuI2pTuVx7d1f3gdGh - zbl7yxVYIIaPk3T64dL+HZwahoJHfXNnfWlzY71SBwM6hCajPLESSAqfLq6sza/Xzo4UBURJvba5 - sbS8trpRcwBUGBVKg0ND/X0dHUVj4F2ys7H28PqXn6yubikDocjkOnLtfe29Iw7h0mYjhAvSOEwa - bVo0x4akkI+iKETNIYhcvTF9797K8kq9fqSzU0GRUmB2mZfmGVAMbXSx9OxzL/yT3//R45PHi4YE - OAU4ZvI2Z5StV5N6jZjhvRPFMKICp8KElaNASJK0EUZBb0euGMLsVlEJKROGlC0VNE/sLvC5ecaz - 8jEGvLAXZqUoMICnprujDSmNDJjgPLzzIGhNBsIGMCCDQtvEsZP/2T/+w+NHxzs7EABia+JTIpk4 - NDZ58lgpF8S1RMMr5b3y7OzS8upIORnuNiZbSBBq+rfNYPrukARpIZBCqa0/n/vR7/0wypml9ZWN - nfpOI61bm3gCAC9KvE/d+ura/MKblz/+eOTAsX+0WX9Zdx47uq+oYNgTsxF4NlpHWmWrNwziSIG8 - B3ui3WmtabNlXzMyJAUpaA1lQIoFzgNgMMOl3qXs3e61paDM/rEDFy89/fyL39vXTwS4hg01Iwzy - fT3HDh86N3lqamZ5q1zzRAK1tbVz687darXOAufZaKW11kpntF4IAaqjs/vxC0889fQzk2cOFw2Q - MFwCrRCZvt6uydMnbzzcfLCwg4yr7fnhw/nNzW1FWWweyPqYMlpB81DvXd8MYoQKYdvJk8eO3p6/ - N3fNOgvyUPrBg7kbN289//zFfA4sTnN9bX129sH9zfVKHGsgB0R9fcNHjx7r6CoGud0ysr15Rkxz - vtmdgpqsbNa53v0Xvze+Vfaicx998vnS8urWdiUVyesgUMRp3UMaceXWna9u3r6t337nmede+L36 - j4rtL/d150JhEhsRVlbmr3/5ebW8pQhagx139/SdPX8hzBc2dxKwc3E9RNwewnCiIaPDQ9dyARi5 - MEgs1Rvx/OLy2NGEuyIkdn1peX72YRqDBWANp4ZGJy4+/cLw6FiuCG8BeCigvfD4Mxer648++vzq - nYXNatk672FItHIQv8dKVgwwhEV4z/wHKROEIPLfnHubkzFrDaVo91JVUMaYkJR2HqIBEbHpndu3 - H8zMhAGyELsJzL6h4e6enpXVGDoMJQk4DTgOXc3YWqlYGOjrm11crVoH71Gprq1vrq0nQ/lIQoAI - IuJ9k4IsgPUohoePHv/dH/7OSAciDWanSCEwUNHxowdmDg//4vIcIVsAQi1uVGo1l90jhdFoTN2+ - ff3qFzbJVstCqPz44ZOXnn1pcHigUIABSCwLSKG7u/jkpYvnHjtR3l4rhSo7VstLi++9++725lYQ - 6KyfLZ/Lj46OKaU3t3Y0efI2520BrNMkCIOxsbF7M/NzS/NgSSqV5ZWVxcXF4fHRUjEv8q3tCN+6 - E+5xkFpqqaWWWmqppZZaaqmlln5z9R+xpuybEjSfv5qPYMKVnZ2Zmel33313auqeUbCCsYMHvvfq - q10D7QoIA3BSt7Ym3jWzjAJkAUV4LW635lx/i70ou8/OBCKlAP/1B0D2KrQeHR/73ssv7V/cNO2D - QxOnXdCWqFJka/l4Y3v21rWrX9y8dWd5vaIBFoYXMD+cm7ty5dMjhw+/9OILJ04cDHTzewoDGW/g - b//EX2sPp/APN3B/jXL7rXf6+lVlwA4MZmbOanEyt0fAfmV1+f3335u9VezJUcS1hembj6au378/ - s7BSrnmiQnfCOfjIdLVffPLJV15+tpQHuA6JKakm1WqtgrBQJCmKbuufODZy+EjPQE8QNsPA3ikt - pEmDTHd31+PnTg4NdORCNBILInifVtPVzcb6dtqeD0Ot29raJ08dWb77/t1HngASW2vEX96aGn1y - R050hIFJN5dm7t7c3FhrpGBAGxMExYKg1kjEWiSFO1PTI3NLL5+dYJBqVJcWF9bWtnYa8Ii8V6Vc - /ujhicH+7kIkAZI43o5rGzatpSkaFg6MyJRjufzJtamHc1FO2nOKyhu8tTZQiuDinfWl5cUFYQZz - M/FrXaVardVq6CiBPbPL9sVneTcypm9o6NyTzz773AvHjp8IDKx3wi40OuOoAnZrbXHm3tTCw0fl - 7e167GFyKihIkK85OB2Z0ChNg0ODp46Njw91dxQ0tIGPE+caSWq95+ZpVtDGBCGUanJllYJqXs6B - CXJRqJXizHvSAJFjZKBcpTXCEJRnUOo5sfCakJ2ykdFDR46NHxovlJpjJggMhFCvmEI4NNAz1N/l - k5VKnRNb9XD1Snl1daNcd7I3OncRysh4DRmopJkVJ6UNwNDYf2j8D//Lf/zU80//1c9+/vrb712+ - cjPMoo5JosSTII29B2yjdn/2wb/98/9wf9n+83/+3x8ZLXQXtPF5xKl1KknY22YCGWzhbaAQGK13 - 6SmZeQYReMfesQeQvaggYr14z8wKGtAKRgVGGa2aEwcLxI/sH5s8ey5fbCZx84WCtiniGqjRVsyN - jQwXolCjZrSyMLZen52dq9RqIJgwAox3bmtrq15vOMeAguNcLn/02PGBgcHIQABjNMiAHIgVKlhM - AAAgAElEQVQL+XBi4uDA4D2Es3CEIIIKlh/MLSwspSnyikAKAmFp8o6bDj4prZUhaqI3GOKPHz9y - +vTiL96+Rh6iFHL51bW1e1PTK6tbxUJXZw7IYW39wdTUHZsKoQ0IgGDfvvHJs2fbuwpKs0B9I3Xb - hBZ/Yz7j5uRKWQEdfe+FFw8cOfy9F+/+zc9f//DDT+YezMJ6ECnAQwROB4Fj8tXKux98GHveqtR+ - 7wffH9/XVsiHkHqlsrm8+DCpwwlSCyEsray89c571+4+yIfGpnFHMcpTQo0d4xvl7c3FR7Nxreod - kkpZ57uI1MOFxRPlmrVRVE82V9dWFtechUIAU0BQHBgenzh6Shl4gTZw9cRQjEAQ0rnJY3/yx3/4 - p//63z3cnIcK4RLxVhSR0lCAMtBEBGpCkHfzzpSRqc237qAsgIezzqbeu6yYC8YgjMrVWhwnWUgc - ztVr1aXF+aXFlXoD2iA0cKDbd+5I8AsdlXSYy5E1PjaukeN6AfHUV1+Wd7aFmQAQwZhKrbG6vuGG - h3bdeyESDWgNmABhvjQ0MjA0UmqDNkgt21q1rRCpQAGskBrUCVAagEfSEEU6ipAt9DnnKmWXJOTB - DAeIBCh1dXQNtPcMqhAMeC8a8OxTr0iRwGul+np7cioz9H2jXltZXi6XdxoWFrEgqtXrt27fvjZ1 - T8MHmrT4vLgSiUmT5Y3K1NRUuVxurlgoeO9XVleq1Yru6yWC+vYN9ds3xBbgtqWWWmqppZZaaqml - llr6Ddd3Yd3+/SIvhF1zgRmQBzMzb7/99tzsbKUqkcFgf8+R48dPnD2bb88wmaK1C7RoDfbOe1CW - WhMm8UqcApQAokBqjyCQ+ba0u5tSUTN++o1UFCEw4wfHXw5Lp6sOhZ5S35gP2lJdyrt6Md1yqxMX - zkx+dePO1avX3v7ki62UPAhhiDR99Gj+zTffmjh08OiRg5oyEsM3Qq3/b0fku6Xw7fko9M2XvvXv - pAGCY2cde/7GfxX4dH154d133+kOpZ1sKPWd9bmdtfm1DTiC10XLIUx72/Dhl19+/rnnnz04PlSM - EkgMW16dn9leX/cCm5KYEGGbbu8O29qDqGnkEKA0KYkgHjaB0b19XV1tQT7EjoVXDFKwSHzkJBSC - 9cjlco+dOXHrg3aNLQWEhMTLnemHJ5e2a9zRJ762sfhw+k6jXvWA0hgZOxC296Vp8mDmfmVnh20y - 92hxZmkzBZznZGPt1ldfbWxupyCiImBKbR2PPXZ2ZF9fTjGhbuPtRnWjXk1TCwAeQWKpsVp+470r - hQK0jkvGFeKdXH27TTni1DaqD5dWGzUFlYd1UIIo75x3zkIYEEWkNe1lH4U5CKP9o6N9/QP5PJok - SkBTVgzl4ez8zL0P3n3rxrWvtjc2Y6coLIrKWRXFMFZF0KQ0TRydaNTO58OzheGeQGsoLVpDK1KK - Mhcxc+KVlr3IGdHeOCASImJmZ7FrbJBj9izNNLZSIFLGiNJOwEIwIXJtokKYMFcE6SxUCREmYhgF - klI+2D/Yu7O2Ua1aBWeQwNtypd5Iv147gSiIZDv6xYtokIZv0kya/U4QQiFqi3pP9bSzcmMHhi5c - nHq0tDk1s3Dnxm1KvXLNK4YFXK8/mp1Pc9fHDr0nLz92/mR/pEnrABQxa2EowADEKSeNgBAoUgAL - lMiuCyvQKjDa6GwzN4MZDCFFSmsDkIIXsGdvmd0uo5pAKswVCsW2PQIuZZ2HRkGos604PNhfyIUK - EO9BjNTV6g3rPCOjnxIpZUyALIwchBDSOuzu7s0XCs20fhO04UFi8tG+wf5SsQB2QIBmJFic9Wki - yO8ePXwjdZsdpt2wJ+ABD3H7R4cmJg52dBQajIYHvC9vbM/PL84vLPb1tvdFTqflB7M3btz4slF3 - QDchEJMbGho/dux4e0eeEHsoDSMZTPzXgv5fz0IADJjANuzsOloMezo7u0rtj52cnJt9ND11/8H9 - mdn5Wc+WAQ+LbE//zs7V6zehcqOj+/PBxHh/nn1jp7yxtrLgYiD7vkTLq2vvvP9BUOwwJOzSUk4X - JNbJdsgNb+NGrbK9uaMAiHjvk9TVY5syBPCpTSr1RjX71AZioHKFtt6e/o4g1/z82mh4hkuhfDGn - OttzUUhKgZWHEpAk3vlsJVAAZmHnvRPZqykjCFJrWb6Z697zdVnE42sstcBLEOaCICSAGUkS16qV - uNFwFh4QD0/w8NeuXZ9dWGWTIx2EkhrXCDnOcz0v9drG0tJWNYmZAGgNL86z9yICZmjfjNYL4H22 - C0XpIFQmlAw7rZQJI5ACC7xVsKFOC2EG7rVgV4vr5Vq1kcCHMN7bRqO2U67uZOeYgAAShIXO9u62 - jKpNRASllNbICCkK2hgFwIMtN+rl8s721qaz2acSgSwvL//qV7+qMZO4KFDkbMS2jcS4tFL3y+s7 - O+WaUopld75yPrXWAsHuyN7rK/u77okttdRSSy211FJLLbXUUku/sfqHW7d7OdBd8OI3HuubW7Wb - tegeYHgH72Ddndu3X3/jrfXtSgIoow8cmzx18vTh4RwDAaBhYZQOIza5GIEVrwmOWbM3ECXEQEog - HUDAmVELaP52BlVBEYSEQE0LlwIE7WrkxJHR4AhFTkzsDeuQCQV0hX4QE6MnLj357KPZ11//xdzK - 3L2FrSROgzBn2VS3ax99/MWr31+px03fVqsmeBJgEUhmmH7DUVXgjD3aBPJ+B+7tXutYVmXODBIY - wW72sOloZ1hgb9laZSXbbJ3xHRxhtTq/enOey/BlEhdqGIInqLCog04dtHUNjEw+fvZP/vBHZ47v - 6y3oACkEcH5mcXllp5wCVWsBhjKhDiKdWVMeopWCCUAe8A4+ATwKYT5vohBBHazIE8GxEmUyY90h - ivJHT5zoHRgAbXmBBDkRLj+c3p6fSrbHkG9sbaw9eDBbj60DKDQHj57uGhqPq+X1tdXazg67pLww - U5m/r9wTktY21je+vPbV+nbFIfBhBDalro7Js2f2DQzkoIx4aaSuEicVsMt2sRO7GBvLjzbXQA1I - AxIb5TrISsKZJ5gADQKFkSQVmAj5AgEsBFFQRmkKyEUUm2YY0VkiU+r2UUcW3MxDKR0QvEAg3ls3 - t7Bw5bPPrnz4UaUKBkiTZd0QzaYABGAHhZW1xZ42c/rwyOhAe6ABpQNlQhUEZALAZ7TTjD8gQoym - f0cCZgiTZ80s7F029IgBFu9FvGSDiD3YGaOMNgDgFcIQUVuaSNJwGaNBZQPYeTAQ5ZA2jA76BwaC - +w9SWJfVpKnUJmWydY0OArgZDM0wn+SFMxuXdh0g0C7BJLMdjZm88Oypx5763Ur9408+f+/yR5G4 - 8spKfXOzXHcscAKAUa2sTE/9/Cd/NToQHp14MReRJlIKJMwEDwhBGNYxkSYFDxCLEqZswgFgQhNE - ZMBACg92zcS+okADEmWOc8LOeiYAEgAGyghRxnfJZhQvMNrARBBXKpW6u7ryQWAAYQukUKlD6Mg5 - AuDBnIdqj/IRGU0sJvRCoilfyAVKGSBAhnwJIA6akVel3pKJCGkDFIAZnlAokgoSb1l5RQCRQAmR - ZAFbWFADaGRtXwGK2cDQPWOj+1fGh/vSdHl1u2JjQZxurHTfm54eGt8/3BnIdvJgeunOnflaQg6O - dJDvLO0b2XfgwHgpbwKkBFYQnZ2nryeX5hxGEJOV7CHz4h1IoVjqLbS/OHzomefc9vrGu2++8d5b - b0Rf+KXN+e1GWvPOSnbVBXZ+5Wp645MT10f620b6Dzrvd2r19c1q6rD3btubG9ubVcA05zpx4IYR - F339KSAEpbVzqU8boeKIHAlb9nXvYpvdkyy8hXApDDtKCAMgW2QKA9gQ1sHo1PpKpS6sA1KJGJCC - sHeJZM1vzCAFZ+CI2AGxggZCOHAK78g3b2zQ8FAM0aCcSJhFWi082MP7MF8McxEByic2aSS1irgM - 3IBEEFskSs3em8H9ORgDYfgYPg6JA4C4eRR8tiqnCC5VxIEhCJgtfKqUKOJsiMIxvDgP58V5sIHW - iAp5sINPIQAFxuTDAMpmRAhfr5V3djbjxNmCiYC6461qY9tld1EDJsRJ3pjuNgRokoIARYDRzf+j - 4IizfjYX12vVaq0ep675y0HgoNdX19fffRdaQQmMgk3gbJ7IOG+hLDSpiLSCd5lnHmqjGWy9CvTe - ZhrZXVX5WntLV//gm2tLLbXUUksttdRSSy211NJ/mvpOgAnczIGKBlHm2+4FTVX2wCUO4gCGeCT1 - hfmFu7dv3bl3rxJbCxRLPU8898rZybNFQAF5QEkKsCdtVWR1QRDDA3CBSEBKQzGQAm7XLQ4JKntk - FYYyUBCB9xCypBxBM4Sa+bwCKJ9hLpkoMIFnAAiaT34alBb62ydP73/1pQvpW5/u3F+xNQEVQKa+ - 3ZifX19c3pk42AGCiNtFHTprRVSelCH19VEhgMjBJ6AQOvcdHOm9hiKV5becNDNNpkkY5T0jXSEw - KtKIiANYhgWgNDiEFBEyXAyIeKSCVEjEhKptZGTimedefOrppyfPTI4MdXXkrLJVBBaElHSNKVGB - D5T4AAw4KQVRMQgCgNgpNMvJxVlf2zE5QCuwN1o0AR5GiweBIanzKUgQBDDFElRnZ29vWzc2tmAR - wKXYWa0tTm3OH3aDsra8PD39YKfKKZALSyMHju4/fCqubn1+5SOVRcbWF6oPb23OrQ11xI1a/f6D - uXKcpGS8cyh2lLq7evp6C7lcAA5EB14FXuc0CEgYiaTQEZTLsorZyPWOa8y7e+7hARjKh1SPE0Br - Q9oY0gF0CG+YHXHDsFOZnaHEkm5QGJuSBxRBe0CTQDOIlLBKoQ0Zw4oIYgIkVjycUgTNLB7iYUwY - qMCowKiACMIQUQw4Jsvk0WQjmEBrI144BQLJiLgQBrMWCYiMpl2CAkNYUeZDZkOTAU+QZp88AjiF - hofXGddBCxRBrCUi6ABs4UFQuUJBBYEDHMEpOKkrxKEke+sSrJA4BKSUJmV2Lyq15/gRoKE0YKBD - KAFpFaCto/DkxSdPHD/+T//zH334xhsf/PKXv3jrStxMPTLEobx559rV+/cml9cm24Y6c8LOJQKf - rQilAqjAmIi9WCvWU6BIM+As2AKAMtZJyhkWFyCBUsoDLltrUiCDMJBAQSlhwGkoBYLWWgeBs2DO - XEoCDEQBxCzsvViXTSueGOQ8Wae804ASeA6d16kzQgbGZlwHDRMaLd4wQmQhZQMoiLWUusCTdoCF - MFQIKqLqbOopVF5blWU8STezt8RQKagOqgmYQR5tmoJsthkYGHrlxad9/IvK1iahkgKVrdXLH344 - euLksaH92yuVrY2kEcNBPNKwWDpwZGx4fLit3WjAIAzAGkzCIA1CFt7MVqZ2c7/cfJU0whw0CzyR - ZlEqCPv6c7/92mtPP35maXn6Z6//+1+985Mb9xvlBEwWzBBlK/7aF1PnTh944vFDYRCxMrFrJvcN - IRVRwhwquN36SRBIe3EW0IBRTdqudR7kAo3e9nxBe+GEFRKlUoEAGk4Rs/gIiDT2GtZScEghIoEk - rEKlIvFarIbSsAzxUaCVas7ggAmlkDN5ImY4RQmgISqkCBKkQNi836VoetORtZo9KUJzhJGqW5em - KQmM8sYgUrBxI0lBBCtwFEAXIAbiwBY+ASdahASOQBqJQDGCbD5KE0QcGhUFir0Tn0BxFDQdzuZP - KCDSSodK752tjPJsgDAIi0C+XoNowBCEia0iF+WMKMSOa4lPvBIgUgg4hHeIkxBSDMAOXkOoaVg3 - SSkizFZntx/vlPggCIptHY2kloIdjCCEEsBDEwiwMYRBlHrxUB7Kg5RWymiwAzMJ8mFUjHJ5o7NV - MUW7WHn6W4SEb5AsWmqppZZaaqmlllpqqaWWfvP0naRuGeIz4wq7zuEeGpEACEOypJuDc666M337 - 5uyDme3tWiokYZ5zHTuJPJiZbdTqhlwg9QDVQOLlhUc3pmY3atY3szXe1usr8wufffoZs80Xw/Hx - oaFOKIC8aHY6eyMx8M1IIilRSARavIACr3KiAhHw7pZuDdIEYhAzbALxMB456e8xEwe62wtCPoEH - VA46hImE8tYHcYpAgbQHEmHH7JUKWWkhcLPpJduaLVpikAOZ72ZT59cRZyUEhs8CaYAAIe1FbrNo - JRETW5WmgCU0A20qF/WPT5480FWsFsyGtWmU78oXe4qlnjDf1ts3PHnqxIkj4yP7iiEhQAyk8BZK - HJnURLE2jawdSxmoQBrWN2ISBGBFrKDZQ4kzoYJmwCGtOxcTEBnE7LUSn8uHyhDvhqVII8oNj44d - PHL/4bVltho2hXCy9mB19k6S79/a2FhYWKonsEAuLPUNHxidOJ5W1tvaO0IN5tQ1tvza7MbMrer+ - 4tbm1uyjhUosXucgVBrsHxof7e7tyYfKCMMhxyYnoXZN3weBQi4o9PSdPfdYe6S0a5RCLS7xcSMw - KshpHehavSZMWoeiIg47TfvAyRMn2zu7PEGTAURLHEiGkwVInNKxihoq55uDXyDEBAutSFMQDY+N - X3z66c6uTpfEOszXYstkEBScihLRzvswDMbHx85Nnuzr6tJEcA7C8KwEkQpyhAYTGPAcJykkW3Ug - 2NQiCTKfg8XHsbepR1bjRzA6FwSkkAIWLiCGEmcT5zI2QQA2SMlbUmJyAbKcbJB5dQx4BpMI4iRN - 2XmC1WANmDQKJU8228ucXfVCQNYURkTCJEJf9whlmfEMciIMKGgCSLmODursKGC4r1OSoRCBlve/ - unV3ueo0wXukDan4cnl7u1pPuRPMIj4MjTLwACtABSDNknlWWTRvL+nvEVuQIUMWIqqZgFcilPEm - GCADpb0mgSIGSSCsoSh1zlqrFEjgm+siPhQPBZDSpJSwBhQ8iKE8YL1yPkOI2tSktkA6YBIBO48o - UqHx4oh92BwfChIAGpoS7XZsOfW15mwpAgqgwjAsBHmTUjXwDiAh1TygJCALElBdiBnwUEoKJCEQ - dXf1Xzx38qsrb9wFDFyMelLdvn333szS2vJG16OpxdXFaiOGA6BTU1AHjx4Y2r8vl4MhZJFjxULC - 0MJEWYfcbro/2wEv2fXLBl4pIeUhYGhSgQGMKvT1FzqifaOlKNrs7Cjjr34x9QjrFeuZIRoNNXXn - 0cP51brzUPDKQBNnM5eCYgwPDR45fT7X1mUCw8zMTrMNYEPFxN7b2GgSE4kOHfTA/gOXHj8z3Num - xDKxM0ZHiDy8g2NLYO0cW0gEB0mQaqJQRwBgrWWwGCWRlgheZ1amJoa4pmvMRvlQLAieAEEMlScV - GjaEYG9jBeBADkyQSJuS0aFRAGX+r04FLGwA+AQ2hkuUiABWYCn0lIOExy88eXC4J0Kc186QB1vv - khgmVZEE+by4wCXG2+2gr5wfPH/p4v7hwUIOShx86mwCcNiMR++ukcBg98QBEFJEBDFCIUvksGu+ - EkMciSViJuVIex16Ch3ATICKKJB8PgQ4QZgDNX1bFmjJhqHAQGCTbM+F0QRBI3EORgAHIxQMDA+c - uXDGFAJNzC7VYPV/s/fmP3JdaZbY+b573xZbZuS+ZzKTW5IiRVEipdJWKkm1l6qq3dODhj3obrsH - BgyM4bb/DwO2YcAzgNHdMz29TndNd+1SlbpLVEmlXaS4JZkkk2smc19ie8u99/MPLzJJVS82MBoD - LsdBIjIZERkZcbfHe+75zrEkqS36BXi6maTkaVbKWktEw0NDj8we6e/u8Xdp2b0jUcFD4XwddNBB - Bx100EEHHXTQQQf/P8BnFVP2gDL8Rx9HO7C71WzMX7u2tLhkLIShtCfgi5cubawvR75iSX1kPlKy - cW1rc/ne3bW12q5rYNpsbt5cmHvt1e9enb9Q7e175ZVXBh4b8QU2E+syFRBcCmjAd7mfJ3uAD8C5 - jMgSW0C3jQYUdrmTnL6xLksYBsqCSftRuVIlpa0DmOAclHhRVCgW/MDPUjgfSgFw1hpxxgtCC8rT - mvK9JRGchcusFygw/8PN8lm0PO16i7bNGh7Uj5IVMdZldlet6xw8NTk++e1vfnNm3K8WG0nc6u4d - 6R2cGBgaZAUQNMMjeAwNQBREwWVwADgMIs1KjAEstEC5pLHZatSMhVaaSEkeX8OEKAISJM40WvUk - Sy3Yh03YgaJSMfS9PEjKOUAIKhifPvjI8dX3r2xst5pwFl5xa331+vUbx4fD+1vNe+uuaWFV6EXF - gaGhyYmxbMfv6y4VPWSxMZw0d9YXrl8b0oP3VjfvLscNp8AKjsbGRg/u31ftZj/nIh209rUXZgZ5 - FLunWUfB5MTY7/z2b430dklS7y0XbNJKmrUw8KJSFET+2sZ63EzgUCz3IOq1YXV8sr9afGhc//2R - joc0YLtDwkFI4HvBgYMHK8XiM597SkHYC5txBvY5KCaiElHWifZ0pVIe7q309xTBDpkFnAgR2Pe8 - 0GNYgjNI46RZJxH2ACtpmqVIPR3m6ucks6mxru1AzNA6b3VjnYPN7zSZscYogJkcObgUkjFbX7ez - peABzsFaGAMoY2lzuxmncHuxgRwWooKv1Z5RSD6j2vXssqsSF9kV5bp21lZu7SB5YBjECQMkAucG - Z2e/XCoFle6l1h/Nr3ystTaOQAImk2Vx3LLWijgiBL6vPUJu1UEgOHKW6SFPzHweWoc0JeWx1pnN - wDn3IwT5pWj6hwhmEXEQK1nskjjICT0D6wwk1TDswTrJrHNtMSFABMXwPLByBAdiY60VpT1hMjDI - UkSRzyyZISe8V/MPAAzWcFTb3EmacZsidRZkUK4Ui1HoAZmBtQ9Zsjwob6C2IHb3WEcIpMqV7qNH - j/b39fm8AgeDtBHX7t25eff27ZvDwcWLl+8srbVs21g2CMMDhw6OjIx4BL17BEfSXtX3zHTlQb06 - 7bVUrkx1ECvWGWjW1C7vFyhGuXT0mWd6BtS124vb8cJGI7aOAIax6/fura2spkka+o6ZteexSvOB - x4R9k5O/9mvfmtw/WyqX0jR11iiXeWRDj8Rmzdp24HsqLCg/MqSicnV8Zh8rUKtmQVBaeQg0EgDG - KojN4riJsATAWbFECkSwAmuduFzV2fZiZs7PAPJTMSYCyDkYY9E+FgEYHhOcI4jaG/a7pxYAsw5Y - e7mrAIj2eogIMJkxRkS01szIAAGDFARf+MKLX/786YiT7oh9DZvFadqqW26J5xW7S0qoueNZsxMM - 1AtD5ZnxySqKAGfsrG3FsXVOK5DFQ17ID0b4Q0JVceIs2iFqgLSf6Mg5FoDY016oPQ9AChGkvo+g - HBCyZh2FQtu9AS6v/8hzEAVQYkBE0L5WoRPUag0HzaRFLLFMT0/97u/+y0K56GmCZCwOxto4rZa7 - dBht1euWWDETsdaqVCpOT08XQgZ2TYMfGnSfxqeX2g466KCDDjrooIMOOuigg185fFbU7f8dZLfu - VXue51trBVAKmYNJ0+3NjQ/efy/woSgjm/iQgAhZ6tI4i1vNxu6LkBNbrzVWz59//8rVy0oV+nuG - J/u+um/YCzz2nQ+KgTivxTYOLoOniZVPEM9jESdiKNfKKQAwFklqNbPHpJTiyIcIkAAsFJCKtBcG - gXJeKY41nM2SlslSJhQL8DXyvaLWKqdPjTGZ08pvF3QKoJRiCgEDoc9GKUR/f39Kn9rUykO3REpp - VjpvakW57adUq5WTJ07MjPm95aYxqfJKKqz4AYRgHZyFoweEEEBghSyFk4Hevt7u7kBzYi0khW1m - rW1nUq1hRefyT+0RnILLQILMbuw0txtZLUY9QUYKSlsnYRQUSyAGCUAa5PePTM3MLJf8M760Ugc4 - f2np3odnz830+TeWNzdTxEBQrvYPjw4NDY4O9dmCHRuo3i57zSRLxGxtrH589uMKplcXV3diNMmB - BUST4+MHZqYiHww4ESal/cgLi21lmsAksfNaJHawv+/ggX0BXKihJdMuUyypzWKTDAwNKtIkRCqM - udgCR4XdtjfWWCu7NhXt/hAhkU/JTIHc2hfEgHR3V0thZLMJhoB0aiHkOR0Y8jJoBxCR0irSzlcO - SMAKpJk1gZIkdtZVK6XNTAHOZ2KCtcjqNRWqol+CzQDA8ys9PVGhlCf1IbOIW41azZosVOxDwzEc - PM8rRIVSqZi2BDYF0mLJC0JqxigEUHm5t2QQm7txpEZW12tpprVHqRVYZo66Kz1RFOBhu2sCS1tx - TCTtkS8CwFpL7Fj5DCLFOcPkHKw1rBnKgwWS1IF7B4YKpTLxLrkpDmmSZam1RsQRMStlnRMnAKxx - oIycYTjaDZdq08NJAgDFcpqZVpztThMHcW3/51+eSvk3ARycKQW6qxiygxZoD0q0ooCdgU2X1zau - XruRZFYBTB5AKHdN7JsKo0Lb+TooQPnb9YYjYq1gBXFs48QDaWbi3GrFwFmEHmBMbNeX1ps7Dc3Q - YRRnHkDlnorn6yS2VU9B6V1yEA/VNjCgdingnGAVIqBYHJyc3j9zcHR0fuFORhCxsdtcnb984R23 - dvHdD+4srTmAtc9Rsaenb/bw4eGhvr2Xzhu23XEPL12Su4ejrYN01lk2sNBOKfJ8zW7X6VwpkIYQ - tFfu7jk0+8jZq3Vz4zYHocsUjAF5nlaB52mdKqW01n6QqhZiBwsEYTA0ODS9b6q3r9eJOGtgEmRx - IVCaYE1CEEvakXLswY/83HSVNbFOjWu0EDtkAmIH2FZjZ3N9vaunN9DKp9xvI9MmgceFQtTVVQGJ - hYXvwfOhtHEiOY0rgBNSqlSuKKXaUukszZKW2NRlqXvYARiUV5/UGq1mK83yZ4tAUAh9pajVSkIR - XSz2Dwz6QdtCh5k93xO/1FPtnpiYGO8vRyozWau+s1mIAkSVmHynwgKMl8XapJte704QNSPkTrLa - 8xAExrpWnMYWdrefCEIin+66NpxYJ2aX8Afajhg6N/nROoyiQqWrXIlopyUJ0iTdSVFzdswAACAA - SURBVGq0vbNar+/09FaIoQDidkCoENI0S9O4FERgQIzAAylWEGucOEB5Pkql4uDA0ODISKUUMTmx - GVvjkcAaS2pCB5ZUPtuZWTEzUWagGIracaD/0BX0H7gidtBBBx100EEHHXTQQQcd/Irhs6du6dNf - u/dSe5cl4gTa83LNERzgLJK4sbbayIlXBxaEUGTamqY9GSMxBDGSLRPHBgWoSm2rkSXCAsWAwO1s - bK9cX9y0NVtANNDdXRobHfREO0kUC8iKWFYAWEQzMRPAKjffAxwUAIEjWGzX0jv3VmqNxDg4a8E+ - tGZfF4pRsaiVzvkoC0iWxDtbW3cW1+otT1RXT99oX193pQvG5YaDTMT/8K7zs2hpQs6N0ada2yG3 - bFBas9IAiMGABcEagpSKhUopqJY9YufIt0Q5XZsnkLcbxGbIyQFigHzljwwNVysVFgdnYGPE21tr - i2trK1v1NPTZJ+VAoQK4nUu3XWtcXbi9UYsTh8QBWms/7K52V6tdpdLum4YGvGK1f2hwqFqK1lW8 - aRNng7XVlQuXs/FqcOvuWgKk5PX1DEzO7K/2VMtFggunxwZu9Xctra55yta2Nz85/0mZmq2VxRgw - wiAFzx8ZHpoYHfZVrrNksNZ+FBYrpUoUbLVSC81ksyxu1FuNula62q20gI2vTKx9jsT6WRCEoWKd - Z3IpYr3rHWkdFBHtVa8/6JW21PTTnCAIzMQilpTnFZQnEcSBtE8apEGeoXbldU6IaMfgDEJgDThm - pZQmcc7CZjFcgCyJ6zutZj3JrNba0wQlMA5Zurq28Yv3P7x9+y5JnqbHECEI5z4G7Wp3csYZk1mT - aR1aC0i6s7W2tHTr5q37U+O9xQI5iRkGCoB2qxt37i4vLq3X6sa5EKRAytOl3t6BUiGiT7VAm2qR - vZCrXNxn3dbWZpKmrHSl0hVEBaVULlFnVm3nalZQHnu+9gOwcgKTe1EzQ3EQBIUw1KzASikFAtFu - /J8zLku2N9Ya9R1BxThoJ4oZSsnaxp3r88ur62kG7SFF+/2QuAdv+5f5nzwUipfv3b566fzB8fGK - F3HwICAL1q2ubVy7vlBvxiafWdrjYrHa2xsVSsxwIKW0sDaCDM6Syyvx6xubl859cnRkcF/foUKQ - 2wAzrAFx2swWrt7YWN2Ag7UWLAi8an9PuVLSzJo5N4bIOdP2kpUHiQk/VFC+u/Iqjah46PCR2dkb - t+99Qg5wKdLWtblLev3G3bn51lZqASvc1dUzObVvfGKyXIZFO4eKaY/Wk921e+/4aZeLd3bx7lKS - oau3GpYDrRSDiCACMoCzgEApsBaozDhjnTiR3HhCq0JXuVQseIoVUbXaPblvam77km3mDCysyba3 - NgEpRKSYnPjkfLaRR6JYiEoQ68AGOXvbNlxnpYMg6uru6a7i/gaIoBRSk6yvrdy9c2t4vCssaQVW - pBRpQGFn4+rVK2++9ebaxpoVm/tKw8E6EpAVcJKChLTSvp8XTxAAZyWNa1sbaauOPZ8ga0FAamrr - m2fPnb91564TcBg69gDXXhOYwBqiWKlKV3elu7SW1A3IWivGNBr1NE26ukY1ZzBB4HEQBQjKGfwU - CMRpF8IkXSoinYeD7XYHaxAL7Z0hyUPC218i3kG5vpasYHd+ChM0iZd/OqX8qFAqlcuFYlhrtQAB - pWla21i/v7R0u3/gkUi1XVj2NNfESshbWV4rai5WK6Sj7u6esYnRu0v3Gw1LEJDJsmRne2dkbKpc - 8jSBJFCAx86mrQxK+WFuG+8MVC6JtiACU9uAyOVX+X8AHfa2gw466KCDDjrooIMOOvgVx2dC3e7y - tP+EsDR3whWBc06kq6u7p7e3Wq2GllJ4GfmOWVHElJJLfeEAmq2DyZxJW600k/YWLiegEIY66Iqi - nu5KFPqUswytZm3x+o25T94+d215pUHloZnDBw9GhacrpSJgfc8xG0CMSQUgCXy/pBnkgwGxcNay - dm1rwlZ6d2nj3Pmrq+vbaWaRteCHXiHq6e0d6O/t7gIJxAJkIdnq/aW5y5ffff/cZp102D85PXv8 - 0ccOz46RQFiIibUGqU/voP/TGvvTLf8p+on2CpsdAFaauU3WtfkYa7IsTpOWSQnWQTHnCkMLYiiC - 1lDInT2NEpsHOoE8HRRGh8Z6Kl1KoGCsieG2dpbj2zevz19bmJwcq4ShtdYPfJWzt7FZWl5//+ML - K1uNDMhfJCwUp/ZNDg32lYoPed3C94s9Pb39g71d60ubjYaLXeK21uezzbI2rbVV6wdivXLv4P6D - hyvlcqDAHh3YN3ZjpO/spTWf0axvXblSC6VJ8XYGgDS8AKXSyPDgyECvptyYlAGNIArL1YHRiXtb - V5vbojzVcFlta+P6tavTE6N91WEiWGuzVrOkQva9oue5XFloXKOVZbqkiirXZFrroJTyfAfV1inv - dgmLU+LoIXtG1w4JYhFLLvdaznXoDpoAEpE84k92H7TOST5xWEEUsReEodZKgGZ9E9pH3Nhavb++ - vlJrNQarEVggKYS3N3fOXZr7y7/57qX52wRo7RvSIPie8pgJEONIBKAszeJmqxWnKgIrdkmW3b99 - +fK5Dz/8RRScqIz1MJJACUhBaOHOvfOXrize39iOjUHAQcGxF0VdA32DpUKBH1bd7rYFfWpJEGuy - xXt3l5dXkszM7N8/NDRcrnSxUkyktHbOOgcmBS+w4PWtnWacOgeIBQFa6WKh2lWpdnX5WsOx0lr7 - nvaUIssCiDVJ896dhbXVGRyqGGOsiNIKRAt37vzwBz+6deduZuB5aBuWwjEs7UlI2323x006gEBu - /vKFN8rFRw8dqARHgh7tyIAMW2NayeL91SvXF7YbrQxwQvD8YrFY7e4ulYo+ILkHNTFrzziTOQu/ - AIutldU3Xv/bw2ND00N9E6O9rD0432QxG7O9Ub98/srq/TUIsrgFv4SCNzDa19PfHfm0eyjAInuU - nQMYwiRq71MI5VlqBEewdOjwI489dvdnZz6JYzAyJ+nitbnaQpKuLgYZDGAtevsHDx2eHRwYiAI4 - C1JQgMpfZHeUEpgeKrnPtagusxfOf7K93Tgwe2hgbKDSUwl8JcIicAbaZQQHrWGxXY9v3Lq3uV0H - GGkCilD0RkeHenuqmlmBhwaGjh0/fmPuqoFhgD3e2dm5dOnCzOEj/X19xSLldhS+0jbLrHXaUwBD - SJyzQpaZNAAwax2VBobHxiYmNlu3bQbHYtPm/cXb83OXZo9MlUs9DGgo0gq2cfv27TNnfvYXf/E3 - a004eDAZ2MLCETti41yWJIWAWPlKe8QMQCskJEhb6yuLta0Nm4ACiHMwBuTSja3LV6698ebbV6/f - FIYfFmLxIc5lKcEFvg/xkFKa2b6BwcGh4Xtbt1uJuDRBJrduLtxcWJidHikHBO0Fla5dATOcwBqr - 4ZBmMRlT0MTQyNcKR0SkPejgAVErwuL2ROi/BCGxJO7B1YQYiknnYnmlOSoUK12Vclfx/nqLAKUt - TOv+/TvzVy7um5ooeZXc7Tw3SrACm6bN7frl83N95eKJk48iKPYPDh15ZHarsbPeqBGLtcnW5vql - Cxf7+kf7ukeVB0VgAuCUljxfE4BYxHGilPI9rfVD5iAC54SJ/pH/YnTY2w466KCDDjrooIMOOujg - VxmfkeqWdouMd3dWf191CCFYAahU6X7qc08Xqv2Pnnqa/ILlIGNf+b4iC5fCJpRlyFJJk8311dsL - 1z/44P3bi1vGQQSFUtfg5IHTz7w4s/+w1tGTT57s7fG0RmOnNX/xkz/7g389f/HDhdXGlvVt1Ds5 - NrG8vPbc088eOTLle4YZaRrfuH41y9JyqX9keH8UBnsF0m0C2KZZo37l8tUzb3/w+htvr9ZSMMMR - TNbV3fXVr375wIFp3wcsmKyYdHtj9S///M//6q/+aqeeNlI/kZIfVb/y1a//+m/889nDU4USKQpE - UggRf+bC270qUpJfUt1qggWMMVackADGwjFIa1FaKxKXecykGeLgjDg4AWsvL5IXgMgqzXAKzrX/ - VFDsrfLI4PDIYO/iykbiDLTNbOPcxx/8/h/+2//mX/7uo4dmIl/BOZgMLlu6d++9Dz/+j9979dqt - 5cQRwjKM19s/8JWvfmVychSAtdAMMAM+l/uGh0ePHJiu31/aaCWxGNis1bAXry0o00x1BFHl3sF9 - Bw4ViwUFeEpmD0xdGx8izLFAsriWuqvXF5RNDBPIR6kyPbN/Ymy0v7sd3NWWbWu/3DPw6BNPLm7U - 72/cc2kmhK31lT/59/+WxQ70/Wa14hV8X1MRksEYUcoB1thWM5m/ttCUoGtwsm+w4gWQtk8kOVIP - DBMELMJwLJZzaoJIBGmWkueBmVlhLxtLHKDa3q8A0HZhzUeKUkyS20MTwEFUGhoaCQOfAAUxZJE0 - ancWrs5dvHZkpOfRWc9XMFLfbnz/R6/9zV9/597quhUoII0zaAOxSbORJYkCmFSeFRYEge97BKRx - y2mCF8HZa9cu/+t/87+l6W+GLzw1OzUEWGRZut36+S/e+/kv3hcOCU7gKVUOK90Dg+P9/YPF3JPy - 4VmfS8G5LbTPb+O49eEHH7xx5szluStPfe5zzzz73HPPPd/dXfXD0MESMXKT1CS7dPXav/k/f//C - xcsul4IDOvBHR0fGx0YHens1E5x4QTAxOVW9fuvW6qoiEFzS3Dn30fsnjk688MwBxYrhYLIr5y+8 - +sMf/+mf/cXa6k5skDioQFnWgGUYgqX2Gig5N7p7DOUAwGF7Y+Xi+bN/9sd/9Ovf+rWXXnpa+SQO - zWZ87crVdz86+8HZSzs1MLywUGoYW+2unjp1qru7SwBjjZ9lThBEgfIUKINNQRrN1tVLl3/w3e/p - rPUv/stfLxQVSDw/un55/u033r168drOZs1jNsQQA08OHT0wNjasALg8xEsBuyMaFtAAk6g96SeQ - e3MDDjBubHTq0MFD1W7EK1AOhg3qmzUXkxHNRKoAy2Pjk48+eqJcDPSuhDlfryHtXDa0Wb72I7kn - g7U2S9I33zzzzlvvBMXw9LNPnX72qaeeei7yuxWRFwASwjFcffXe0rsfnHvnvY/XN5JCpdKsKVgb - BPrJ048f2D+tiAjo6+s7fPjwa8UiY5t9tIybv3Z1sxmPTO7r7u6amh7Xqj0vCCAigEyabe40FpfX - as3Yi8r7DhwMIjATgqhvYGR0fGLu5m2bILUJsX/n1rWfv/Gz2SPTxeKxSrUsGSQ29Y2NH/3gB2+c - +dlODbYdXifwfURFLygIKSguFEtAzJ5f7e0LwlABXqRTq7O0tbV4Z/7y+fnLj548OulpBpHZ3vnx - qz/5zn/484uXrzZbUFo3mwk4gRaXJWItEyAEz/e7umePHjt37f7PP5pnv6SUZzN5842flTx7cGpo - emygUi5ADPJQMYYmEHPabK7dW7y+dbsR9JanxstVpInLKPOdWKHMUbbH9UKovQo9XAEjAEC5XYgI - 7T2ZCMzCeW8TgYJw3/6Zo8eP3lp8o5EAsCB76cLHYbF08rETQ90V9tujLLWyunL/jZ/87fe/89cF - rb/0hc+fePwUPN3bPzB7ZPby/Nzd1Vpq4Uzr+rWrf/gHfxCGXX3dXxofKVkDB6MCC3YMZ8WlGdd2 - du7cuW2NKRWL0zPTYdAe+ARo9Y/xth100EEHHXTQQQcddNBBB7/i+H9LdZtDBMSICqPjE0G5OnXg - CLzIsm/IBysmYbLsDEwsWZNtunj39tmPovlrc7S0xYAiKkaV8ZHJp596+vHHT7HS/f19xQJIkMTb - ayv3z509d/PKtaUmmtp3vLWz05iZuXRg/7FHHkFemZyl8bmzHy4szKeJN9A3XSmPFAqFarVcKAS+ - l6XpxvbWnaW7iwvzt859eP7e/VbGAGsQdKU8M7PvxRc/PzY2nL+WIrFZurG6Mn9l7pOzC8JoGUpt - BIquzOy/c/fuvn2TtkgkrEgT/WeKKaM94S390n0GzhhjnduNiXICcRaKtIZmUkRwAAukXfqa1wfn - tIwTy20mXsE6kAIzInXowIFnnzp95q13Vja2UtvIHC3eu/13b5wJSt1Xjhyc7O8pe2TTRn1z+drV - y+98+NHFKzfqiXEUQHRxaGz2kWNPP/3U0IDK2UtCXrKr4ZW7qz1HD84sXTp76XaiKd/X263tBsiB - A+ig1Ds4PrUvjAIFgN3U6OD4cF8AJA5iUzisb9UY1pEP0lG5+8DBQ0MD/aUwt73Nhx9BB+W+wZOn - P3f52s356/fqKYAsa9UuXzz/wx/+IEnSfWMjI91RTwiYpGVNPUnXtrbrtXptp3Hn3v1S3+jx088F - hSe6/HYUloBz1a3ba34RFqdgCd5uhxCTyilIAREYvBssJ+0S/FzdKLvqXWpTcdS+ixhhYXBwaHCg - v7uAZhOJS5E0kLoP3n+ny2ss3p7v76pImt64Pv/a3/3knY8+3qk1nIPivO5fQNAKCtJm4QRwLgzC - wPcBiBhIXvcvsl27ev7+D34Q1NcXTh07WI28ZCdduHrrb39y5uLc9TgFKAL5xngHp46eeuLpwYHB - KPiUR4rsqW4fDFSBOGvM9vbWrVu3zn58ttFoLN+/f+P69f6Bgb6+/mpvlRWlNot3ttbv3rn80Ycf - fXJhfWMbAigNqGpP9fOff+7wwYPFiCAWImEhOjR7+INLc+7qKgQkRpLGwvW5n772I3b1mcmhkExt - dfHse2+9//Of31jayd1ZrIWzDmRBlmD4AXX74C3valZFRGyrtnzv9ltn/s5zbnP9zuhYr3HNjeW7 - 5z9+9513P9jYggUYyoL9SteBA/uf/txT1e4QaBsHWBEr4uBAAjGAQ5raVvOTc+e0JMY2xieHiiU/ - rm9eOfvhuXfeWrqznDRAjsEOxbBvbOCRE0dGRgfbsVJCgBKo3Qa2gOSq23Ya3O4XIVdSBqq7b3R0 - 9MD+qTi9ub0BSIq0BZeJcMqBYx9Qg8OjBw8eikIoQPOuaFryA4Y2gZsfQuQjKb/LCoxz95cW5y6e - T2y6sb12886NuUtXe6uj3V3DfV3dWtKsubOxcefG7fNnz7+1cLtei2G1AwTFaGx85MnTT8xMT2mw - AvX19h6enZ3Zv39p8+O1HQdGs1m/eXPh1R//6P79pfGJydGRkZ5KyVdIm/Vms9Gs17a2Ntc2tlfX - t0j7UwcOj05MB5EGAFKjE/uOHjv+wflztaSWZbBitjZWLp0/98Pvfn/x7u1DE1Ohw87S8s359954 - /bXr1zatgyU4WOTqcUdWOHNiBfB8ZCn8YGBoeHBouLtK24mFM3AJ6vbDd96OPLX42CPj1TBIt2/M - ffLmGz/74ONz65tIBNZj5BOfoElInM0V96wQFQ8cODQ9sxAVzjQsxFmAV+8vvvvOO39UCQ5OjY6N - DvX1djMjhW45XtuuN9dX462NzeX7q8YvjB16TH1jf7WqFRMYxEJKeHckSy4qz3XlD6bh3g8WYkgs - tZ11IETCJEp2kwOhvemZmUcfe/Tt99+urWaJAIzt7ZWLFz7+yz//08uHZkf7B7u6KolrrW6vXrs+ - /+6ZX7zzxi+mxyYee+RRZIJA9/UPPH76ibc/eGv+9t0sRiao17bnr1z90Q9+tLm6OjUxNjDQ01Um - poaztVpTVtYbOw27s7O9tLjYVakcOHhgeHgoCsrtkfgZecV30EEHHXTQQQcddNBBBx38fxGfldft - ntiu/Y+HyhcfirjOXQw9BT/sK1f7xrV1lEFbosSAAU/DU1BiYJpKue7u4ubGclQIASgGyI+CYl9P - 38zk9NHZYZWLEwGXpsa0kqRZ26nFMRygtOeg4jS9v7JSq9WI4cQ55+Jm/NGHH7726g/nLq96Kujq - Gh0aGjxwcGZwaKBS1uvrd27dunT54uW4niZNGMDmhoFKT05OnHz8xFOfO9VVgrPQHgiwWbq5sR43 - m20BpRNAwEji1tbWVpIkmQlzw9nPUi/0EEe7W9/99yTOAojLrLMCB5XzEeIAa6CcVvA9JghcW+RJ - TDo3AYADxIoRa4lJEYMJaQYmMEN7jxw59o2v1e4tLjVa9XQ7Y5astrU4N/f78zd/ODZw6sjBsb5K - XN9amL90c+H6ylbccoDvQQUQPnT4yDPPPH382FDBBwk0I/dqEEfMXqFYmT186EJ/N8uGImfypPnc - FlMBUVDt7RsdGw+CQESguDAwMDg4WCqiFuefS1trbL7LB5fKlcOHD/f2dPuUc5e76UrKr/QOnjj1 - 1Efnzn/yySfJ4lZigCxxZufNH//4zTffeuTwwdmJwamBMtt0s9FcWt+4cu36/fsrtZ2GgI+dfsYv - 9+6b2Y/e3pzicjl1u2dTIWCIEqt29W4AwAh83Y4Pcnv9tTtXpO0xIC6Pu2v/SptFzB1CSBAUSv0D - 09PTE2O9K1fXYVOgBZaz775988r7b06OTg2PqMy+9947q9vrTeu0hq+UApRhC0BRKQp8xc4ImCAC - Y33f9z0PABNZ5OaknEd7vfmjVz95/63HZqfHB3obW633fv5+fdu2LFsUiSPWnoUce/T4y198ub+v - EKgHozDnoYmEHoxJ2buJwjAMAnGYm5u/emX+u9/7Xn9//9TUvtmjR9lXtUZ98dbNaxcu1Fa2goKX - OYCUUp4XFvbtm/q1b3/r6NFZDxBjIYJS+cix42Pvf6TpQiYgGJh4e/HOD76z8Ppr3/val78Qsrkx - d/7m1UutHRMCgYZlNFOIcZAU/p7qdu9tsuwa5xIcgfOss2ajceXK3P0793525tUnTh03rrl4Z2Hu - 4ietOkhDDDI4k2bTkxOPP/bokyf6CFCAzwSCOJsliTOm7fYrFhBovXx/6acrd956629PPvHo0HDv - retXl29e376/4xwywMDBuZ6B3uPHDp84cXR4KLKmBRKAIcpB7RbBuwe820MrwC51qxAUwdzb2/fY - iWOra4t3N1OyVlQGIpDO8mSqsDAwNDwxMRb40IAmkNtzXNmlggWUmzPsLeaUe5KDCeRM2ko/+uD8 - +2fPe8F3xkb3T04cPrBvmm26s748f+2T5bWbW7W4kSJ1QNoCeUPDg48+evTUqROT45FGpqFK1Z79 - Bw89/uSTd9e27390TQck8JrGvPb977322qvlcuXUE6emJkaLgdfY2V5ZuX9z4cb9paXN7bp1NDox - 9fJXvv7KN3+doZ0DOxqf2n/y9OnX3nh9u3XF1JDGJmvu3LvV+Is/+ZN333n7yL6Zbi9auDT30cev - +0CkEERoZoA4kMAYpFmaGWNce/13Aj8sDQ7PHDg4PjGxevGWsXl4IC6989aVS+ffPTh9YKQnktY7 - Z16v7WQq9551MBYU+MIahEAxQ7I0AzmA4AUj+6YPHZ4dn5i8sbjWqMUgD85euXL5yoUPJkb6D+6f - PnH8KJHUU7fVSueu3bx3fX5ndZmseL0jx5790tCBY+p4NfTgwUeqoDzoIPc+z0XuLJbF5h4In778 - sANbqN1LlgCOyFG+/OczmWlq39TJx5+YnJraaM3Xt8EMiFteuvd//C//8+jYxJGDs6Ojw7WkvnBv - 4eKFC8lmTXMogBO0kjTywkr/wKnTT06/uv/S/EK8vOksrIM16avf/+7f/fTHgwN9T546OTXZG7fW - Ws31+2u1S3O31rfjVrOZxa0jjzzyla985YXPP49qGYA4kf9HNSv00G0HHXTQQQcddNBBBx100MGv - Dv7TqduH9Xb/wL27YLCC5wNoZ47kCWWOoKAViOEcnGtn8BABJE5sZjPrjHF5bJCkqWnVW3Ci2xnw - UNb5HqJA+7421lgHATLrwBBiVsyKmMFQaWLq9bqnvMALGLAmrW1vZFlrc3NV+5o5Nabeijfr25kz - EJNnZ3NQLA2MTH7729/60tdeqVQQeFCAyeBzpohCP1CsxOb6N4CENDFDxCmtPR9aCLv61c8ADzF+ - eas+5M756T+hWHsesQZpVjkdk2fpZM4k4gxEgLZ0FCLOWcsiBAIzM5go5w0doNWeA2v/0OipJ05f - uHgxs+nlK5e2YzTzbiO7srL8UWvrMlLJ4rS5k8RZOyvHUlCpjE4d+trXv/GFL3w+8qEZWqAAJTml - zCJa++Hk5ORgX2/o3VAOefITiCECz+8aGxsbG6tWS1qjLV0Nw/6h4dkjw/X5pa0awCxtzRjB87qr - PQcPHKhWSvknJBGBECuAVFjuGx574cWXk1bzj//0z1trLUuOfCWO0GpdvTq/vXhjPoRN6i0rTSM7 - zVYSJwBIsWJWDMXQDI80XGoFjpSFkt1odxZR4rSYdgX7w9JTB+eERQgCJoDB7eApxbtULWBtnrgE - opwEEgiBPXjhc889t7OxduXOd5qJy2CgNWy2td24cq21uHBbZ3Zze7PlXKm3/Oyzz67eWbpx8SrD - WgBwMBlDfJ2nyQPO1eq1ZrMFwIkDCH4A7XEQhgU0t5a2N+tnz1285jMy1WhZ4wBo348Sg7AY7T96 - /NSTjx86NOH7+Qhqj8VdbxQ8RPzlDpochuHw8PDIyHBXV6HeaGYGJjWbG5txHC/cvuWHYepcY2vT - NhvCqLey1IGUz8wvvfTS17/5G0eOzHZXPAY8peAUwmBsavLY8eOPXZqbv31/eds1MgOXgVyaxD9/ - 8+csSVJfT5qmFNJw78DswUNrjfrP3vtIabZKQQwje4i6pXYCmOyZvwiArr6B7lJ5Y3k1S7J79+7V - GpuOkrRVbzQhDgR4nkfG11H09a9+5ZknTxmLQMEDFCxIckNPrYgY4hw0q0Kpt7t7e30xqW9QTJcv - Xrpxw4vr2+lOLc9jE4BJBWHw+Mnjr7zy1eGBKNTwRbdllJSrbnPvgIeo212GLi95FyIiBSGQV61W - Tz1xcu7yxxcX7sYpUhaQByeA4kJl9uixqanpQhH5CKT8CEEs2LU7Lv9Tu9LwXUWvYs/zgmD/zPSB - /fsuX50ziTgBxK6trezspFfn5pTLJI2brc04izMHIZAGh6Xe/skvf/nlV175q21gpgAAIABJREFU - 5329EUlulwqAgmLpq1//RsPQ7eX15Y2dNE53vVRN3GpeOP/J9auXxBiPyZi0Xqs1G63cT6NYKhWL - xbjVipuhYqeViqp9Bw7Nfu0bX8++H//8F7ecyyAprDKpvrNwe/P2UiTK1psaYKCvHwNT/ecX1uId - ccTwNLSnlNa+rxSMc1p7gA+bnX7yqdW1jZuLf2rqWdMRnIPSLkuuX51bXXCRJI1alhp0ldUXXnhx - caPx0aXrW7FDlkBnsKkiBIEPlyEziFMUSk+cPv17v/d7f/hnf/XuR5/UazFMCnK+729ubp0/f/7W - zRvMyKBT4a16K63twIrPCIOgVIgUbGpQ0Mhl/RYqdZzKpwwTPnWA9NA4d/AsBa59UCSAU+QUG9oz - N4boYunAocO/9dv/tfoP3/nxzz4wDi7NoJRfKMat+pW5i3NzF1omaWTNJEnJD4tR6dDs4ZmDB6JK - GQoQGxZK3/zWf+FU9Af/7o9T58Q5iAErZ9PN9ZW33z7z8YcirgbXahm1Xc/ijJ3J4FyxWOjpqWrV - vjxpTRA4l6fE/dOXxg5v20EHHXTQQQcddNBBBx38CuKzUt3m+Mc2TrtMDimIhRUQg/LsKM5ZAaIH - mi5C7gzrnFjnLAhMcEJ5Jg8TMxHlgd5kFVtFLvS5WIi6Kt3rwaokDg7wdaFU7Ovvi4qRdWCGIhUF - hSOHj9y/e2dzvbm2Wk+SuFFP6/UtK866xPMAgsmgAN9T5ag0Pj0zc2j28CMnXnjp5dnZmcBHbiqp - ON/uUqVUKheKoY9WlsudrIgNo6Cnp+r7mnbFmJ9xsSc9uJEHjre7D7UbkZT2hNiB7IMtbe6caiGW - iHYjyttsD8jl8khqs3AMyntnzxHRoVAaGx1/+aUXu6vFifGBDy9cnl9uxk3AiWs0lhtrvknZtbWL - rBEVSqMHjhw8cuL4ySeffe75fVN9mqEBRVB50hFZsHIg5YV9Q0P9/T3lItYaACyIAAVS0N7Q8MjA - wEBUACu0aWqle/sHHzl2/MpKk+rbTiyAfFChUOztH9g3va9SKmoByDkRhzypjEUpL1KHjz5CNmnF - ydnL127cXVla22nFFo7SRmO50aq5ljNIAUswgFKqWCoNj44dPTo7MzNVLkW0q0UUsIN25LWpWyGW - XHVr+OFWf3CYoYCcxM1l05zzhC7/fQLzg/5tl71DQRygod3h2dnG9vrN+1u/uHj3ylIzs1nOyW5v - xbXMFYQLxcK+A1OHTh77/PPPv/u3b96bvx1mJgPBZGJTck5RLiYnKBaBPGzZ4CQqlqf3jz52cvbi - xQ/uXL+8fn95J7Psstz6QVhb0MS+qUPHTjzz4ksnnzjRXUVumCwO9FCsEEHa1gkiyK2UCX7gHzx4 - 8PnnnrXWzs/P375zd3llLYnjOI7N+ib7nmMWY3yIBxiHkbGJkYnp8X0HXvziV596+qn+fs/3gL0E - Le2p3t6TTzyxUWv89Mwv3JV7zeW6uAwQl8rK4hIoDTwZHx96ZP/hxx95tFIsn7tyid7/CLlauu11 - az41ryTvnb0FC5NT+x49crS+tX3t0pWrNy4vr6xaZPmEYIavUOnqnRg7ePD4Ey994YUDM/0a0PmR - iBiQKCKCI3EsOUks3dXuZ555Omttr95buHzx3NbWttvIYA0byR2IoyjqHRw++sTnnv/Kl544ebxS - zHtnTw+p8uklhF1hLJHQL6luhYjAcAJ4Qaly6OD+wYHugn+3ZSFis3w4cRSWq8dOnByfnPQ8tM8Q - kGeutVOjdrPncmEmyy6jDTArT/vy1JOnA5uOnxu5eGP+1vLSTj2r1WvOpWKFnVGwjMwRoOCHmN53 - ePLAiYmpIy98/uWTJ2fKBbDAOcMMECMIpw8d/sKLcT2x5y5euXL91s27S0nq4Kw12erqMjkLaz3F - RHDWikihUOzu6Tt27PjRI0eiMFQEIgZrhMWBoeHnX3i+Hm8k9u3Lc4tbO2KtNUmaxlkrsz7QzcWx - wdFjx/rHR7UNuxbW33M7tbZHBOUHWLlnCbdnptIz+w88+/zzt++vvf3RhSu372eZQKykrXoziW1a - IHSX9f6Dk0eOHH3+pS++d25u7vbqVrwDa+EMO8OA2iXXoTQ8PTI+8fkvVLZi2zs0emHu2u17S43t - NRGJ4zhutba3doBcGa6EtRLnKdXX1zdx6NDJE4+ODQ2o3ROG/FM71vbBYu1YHMPkDhefvjKzkBYK - hHeHFRyzU9w+xshdn+F53QODzzz7/EYjET+6cv3W4vLmdj0Wa5r1nebOVpJmDg6KdaEwvW/qxNHj - L7/w4uEjs2AGCVjpsPDI8cdiy83UXb128+bNpbv3Vq2xzmatZqvV3CJpQYQJjuGIhaNCsdjf3/fI - 0aOHDh4Mg9A5iHM6v9w6x/8kd9vhbTvooIMOOuiggw466KCDX1V8FtQtEaTtRffw5oke/in3+FMM - K7AOvpdbWGpSFjDSlrCx2iNyNcSJ08xhFHWVykFmlRGvVAq6uwuBBzhY4wJPaVJA5nlhd1f3vpmp - +vbm9moto1Aq1aHhoZn9+6o9RWPg+fCDaHBg6IXPv8RCrSbOnru0sVFzQGasFQdwEHoglyapp7xy - qdI/OPSNb/3ai1/68hNPnhKG22U6CdCKYImU6qn2DgwMDgwUNmsxZ4olsKz6+nomJ8eDII8FB+v/ - TJvKB3rnX3YCzDWQnk+kmX3fhzA0OLORHxaKBU8pYWYwAAYciJiUgHI5NACA8w5hsDFOs4JiQOBS - FEuf++KXjp84euriY7//x38afzg/f68O0WQy31LRU0qsGBBQKFUKfaPfeuXbL37pa4+dflT7CIB2 - QpaARKxtWZAOAgcFP0LPQP/Q6MBQdW252TK+BTkhkPLLhYmxkcG+qqeg2sSaAvtd/SNHH3vizYu3 - bm4mqRVmBVAsfv9A/8T42MT4WDmnvUjI5WYWSgAHckK9QyNPV8uHDs2+/uY7P3jt73565hfGNSwH - YeB7KajV8j0oJsO+Y1UoFPr6+p9+9rnnX/ri5548Xe4qCGCtgSLSnvIjHZaDMBYGKCqEfqiVT049 - 1O3i2hJbIoJTcBBn2wbITqw46yhzwlp5/ED/COzZ3RKgoPxgZPTp554r9094//679Tc+vre2Sl4o - Srk4DhS6g+LI+OjXf/3XXvzmVyfGxnbub3x05l3lZ1lGsUKokPtkwAmI4Ad+6HuexwCBYQWJKZe6 - nzz19H//P/y3P/7xX595/Ufvv/V3SX3bJo6c8oNIOMqc+twzp7/1z37j+S8+pcNdw2Inzloo3WYQ - add4NR+KzjlrmRmed+jYI6MjIydOPPbaT3565s0z7777XpplzomwykRE6bBQhMmUsyF7p04/+dKX - vvaFl79c6RkMCux57SMGzqunmREVZ0+f7h8eS8Vrmne2mtdjS9ZZB0deEPhBtSv63PPPvPLFr33j - pa+c/ejs4uZmqVSIRVLWzvd9TSp/q+3ZtGuYsNdtxPsPzX79m9+uRIUf/s13N+obzbiWZHVjEiLr - eVQMqxNj+771jW//5m//btdghULkYVeMXP8rigHnYB07WE/B2q7+nq9+8xsjveXbVy/87//r6urK - ShK3HGWsnCbyPK9/aPjEycf/u//xf5o8ckKVOXfSMMYoBqBAWvmRHxbCCLGOrITaC0NP53baD53h - MOBgHJhRKI6Oj/X391bKaDokiYFlkA8dlLqqJx47OTY22j6RansiCOUd6XaJWso9mIUkt85mgJlY - +/Tciy+enD301NzFv/7xj372ztsXr9zIjBZ4nvIlS8laxVY40YFUqj1fePHlL379n+2bPjIw0N9V - ggJgYNNMBwRW8AJ44alnnzvy6OM/e/PtH776+vd//JOtnUZmjNI6yzISFWhtTeasVUoHvt83MDh7 - 5NgXX375meee7+2JtIYHwCmI0qXKo48/USjrvsGZP/jDv7p2faXRTKwjIh14UeBouGfw+LHBf/Wv - fqPaHb999vJPfnEepgbPwhpYS9LOIWRSqbGecxT65cGhU6efLHUPmN//dytbP2ulJksz55wfRNpy - yaPxsZFvvPKtr7/yytDo1E6qqm99sNG0ceoQ+gVfe4oAmMxoEKIi4FDwxicqv/M7v/XEU0//4NXX - X/3p61cvJ1mrTkoUw9dsTJY6cqx1EIVaaSeHZ2dPv/D5L371S8P7xyMFCBxEkWI/1FE5DOGgwSH5 - QTH0Qk28e9l6CMwq0kFXoQhjuYlQ4JcKXiEkrQyRbp8KECMqDe07+Bu/0X/42BN/9dffe+udDy7P - 3zDGOucYUih4ojz4QVd39dnnnvvt/+pfHDt8uFIqpEnL9xVYwYt6+kdefHHwyadf+O73f/jqq6+/ - /rdnGo3YZAmEtPIY5EzMZB37UP8Xe2/WbMmRnIl97hF5trvXrbq1ooDCjgYINLrZTc0Ml26OqB6u - TY1xhi3Tk6QHSZwX/TK96R9IpheZycZsTGYaM4lNkU00lgZQVXc/meF68PBYMvOce2tBD0kct6p7 - zsmM1cPDI+ILD4/ZZLFz5/bt3/z+93//xz/+ze9/b2trHrpuuVy62Sw57tjAsxva0IY2tKENbWhD - G9rQhr6F9BJ93fYdJiDDTwC5aIrpJ3ABnHAtIZAjkCuiiAAN4G7efPCD3/yd2XT/7z755PjsXMgd - 3Lrzzvsfvv7GfQDTRv3vAdS4ZvuNN9/+q3/3P37ydz//+VeXn1822Lt7/+jw/XfefOXurclEbycn - 5undowf/+e//4fvvf++rrx8/PTk+Ob14/PTp8cnZ+fmFc76ZTOez6d7e7v7uzu7+wdGd+4dHdxxH - 8zaYD1ICwITJbOvg8C/+4t9+8MGHn3z+1dfnckmznRt33n3/wzfefG02LzjwEsnsasVA22idWYaJ - R9Tdrdt3/vCP/uzmnYdfPTk9vew6me8fvPL6Gx89enRvMU/AL+fz4bG5WMAEJnAguEljLwjsAQG1 - W/sHH3700f908+jPv7j4+6/D45OL7sln4emnk+5s4tzWbLKzvTfZvz3dv3P08K2bd+7PJ0DCbQEm - AVrn4eCi4TBP0Mx+7w/+eP7ad//fL85/cUKn55eBeDJbbO3ffPXN9x69/Z6WIwCgBjTZvv3wh789 - 9Tdf+/lnj09OzkQCAa1b3H/jwwdvf7S7DUdA6MCBmYg4X+LEHtKhmR7eOvrxj370znu/8W9+9l9/ - 8fjs8eny/OwUp1/zxROHbrLYme8eTOaLra3t3b3dw5u3Do7u7u9tsQcDzntAbt+9/5M//rM3Xn/0 - 15989dW50Pzg4O5rb/3GDx7cv8UAE0IXugDnXQbXieAcRQsyBsMB5MAC4QI2TJ/5nQCM3b333//O - X/0Pd/6LP33yyZdfPj776mR5zF24Od+5u3d4dOf2zVfv7d27NZ/NfvKTf/XG7Vc+++LxFx1fLnZf - ff3Rb779CAHx6qQgF8vLy3bZJbNgcQhu2mzdOrz5hz/56Q8++vjTv/zZV5/9/fHXx8vz5f7ujd2D - mzt7N+8+fHR0/55v1NxPBY08++QwgYiRBIoJcEychHR7Z+eNN9/8i7293/3d3/3s88+fPn16fHz8 - 9Ozs7LINzm/N5zvz+fZ8vphv3b774PadB4dHd2kC8epJQLWIgBjsEAR+cvPO3b/8t3/5W7/zB3/7 - 6ePHpxdPjo9PTs8W27O9/fnNmzuv3L/z8OiV+e7+W+++/9Pp9NW333p8erJ0s+nerR/8s9+5fesG - xw5FAAdyQhyicSnBNy28ny7eevf9/+bmnR//wY8/+9UnXz/54uz8ZD5vdne3DnePjm7ce+XOo8OD - XdegUw0HOLSgDu1Fu7wkCQ2hESzbJahZQs7D8uDm4Zuv/PidN1774tNPv/riV19//bVv/GKx2Nnd - uXF4ePvO7VffenOyxeqDY8LwTYPQgRy2937yR3969N5Hv//Lz49Dw/5ge/vexx//1qsP7iSQLrpc - JgfXQDr4BvsHv/07v/33X3b/8//yvz09D5F7rlls77z19tt3jvaYIgofm0sVXtTSlCCzdDZCTP/A - +a0bNz766Ls37t/7yZ//9PMvn3z95PLpcXt+do5l64I0DR0e7R4cbrvp7Na912/efW2+vTubRFHx - Dp6nwGV0dQLA0db2zg9/67deee2NP/6z//Lx8cnx8cnxyenp6Wm3XDLRbDJZLBbb21tbi63tnb29 - /Ru3bt+5cXhLXZ9LLByBCM4/fPXVn/zk6PXXP/z0s7PHj4+fnpxfXLaTjh8e3b9/eHTniB89mn/x - +X8AyHsPB4QAip7LmSI/m8kkOpxhv9g7ePc783/3V3/1J3/+b45Pz7/44ovj45PF1O/OJze257dv - 3bz/4JU7d++5yeKf/4vfnu3e/MWvHp8GpsXuq6++8vHbrwDwjW5gdQCBHdgv3PTtt9/aPbjxez/6 - va9+9dnp069Pn359dnqyvDyHCDXT2db2Ynt3d2trdz4/3N/fvnVv//5rPAcBjlSDNDt7h//qT356 - 69GHnz5enmPRLHZv3H7w5rsfNGR+s9V3iYgLePT6O3/205/de/ThZ8ftSWh4un3vldfeeOvdg33f - eECCtEsiATXgZn//6MMPtg8OH/zRn3z6y89/9eTpk7OTp8vLMxHaO7xz884rk9ni3p1bjx7eX+zM - 2AmTty0dB3a+wZZb/OhH//K9dz/42c9+9uTp0+OnT46fPL68vJCuZaDxfra1c3j7zmS2tdhaHN64 - cXR0dHjj0HsHAZM6iifvogrdgLcb2tCGNrShDW1oQxva0Ia+bfRSfN1Wy6mRlZV6jySzmIQhAmao - yxmQbEU6EQd4CBaLmw8fLvb2jp6ePjm9PIHDbHv38Oiun8wVWdSb2yEATxcHNz/+4fffffeVT078 - l+1ctu7u70wPdpu5FwJEOghAfrLYu/vK3t2HjyDLy/bs9Ozi6fHZ8dnF+VlLaJrJYrGY7e7MF1vT - 6XQW3cOaF730Ty1V4Txm80fvfefhw1c//+rJ4wss3Xznxu2dvYPZDFB3BvwNLDUre2YDcCXDZQpU - g3i+tfvOu+/fvv/aV09Ozi7aTma7e3d29o72d9FI6RuQpPhnJ6c5XmPmjMkAoH5zA2azxfzoO0d3 - 3uzmX19Onp5cdk8/7Z585tvTaeO35/OtrV23dZMWN1oPochDl2wS0YE6Ne01lnrQZPbGO7/74L33 - TuWLczq7WAaiyXQ+2dqb7x42W8x60B0QeKIpdm8+mO4vbj/64Kw9OzsjCQ7Suq3dO6/7vWYKOD0a - LwIioliJoHxT/xvT2a3727fuP/ygxZPz7vFpe3JyjPOnfnnqKfj59mxrdzJfzOaz6XQK5kBa9NQI - NN/de+Pd9+/cvv3e8fLJeXDz/enu4daNIz8zqabokThvbqjUU9VnyC6BqiF4fcuABwVIB2JMpzzf - e//gwZvvNV+ennx1+vh4ecKCm/PdW1t7W3u7XYNzCCBvvf32a7fuffnV08dwF7Ot/YMbR3OvWxgg - AqTt2rZLtWEIkzjGZOLx8MGt1+7d6t7/4PGXn548OV6eX+zt7u3u39g52IdDB1zG698i3lehKlQU - HhRvRUtPmsnW3mRr7+C119/o2vbk5OT4+PjJyel5F8T5+Wy+s7XYms3ns4X3jR6mboFlLqVpHK0F - O2xNHryz9+B1enohj0/Oj0/Pzi+Xs63Z9s50e286m/gZZmjdzaO7053tVx699vT8bMlNs7O/d+PW - 1hQSQEEgAW0IQsTOe/ASQgRyHTXw072D3Yf3dt9879FXj798evLVxeXZbNZsbc33Fgc7sx0vaNUd - cYRuO4dLoAWCY5p676B4cEBDoeHgeb69ePjg1juPXj19/OTpl48fP3kq3jeL+c7uztb2fD5thHmJ - 6M3BA6w2tcSYLh6+98HOq2++dXp+HLx3+/PF0f7ubHuRtKg642DHDo6wDCDCdLpYLObzmejpB90D - OLh5/5VXb9+5s70FR+AAR2BJWjne+GdK2/ywRI+3bHA/MJlMZ4dv3r71BsnZpTw5Xj49vjw7uaAu - NOCmof0bOzv7c2Ef3KwzOSGTHMBBkuwLiDHhW3fv3rpz/70PcHZxeXJ6dnx8cnZ21i6XECwW863F - YnuxNZvPm2ZKrth2Mimz3sbTxe7D127fvfvWyQkdn5yfnF5cXLRNx/du3N7bnmOrA345eTIljn5L - zDWzOE5HGYic+pvuQISp25ptfW/v5nsfhJOzi8ePvz49PZs1bmc+3V1MF9tb8FMQI9Drr7+xf3T/ - 65OLM+HQTPf3925uEQFwPlq+IzoOYnI3Dnb2DnbeePO19rK9ODs5efr47PTk4vyUCK6Zzba2Z4ut - 7dl8ez4nouDoAriw7qAA+mJ37533v3v70W98edJdYOamW7Ot/fn21sQVDtGVSeQODu9uzbaPHrzz - 5dnyVNhN57v7B1u7e1OvruY70WsGxQGEyXzvcH/v8N7b7yxPzs+eHj++OD9eXp4Gwdbunf2b99mj - cWhYnbp35NVTiplxExzjwb0H9+/dD+Hy9Oz45Pjp06dPl5eX0sFz07jpZDHfu3HDTybee6esj0XV - faCeV6ANbWhDG9rQhja0oQ1taEMb+nbRS7S6rX4MjjbSIFiF8wBIzm1J2Oyd3MTv3FosbtFt4ZYc - AlwLApoKHVJyHovtue/u7m0d+IPlxE3VrSo6gXSdkBCr/1bN1XUT30xm0939/U5cCJ7gCWBOdqi5 - Lgr5DZaODAgmc+end7YPDoO/4ImfzWAOBx3BebCMsONlEJkZ3IDTirmQwDdTv3d7a//wCG2gThp2 - E10GF7AtCsQWBgjES7agR/ZROEyMZ3Cd3qU1cc3e3O/MvT94xV3uMi5BDt4DDrTQKIoOSkoXbcRg - DRJWczvAY7qD+fzWzcUtnhugHJG7JaBGtC2jI/bUYLLtJnxrx+2DEULDAEnA7ERwRvCiniWlqEeE - oAKwDPCtRMDbiZv4g+l8Zw9d2PdBHJYKu3Yd2DeUgJ0KvhcAcA0WO1uT6dZRA56KnwdmLa2ymJ3L - B5YreS3aq/osugolxIyj6TqpM1WGd1M/ubs7OZCDC7QIYYGmQcQ8GESg6WJBk/n+4a0z5nMPx4ii - KbEGrUiICFyGbiXQ5QUgmHpsLaaL6UM5aqXtJt5z4yQaZKJBcAg+WmeX5S8rOdJNMznnnNttmu3t - 7f1lK87DN977bJAs0UMCmf0jJzlUN8ESbbhBDrPJzsxP51tHzvkJaSsHLPXWN0fAzO/MD3YOD0DS - El3qJYdAWMJ1S3QtLpdtIHbNdAa31C0CCuSEvBDYYe4xm9+4gxtaKUFwcE4FVCKWyXpBGTogwPvJ - dDabLXKHc85NJn46deqxlWSxu7vY3r0dsOzCJdFkRs5w0oRTR/kRgjBcg72DgxuTXfbnaCCsAKiL - iiB0IiEIOdUNDNGS0v/3t5/8x//4/5ydolOAEnL7wYPvvP+d3Z2tiYMDPKXBQKKb6bwzls6qC7L8 - Q0DUdVh2cIBzxH429c3U7x240GLCaAggSAgBy8BOas2TwTg1kqX6YANx49n7yfbW1s3Dw+WyFQlM - 7L13zEmJpV4ZBS7vdiQ15ZvpfH8y3d/f6wQC+A64QFRq7J1vnPNBvU077SGdZ1FnGrE/seHLah3s - 3ZQ9sdvb3XHOkQSSEPfzVFk4t7PbLPYWt4HTFmcdZlMs0q5DiUMWn57RzPxitre/tyOhC10LCMix - 92DnIuBLsLrlVmBPi53JZHK074+a7cAuRBFMKtdyISZqMPETN7u7c+sW85KdEOstoIQOCARh79Hp - jgRDXesQJtNmMm329raJ1Ek0X4TJ5RJM8QIxQSfa7UhIEHMWdB3YgRnseWdntlg0h4d7BHI0ZTfX - MBfLJTlyTFzBzFEYN6Dthja0oQ1taEMb2tCGNrShbzO9FOiWBivoAY5Do0YztSUiiMmxYxZXhIng - DelRfTgGS7EaFUEQcEQ4HJrFtNkSdnoOnQFCxyBmTdYQOGGEAO4EeikaE/lcCUGQEEJwzryD1gtg - QzLsGTE8N+SFfTDL0BI2fLnXlBUWjLZ+T+DYYN0LIpBjJs/M8JFjAUHxrJwgK6RX1SuZqVK8eyZm - qgbUZousfG4YmDR28Jkiq636zkWrW7NbDYZvCyIe58EzEMATwKntmxq+eXPKK0C85QsI8Byrzgwi - R5AOEoiCJ54AXvE+MYRHpBRKcg2xQDpALy/vgM6RYwIxxX5B5AwiEj1ETWqvWAougzwcAQ2ooXit - GIIAHVoCcYhwxAoAM7XbOLxfobcOcNEUTm0hBY7QwJPCYkG7gwgFQCSolR9PFHcCIAgdWOOyc83U - NxMm2Gty5Dz7xsE7MKELcAxHnp13hAAECYGCIBACoaOI+LmxklM+BV/WRe8uQ7wMCsTsm5nzgZww - q5Fd0KK24IAOCB7BHK7ELYV4hZ1kIQcATBonRCQIkeOi3nF7O0faRlo451X2pqCFczMBt0HxTUAQ - yLNvQJAQpVY4gLJVYUqQFAkkRAXQdli2J+eXj5+ehkAN4Nh3QS6X7fnlRRc6ohCjBkHbsW+8hyLj - rG42xOs9jmpwC/KAoAtwDuRBDUPhX/tHIAlE5Ngls0U0HpeMxyc///knf/M3v1h2ivwxgIevvfrd - 7364s8We4LQJQ4j20aCkUq2rlns96S/BTwxjZnVhDRAxXGNbVgAROzR67r0PtkraSwCgAiMiEvUW - q608HDM1PnaDeIIeQfVYMcJEQ1nVFmTqX4AgaioMNkmZ2F4cAeSYPQlHXFoE3TJcXkjX5moi4bZx - B4qZJg0TEcVdBPVJbd7CSY/6wwFTD3WxEptEhIIg2CZhHG8QAkSv54wjkvp0FoDtFEX+r7JHGk+3 - OsnDAezj3qSU8m6amozPIWKyjiFEAdDuLOiChE5vqiT1b+6sdYCAQOhCx9wxB2Vc4+PJElXG6SI7 - 7YZaXJfdfgeCeEfCDiCyWwEBNL4RFh6MlMlbxwa93dCGNrShDW1oQxubsoQCAAAgAElEQVTa0IY2 - 9K2lb8TqtoapVlmcDnFe6AlaSus3ESCA4r05IpD62hUFhEUiZAVi8JS5SYH0vDXDO2YEw21DWtRH - 5EBSgRXlIyicEC3pSC/9SUUVM1VUQzeFlB3YMTgU2ATF+7gKE6kXplTUtJrPcEjmS7SsNIxbIZV4 - MVlkA8UleXFPEyUwSoq/+iVk+14y4CuDvgC0pYyzaS1vsexEOdBGM8V+hRxoYtALZ4APwuQ95bvZ - zWcCkRlfW1UCQge0zk28OdXNZoO5TFprBjUAI7SQDtIBLYGIkn1nVcAgohzVStjhapCoNwOG3mRH - QiCnxSeYqAxoDKldKSAZvXVmeKtYSNxQ0EbRGiZEHxIiV5gcUaO2zyGZTVLEVNiF3Izq+cBMGgVd - B+fBbMaIEoCOKDBaQaftSNED7aqi118TfCUFe4jVjDJESTFUTcyQ0VB3ElCE4DnAgcEiCAm6Vc8Y - EdYGqV5AAAXKSCJEyAlDPbqqPwcGOUgT4NqAtlOYj0EUiMVcbSRSJRHSXgbABInuUAOoNYty6uC6 - yNiOwAq9CgzGbFs7VE7atiGmF0Q6ii5plSkcfZWQgBzg0vYVJdfUIkBg6H2DkAAiApGcX/ztz3/x - 13/z959+dtlFuJgxnd5/8ODNN9+Yz7IHavPQkPYmzNRehV2s9vluN5jzawFRAIWoaswSOyO1bOw2 - GDFmoFifQaokuk0CkAhBRMFoAtQU2xqwLlFKLWsdENia1UFc7I/pnwqsqPcUz9yo5WhwDiKQlsOS - Q8c5+cLHrxoPE7EjBJEg0cVEdPFsBTPpTdsaWvdOhAWs7j6S3CbPFBG3VhFRVyM6UHXmeyUm5ZKk - sAglReTEGodt2yx1Oy2aBCLbAZMAsDAFg25bivizODDYV20WG1SCbmNFx8hx5EgwfNzXJHuWeich - bWuaUw7dDoIo9yuD21heddywsbvd0IY2tKENbWhDG9rQhjb0baaXBd0OaYgmrg+sC1QzqcpnddUd - KgehIBCwXnGFtFBnQEIXOgodE4EbIQ6d2Saiperyp7gQlRDIOYIXcIB0EiS0CF6N1xyDmclHu1Ey - 9Laukq3rpYsmVNEdabSnUltEorzkfxnLzwhZZNd/q2w5KZqPKt+CCCDEgcAOgMt4YOJKwZ68Ti9f - SUYBKPEXAkgXkdPs0tQQWC2o2YEZzt2DXNIDn3MSMVs/gAIRmOJpfUNA4kFaBqBGdQHUSYcWPHHx - PqsiC8OfUzt2cC4CoC2CgLsIiZBTBIJUOAQBQdT0O5uSCuIXAjl0kE7aduk82DVM1DDM+m7Q6iWI - WbfsoDXygwgTUwMnxl61DZcQuc8dExOcmsERQW35HACwcOiEiMgB5BVo7gK3Aa0oYqioTBvCUpJD - VDsgrjwWBI5YMQcEgVDeDykLv1bWCWCH0AURdF2yW5QQRADmQCQUUSESMAPO3JUIKIju5QQ4gNkJ - QjBnqQFQg1sGQ1wn8dqpaMZrngeCEIiF0EEBL0QA8bLF+WV7uYRAs2cR6boOAkdw6n5ANYbJOiGq - hu4yCIEnAaEDE9wE04Vf7M22DwL9YollCAImR242mXjnBOH88mzmp3BTeK8onURXsh0QQePoe1YI - cGBo9wViwyke7XRPhgJALNSKtEIswTtAul99/eT//Pf/11///JePT6MrBzC53Z27d2/fv3c48Ybb - Sgd0IJeaXKJPCbYGlbINYwOrzWmUwOjhJEtz0nsUN1toRDJsl80OxnOOK6I7EKTwnSG9MVlSXY0B - sCcARAHKRu/a4oAQm0/A6exFBxCx937C5ByR+EZaAVqPziEUm1UG3UoCIwlAF0LognOOOfFBm1DU - cYWkbUjWHSD1JcBW2QzrsmMQJFAXQggCErMvDgq4BmGm6J5EpRba/QSdGpYLi4LeQhawOvARREII - IaBhBiEEtNIGCtyQWsCqy6BYA5iZdGoz82khQl0gZqICbjV1B3OYHB2wU9qgiZsdOTk9/yEBIPix - 3R8iglZmQxva0IY2tKENbWhDG9rQhr7F9HKg2xqqGUcTh0+rRR9EAoVOyOu6WH2h2mJQosWoeSdN - KQhRIC8IQsFBCOJF2HsA0eyKzONejuZA5EBB4OOxbWK4eFjTLHa5ONCfb3pJh72zCz5mEMDRyIlz - 2ZJdXAl1vBAZMnoFv6VrQSAXMQICXDy9Wtg/FZQeDkwLVxFlQz11txkN3bwdsE2n+w0BirCGGSxn - azA71KwQL02MwUg+EhSkcWrnKGZoWuCyTKw3woHIwad2HEC32fDW3JFyQ+rbWPG9JUQUug1qmaam - yMSBFaXLJ4INQWIihnNEaPTYOBMMr5XVjV7zVhIKXkCfVAYWZVmg6H0y3t5DvrBVSyfwnYKYBHj1 - cxEchJmESAgCJmGIIzch9gkgdzPXTMg3cA5McAznos1g6IKaCkYUFwI4H10lDCtpokTpZ/2GQFz7 - WBA9ha4AHQXtcYYZJugmChpIwB0IEIawc9HwlhKbiEjS1krV8AIwE9q2a+HA1MQo7OAnzk/ZNQFg - B980rTjH5CAcgFZBz069bRMQPXxKNBJ2rL5RCdyAAkSwPP/6PDw+by+DOrAgBHKBOKhJpcBB1NGo - kAhzsnwGiSOzRyRRLdhx7HYJ2gacIHYzUTw5gNgx6x4XKIT28pPPvvhf//f/4xeffJW2TeZb2+98 - 8P6rDx9szaNfEZIO7TmoVXclASLRA0fsX2ZUHHG47H7UXCcLQ4qNCsrwZiEOBt1mLaTdPFloZpAu - yo+1eMw5mmumUJT+FJ1cDfyJbXRrrEA6fLQBjrVu3QWo6zpplyKBQgs5vwSBsWw4eApm6EuVxhJJ - EC6zY3LUx6QpCkJRJR2HmEDkCQEScvgQEpJLRI45n4RQp7EkKiEleyPgzeqlwwzAJXrbTQxJY4Vi - 3Zy8yQawQwMvFEAQO1USy8EkgexKx0LfMjx5IZbYR0siwKkg5uZNt9oBdvzELikFETsGUkdFEdFa - fPUQtKENbWhDG9rQhja0oQ1taEPfGnoJ0G0ys6rQxGydVAVLVK/6BKIr/eTaLhTH6lng9QC96KXc - ap4GAZaK5RIL4CTEE/QMqN9IBliv8EngmKGFASRwBmgyEK2m4sFqKSoWI4lhuWqRiQgK27I2ROM9 - jRMEInpUW7GJtZaI1yfJn4W9Y73mVdeOLA6G2BYvC9vZIhKNQW2lGa2FKpMyzwtIV/Q4ANF7QInb - ZrRGJIIW0TVlzyBaorEWGQJQgiZirjcrUKIAe7Q5oqvaAu6jWA4hJse1q11PjiIy1SEoPjVpmToB - iR12ttP1ovmrVZ8e5ScmtermAmoWgQQiBKJQnIvuNWKxA4FC5rRlxfxg5qdqnUZxMwOBIjDkrTrR - 6FQ6hE4ht8JUkIiYiFugFWmEwF7Ig705UxVBIO6AZfSTQOabOPZmJko7J+qN09n9WEMalXXptymM - l+aJmEokuICys9TGvkYtAHVoGoEzSTaBCAGszkcLthqAGE0fKRCsPhIhSPJT30xjA0qIeGho1Y2r - SCDuIK0QEyggAJ2EKADEFBE7coAHBSzptPMy2RI/A04FBHJeKFwupesA4YaFQegkiNDEDeS1Q0eA - F6YodIwQ4LhLmkw3GOQSsbQEjgi4BEjojs9OPvn083//H/7vz3910hnQurO3+/HH33314QPzlhAg - S3SX4A7s1b9KKNifXQVLllzTFWyqKN9oppbPEt2/5rAs0L0DxVHNRar+UrNuRUETRixZAxGSaBRJ - Js2X/KtEjSdgUjWg3hLi/VlBb65T97kKZ4qwBHbUOLhu2aLxXloOlywdKxJMSOccsppM4xtHCcq7 - UkgdN0DEWUc2wNIGjiSbVHnRTRd1SVQy1qMydhzLIvnuSI58INtQqrmTikWpF5N1RDAgord4xnBF - bCogeEkpskgc1GJNcgsntLVQwPmiSjInvRChZLhu+VlvL/J7GWPmhja0oQ1taEMb2tCGNrShDf3j - pm/OYUJavsaP9LcfxNbnxOSLRWbCaoF4c41er+3NnFIE6plUInrro5tLBiEwlhRtIJu4AC2hMkIX - EOCSlSz3UMZQFJf0+GgVX9JXSSVIMYKga9uWOkzEwXnzTPhS1qFiDhP0V/kGhn8RCCFf95MqjQKT - zkt06jVPXNgHRihACdjCm6tGLdIUc6qrFr/qqxTmzzOBbl3EyqMhGhepRH8IAej0/DyI2bFaGUb4 - MHmdTIfoJXRdCBH8h3i71yciJQraieJRwU4SJ0a46NWia9G1gLRueilNK2C9e63P/AAEibbWJKBO - slF2TFgC0InagjMzMbIdXcWy+ldmgP1Fid5CoUppREInXVCnlXaVGoBO2d0uue3IO0QYTKIfXPJA - aMFt2xKRd5NOWIidb6iFyDJcnnThou3Ozs7Pm8lMnZ8KLiFqCWr20Z0EgRA53xB7jEO3NWnnUcAm - 4a/xVcGG2OuCgEUoodECBC8kBkwCEv0dw4M8snCDBF3AsoOj4Goeq8bogNCKDxPvAkIsehfQtuiW - xL6ZzoRw2aIL5yDfXV6E9tIhkGOv6sTUwxIiXRfa4NAU/n5J7WkJzXmL1s/2bt2bbf/CuRN0BG4a - cRdPT9vLS0CccwB16JYheJ743OYChAC0EAIaYQ7RdL3rQiBSG3CTjxbhHG1AAMjrgXwAQbBcXn71 - +Ou//+Wnf/3zv3v8dBmitwS/f3Dww9/64aNXX5lGxdRBOnTLyG71kGvimEyfkRFVbVKrrtmwqplw - QBDpJIACqAMHgmuSgSZFJxsY9odOLTnVNFUi7hk3KrRrM1HcE0seVQXQ+8VERC2bmbgxEJURJHTU - hViXwG3L567jOc8xaSATSEvcONdMJ/OJn162AoJDG5ZnFNq4DUBc+O6u9mEkDg56Z1r0AsxETBI9 - aAuIvWPf09OKGafeAQi6zq55c9ZXAEGIXrStDaIyE0IL65VJfRAgIfpNYETHwaIHSzKnRZHa7Igg - GJxODHPjTQTyCSzvEEIIymUKYMV5vR1RSfB9b4wTCCMQJbcq6t4GXRdCQEC8Nc+RkEMeP9NAhprd - G9rQhja0oQ1taEMb2tCGNvStpG/CYQKAEZQywU8r3uvqVJ0bCISJZgryCOlNPOY7IfkuEArwUvg8 - jU4R0QWcCy5ZGmAarbvMWiiu/YWJva0649I7GomRgxRsSbBkAavEfxTtpUQi9Ksorq6DnaeGCCEd - +v31LUHZsV2GFK9+SshDMoSzg/+FQwmULRTseqcO2WAxgXTpMLp9kAAUQC1IPSioQaaBvblsAgpI - R8OjHwxNJwQEjmgukQFZyQRN3SISgdSA1CBAdepLPhpS9rHsEk0Qu24tYn8cvXkm8SCAPDt26kpW - zTeBCG6IRJ7UgsxmM5rgE8X6mR0pxqGGexnx7oHkxa8Sbewj5GIMEbAwKTos0ZgxQSPkvbMmjxiX - pcJgIYCbhrGENEe37/7ox/+yxU6gRaAm8OTw9u13v/P6zs60cYHQEZaEjhlMrqg0O5qkTYteBdZQ - 5EJ0eUGA7sZYB46Gh4Hgop9mTr4I4EBkl3sZK+LWgCSDT1hq3oOF0Um0RJVOKNpQK+LErdpeB+mo - I4KD83Cz733/BzRbfPTD/+xCECYHmN/4zsf/4uMP3t2aMlqBnIOX8AFO3QxICGjcNF2SB5G2a4Pr - xNEUzXT74NG7v/En/3r26rvf/+UXj1veCs3u7Vff/Z1//oM7t+eETo1qBcQTA2cRbV5Fb9zTQwOJ - wwR2Pjg7xx9534E65ZmqodACDszgSXPr6Nb3vv+D//a/++/DRSeThmYTv7V1cP/1jz7+6MaB7d6E - FtLCq/YM0YVJcYIgZiWUZBjF3kJUeQmRhGOCc+JJnW0XHVCE8zaR5N0jAeJFVYpeRpfMBuUnGE90 - Wyo/sO5NiHeniVAXuugRWNQlN1gdTzuA2IGd405aNl8UN27c+vjj7/9XP5N/9ttfnzsftrb2Htz/ - 4M3X33z9tSzWAyEn22ExJDuWjJFwbylEWnobZLYXYgc5stdeUxHqtKI0tUXMjgB1uRC7AFFA3KZw - ADM5ST1FzJe0Fih0oQtd553z6nRFUmvmDS2I7YrFtu1AHViYGGAW19lFdUQgUm+1vpRIowB0utdF - Vjp1scLqycjul4w+cPL+VmbSr3no3NCGNrShDW1oQxva0IY2tKF/gPSi0O0AhErwU0k9S5zapDDH - 6oBWAASG3ZeSwtgtNcgLO4oWWHo4VjHSgABcApeEC722Jd+MQrBL6ztRB4i5eLqK7ggt0EBcgUaI - ectUBDOjb2IF07+KKelKlREYFI++dl2ypXph6iOsmXkFvqM/KTrkzaHNei2IRbCzqlw3WkQcgA7U - Fggq1wBuCh5BmwDuzLsuomPHbG9roFuGbgF2iS9ScNVwgdQIES+VzHUIczKZJmKiVo0EI6SYXDtQ - zl5b03yHmk0n4vFyfWB2wAJI4SMjMjY7TY3eOwY4FhBS2smujfpNNg57joC6JdAFoLUNjij1tTNQ - va7LkXIhWCETK0IqLOBAfrK7/xsffnRw6zVyWx35Fjzf3trZm0y9EJZACyzV6wjI9QsIY19xX90q - yt3IQDaKDSJQhLmw8qTY16zzq/F8boHMmZCw3iQ0Si4dSjdANxWOEf1f5AQAYpAD3KPX39i9dfTe - xx+3xKHZd4vDncPbtxdoWuDyEmhBZkpJjhCt/usmpE5YIC3YTxY37rz68c7R3UfvHZ8uudkNbme+ - c/PeA3iHgBMtg4Cd9plgyZAo7N4VDRY7teMsXjHbFtxCHMjcc+fyyGJ78cZbb/3pT/91gwlNG5pN - /PbC7dxodmf59sawjFerqTsTrQj1WtusbyHpadpcKfx1qHcYZginGFZ4mNeB0mF00vLEoKINC3tO - VRwBEdfNWVPUaZLcS+tulbU6AbkLmkNaAUQoBOlYvbJs7zx6tPMH7uDxMZaTabuYTg73H9y8sceI - /Z9IdxbUENyyqnx+pNGqYr9tLkCCXt6l7FKUMm44WiNbQ5fdiJAqoC48hEza1cJXu02xm1jIS51a - LpwCs6Jer+F6LZ1UlbokIrSktvwEc+FLLLZlAujOAYmDFCdRIgVgmXtZdFukzrbzLqIUnqgrKu3x - 6+nChja0oQ1taEMb2tCGNrShDX2r6KU7TEirq/5iq4DvegBVAaNI6IJAPMG58mowIITWTG+F1cVl - stSRnLhezu7U0SG7sBSREjVV4yhmc+ZItlhNRz/Nx2LhnZaKyHarTkZK7YclpSe5wWZCFW+h4ZcC - 3Y4ucfsv2tAJhF00VKwhQhJQGHi8rZrH7qrJrhxzoEH+AoSgFm0E5+o3FXwa8RXFs7lkub51Cq8U - /i0VLolgHfsSbi1uM6vLosa0lIy4DdspLIXVu2L2h0ucjwyDErRIAsdROBQcYjgGgNDFe/SEEeJF - YZqWQhqhTQVw5Mwj8gi/DUuq2QTUIpa/tS0kBNcwOZXDZKSnd2kZRGJuIiShWoaNUvTfy4AHu73D - nd2D+wG8DLjo4Ccghy6ceQ4cDSZTSaRfmgy+CepNgj4JQhCBsB3cVsRUkA9tG2ikmHmgWL/MOQE6 - gTNQ15kAUW7byu0ogZzZcDMXPqyLRiAQOQcGOsayw9bu4d7B4YOHlyEsMRM/a4GwxHJ52ZBgMgEx - cCmdiAfDOe9lKaQYPoOIPHsgdJAAaQO5ZrpzY3uxe1PEiaMO6AjstIDC5HQnI6jMRayTAOZ4SxxT - 2UdS8QUi6qggVZwQAkIH6th5ABLC5cUFTWlrd++Dj7+HzgnJJTqZ+OAiMB8th/VCKu8gHboQj+3b - vgT1GCaV6+axRrfjC1rQTs14VTEkR7dJ81fJRzcIArNdTWImIhKiG9cI8VIVKStpUk2raQdz/1oI - cUDw8CDbCXEz7Mxee/c2ZNo6nALnHlN1gBGQi2nxuxC1mLPzC8UAYSXKfh4SIN2HVU09plSiF2aV - hWpTQAQSRKQLJOSIgmPo4QOOxxeyfXIQBLGrI8V+MBERg4nJMwfpOgleBU5InZoHRPScABfx9QBS - u/VCFuwIQReiQyJlURoq6u0DGAdhgHN/DBF0QcDkUNfZEouZSsqmEIsqk16qK189J63Pz4I8R14r - tebVGY5h2rkQppnXBH4xyhMDKWZT6Z36PyFB7Ie5NHmXQbvmYBjHIKWo5cfadchAKl/odGGga9Ls - pJdmSAWPN5Oai6rkhRvxooUUpXLGFRBsn2glrdmUKFg0qGgOIjZpHebS28GhwauxWdzzU1/mRzaL - qsdjL1emfP3wK2XgBcP3WZYFIP6ywU5F1KWGKaMO+p3UfKMwaM4VbCxTyKJLQPb2Ft8UYvxszf0M - nJR+pcoSxVHPet+QhdWvtapTRr7FRIaRwrC5LHDJ9tFOJnkDurdzPFr+QhtIed3mSp6vqeV1pP0F - 9fdQZnpZj6S8olijY9YLjn0vopSuM/I+hwpan8Jzp/MiVI251yvByi62Zhiq89IfOl6+xJnV1WPH - qK5Ym9AgjbFIUoRcMVKPStT6uo/oipdBzzerLKMnWtnB61lZIpaaoQQY8EJjHE4LuOL11SpYQ3Ax - CEo8YF1zMg0wCsJYGHftjvBPil42dCuwvlDxU1tAMvAWw0rUQNZUCu2Infi2BBiI15PV81Hpz04F - IMMAGWjY+Vpjkd3qFA9k29NAQMSzyIEAV3TtiL1FIDAKWp75x3Q1FMEThJNGIMDbgviFOqEgV56r - jGteQeDYGW9yjsZ2TjZPFo/66RDMAyzrpeFF6ozktxLWruQVVa9ZiszGnCwx2Fk0KtxcgBIP80qO - c0fV6OZuVVMvFDyRWX9ygjOLSmt1hNQm1VlNLEcPN4u3l5EDxIMIaCgWPhDUpHcS5+TExJ2NZJyP - A2tyDs6DWpR6xY4Gp3qVzVryVr+LVbgcCghgHyCBop1q1o+6U1GhfwQgUCxbAEdbRJdR+wkgYE/M - DqAA56LsB3Z2oFnvcvIAR2ClnL5JaqyQGnR0MCaAeTCeRYBKkXmxHgZAWPc/yKlv2SRB0esngYGJ - yVdmZu2NGoA3U05Kh7LjSweAoTbfBKjD1pmh9fAOBA/AA97DkQcU01TAP6KLAY48GdQfU8o5slNU - 13kHgbCZbQINwYcJkbTWsAFgZ71cGpC2BpOauieR1VpTufXhgQmIdfsERODAYJDMJhPWvtw4dTnR - wAcXW8truxKL2yJegjuAQQ7EDPHWi0zXmOcVqh2gWLl8YZdLKBz/qlsaSscVtN+mHk8pWuZiT0dS - 1BGcFL6Kcm7PrP4q+21EXDQ3O5gxJZBXhjLicEAN2APwhBnp1W2mrrI0xbI5zg96Q4A2nj0h3b9L - 62cu3NtW8WIF7crMYrJjnBewkFCTK0pp28IufDPms/IBJAA7sBr4ppISogsOLtUpxXMiYIkImcky - ArhDIMAhqjqiCNpaOkVprT2h0dEkm16Cy4iWFUUbJS3si6UzxflZZFHGvyl+dhXXy8Eg/9VXzz21 - y2ueMt3cCDlUGSRrwdXjfQn91dPlQQR7TTk760pSlK1Xf70JLhfJ4CXkHv0cazAZ/NR/XtvDbqAD - KJ1gUjSrU2WWMFHIUmXYLrpL8ikVCAWA1HabgYkUWZJehBrZYOWJBYyIcTCzbsQba/NOp7FFkm28 - caYDLoEG4lUlBYQOwcORUPSyRHq6K5LTG1mDbvFKi47BDo5s3yFk4EoShyRXMmtZLa2pw8xiqlo/ - 2BW+PiqqSsA7pFKmDo7ESX3l8nTEPntN26MsH5XUVNIng/dFmw6Tp17gWrxR7EZR+b4oB9U/JX+F - GR+Md/7iMsWURQ/ZiHJFklmWG0Mv4rSWCw4tZKlrh6SVSAToQCzF2tJIN0vN6zuTtpmOyLD5UCi7 - atVeaYWcAuvxCF/0mg5YAg561YdVe9jT69+jbTgWsOiJcX1P8XJT0UEgroH0jhJhPQllJ1ck6gqx - TZ1CT1X5C5B6TG42iSEJvWYea64iKXX+pt3O5ddZoWvfB9DYRpEALXTwEgfkKZwrtEagENDFPis6 - /XFSMWwNY7HiVaXRi/FkfU9dQ9WQkdNMwwSVGw8olVUqjUUJhTiU9RwZR1YPM6NqYTyRFZS68cAU - aawI9X7b6uxGVEav+fr9qG9DVNOzTjtWUC5D6hUjufSfpr7TE/ayZdOYmyoS80pQm0Av3I6dnlI8 - Wl2SsdKnryZIVQ+WFVGiztNCpplCjE5FREFEcigWv8eQQuJRXxqUNU+hYEvFZPnFLlLt18YAQeLy - 1JUcGqWV08KVD/tMXpugrPheBaxlurhbJj5jQbwQW4nTXC4qCRe1UWZRJwgCDnC6UMxTK0Rt3dnh - 4Lyu1IOeeoM6MFHna3Kp42lxUXO8rahT7Swdwjm4AXE3RHm/BfRNXlOWyGTPMLrc3KqD9FonHfCI - uLI4MrHgjAz0X9oXsaOXzgZvT9HNYY/MYjcWJBQd3gEcAatM2dyn3KLpCYquDSRaNdrbwrTUSvqC - ApaTH6omqFIhLu5n1xzj2j3NkKq9pmpYTq2j7hEc6unzSP3Z2YzNIBt9P9KZeqeiKXNJfxeVSaLS - RzNWzOQ5tWtyhBAHCYpuQC2ek9jCVkAGz0wtQBB8hPCRkmttbu0CIxD5qJQ8hHAJBGAWkRpFb+PU - XBUOCdAZNl3UsawtwRwTSyUlUp4tJo5+GhJSHbknuoyz6PGNM+y8A9RoXRw6a/2m5GPhdTi4LLLO - MuDS6ihr9/xLahlJI2LVaMO+WJw+Tw2e/BgQXJ5N6pfWeDyJ/E0jdTGGRMte56i2dU9ZGewVi0oA - MXhSllD3LjxUdhI+xgKfneuChKg3bTQowticfD9Y/1Kgk2SCID5ej0gBWBIcgQMQPEScI59qVVjP - pO2N+EAcMIUWLT7qdMBU89sYzeVYSSyiTnBMMgU6NVBW9ZH2mhgoLNxJTMTLmaJqvVzxxMWMSHSp - IEUHzi2Q2EempSQLMtU4Q3TpUDAVRd/JG8XB6ouIfwNgp7yK4gmtGswAACAASURBVDspRDcWxpfM - tsflrLdnUDeYM5V9gXP1VAEP9GHmWaXj0nzHEiSAJLp2iSWrdg+5KKTNu6mfpyR5dEE1tuoVhjh0 - miiVdrOko0ALJlUvVrkEo+c7GovGNC0Re0417PT5ZsiyxB/BNC1FPuThszgJo95EkggwUM7Dy1VA - qZeedfDNzV4Mi1WKRaMZ5kZV1JEsqyj2m+q3VP0Sy7bAyiSNTeizPbFB19hsPbeU9Z4mvg5nhlF0 - 2i0gF0BdaiqJLdeaqkHo1OF0BDElobFO7d5r81EFQVOhgoFQkxIyo6jSpNBOqZixISSqQgE6HxlR - +GthArhcshAAdMCFqkVtnw6hReu0SCZ0JfjnjBkglcsOus9hzZ9CJnEoGjm5ZMoNhLxmjg2nX+yb - stYskft79MEOVBiqW0lxC/gIq421/HCArsRiKAKFPbUMghR5lAzL6+XiUXE2IjOpyztMNdd6pA1V - TQ2zNojpFf0CubApL106UB2M4s2mQDqEEd/YljgAcVgCFxABZp2N0abJYANzyRY9skW2hoWuWqmA - bgufSAXilDkTdKQritsCTGLrHQrABTABnE5dJCdUKWHpNVO/GakXpQwayrghwKUq+2iESnrRpxA4 - bepoxKLz6kOqssn5R6d4Et3j5cGF0jxESs7ELio2gSjq3MXRLnWcQiECMOhWw+j0OAhai5WbBsm+ - OgCsuzutS7dKFAvYuu/3uFoG6XWtJINicXthnmMgk5Jb5qeubEnJXdJs6+pWNs7mjpOTHS3S6mGm - FLYhXat2UlRNklrpy7hYh5deXKrDjRcXZTXLVijrv3qEf65px1g5S3d/ebAoKY8r0nsULFrVxaRM - vEi9SFCS8b7Nq9VQKR+CUZuDkgOFjq0SsgeFPCeL/CJMKUxSsc4mM3lPs+ISYlpdLliuWRHSNGHh - tK2QHGvxOFWRvMSUKPoJLEqaNiYsUVdQnrJKqmpfAMZEYtgX+pK2hlYmKL1kK24DZfEC0GYfkdQA - eilxGROQDqKzfjubbspDqBN0Ab4DU75tuZKzLk5B0gMdCgXUtMCFwnYQyDLmWevSFB3SQs4hAE0U - un05p9r/8dA3BN3S4HvcJ157jkyHUJIsWuUeC3JzI0kgTGtndVVkYTZTpfTm6GkDuBzAqUy6V4v1 - Hcg0zmioVUPUs1LPwmJc340NR/0ZOA3eSP1vLO/6R1ZzWMWfQTpjcO5a5XTFZtN4QmIzC0Fa49Zl - H9sotIPiCIyWgGJziLK1uCBBCDZ1yKtHYx0DzKmFCrGtC9MbfvK8qmhkKk7kWUbCIxOGtGFqk0uT - ZjIjNn0cOI7EhTVzryK5O6QW65etDpS5fVXTrHpJiJbyocihHEyB3iFAMQb25JIKg/LS8qGaXmYx - tMz6TwqDJc1FJJskpmILKsCmzqTOqEzcuJkMImNNMyYqcbchDpycZ9BUJSqxpBSQZ1ADhUb9pyjm - RgZxumQCk0TctEQstTmqrnejLE/SIL1OnxVNyRgCakP0mGksZ1Jzq3p/HXOdkpCkm2Kg6LqhL5BW - zmISMJLsUJMU+djfyGWyeqwb9AozB7IC9KZV0gtg2Zb9t6rM+mJbu/fLlDOjAr2NPnHrQaJKkyzJ - rHXqIZdK7pd5FYlWgrtqAOrHh+TOM9LJnp2GclpooTEFPhZ3ZLztBVMhKRv3CvU4nk6Ny/X5O8aD - OssXptxHqW41UbEJOVgoag6Q2Aoh7+3EN72ix7SDGcHlf/2QVQckFEvBBI5mxZJwnUIEbeCRtPXV - f1VUMv8pA2XrWyv4UMRrSaFeOitoIB2DXkK9V8WXLBxVEw3lbSgeKwf1kR6XZwgjEXvmkoOMxuiZ - 5NTSVwdbA7UwXt0skqN9L2DUzZJkWCBk06OQ9hSzqMQRsT8FlYwoRuyyEL+qSiNKM/e2WANLOQxi - h+FDGe4ejtAVqm78TZ7TYFAViK39+t1b0rS5sCsfyUVgF0IjVT5lmyNKbwbSy66wZh68jgmFfqRk - Y12rnjq6YjomSxJXEuUEp2j0Ie9GylFwouy2iU/P1Dssxri6KbZVcub9CT963bkKuUKbPNOItipC - Tx9dEeKbprJrPHMNX14hygM1g46CXpvVCqkYcKUSilViKWnZeZ06PyNTRsre+173AunnUGhjqlpn - PDgi92K99EliSzGOjRb1yhoUv/6TSUdN9cDTK2D5lyoOI9mcSS+2mj9LsXBJf6OxQBKYkR1dyRq2 - HAHzDpJoMtGUsyy6pLmgRezS1SxFDt8W+oag2yEbc6/vr5fjp7M1Xuw6g1VxP04vaZQ9MEsGMFhK - FvHKqN9oP+utul9y0r0vdabPVrXRoN80dzAqHM+fEtLx42slWUihxE+KD4rhLoumzRxtyuMhSLtD - BEiW534W9l2ivVJ+N1JMK0eaaCb9VtmvDftHKe/DGlyXHRVFrtZp9qKsTtyUK1G/IxZjrCZLFU5Z - J8r9agzzvaok16CCe0kA4nCT/KUQUFsnRkmzjMMqhq/qX9d4tOYxisYpw1YFGFO8ve8jkCyAAr6/ - zsLvOUm3ca08SXetGZHH27r3dKXQr2LH6kdrQxQFLsebq47y9Gq4rn3j335qo2MtVnHn6oyu87qf - OwF2pVs8VyCVditngBg5s1mof8pWdljNupIPtGJD8BlrksL2GSo9vTeeWXTIs3ZveiSv9RKexYP6 - Xa8vAysEfrw0A018zXGhjpKq3GdLDRBl5pWPuDCovErqi4+imsMJlRpBZmHLajx9CAax6kA5kxSU - oouqvjZd1SAmv1c1A617mZ4XrKU0CtUOdPoT4OwHPr01jylu1aWAL0jDFFeox/RzXG/bt7HZciWA - VL+pRePq4imrZGRzN5LaG1VCPSw7A9G/jnCVAgHiIFJOCwupIklCNdJ91hR7/O3Ywdxaj9rD4anB - K/ve1QVIFZNkJJX3stkut5DibKPti/f8fq3JkSpdGHvlVbOREREbm7oWLJF4niQ/Ejs8dY0sLNhY - sfo+MKpAUswYpTxPY0uNlMoL9FzKzVWmWLKg+lZ0jTXKbvy90tXDzGrGXoOo6pQ2i1ihV9L0Mv0c - TxHrJ2RDEUpDeL9nrUzjWWhF3GdKsmxiGtPCo7qijFgsAMp46JnWrSzZeDOX7sxWB6P8WSvROlZ6 - 0RunV7MqdcOh9BYTyiJ7iVI0mj4VflpssKUygdFKDetxVai1lRlLsEiWYKBF5cTWpNeuER7TxpRU - 0hWluGpGV4mOHZXrTdSKDNcmM6a4vjX0zTtMGEy2RlgcXQpyqUFsl/I6LVIdWbbRrhx9V6G3veJ8 - o63/gokPotduw1cMiuPdYlWqw3GpDLViTPwHSHGwGXm8emSof1Vq3VwWUF4JAWbUQNFrAgAqZypm - 3DeiVPSQaTAnE4NCDIUyXoHQm/ytpLFExzXyICZZqUpO9YViMFii5lhNNU4z+rqeSqJ3GDxReSo8 - F9gejE7crkkjM4J+IUM6dm/V708g6vD9Z2Nzl+sWrPw21tOviLrmUd2uKyYA9rFKP7wsGjTflbnF - 1i9FcWWnGol4ZXmeiWhQhOtGu957iipmEOMqrTb+9Jlq15PD9NOqamht3N3oWV+naweQuqr0D7zH - JBll+tVcriqDpIDUL9NzVvEKovrLCC+fIzeqVshXZF3B4SMyMJCMvk6mEU37rMXt/aTS+tteC3qF - ocpu22KpPw2+qrdQVYWo5ZN1pfaI4qwGFUZkI7Mf40GN/IxwJr/sozkYaqqigDRYvVRC3FcQvWAy - iBSnrlL+CBxVQdH3oENS7hfRN1UAhMyrw8CB1zdEfe6QjfOjXrTGf6zsZysyLDl6TV1ABmUMRp7s - F7ikChkoYjhzsNRRthWiODMcuPiK91zW+ACVmnFNXUnSq94kY0wiV84VB8yVKu+1RSgLEIWZ7MRa - un4kLt5I78UN9ZEp7iU0LMt4VmWpaWVLQ/r1uLJGOTGu3FxWO68B5T0fdXwTcYIqp0JCiiEy+SUo - 5YqKV/32olyZkY7z3DTogUnHUNk0KwVsRY8dob4jjF7E4Vrj2eh6HKHq48qo63rMeIFfWsOsojVL - jTV0RfftPaksDtLDgnvSf2VNe50mHA8zgpEC9RyeqsDohxogtfWC9JrFqZVP1tm9OJr4yNasJWLq - zZJ/RsFYFXx1H1qXznAxomXSilfnRy2W8dI0wYjSGYwcBekCecWMrlIffebT+oRHkxnv198WetnQ - bV+70+C0UBWKAJCY761yyqvLPxnbT16TcdIjPZGtf4zLUK0rvlGI4qVSb2TN4pzPN4wsNKqYKcag - r/eiVM//4bKIVtsPXjO6ykAURfV5wyDSI5GRgqCT4hYIfVidxjIBkyqAnRigUF1LW2SfJqqUIsfp - IBeh1k0xBnVdGb4OLhG9XbG9X44JRRa9cbYeCNdRqlseVWxUpJ4w9n+jGvjr6M9JSR0MEpGEDlB2 - qjmYwBmskMVgsBIfTlXWNMzIdKdfQ6paozziXyyiVtFAVGjsZRXwSjG6iq4f+5oh+9p8XB+ukcOX - qcRG1edzZFAq4+IhFV4mBqk+k9IejobjLTu0NawQ2TLJkBalUrwFYGesqLTJLfagsqM9zaiwGDR1 - N5xBJPOu8aqvengNujZj87cx6RqXuH74WlXS2PcVZRgCLTFklfiQcS+RKmXWU/p5/Eq4bcW1ZHW7 - rgmLtKkOox73bPpaqSVJY265EE2DepVbkaIFIBPMrLszHjdU33V8Aih5fx7wZZA9bKAlVK3Z/1lI - jcR+EXFYSofAkaxwxYBdc0QYokWNvbreoLCOxgJdofWyI6MVYjkuqtcfcMaDXbuk5cOkonol0AMD - XIZmAOJMZkJ1zFMqQbC216VNZX1JA9Au5xj/jjTZYPguf1O5nup1hPFYIwmvCFX3nkKk1UmKOqGV - oLfeShwWgrmhza6LizuUVpckzYXjAGHWELXIyKCiUn7WT4fJIxWIB5OIBEvnuX2vwKkLjzKsaMqw - cmEyRKvigzTkp7fPDXSOdiXjbTR/qxxXyMqYwx50/X76jHR1janoJunJqpDracX0vxKU0UXGqogv - kVIW11jl5AGGequkod19TxGVqaTDAWPPqxbva5WxYtLo17GCy/gaM/WdwcorLwCtYDQW1gpH+cA/ - jZQrK5P+thvlLKo6ClDbHb7E84nPndCwDdIyllA704nKUkom9/fXrKKreqPYoEZrxirTFOMKmnuh - xqpk36517uKfML0odFtyXnqdoHKIEwOg38m1/eIybDjKSo63ZhKhKVWKaHAzwMBwoiryWEFfEAf6 - hogqPq5T5KqKxxRoVjDotdkIYIBRHn0DLHrx0c907YsUi/rfJd8SxtUEW3SmkzOLVz8XYK42QU6y - 9K0cbPKKYTMm1VXcbq5NyetqVutH5FbSciTT3ZxwnbFF6tuol4kNB/ikg/u1HRZsJF7xtx59U6Tq - VGP9rRLkoiFeYH7bK2AexYMWTuyup14esY/kYTEXfzBurSSqPq4OD8COHQ3EPnX/q2gwc7lO0VD1 - tvH0ht2wmC0NjA3HGu2Ze/HzdPtq8dZ7UAa6ZobPWoRhyqMabFwW1jRvrbR7skpD2V0jbP3ntRDE - pOJ14WHsdu8A6eIVEySqKAvfjkklDjcG+gvdYjwbXQO/9BVUpVEGY0OR58qo+j4MpiPIfF8dN4dd - EaBXpFUje3r7Ukfsai/Bche7MrD2KFlYC8ajhcKgVVZsvYIbyJsXUyY+mZP6LySHCTX0QMUDShq8 - zKPOLjcNlUs1yg/7ZTQ2VMZIdeJpUlpE5rpxR9YiRdElZq23URmiW10vI+VMOOK2tlyzs0Mvjai0 - 0kulHfue+LmK7SUl8aX64frhac24MxqTRl9GGcaYioqSjGQqTiqTdlQWq08KlsoggCXd/1uUfm3D - pGQltXE9cJnHhviI7Y7AyPTcddaNbjT284qiSYQkKRqbCcxDOgSlY94aNiCpZ7cFjegpGpy5sg0S - GQtsaCtGeFwrwmKYFLDAAULpDqIM5qb76nxqgJ6oj4BBVQmkkqjqbamdRhlclHLFgHAVrWjBqAkp - ezkSAnHp8XdNP7Fva7vXCPXKf9W4dLXG6jGwx7HrULkYAfoXdvUKOXj5a6VnOjZRdhxg0Lftyapa - cO0QFhgK+arIgxFyTdgkaUORy+Oq9FrZuj+VrUIjZkdr9PHKimubh+HDyptKv46EEeF4HmlZHefK - Kf/6JwK0AMTuWaSqaZKvQho7TYW+kpPUZloqLpRGb98y96I6QUocrV+NOF5IxbLgLm2j/vo74X9y - ejlWt4UWMGHW4TjqujyCVwNlHMnc8MqUIuGsUmXc4qi8lWhQoFyw4fgwFnowi/iHSOM68RkDD4c5 - q7wUfEfVZPagt9p4rqnEKlrRRmuoKH39pE51TYJjerwKKIwQdH4szlRVkBK6JegVjQQniJdT23PY - HQdpRlRiuKurKfVfO/+I4cgpRW9D6nB5SDOnYv0eNOgXVDwep/KF5GdRTEZirhusy7+qKSglkjkX - 5/6JY9l8b5jcC/beEVGIeFMXO0j2zyNVrArFD3Hwqos1Mt+h/HVYDhqdpiXwuF/Wsar3WyvN0wrR - gOgdfWMT3kp154kJreB3zxvwyAxkXfu8iCZZqzTWCoW97Pe2dQmWr/qJD9cla6OPfh/TSCtl5Upe - S+8zS08hkSPCWTwffZV0mQNKsKNUbRawMw3GpQYEVJ6T4W067c56SUKR7bisFoVaq0ufh6isx0pL - xbHJxoBEKk6Xsn61xhodz0bFBQJj4MhcqacMnltVFhlwGmgsNYXvA4HjQ0Nya1L0dsT7eT+n2o+W - NXyHeGtibJjazEaAfIE8cmmLX6bO0krP1nyFzbeYyKboGbIbFNS8dhavo0BSPa7BLq6WPm6bk5aK - yXG4CQmfy8BY7DPF3R2UF1+xo0kXVzlX3Jq4So2sJOoHuUYPGVUkicp6r7SxGkQZtsjqUg07HWGA - uEp5x1ft7UOnAaJ+AGzQZ5AHdUAgLAGf7oBNQ6dpNgFxwtPLI1TXdYNV1ISox9jeT1dK7rMg9uPt - NRrOlHnsOdaAeaaQmjQUc9eioaU3I+nT0FUJQP3d/fHCl/LcH+0GkYUAg24L61oSMKVaAiCfSp9Y - Wumf9DRVTCDm6KQvzFnq6h5f/Lr2wdM1tLaTUtFUUR2y1AuN/gSg6kT1XOMZ6Bk0zTWIchuNFmnd - tCxLIyCA64WVLD8vMmy+INm86LoF6E3nJU3mYU+pqPaQZXZvNpXjjQl1NctfVVaMhilYOJTsXp8d - 6fpRkRblFYnDtY3BWdutHXkoapJh6U2xVUsfG7otsiBkC9yx6lP+Olb51fQ8ca6RFAGIhx9YXZjV - QQlIR3Y4ufqp2oKGGkxKHK+e8/fnvChGuqEizoMgEYhHjWprRZTdKg5nAP/k6aX7uu11utzhB5BT - B+g2pgv9/gELqwuR/pJs3L9rEYrS7caDcHki1Xvz4uPjP17KKj1Ou3rKYtAo32w3qdtofcP0yyPV - tLH3BsUgjUGIVeNJtLq1A/Cag95sGKc4hXlRlxR/L2NUW3S0ql5laeqyx5pVN3MXRawpXQGpL6ol - Yi+s9JlIxZshVdymYub9LFIh9d+yCHG05CyVUsTSOyUJ1KCGbvvleykSKuM/bAlevc4PKFVqhEau - DhkGrVtioOZk2N6jmfVnp4nh1cVLep26TxPWWidKmsIMdOUaPbBKS6yWk3p2/AI0VBprVVbd6ddW - bNU8c13211OXq2o8lJR1tCL0CENGmbxmApSfD4fnMrdiNlh1AAmQAMrWH5JXsVSU0B5LAHmIqzWm - 2Lwzymo5f5c8KxhlxnMT9aqyJvHVcivjEkEjins1SYHJljft9iiYbwrXK2kpigOd/wxUqmMC1MIO - SUiiq9mOwDYsBl3g1PxxqRClaI0ViQKYSi+Tkq6QKkdDMnUV0q/EIkrJCwqpQRp9aj4lJV0fN9Wy - 0PAAaWoQph50a9MqAokpWDs7zj1/c9QfRPJzsTziZLgWyb6A1nt7AoQVY+UofZNzu/VpJw2A+nbp - UkUU+qUaZ8shc9VEblS8KP0pWmIQM+utVEimanOJERGF5BWgVkkikA4A2aSxhm7Ve/Eqe7oePpCK - UU7VetM3TkZJI1RpyuGQNch9HaUddetWAkiEAchuf5c+5wW12K7Moxp46r7YD1rACjQyR1o5vlVj - lqM4/UwP2ZpLZ0qTkclflbJ9L0ZZ+5rNjnPtpRyQV80ESyEvtfh1iAZfcqKxoPGKixAVDHkxDUXF - v5Ek18zo1tG1plLXo7IL9FIu0ryWKhBb1l1nZjvK/DXXHr4IFT2l2sko3OQMo1AhaSZg6UAl1Ywb - jDm9VzreRWcoNUI36AyDVGQYxqZr/bHPXg4T0MBVVWMnkKhfDMi7clZT98sV7yTZoRdOqCXxUz8V - m4rzASqaKJXWIlQlXl/Atb16XEsURe8r2UH22rOdjEpPlF6GcEqv7v4DM0vqdFyL7puyLKULyKqB - aaBM8oNqi36FAyHKBaZ40uPb6jThJUC3RU+Q7OI9U1QZ0nuADrQESDDpzHLHYRCz+iqFFNaDWk9I - 1/aOvn4d7yvXn+teh66cs1438JoZ1ppEhy0SJ/fxdZ+JKxJPvbk3MXopvCr38dJMb42qqkollsKq - 6Yn9kFqK0P9aipB+EAAi3XuXdDUZqW2F9BOi8ldS9QICnE3dks6sxtBV0wFAveIGwAlxua1cljRz - TyTahsSFZ3mbJNVjSS+bUsuumSCuGjmq18Pp7Yo881Bp43AxqKQ7ZwRAgARIC2KIr62Lnp9Wsr3/ - VHoPa06u7RH1oDWcao1MrsfHOalytDejnWRkJB8JpQuSJUC6hTaY/oQEk+UJFNUlKQ3nqMxZY6Qu - Us7yB54W6q74rAploN/KAq0YDGq2vgAVemuQ2vXV9YCqicvzMuQa8WgwP6O+nK/pZdLPS3qvrJsY - WCGSZu2F8pQcRqKug3Vw5BS6ct5ZylOR9ZrCvqCq6HW9K3rfMGJfUK7Mqq9RJDqQNOOHHgcIMCNL - VgZWOsLgU7OmuaaKoOG7qnRUBpI0xjE6hiMdiaqlTVWqlEjxtro+TIAAF6HoGKgDxSukQlWFJGZZ - pJK6yScg4gQnTRsAFKe3ibO5YjEcEpL6W9GnzDiTK86kKKXWF9vi9av6J62wH4sHwfMdp73eWXQ0 - ytXKucT7sdf5ul1HK2RltSqldU9Huk5Ar9PXo2yU6ErHmNH/aO+SWnfSMERdLCkTyhvexWZXHMP7 - WiY6jsh+UQLFSYFVIpjFrgtqoJtz1PP5gYBsITA2f7KSkcWUnISJgeQqOlQbHsWYnBPr1X+UKaso - MwvlIS8thQRPFJ3nRBOuEkuWoket0tg95bSm4aRuuPGQtXdO6aVtHdWl9/ZcfSa0oBYg3TsU2GXs - ljJnpVrpuqLNZMjxVXMTSOLQMFDZ4leO79XgsDo0gQhBzJqq2BzrDXW11aYUWVxbo1yhIp5XN5Xp - U/VlVbUrjSFpiL0qLNVZ1NSfqV1Rl5Kb16ChdqumIkXAMHjV126Wgu069lMRlPN9IB1QSepDRmNl - rieNaXJU6/FhEU17FVCAqF/B0ryP4mZwboL8MpWNyhoX4ktFx+o3XvmorgWl2kjmoPSZWb0ao4Fk - joV4MSo4VydoX0I6/5A2tmuHGmSTB0soTzLC4JkyfwkAaKRffitCjB//961ubWpGyb+PKtQRb2mg - LHIM8qXDhBfUGv/o6KU5TBAAI8IssEPloRLxAIoojEA6Gye4J0ZFKinxsu8NA5XqetCWY5OVOlZ/ - LvTroNHqruvYeQ6W4vaU5KDfInPF2ihNPQa47dqiWs4Zvc1zthehpPKLpkjI57p4afZQDhpjLSgm - jdW0qtZRxYv8WCvIlPbeCcBErO9IP5qUzVKPzVwIXFlKqsaaskDSFdqWBFwYdfSzMNLVu4B090xS - MP7/yXv3X0uu60zsW2vtqnNvv9h8dPPVfIgUKVISJdmWrMRjj+TX2LIFYyZIbCcDTDBBJpMAwUyC - /AEZYJAgQPzTIIiTeDwI8sNgbEuRjRnbsjSWLfmpl0W9KJEURZFssslmvx/33lO11/ryw9pV55zb - tynao/wyLhDse++pU7Vr19rr8a1vrb124QOPzQl585J5wB9nO3nzW3E1YxBMCWVORoWAtJwqAGm8 - FVbQkrO1b65uumy+2/GXczrXfJnvcuYNF5b9gf1NTpr+lQNPuIlw33iSHDwn6wuNQEWr8Vyb0nYH - zk7dZjy9ce5B89fuzM3J2t/z/+bHGwnODWfe8HT71sRBt9vnLf4Vj/XZ3Zjpfbd/c/bkwPkU/OVH - uGkEDr6A3PDTG3g/q4++ywvkplla+zumHMxahcLmaEhAGKBP/vpGbmYqBffZ71xXgwKsuRjfK0du - fnW58Nde0OYavlHguPJtZ4FcXwg33OHAY0NuOKnGVvieH85IT9NNE7kvz4kkyazuxsl4r0ayqSLe - SOfvcxH2nTq9gtb+QlC1vTKuvxHuu+P0ixwsOfnMutni3cGalctzLnQNcfF51eyPSMnVqKcREdQN - qqwQtt49b13MbppDWUN1NwMJ7kOTpz/W9L031ejmFMw/cvPXfGkS60HQPItTw4r8ecWFaCM7MOVx - 4O9v4rjBBuwTkNkK7P/rTa6xMVfzxbj5/gBZ8yumr09SNUvXAbr4ICnff/LqWPPKNm6HNTO+/mQy - ddPwma+1YeJJsM5PETPe0F5bKFz2ydrGYFaPxtUExdSdcP+8pqrdv7qJSQ+vifFBHQnWH/Wgg/OD - HDDS9mEAAlrI6qWutW9Yv9CB089Jdg4ewsbLbDO6+ckB31szJu3/EzN9XzZx7Tkn4CHXLA6sdpB2 - oYZEr+nbfafxJs978Fj3HWtv5E36RxsDOXABrukNAJPtmHoY6fr5TXjamsAKdl/N6Y0ieJOHu/G5 - bjQIb+bYdyJXQNv86RuaqwO//gZzyzea/wMHftOnuVHSUy861gAAIABJREFU3uxTbxjkg0DX5rcT - 867aq7e0eV9SZAXOrI1hddZGUem0fRGnex9wUZkFZWNMG39Y09VrZ0wGej1LuyrGP/BRp0loRV0r - Dbk5nxuKGm+0fmZrktaYm/Znn6HhWup59Vxr93sDITnghb+ZJX3z401KGjODyDWzsWZL91uC2VfQ - Deu672pJ/dngte2f/Gme1pySWeKaEt7QNqsdz9aHs37lzOYrbvbY/74f37OGCTKVW2ws5hZYcKM+ - iGjEQKkzdaJuhmFr0raeUV29ozd6W29Khg/SABs//Luto43jzYjWvrG90TNMGmj6cUMnbqC3B7lf - k8qVm22ocON4bvIIBxvqv/LR3IubmJAbr7+hQTZ7ya196YaHv9HOyL5TN41baozWdoUVMgDMhTP7 - 39PmHyvPWyblH/uHICv6LQ/IQWye7FM9QkLGK7rZjYZ/8zdfe/86W/IDBWu/+7Ph/N6oqfcLxpv0 - Ir/b0YydrO1xxNVHs2eZ+R7Mtmddafz/r8E37dGGP7w50tkgbX4ua/8/8Lr7PtKD/rjPOP67Tf7M - uu0xTzv3PedmqJfKWGYv+WYp5g3cdv7qTSkV80U2he8vecwu2g2a7yaXu1HN3Vwp3sxfnz/9Lp+9 - oXY6UN/eKGHf/bi5YbvJ2dMwNqRqc+YO5IkfbBKmS90whjVvdkPRrl+JzTxFzeLyNUeQk6xWoF+7 - 3PrFYtKu38NjvvycTlq/8Qqx2/eup6eibASE6xPzpjXW7JC0vhMx95i64VHnWRIQcVBdwkz+WjcH - B9vJzRng5gfzF9cfdiZ0pMGxtVnZV2X5Rq7H5pAD2GjKxvkZFTLxGPfNgDjWsBhZuTuc0b9pVO3V - zfwiAtNWRZvPf8MQ32DoB3lcXHtqTuXIBx1rJ930jpLZ2f0bgUzfd0jOCvdfRw6mPfwVjvXp3fgz - p5Bw7Ub7hAerR1yPf6flv44VrPTRjb4H1uZ24xKbs3HAnW8ynvWvyMYmHCvdL6BSEieIBueJBQqn - ZoFNmva3dYsJF1uVD8wpB1klOTbmZ72N85pVk7UJWYcsBdjPd7j5m54wxo1eBm/sVLCdP92HwDpn - NtbZyBEQQDoXjNJIORus203K7QH6R26inNbH2gR8VjsHrb/Vn/axzae1cBPcdm0outYwIVYnr6RD - pKk4wdz0+qAh38QNkX0jb48m8zxtOAqTjV3XwW9w3NSL2NThMuklgAyBYx8D+cZFdPM3xJv8/ObK - h97QOtzkRmuK4ruM5yb3eFMjWx03PveNEnSDHn/Dp9o/ou8+oJnTs8+U5MKKG2eC+38J7Gv6R6zl - 2tYSksR6YDCrXd546dWy5OoP+e/my1q/79ofuIbQxkQDXzOiazdjW1EBBEgeAC6ul51wVjU3n9r9 - M3YTvDg/WlutWLvJ9O9fUqLezPHGq56b54D7B4V5IrmW4N24HPfHrSI5A03H3lC248A4N0PbNHnT - zaV9f967bG0+V3MoG8Smg2d9dY4oaInXy8ZN/7oc39tet9yvNyfD4+CY/GZHqd5qhjgi4BYO9dkH - IQCS5MrLlAkUXrdoKUBrf1hzcJYjKiCKvptPyjs0nz0oKnpzcz05FRCStVYRUVVVdXeSZiYiJN0d - QH6U444IAHm+u7t713UiBqLWEBErkneIcFVJCkFEu850/3wckWn0MbkDq9UYocLGQOA8GWQIRdY7 - s86V+doCv2itTIDw0LZTqzAcpKiSTlJNVxMbQVLMQJIQlaYqW283zpt9uHs++/wg63sp5GkRQVJV - 54/WVUy0mjHIioizGXGldGi2VpGptf6qMw0cFkBJfR5TR5SVSMZUrKZQtucwRDBEdJq4dqR+NoEI - BXTE7qVvP/OF5y98/vnzj7/vA+94+P5Tt2/RQ1m7ItOGPQ5p9aqB1gFSp45SAkzvZOoBNrnQU5dc - ki5RVQkfYm9HWF/6zrc/9WdP+qGTJx9694/8jbcroAFFa8Q7DzkIjYoYoUAoEDDlVG8yW/hghKcY - r17NZAgR2apMJn5LTrhM3i/zLyGiEHh7JBWRCF44f/5Tv/8Hly5duuWW4x/84AdPnjihekM3Gpne - BCa5iUQlIGqAOlA5dVAJbnwnKrwSZacc0QWyZMIrVFBsOoWhqiRJ6oy6k7kwIyIicmHmaW1Q02kk - RTRdgrbocsXEFEgGAi4aomuRCMGAO0pHqALio4Nmk4plEAJd29uiKbkbe/VMYj6Pqv0xba42aQ4n - IDptm+cBtQ3dG6vYJJeyND0ylVEud6+//OI3v/qlP/nOmevv++EP3fOWd528dbtxdQhoQDxbNDpA - xyobHkENbejA5oZtKfaMNp9NrFY+001M7KQAOL8vEBtnc17qU+zSlLkHSDWlVyLUNil6qcBhaiYC - BjxoJgQ8wkwnxRUioroKZ1sjK5E1QZV1HJvuksIdzIrJNBsUxJQd2/d4AOroIijFpmYgXJ0iAjI8 - VC07he5zvGQysTKvhqDqughx0jCT/zWfujmZqy8g76grySRjWu/jOJqZ2QyO0SMnSiNPmqanxbeB - gIcUaIt3ax1LHVvxLtNutWYI1dEbxEkhzQhY4rZXLn3n5ed/61Of7W+/74l3PvL44285ul2IEFRw - BHxv8FFtq0NMPb3NAqjuIdKp9PNajojZ0KSxNrP9K2vzSA0AafMhFCA4VhGg6JogGkQCEkQNjNVz - nkznaIT00emiKiqAeLiKqhhbOQHlZoOYhSaBsLaSHKzrfcoCQCPrTZeJWs+e+eznn/zm6Uvj4taf - +tCH7zq5vVVAh8BFYtpdCQCCiKDZ7HeHpMDE5F6l7p3URUzGao7oUkmCOTGzE8dJmOvoXI712W89 - /+SXv3b65bPXru8V6x556JF3vfOJ97zrbW0Ds02EoP0YRAgMMeGzrXqLAXhcOPfpP//CU6d39rq7 - PvSzP/fASfR5kZ1rr7/ynd/5+CfiyP1ve+9PnnrrqeOH1gSeaxuLrvYeConJPwiEgCqaBppBTnhU - ixGUxOqPAnd6jF0xAuGeK8U9IFSlKhAOVtiqrIF0qXu1Lml9aOeAlXY/EA3zm2FvJgqmERiJUlLx - OcSn3g7t9UcaPnFobaWHIS7G9bg+uXQHdpbatIMA0kpaqshcUBEekc9YPcSMgFd2RZpYeW1WUxWB - CEI7qMQNN5w8v4AnSVygbTvQnZ3rzzz9zSe/9EzpDj308H0/8L53lQIRsrltU+zWVoCDhlgVFM2S - CRIMqDQG6HxPgcdkLqU1qZUVgT3nQbmvQqbJvU69EQBWxC5UwEUVDUqBFLEIUBBzgRVhuU7oqJWy - FcUSuq2rfb49fKlBWIcAkf1OpiPoQog6A/ROZ8882vPk0wkIjBVj9X5h6buZTJ50PpywrVidJksk - qpMQVVEjUGs1M9WpbJkMr6rS4nMGmF/XGXjNGXGiOnoFlsvx0mv/9hOfeOEKj9z79vf80I88dDdK - yziR4qIIcHQXtdTOuTRN0Mzi+u5lGQ2uiXEEItipSNqLzGSQsK5pk2l112jKzDSfWBlVImCS6g9i - tDKb6klyAAIOLxmNyPlL588+95XP/ekf67EH3/NDHz5x7/23pWJJl6y0EDXnJCdllU/KKpFVaS9m - 2cQKAlFAqldGKBZFwYCP6PqY24Hk6xjHKmDfdREhpNhkkiK9VYS7muXVVE1tani1chsa1xKTdgmP - 3ohwDLuo49CVun1kABTo8iyuVlX+lmHkKmSdHuqA3FAEGc1Tasoz/SoE6eGQ9LzySs1kp+OS0fT6 - TcIjA/DZwZmC02wqFyoNRpe8lkPTlM92S+YlDQaIUGudH2Llcs7B0HzuPACKyGQO1kzWHKEQTBBA - rUmyarhnDJXvKzJ2nmpXg6zjWEoxtVk+wl1FoYqYYNJ1OmpTcO6Ej2HaFRHLGEECFkDAfbnkV7/6 - 9FPPfOVbzz9dsez7I7feducP/40PPPHYWwsH8eWARXT9qPlOgKZ9AIZTV9nXtGIqgM0I6Qgs98bD - pTMAHpj0EGSO2HMyvIMhgMqvfeWr33jq6Z1xfPw973783U9IQdE5xxiIChKmEIuAZT2219ASZj43 - 1fQaoJQ+CIuAEMvdPR/30Ov29pY1zShkNqJpq2NqRB+kB3RyhNyhgBlYqwhXARVTnDWac0/UEWyt - qCJ8yWFZx+1ua6F9rDQ5wjFK2EpLz47HvAQIQHTDR4XIOlqy6XlNody8oEDNSiSSHqLTzgIkI6q7 - qmkp4QhpEROBls1f7p6/evW3P/N7r+3Yww89+s7HHrv7tjlGzbWtEIHBAyFo8TvDfYRZNuyaNqEl - 4Ni7+q2vff0Lz5x77nX/4Z/42UcevvvWI1MTBBLhEEC1RYKUdbrcvMLSELuHM4oZs7UaCFk5JEJW - DxFQVQQR4uGlFIBBqnwXb/rfs+N7BN2u+Uqbiq6pMwcrRQVB0F0kUPewd+HV8xevlp049vChW2CK - IAwNGGorX9LdmPkjTe9Ohn0dtiKc8Li2s3z2+Zd2K7cP9Q8+eN/hwwszkEHxeWdSUjwouf3FjTq9 - pXEEaPjsbDxm2BG5atxFJPEgSe9yMjb56TAMZsUMiRQIAEnYN/Ir7X7M1ljSQu8WDQtjXu0bnHUA - ZEgagNYMdGY4M8QSAJnzVFnEQFAiG20BVBIRLYgVYQQBWo6GripgEBDVDGIs13X+ADRtNfvgQUy2 - Fvth6NUPIg1T2/y7JFgY7bllCmImb5NT07CGFAemsJfTqbPzFREImGuEE6EiDEDSWLapa7OSOGQ6 - PQEQEut85AlapaQOAR1x/Zlnvvw7n/zyr/3br/ziPzhy25FDd99+yrlbAh0WCAOIcCjBghR4Nldi - 6l4wLY10D/KBODtHAQYTfhXEuHP16nlDfPOpJ3/l//rl7o6H3/vjOz/4H7y9KCxWem32w5i6khWB - DEMpHW2VnG0KNSK8WReSMx4rpiRrdbMJEcqXHhSBqEjDbRlB1ZSqmNwcifBz58595CO/8Z3vvPDA - Aw+8/fHH77j9DtXJGU7JnjMwkznLESEcQtEO0ADcWzi2kQyKimtXzr505uXdsrO4476H33brESwK - IlwEbKEFOckhE5lYE9DMuESEZ3wibflwU1DTjKYXJM33zrE3Dz3oAtqqNX2QFgEPasnEiLoH2FCv - vP7c+735wmlv0ARgMm0k0BzZyP2NGaRq9iwWnWR9UiAKIgJeXcRaekCmmUtXUqZWfHOEJyCxs3Pt - W89842Mf/bU/f/J52T7x/iP33nrsVGcwIgjVXOWMCbqFojVEU6dwiuXWoNuc3hywCSARnECwtqr2 - WYh5AldIeTRHhGybBcS0zXP+MEd6IAUIdyBUS4SToTale0ARJRssC2nZBY8QtSDdY0YsGWkPbJLO - nL3cZ0WaIZpjmMRYIxQQlfAQg8A8WogyY1+TpLcvAajupmhdX2dwYXqjDEZQxFYaEKsJThQiSNOm - 0clgQFRTsDmfKpzvGNzICzb5mPDZSJydMmfb21+gJKu7isAs7xZkDTc1IekRatR8NSJoSSSS1Mwg - goFwj+otTapN0iVVqadKFGdMtoSg48qlp5/6xi//8v95+8Pv/vn/5MOn7j95ePsYEYhxefn8mQtn - Xjnv3dFT9586eahHpznVVTAm6k2NyR1hrhGZgKdYs90bfh7bekwlkNZWBBEwUCHuoULNGqG0RSoU - JXHpyrXzFy6eefW1Y8eO33778TtP3FEUioCSUSNcZUpCRYgq25sStMWdwd0sd6sYENN48jVi1U1i - jWtHou01nyvEL5577RO/+9uf/Nw3eOSed/3Af3jbbac6hTCI0JZvY5raCESEqnG2QqtCKWmYCSgU - aYofmEzt5KHACQ0iG18l35OTcve63Buff+nMJ//g07/5m//6O8+/eO367la//YPve7873/mOtylR - NlQDAwG4wshQWi75Kmt+KgPwqxfP/f7vffzjn31uuXX/E9//Q/fcfkfa1p3r17/93LO/+qu/0t/x - tv+ou/PoyVPHDq1vOZQABzOJPTV8CtKBknOaifdc9SkKwmjGyyQyKo2QnHNRRq21alFSPFw1hMKo - bIsiJJIqzkn9oo7j9QuvP//CGZfbto7dd/e9dy62YcV7EAFUgRnZ2qYGYSmbLu4oBoLCLFkzrGof - 5kR1fgRQIm0JWi/V5l2sJGxT/NMPm+1HeqgRqpr+wWw6cwUlhhtADS+0SSkHokIVNUgGRcRIW6eG - ThFZSIpKeKTBU0sw5/r1a3/xF1/61X/+a8eO3fGTP/3Bd3/fO9UktxrINS00abq5cSEzO94wBWnO - BkghEXP0kMkwBsUD1VGmpLKsTURLlqhRdH05TvyvtWI1Ovwad4czl4fXdwjpTt5y/MQtx0RLdnqe - iakUiFfsXLvy6quv67Hl8XsXtx+9xVA48c4YrEMDw0OCIbYCjlo62eAkgiV1RQRYAUIKKJCSo/Ko - Q61arC10ha30SbRnRCB0AkbT7NBUGUyzKKoCYQtqwt0RaDnR1otcKaAkwN3moxI1DfSwd+W11/7N - r//6509fPvXeHzv+1nfcf/dtvUOckAilk0FUp4mkCxREJrdbj6wGNaThFepqRSDRY88S6WkSOMIB - saQpiE4p5KAHVUSltZtlEAyhgjXCoRRKbsy1+o8QIgLBtmAuXDj35JOf/xf//P84es+7Dp98bPv4 - fbceklR9VCoEAUcGVWI5aIhOnD+Gi6YESq6zthRjBlYkyFoDBMQpxoC7W0T6fS0UEXgNIEopERTQ - mlKeuBSE1wqIqLp74kMxA2kyi4FwykA4Ud07Vezu7J4/c+aVVy7Zbd1db9u+4/bbt7EQgHWFdOZj - kQHEDKlgErAWv0FEEGR4C3UZ1vyo5mghRFUjI2hV0aZ55tBQWiBETCZ7WqDBIEwn7qCkEyQqGaXC - ZlBJIqlUAl0FIRt6LyIo2VUIk2O3tr4nH1tEmnMKBKmygtzXUwzTLE/fAhmRYhfuQappeu/V3cRy - kgSIiNGrqKpaRKiIEOEhJisNJqswftJDvjtcP3f2yisvnzu0OHrHbbeduutuQe6HEoh67dreK69c - /uQnf/8Tn/rtL375s9rj2LHbT9553/Fb73jo/rvq9cuXXj9zcTe2jt959MSpE7fYGjUjzWJkgz4l - NELo0FWTQAIeGGs9pF0ToyRT5SKbtEIgMvUIEmP94ue+8JGPfuzKzu7P/+d/78HHHu+kTGlSMNJW - Jh/BIoJ0UUdUCudKhRJkrS4oJY1jgPXs6ZdeeP3qldHufPDRe++649ACSmgqumSZMSQEUCiaj62N - txRBCIziDGXYTNGQNNkqmR4M0l1AhNfBnz/78pnL56vHQw88ePfJuzWmTXWJCFZpkBExaQCuyjAa - vSJdzYhJWjDHgLkUQNAmCz4vXyJIMGb4I+iWGHUa8UBURxEjgkFKS52iAWl1b/fsa+c/8tGPPvPa - 7o/96E/efvy2O2+7p7W5dm8LT0ws7wXL1+M1vEI1tVr7B0zo9skvfe7X/83n/+ypc0dOPHTniWO3 - HjnS1AUJ9zWgVmXNFSCjYUKNARLwkQStOJObmHKz/o0KgCgwq9DqVZWayjozsX9tju8FdMvVP5zr - hFZeT+IzqgIHeoGUAlkOF88/+/QXPvo7H78o95x8/Ef/5k98+OGTKGRXKlRNJMDqPriXvjMxhTkc - gE3pDaw0JgDC3feWy73l15569p/+L7/06rnLDz38wH/33/+3jz/+yLFjh1TE6e7RlU6hItjd3VMt - 21tbALxiuRy3Fl2qpoiAhEqBUEUWix6QYAzjUKyUUtKLBdD1XbZ9rbWqqpmVyYUSSETUWufoq+/K - ONad6zulWOmsFPOo9CBYzERVgLFWEl3fC8Sdw7AHqKl1XQHggUrA0AFqpuFwhztUYAVQiKpprQyR - GTOqgaIZigrcwREKQAmzYrUGo4rCVJOBq42KJmMd3X1rsSWqJgLRZvUzSbJepki6u3vtup6M5XKv - 7xfJTY5Iz5BIJhNFTaf5cXev1buu09I7gYmW2KwmoZLOnYbXqLV0JZe6e4hArYhIgJlqTrikK8ox - lrs7/aLXUiAcx6UzFlt93tdkJfYiIip1rCZmVpq6CqIYpHFlw1EregPCMVy9ePGV186eOfv6pUuX - ltd3lsvqhzr0KJPspzfrEYUGCFRXmyeuvBygVdOquPtYx27RS0OXWQwwBcdx2Ll29eLR7X5v7+rL - L794rNx+bW/cG7HVAVgx3jhdNXO5cKIOoEE6RlTBOBlID4ChAuuLCLzWsVYzVS1mCsDJ6lWtF23h - evqMQcIpAhMRVVNlRDDSGyExDkN6PleuXr565fLe3q6ZljI9cXqixbQ5QIEVepKpPV3jbaErGdII - 1ODpHwmWy9eefeaX/tn//rnnzuGOt/zX/+h/eP/3P3Hfye1iBq912GO4WrGuq7UCWGMLAiJe6ziO - i8Wi67pcxQCSORsty2JmlsuAgCrcQad1RYQMdYcQYihSnHUYRhGBiLCamXVQiid0r1K6QqKmd65U - nXyCdB4k0TQBSY9hHBjRL7YqvTIWfW/SkN5ax2Gsi+0tUwUlpvc9Uw/Cw93DA8VIDOPQdX3JGaV4 - xDgMXemsqKh6gEEzqTXGYckYBEvAx1rrlB9Lmwsm69YDHYDSp3UPjHvcyjU0vZfV/zEONRCpwQKI - bGc+RcizW7zPhPg4juNysViQUt27fiFmKkLAK/eWw9bWwmyVQAawHJZRqwr6ritm9Goq0MLI+SCD - petK1/f9Ipj1E66qXWfugErXl3lIpZSE9MHMUpjoahNCUiLJI0AuEwisGCKiepBGBWIcBi2l6ztb - IxJmKDp36+wXvaI5bQwnw9A4tuNyCGKxtZ0JjZiwFAjGEQxf9BaMcAdNVVVFRcO9jmMpHckIL1bC - vXrtuj4lYG93KYrt7UNodPtQVQSru3adqGopSkEwvMIUqmrmESQXi4VNM+7uI6OUhniUYrVyOXCx - pUGYE2oQU6iI1OA41K7r+q7AtrG8Th9leyHacim9odV1lE4lmWAZcjh8iDqO1ffG2Bu9svmA4cPn - P/sn/8+vf/wvnnr1re/6wN/7u3/3Pe947ORtKsQ4LFWXfb8NaIRkoUwqqhmiVTNtE7rK5DdYREBi - uVwCVLXSdRDUwHJv7BTbi64senjFOIaPYkX6RXrYIvK1r3/99z7xyY/8vx971xPv/okf++Av/sJ/ - vL3oitAANfSlg0h2Fyuly03k3SkqORHjGOM4bi0WmdjO8FGn9quyXp8hbQ+3jEgSZhWRqFU0O38F - FBr16uWL169dK9vRbW1DMFZslUxRM2qlCkqpFSLoOmO0JaCiZNRaizVMS0QYTBZeMtI9VsKci1oN - lvoy31IkehusSxHu7lz/2G/+5m9/8tNPPfXNRx959K6Tdx89eksd/Or1nWHEVtmvFJbLZUTtS9/p - IomHNTDqGsKLAF2jImo4K6XCvKlEjsNyd/eaKiLi2s7ucohKlRn5JTEOAL3oABOLUkRFiioIVLhA - uqnTUVCbq4Jxd3dw3zp8ONQEYTYngaMU1bIVaPok0wKdFkIqfbkctjpgTvwT4RivX//SX3z+f/2l - /+3MeT72rg/+0//5fzquvfpeWfQxuC7Dtg9TMESL3RJILSY5XdVd69JKi+S9AgIzqMBEkTVsYgA0 - S7wEoyOQaXmPyIqllCCZD8ha/4iEaEWs7wTiEe5eShHTTlsdQtd1CeL3fZlwEQDJX5Xh+k71OHT0 - FhcJbjBaGcjyiC7hIzON8JhLwejh586df+qpZx54gDs7SzObOhAIACeHvXHRd12r9K2MgnQwCLfG - V3Wn5WC8gg5RaMmCleVQYaVbrIYEaVuS0R2+rAFbbK/TJicWBOettwWABHYufuPpZz7ye3/yx08+ - d8vxEx/+8Z/80Ac/eMcdJwLYrdAORVFy3LvXL734/K//xm88dSnk3sd/9Od+/t0P3Xloa/Z3aDIx - YQ0KdffKUSl96UU1d1pIbZa4bdRxuXttuzcsDidqmQ5+1xcpZayIChNoP5GHvQIZiAOkR1Wx5JNZ - KQlYDGONQNf3mVJyD1FRgQq8jl7ZdaXlTus4wqsWLS1izresfWJmLuOyj1EYA7kUHYlhF8UCvaph - WYeglG6hE59CFQj1GIUhoJoivFZfDrX0W93C3OFIrg3MoJmhJaECH4CKro+xDhBRU2n1jFaS6ta4 - 1eHRsCIhVBpzrVFutBIBlIkMo4YMWgVwr3XYMa2m9Ih0pGPElknS90xLDd9dDmWxECi8CpSEj2On - pl26P0piGEazhRnUMI7wAYsFRmcdxsViy7S0FGEHK+Yx+jgmHg01M+m3ejrHsRbTVaJeRLSlT6SV - TaHve4gGMQyjmqXfknq91koxsRbVWemg8frZ1778x3/4r/7Vv3xpeeih9//0z/7if/G+x+4aUA2D - qaKUGIeglMX2XFaZjJB5oXi4ieaEDHt7e3u7XVf6RW+lhHtEUFC6LiJqHbuun+2yiHiE1yqQvu8y - 3HZ3U1W1mdYiImaWJrGOY0T0i4WoiRIiCjAB3AgGO1M1M1kjLcv0XzKcmBValiEqmkOOqUSMHrFc - LruuE7NhGE1bfDB7sBF0D4Cqaqat5NTMSsnASVRB0ENz6ZpCxCOCISEZaFd3MrYWW6oa4cu9Zd91 - XSkZPjfl3ia7AR4egNClvvzKS7/1sY//37/6L+++874P/a2f+of/5T84cviQdQpw2Nt57rmXPv67 - f/zx3/39Z7/z7F133fXQI/cfu+XEhUs7w1hPnz79nW9+5Xd/66NfeOr5h59479/+z/7+z/3E+9Ki - zdhwMR0BJzCiWzVnaAcBU/SHt1tRXka5Xsflbi2IhXbWB4JAVwxUVLL6a2deffbpZ4fgpUuXa3BR - VjXBrYSXAMLdGVlmNELFrGHrAYy1WkL2aLkbLPf+9W997KMf/8xLF3b/zn/693/uwz/9yEP3by+E - kHEcOwXMRJS1uof1W2paFEEQMEFWHUFQSmmp8cg0e/plAAAgAElEQVRsmxLiCS2N0Qes60DUy1ef - e+mFf/YvfuUPPvfnJ++887/5r/7hh3/6Z8zZaavf74qEdphADMvlCfUIQERFVCNY65jl2nMoakAG - YWamogS8untApO+bCyMiJoX0WquqmKpZyYU/LpdqZqVfaFPsxVpsvjdUEV30Ao9xWF7f2bl0+epr - 566++PKZnb1lAMMILJeL2MNiu83IGsITdZSoXelCuxFagTLRmPKdCMdkcwULWyUQ6S7LEaWgyEyC - o1Mk2yLCPYJU65KCD6+mMC0UjJXhvtV3BFN1qFlR7YqB0YAWk04XjADDVNe35PzrcHwvGyY0UuYB - sXkDmGpgKxccWYfdixde+9IXP/e6nHp066H3jbCCEgIEqu8u91546fSVa9dgdv+Db7nl+K3Qtn3E - jFJh9vmbYESwug87O9dPv3j6hZfPqXDn2k5UzxRKi4EYzogQMwN0GKIrKoJSuhZFKMTU3Ze7O13f - qykJryPJBm6C1TPCT6YAEHPNEiCICI8oZqXYYtGnSRIUVZiVrqdp0qTSX25eRiNhJlxB5o/FCiAy - 2WhMXNrsB4Dmkkw8tKigUksajNEh2uqPyawFDEl0Mx3P4LBXzTQL593dnV1XUoGOdQRhVriqwMWc - xZ2Tc6LNnaJINkGAKM28VoaX0onkhoWNxVPH0YqpqEcFoSLFGvMtH80nAUocs2WjSEDULDvc5TNF - 0GMUK5DW6CCAOsZCVbIqIqox+1kYKDEVCk15HEbQ1DJCS4e4TU67WES4aMFcuSCAupkzou4MY7Wg - ZU21AFNdfQqkg16rjS3XhV5RDB7Q9atBwoNtnslw0BuiAQJUUBHh47i3s7Ozazu7O3t1qIiSRQsS - ExtxteyS+SIcr1559fyV0+evLm695/i9Dx89ViwpGMEIgtXMJG8hwvDlOHT9QkVKKS1nODFNM/uV - /IsQURErBlUJd69qmu8REBOJWiM8h+PB8Og6m+mAZGbbMt2mU1YcrY8tyxgYFIsZlvYALKA67KH6 - cm/3pRdf/NZzr+m17vWLV3b3BmK7pFCkXJik/4SEZZPbk2tr6l6QnNz0tCxdw2AxU1WFMJJBbmrw - QPIC1DoRa6IseQUx6yDSiAB55Zwr6YEiigjUymaak/NFwFQFLvSoyFyhoDOjqiqUqoSHg2EExEy1 - dJaAfLTqnRXikS5tMTUVQwDoTE0YwWE5nD59+sKlSxA5der+O06cSNcoOSmiaibgqBxVKSpinSiG - ZeJpmCgIGINLCitK0NxRiiicPtS9hW0JJN9netZqLU8xesRU2raWOD3IbgAZGySIYFAyopIiaqYq - XVcaMqxYLh2IRd8VMwoUKKYA3WspCikCTapR0kprjZZgF2nlQ9MEClCri6Cb2hckT2SmbQThTm01 - RxmzhldvpVeqyEY6k4LoikElnDVctZiBa/WDHnCvnZUAfRyTlWWtkJAJGZu0FBsD4RRrhkEVhNSx - itKSlUEPb/m2THgJRFTbm0WZ+fhWOmhbyAHUiC6RaQMEjkDkTk2SDLsAvXqGwCpKd9RIZZTlre7u - NUrpikpYMiso2sErlGoSXoXsDZ000BXai5bsXJOGOIGXUECMzV+e8DmGEKQ4LaCci9UVO9cvvfTC - 89/61svdLQ9fvHi5VleoKKQV1kdzQzjZVZFxGCJYupLMm3EcJ9y2Ne0hI8PCUgxoxPy06Np1WXui - LZrXhnesFbvu7Oy+dvbsc08/c+TIsdfPvUMFRVU51uWyKGFdqFEta94zNhQ19xjH6DoT1VK65ivP - tUWyvjrW/lvuXrt2+alXLl3k1l13nrz3jqO3bpuYtFoGAgylS7iqaukGZw102gJ8iKhKiKYXlqZ8 - Tp9FTBQnmaubRARCZiil1qq4G3IRLahM2mAbobTKGFHlsHvh3Pknn/zqa+cvPfCWhz/0Mz/72COP - Hj58bHd3OHXqfutS5YdknhIgwsxESaDWWtBhIe4YgYVNs0EHq8QI9yBHSg1xItDYqYpg1OrjWGs+ - aXX0NhPXFVJEjekPIFpNpadzIyGoE62vszQj0RWySS9aJ42orb5KdC5JFgDh4AiKWKdSpBSIA95I - DQJV1LFevnjhO88/98KZujj60JWrO8du7UuxGrUTsVIQpGXhptRh1Dpqt5CiJsgeOSwdjEmmbTUG - dQITE4mEQNQ9aqAG1JBloNQycY0z68YNnSwTw3NF0gOyNnLqbkUwE5al650ImZnjIVHRNCG7UjLz - lWHrJBwZokNhlszv1A8iplmF4MEwKyJWK901XDxAd2J0Xy4WveqiFNXGFh1ERpUeCnqawsnWNO86 - SxVS2QcoEFppTSSccEICvTVTKiqgpQcxlaxR4I2t3Ojk0+pgXV6/9NyzTz355Jf+7PNPb20dx94g - u7s/8zM/e/jECS1ILlR+kV6H61ef/tpXv3hmp78Q7/2JQayZGAUhU/crj8SeVURFlQm6egWksyS7 - eoRBVHXRF8awc+Hct557aRhl++iRex98YPvYEVMLW+Pb5qHT+AUQVVJEPVjHaqXT7IrRKlaQtXOt - JEVgpgoT5iCdy72XX33t1QuXr498+NHHb7vltkXXbhLAEOh8NI7qA6OO4aNMDPdwBGACVQlVUXc4 - UawVa4dXE2jGeQo17foyjW21c01ebByHThwFUNYrl8+8fvHM+evSH7373lPHbz2+2OpjUqAy0U5V - lHTJxnHikIAwPC5f233muZfGWg4dvePB++7ut1LHwYHI+wpUnFwGq4hkWySdW7ER4RGAdV2y9UwV - HmQUy1ph1uWoJlBJRC5lNU0wCZWuFFMtDWYPCkUlcZ9CMaA4pHpWk+eKygp8YYtG28OaWRDhlRC1 - TkzMGuDOKWRUaWU2CYc56RF7uzsXz5998flvfXvnkN7z8t7o1sHCbIoh22sQVHenWNEg6HQ09vR6 - Ezwrtlj0VpIGRBUR1SSZmipZcoFaaaUDIqrZTLBZMqhKFpVm2auAtbqmw5W+zVqiSUybX9vMUESE - CjIWRuv9UgD6UK0UgLUOnfaiGt7ig5mYLwgQgiiaLhi0tV3I4rZ81BYgTTZURI1zka5IIrZJuZ36 - gsDd0ysWVZLjquOikRGkFVPNQroEpNCUEBDjQGyJTZFj+HK5+/rZs08//cy4hwsXLsYUhgCE8OLF - C5/97OdeeeXVEydO/Nzf+em3PvbgkWO3n7+48/Z3vnOx6PZ2d149c/rb3/7W1u33XLu+0zyMOkbE - 5DEiDWtnEArcMQwoJawLwUCEoJtrKDN2VulKQWFtZWPNZGSAKqVHYLm73PWoNSBaJxfCkz+bmhCt - x4VQ4OFXrz579pWvn7588r6HHzhx+J7jWwZLYloQtY4Y9l5+6YVvPPX1ly4OP3L+ok/cfTLUlKwS - RKM5SGRJgWBchppa36IcBus4qEYxm7w7q9CaetSqpIoOMobr16+efun0008/d21nuHz5GphuPMER - QdFOIEtnJ+hbhJvGKJt5CEQkS5mmrptZy9J1RVWFVJGJ2wQzAXQmzkujk5kaW9SLyX1GbkGSXiaT - nCFqahmgK+AQUYLOYfRr1/fOXbi0HKsAZijFEAKN3G5zWEIMWqBcdVpka16KrKhrWhIjODIiQiOM - U2sbUWntwxhDjK7Wc2rRSEyZEpFWVpCdFBzUms2YSicqEi010oIiOhAiJVnYCHAYeo3SL2YX7K/J - 8T1smDApzebwrD7j1PHA58INUqL6sPvqmZfOajlx5boLGq5E+ri8cvHiZ//0T0+/+urho7dsHzp6 - 5OhxsHUnieaFTXBg09Rp6WGFhw9tP/zQw93i1lP33HV4+5AlGROEZC0FgxEhfb8dLsPgpmqGfupL - I4SoMcblsFRTkRLkOI4QHFocksTzvGagm7x2gk20GCIa4V5dADO1sjUOY62uU8rQrMvWAiRFRagi - hCS5vbXmnHxc7Xpdn0yR1kAwEDFvA9KyrAEPghRLnmJ1TKBDo9KjdVxqF/Pgchi3t7esKICx1vBq - pmoSxDiOpeu6rmPrJ8h5ymPOzGGqcxVJtqIIINr1/d7ubkRrCpxIg2S1sldVoSLcM1eZ1MjmZgUy - vtWZC0ISWdoMbc2DMnwSRtQIkxAr6Qx5wKt3FkYvBtAZEOusmEJjqieeLVwEFRBTKwr3VfNKnWp9 - Gcj2NjZpBmPfixXDSKIX60W1debLDJdM+gUMn/RLhXZQReTmItqC3AT6RaWU4lE9AnRTnQoPoxgW - nYE1fIjAMPreGJVTQDVpqrkLIQBks4UiFy+c++pXvvFnT37j1GPf931bt3VbJ7a2muEI9/BRQRHt - TEVlrD4MQzLO+q5wfQWLZCwRocRU4BEJGWmMo0iolVJaelxFillXChleq0dYmVKPM9DcUAF1hKSy - b9Cte62jlr5DIKt2QqwAMozeA4e2t++9556HxqNx8pGtw8fEWgpDJPkSE5HELDs7SHIqGCKipsWs - 1ppdLxuxSJURALvSZXaBnnxHJSWYfm1rg9pWE1ijJsad13Els3Q4wopBtEka4XSDAVmzQxAZlkHg - tYrQxCCiJVUxVUWh4d46VhUR1b6oEx50SpkD1KTLMfm82WDBQRQVgF6H69eufvGLX3jmW89tHz7y - gQ/86PHb70hyRnqzZug6UwmVfIOqXS+GsaIToF/lD2r40gtGLqIagK5APOjjOBbpimh4Nj7VJDok - Nlfd89VjDWVuArVhOQAQpmZdqhUz84ggmOZdZdFbazwiGMYBjK1F13UF1NZKiYnpSOrDLLWWYu7h - HoqmmnTivurU6cHdVdCKgpLvo9Ic+AZ0UgWmWgwCCWet1VSKtar5FnsxACldAVHDfRxRWt5rRuLc - YxzGsqVMHrRZKQabDI9HsdIqyzh1cSXyZ1VAdBzGTqUUi2B2TzPpJNkcU3YiuR6mGhEJRvSLPogx - QlUiOxCJQLSIVoYzEGHNhRYhgqxJskvyrwdrJYC+qBohHsFai5VSRE1GByG0Moyu5MLAqApYV4CA - +1ij6xcoJQMtgCYSgSCDkvmhmHvJJGYqAhilT8isBUeG48cO33fqnrPXtu6599TW1iHLMhkmVzgA - T1LqOpuwVq8t9ksiQ536S8y9FBpUVUqLikaPtK8lefQeEVGEyBOCqBVFM8g7fPTIXXfd/dCjj566 - 79Sttx7vSilFpWKoQ9bPBoVSEvIm2wIcKrOPcDGUMiHrG72qiRXkxWb2d669fPq1T/3h519dlve/ - /32Hn3jk1u1bVbRFPCAYRi/CUoqUbgx4No+fnRtVBUYiRSbh11zoGeuqZdv3WJ9Fdw8QmbtKU+uT - 0clK9pl1Oz9AKeOV3dfPnn3hxdNEeccT7/lbP/Whd73j7Yu+y10GpILhjDFzT1TxiFIKxVgjxnC4 - sUxPPvkHdNA1xgRPK2WE+hQmmsJMIsYIjwzGs/G0oT1tAlFFEzMcvfYGUqQ6pBMTkcaNZaRWIFDR - oUdXiZDG/2V4eBURqmVnJGJGMAdCQYNq6RbwXTByGCFZpC+HDy3e8uAD/RG75977gyJaisHH3S1b - QDp4wwcVCMYwLLdKt8I+VQULx5ixoBngrds7tZUpZb8Pj6jjGN71C+QFgDJ7cutyNiEm+TuzUh4C - BkU19UnOPhljHVXEuj6IVimV5s3d2gKiLPoEiScnJ2vfURprUhQFrA3xTwwsnBFkmGrXLRb9YZWe - lFpp4s46jsvSqelW36sQQXcfzMasJp5yDXAgWR4JRTfoltOCEitFWqvZQHXkss7PRQSmqqvmp4mn - zpS3Tavl4+7VM6e/c/bsq9cuXLymyz/64z/B1WtPvPOJtxw7rkc7n9YtwzVcYrx47rVXX722ffxC - iNnEZGNQxDFZTdBQoNnHJN+jRyWtZJdJyfS/qOrWIq7vnD372qf/8NNXrg533H3nTx47cuehRela - QY60UIytBSkB+oQdtOL90R1aUvOYiah4IFGDrmjbhEBFtLTV53Xn2pWnv/H1J7/x3Llre3/78K3b - i2NFSy5qBxjs6AuD+p7X5cgYk6ZtGQEGYKpGaXWE9MT1mm+oGfAzgFCTvluAGlwhtvkgJKLWKrUo - ILxy+fxXvvyVrzz94taxO3/oh3+k397utvqYkOosFdTMgLpMTZ8qpMKs1nj99df+4FO/v7vUe089 - evstP3VL34HwSp/EQBSqER5kzRcBgXWTMARiDJp0peQuxqZt6qwoJBD0GmSxTotly99URcichWkp - lkY/hMGokjxQU0gnUJXixFgjGa+iGqCQopp7N1gDRsX6DtXdPYgiZqZW1rZqIpKEFKqpVCcXl6Xo - LUcOPXjfvVweO3HnXVtHjpmiqCCmTSRMVQog1d0JLen1ku5QleQKTkvEumLd5NuQMBXSWoZfuhWM - W6o7CMs4fIYPpDUNy6fL1+C1wizL10RaC9ts/qaizMRr45louIMxFXE6k4UeMdbltN9MS/QSELHm - 7QCSte0MAfqu0S66ZG6iMTlMspWaiBrC01MT1Sz4Kqt22MQqE4bE6SjoSk/A6e61lJIMsFbI23WK - qTVNUo2yyQ9Ya4VEJt1DwIiuK3ecuONtb3vb/acevvPOu0rpRBSsYCX96tWrzzzzzDjEI299+y/8 - 4i/c+8CdW9vH90aY6d7F87fddvz+U/e+9fJw3/33Hz52S2CGuN1K9vyAEzQUA0JRHU6qUzpXjJVh - 4tpC3tbLrBXBZfVN05nBbCFf0FlXOhP1OhIiZtnsWwXuwezFj2i9DQmEAHHutTOf//zTv/VHX37v - j/x4+f7H77/9YYipqGcgGS7htxw9fO89d3e32sm77jl85JiVDL5YVODZ5EdgqqLVswlk3lE57TUW - jLEOpiymLbJCVwNVsQAmj8GBMPFFX+666+6HH370rnvuOXr0FkA0IS7WNPMhXa2hKiyZ9KckAw+t - 1Db1t4hk777qXky70mQbSBZXEl80RDPLCELAomKKRkNipLOSixoAvQqSXgAfRzWa9V1pzQ2RFkWE - 0OrY2RurZ8wFWxTU3EE9GDbW0VBaNCSSeoqUmM1gQ40dqMJKMkKDFmxMC0juP0PQRx/Zk+yhU+ch - YmL5wAnN5FM4qDWAbmK3kwIRM1LIUdwhAWUAla3JA+nA1OX8r83xvd2mDI3xMjuHxNT9BASKwSRb - Io1FuN1rX8TEYAsXVGAcarHRx+XlSxc/+YlPfO2bT99z6v7v+4EffPSxEht3af8EIKBKs8y23R2y - 7ccee/Sf/I//5NqOLLb1rY/cf/hwyb47Iq1mWc1gJlAR2Wqd71pAEoSP3nVSSjly9GjGLUUA9CAF - 8Agyuq5L0ZR5NCLVvUb0fW+lQGRvb7eUbmux6LrOXcJXg9/b2wOi64oVJTgMy1JKhO/u7i4Wi64s - 1moy2pzO351R3IhKHzWiLXYtKMk4M89VkzjAlKjRlpNsb8NrJfrDhw/pdE7f9+42jGNJJWcFkIjs - NKSgVI8WOkw1KA08bID3hKQTyH140FpxZzV6KcXMFossk2FXyuyOZY0zxepUzZ0plkAmk8UTRC0Y - loOodN1CVDvtjKBKEHXiXCwWnQ97EmNZdMkka/MlqtKso5WssCNZV3lzVQF9GEwFpSTdTrsyOls7 - ynQADcNwfW+5ByikF+sEcIRHVVF4hY8wwKiqXcIhhPbp9KKzBgvv7uwVk8WiL6VMcJKJaPYhHJfD - sHPl8OFeRLpiEt6ZbW2Zlo7aJf1gDi6I1Wpr75cO6ukXX/ijz3z6t//gT39oVx/9/r+5t0TtQIWq - aldQBO5AwEq6Jnbo0HIcg7FYLCbaRfbJIgBTEZXSdSTDYxhrV8xM+q5j1KhVrYzL5d7uTt91hw8d - 2t7eqrUC3FosUjJKae55K0NtI89SxdqoyoitRRkBAmOFjGNnmoyL/tBhVL/jLW/5x//oH7+C23Zv - eejOB+48eRgAwsNYgRFeoR3Lgiq1+jgOi8VCVRmodVTRvusyZW4JmTWq6NpuhCJpFNsWXR1K36WI - BDHWoVgkYOQEa1YUWim5TEeBQVArlku3YlbQb5UIZ0Svlj4kavUiMCmtDQpQPUPmMbyCYdJ3fafJ - s21BV/VIindMcXYqV2krjgBRB/cAYF0Xddy5fu0zn/n0pz/zJyfvuuetjz727u9/V4pKqpFkfx/a - 7k29jnsiQjEBtrfRb2wMJH0pUbDdy2LsMFSMS7Kyi+1D26iMCOuKQSJiHEctpXVE0alybzpW0fCN - 6VEGfAxPR0JKvzCzgCSdFwJ3Aigl6+naVd3r7vXrh7YW1pfS91O0qsM4uMdia/v/I++9muzKsjOx - tbY551ybDt57VKHgvS2DKlR1V1s22T0UGRqNgqEI8RfoJ+hF8zwhhTjkkDNB0yS7utnNrq5GoeBN - AomEy0ykQybS23vz2mO2WXrY5ybQlEIv4pN4IxEBIDPynnvM3mt96zPMCSZXPzUCQIqEurXH9zwi - 4856itvi6vkERBDeKi4OumXC4GaIVinGOSDqJDHGAKCfzQJywRkLfAffa6UJkTvr6JY2yo1qpODo - IGSjASBNICKwWitjCZjne4BgLMQJSYlSgO95DK2biJCldPshIEcqYRw5t8YgMmDYbIaM80w2IIBY - qUazmclkhJTS899YNCMAMCE4JwBlVRxbjiSY9DxA51PmfDk4IBikhAwiciEEE85ZjyHIVi6E5R5a - bYwRzqjRKpcDI4MMIKd0bpca23kMDDDbEpHYN/ARuY4LGUfhc+ETc6xGC6SPHz30v2w6sNhsw8Ke - Tevz7TkgA9YaIRzR2gCktFmtDWMoBA+CgAAYorGGyHq+n5aV7s5CAHDW80REjqOAiErbJGF+AMIJ - HsnyNybTRsWJZByYMMa+997BTZu2fPbtz/P5/Jr2ghAcyQIHL/AADTLBmDDALYE2FgxxC0JyKZ03 - S3pnukfiLdwWnKkrUCstiSwYHTdqM9OTt27dmgrZug3r9m1bp9fmJecuTMNqzYxGq5FMEseU6CCX - ZwKUspnU5YLAWmUotlwEgmOK21pyEyD3xFKSxFprIvA8z+3ayDmlJBjQBiD1SVhdhdwKlZA2DkHn - pMHjUaOxvLTcaIYasl6QzebyfoueFyuIa42OrMd8npYR1hqtkEtETHnIFglBSPDeWiYcfoTWAGlL - ZIkZ4G9A/xZHkjHGpXT1iieBAwcTQzMEpawPrOAzDkBc6Yg4IzI6SaRMGwlXOzHkaMEYzWyMXAOI - MCKSkntAzjMnHRtYbTRx4dzAfQYgCAmNNcYKzgG0BtDgYZJAjOD5UCgWzp05veF/3RnBBq+wf/PO - gvTBgvWkQOeQylEbE1orJM8K7uWygAiatEX0gAA0cGWVIOsxcnclF2lpBkw65A0IOBeCCfeQtp4y - zhz99631t1XE0pslAWGVmd6iILmlGJCxIBMQkbYtFMl9McY4SxF8gNTd32ErlHqGuhX4rSKW3mD9 - LusAGQLEcayVYShVbOPYWAKPCymYH7BUHJEuFrblf+jeMRWZJQrQUM5blZtZMAoIQXiQyujBOto4 - AQrwGKDzIksUByWQwBNvnZzVCcrbO5j7F0lmOVpkCJls17ptulIeHhp8/vSJ7OjcsG8na8k7jDFo - tQArkQTjjEsQnvu9Dl4GrYBrx1yw4K4fKK3AIvcy0pMM0twz5jhgUQIm9DJoTbJSWr516+biYn3n - vt3nP7iojUoM833PWLAaMp6rEIzbYclYEIIYB0zr+UyQcQYoKYRPYA1xhu5iJomyJgkCLy3PjAIV - 1yrlhw8eXL3dvVCNjp24sH7NZoFtfgaIAwBIgV7Wh7xPOorjprZGWUsAUkKq0QNgrbAj4ZLd0FFZ - rCeFeyMyOk4iYyCTKxCxRGtAqQ0josBHh3j6gWeVUs2GzPBabWV4ZOirr25lO7as37yta/36QnvB - hZlgy6zMFZrIGKAAtKl3HAdt1MLC7K9++YtKjQ4dOXfmxNmN7Ws4Ay7R80CDqxmMMTEAcAZuc0yr - bgJrSEWJ9DwpMXmr4OGcE2gVN6VkwHzfzwBKdzEcOwBTQkBLSEqgEs05eI7qaY01BCCBkCxpsKal - 8EFHTTCGyAK5x4YxIUgp5y3kWAXIBLjcOfOGd26MQqM8T6ahcQCMgfSYILZpy+ZNn35y7OA7s3Jz - sv6wt76QEGhtJZrWdpluUcKTKUzCgANjLYSHWvN1IMdDtmAt46w1Hny7q6UkiYExT/rGWgDm8mCc - u63TYDg2IgIIKZwtled5KW8xXaLSOpOzFvDfOsZV2o8x2vn/CYGABAID5jnpZhB4biQuuGgtfOAU - NuxtO1trrQXm4EAAIYSTeKpEM8aFdJ1ya3QHSMhc5oHgnIxFANFKS3fT4tXTgMg833eEEHJpBOTC - CwgBpJSACNbqOGYcmGSe77u7OHXaY7hx44af/OTHlz/4HKy3pqMzlwsYA6t1GNVq9XKpVKpV67nc - 2vUbNm5YvyEIPALyJNcEQRAcPnRw27r/+cchEx1b8ht3Kws+A+4Jbjk4EyVOb5pMx5DwJHKXuA5C - ogIIE8st+hZBiJRRhhYADJjQxJJLDoIhB8bAIGhnscy00kTIZerysnpLAGinK1SGGWMFxGDV1MTr - 3t7H12/cwfya3evb6NBuNFoDGs6RgRf4wHP//r//o4++94eRtya3fvfaDs+RlZExbRLh3FfcJsfA - GVMjQjYjtIEkAU8C48CAZzIBWWVUwokcLGAJzerqj+DsxVnW27N755/+6Z/+6H/QHV1dG7s6jbb1 - qN7mIXgIAsEaS9zzOBpIlPaEQBf0btJxOAC5w0viGBE93/eIiKxWKjUtNAYoJelqpTQwJiRLY3Qw - HUS9wU4skkUEFJy0Vkoxy4UQwKXvydQQME2Z0qgSnShrAJkQQTZfbGdCOhu8NCPHEAiOjAcZiWm1 - a5ibLCIjRN0SRov0klkAjaSAiIDZtyFUa0ErYAw85glPO7KjacFYCEopC5xLYSwwYxi3AAaYlMJL - ECwAWhCIDFFrAyxtosAaMsYwbhl4HkiRFSizlrcAACAASURBVDYCa1J8+d/M618RuqW3cNvWq4Uo - vQ0WALghFzG0QFpbE2ujLUAqmzNAxhpVr1XLy8uen4vCmCwgS8F69i9+OWH6cDmFk8S29uKB/Fpl - ABA8H1pxS7C6sDsinrEGQKRESFitQIEQNFkAY60WXCCAMZZh6h2LCECYahlc8himTwdzKS5Gc8Y5 - Y1JIZGjJOaYxIDQGrAUhQHDhomOsMQDE00Eik1I67wJLliwAWWR8tYpODf/cBMdBTk43Bm7ERcA9 - N7Bx7Fx0DvQWkMAXwICsNcytRegIv0gI2gJax1oC5lISnE+Kc5BIfdItWQBk2lhrjMekA3ydy27q - oYAAiDrRBCS4WKXgurEJXyXlukA053OaUmhTbDo9cI6t3Rew9T3OBUNiwEQ6a2pdftccICCmScWC - A3fwAF9dWdCZ1TMuLDmbeYYMkAEHZrTSVvueRLBgLUvV3hbQAuNOEIlvUCwAAKMNWQtSGrLa3bjp - c2SBpQLAdOFBZhGc6aHRoA0Int5sUjLOAND59CPjzFoDLQsOzoTnBe6mT2LtMURiZJFAEPeAtx6D - 1Uft7Z6CtQwfrI7jaHFxaX5hYbm8snHb1pSFpAmsBqsZRyAilbjzzpgQPPXrceQjkwIKCADaECII - 7qbaLuUcmbuOrTwThsAZco7G6CgMtVIOYDKWXFumjXOFJ966d5ExohbcbBSYmHmACMqxJLhgjFGq - LedgGASZbQcOrPM3r2Q9YCDTS7OK/6RwDAE6HoAx1lU5AJwsKaVcheRMrDhDx5F3E04Hq7VIrW9Q - fee0jgiMITFlHfpMfFX/AmitTQAN8sCdPS4440AI2qaW/Ubr1EMoRWkQXAqwtu5HEYAzriHd4VtL - KYK1OpX8MMtaVB2TMpgYIFidYl9ILXq4RWvI6Ea9vlwqgfAazUgTIEsHECl3jKwxCQPDGERxHCtt - V1dCSGspg9odSBpAuErjB+KAwJhbsAGdbQK5dVYZA4CcC+OG8f+vO8fqFsEYkosRc8fgcrTIWkNO - Na+05TxdWbROjFKe5OkVciMR4zg9wqWovVkn3n5MWgAuWecRyVKmpvujNZAhlFYIi6AtgE0BSidz - MsYwkVpwp52MG7Uhgl0lRDEi58fi8qCIMWQMmINugUlnokGtgHWH0SK2ZgkcoOUxi8A5GguoQApA - Mo7C4mpeazRDTL0N3DoFQERgkQtHkgWXHy49nwlBmAqQBQBKbq1VZLQljpIhSiE0A8uduipljKQH - gQzTlCZ0nC1HxUXGkDPrkpgEopUIGqwBMmn4B3Jg0ry1NKHbsIAYeppQpzAMtlphC9ZYYy2hBU7I - W0IGw8mI9vzutrVr9dpIeFkJ0lX7xoBMb1Kwhog4SxXyxp159xbaWmtcOgoACM4JXNwiEjH3UcmS - MgqFxwVz9gVOySKcoR5YMBqIhPN8BGAMi4VMNpfpWrtWSiGRyCSajEADHEAbsIlhaJiAlr8KInPi - Qsd7deF/BGk2ebrJpNkWq1g2ARlAS1o16vVSqbTYYJVqTRvDUnsPQHDOPZaDzWczUsqmts0wNjbI - SMZaQJx7pjhvOZC0nml3Xd0POfcSgDQb0H03XRgQEEFrcBxmQ4CpJ40FBOQcnKuCBdAGLXAmGPPI - CmPBUdWti30H8HzJBQAzAGiVMoQ83R3dnoNAkCRAPhCAgjQyzhn+MjCM3DLHCXjLBMAtaBrRSc9J - G7Dp6U0zs0BwRIhiRb50lu3u46/6RMUxWAmCpcfBEBAt2EhbCZiD1qqMTsBEro9mxoJ15Su6gwVE - d4YZIHeTb54G+oEUQnZ1HGrfYPjWCJlurbcMEMiC0iAE58zNSjEdxBIgSC6JOcBSKAqQKLVCdtQb - x2RgngEJQNaAcFAPASgwBCQhAWCEEp0cNkXeCcgVaa31BxBaAV6prVB6olzcDuOcMUbEtHU4c2vH - YAhGA2ngjkBorTVWcOAMW07fmgBWu4DfWZydFhuZc/RERoQEHJFzjpgqOZgBjaSdRQgw94sJMNVq - OWdSxlNTLbIGjEarAVa9xt96P5ZKJhxuiwYQyCle3kay3Wb+VhPTciwiAK1JW18GUvjZQvvR48cX - h/prU2PfXPsN7+jKbdqeK7oEH2AtCoM1SmuF2ihNZrWMdfuI1UAGVsE5IN7i4qWFMIAyYAxkJXAm - EQSAMVo1G4352bmFxUbbmk5jDeOOfe1qOnenWnJyGXdeGAJzVq8IyJAzS5D2JvxNBQ4uQi2VtbY+ - u4M/wDTrlZVSaaWqomZiCFGmVxYdCyqJ0ERMkudxTwqR2sE6EJEBMSJriDtmCXtrCbIOPgBCBkIw - bOnvOWeUWi8iQ9AKrEoyPjDufCt1HNUrlfLC4mIRCtpCKvSkFBlPWbYEvmjddW4kBgQ2iaJGrbZS - rVZqdWg2mkppsqtV9CpFzHJmPQGMgUqUm0YoA4I5kZlwRckqxu8aGkTiAoASMAx4zt1P1lhgljFq - jeV9IjDG3aScARFpSxGDhKWeMgwxbQOdnZKxoJNEtuyM3PYPRJwxY62KE5YGL3A3bEsSzYQQEoAB - EkNqXV9KDd4crw6CANZ1rStmOoMdpWyh5E4SWw0KInf0iSUQ3putiqX1lyUr0xkAmSRhCCmB+i2w - EgB0kridgrmSCIC/FQlIaVOc3psMGBE5D1zOGDJ0Q2shvdTN4+0OqBVTwhkIp9F06gmyLStrBYDA - mU0iAGR+4GgpaXmJ6ZMNhFolglsAZo1JqYrO2Iyc2wOkeacIxqReNNa4DRvYG/2SIw4TIHOka2SM - MefhowkdrzhVM2AKRgMgpRFyqQDLUS9bfSWBddNTYThn2UyQ3dy5eUPGKM6RpbZLDKXkQnAAaoZR - Ls896UnpEYEhA4wDghd4hTWda4tyEyvGQUcoVs8kAVgyTpmUbliGQKYgQ2IN10wwkXbDKXSRro4W - wDmdunbNGWEZBA5kQVtQyJH5ns95SERag7M7tq74SYsMAsS0UTIAKrFWNZvN5YWFlWotjBN465V2 - jmA7tmzu2NJewXblgSdatxu+9WUNpPF3whK4wC3eShSwFoiMm2i2PGPSsaKrbFNsHgHQggRfZvft - 27+Z+b4PHAA1oBUAMWgFXLr4UIbOcF4YcnMpaplkAqWiGmRcrC6wZMm02hDO0vBwAGJIbrNz3zOt - YEOtDEfgSM5eAwBcdpkQPFVGg2ar/tdpX4vAmORCSg+AE3DGJbbIiynowVMJO09Pi8viTncIK1tz - sDfXwAJodNsncACRzr+hVSwCAVmDJm066Y2Eyik/Eu06awagQStAgX46CHEHTwTI0JLjyjgKwZsl - haglS/w3BNsC/Kuzbluv37m2kBJIUvti5/jFgMAmZK22OtZWtaBbXSkvLZUXF+aALGc8iZO52YXX - r+eEn9EWg0zQ1eUhAF99DyfGTwENA5xImcpK1ZqC9ME5zCA4ARVZreNEhWHUaKgoMoCeJzNSBJlM - LpeXjqaKwCxoa7RWCWMcAZXSnnRWyhDHSbPZbDQabcViNptths1mM4zjmKzN5nL5XI4xBhKlEL7v - J0rVa7VmGCWxUQnFcSI4z+Yy+XzW9z3gaIxlHKSQABY5z2YyAKiNadab9XozjhOyAMg5E77vZzI5 - mfGtSD2eGbYm40rpWrVSDy2xxEBskUSGBXkvk+HSAwYMrGTMIpHRHrdgEpUk5Xo1wWw+XwQgo5Iw - bKgktlZzjpkgyGaDTCbjAIgwiuv1RqMZEqFS2hKuWbsum8sJAdqAQCsEWGucxGNhfgEQOjs6hRTW - 2DAKG42mVgoRM5lMNpfNZXPOTE2DrtfrjXojTmIAZDIT5NqCXNFvcWwwFS4jEQkhSOsojsNmMwzD - KE6chAmZyBUKQTbvB4JSMwRItcxGVcuVROlsoci4NIBKNau1RjOKEdGTXpAJioWCNdpa7UkBZMka - 5lwvrQaiMFLVqFpvKlJSUBCg7cyU/ExkrUHGwBPGWqWd+YIE0GBVSjaxVtXqy42VimIheRxsILnk - 4EvB2wooOUPwApk+GlohcEQIm2EzCpMoJKMQrESbD4RNmkmkhcfJoNHAkBOTq/q/1dLl7RoGrIGw - aVdKlZUVsAYRSqXS8Ohox7qN1AyyFOU98AX6Ahn3AECrBJlQJm5GSRwr5dyYAJAJ6XnZbC7IZHyP - WQNkLDLOMLX/IQKyGhkBWZczJDjzpECAJEmazYYxWqkkUWqlUlVauXtbel4uny8WC1IygNQt3lEO - oFpaKIVsHY8LnTqBMAyr0YpOEhEU/Fx7V5YxV+RqqKlmKfEy7eA5wQ4QJDHEtWajDpmC39alkeI4 - rtdqjUbDWvI8GfhBEARB4AsQ1to4jp2BjtFGJYklkkI0ms0wDLVSQGQ5B84tY2Cljpnk2UzOdHQK - jkpbEjzD3hJoEJAhbW1oLVVXyOiM54tcERkDpZQvncpMc7AUqVK1oiRCLmgrFkFrpiwPhI7jMAyb - SSxygchlYpU0qg1dbZCxoVUx2Gxbe5DvlBnPdbHWAJfpJImMRjIg2KpBvKpXlkqVhfl5o5UUIlFq - bnFp7PW89LMW0Bewpj0fCCBrkqiBoDzJ4ySOYxUnUCsDNEJtS4QV4TUhs8ZvzyjBuXa9G4HgrhQg - IIaQJMnKSjVOVKKUtlb4PufCALR1dGRzOWMstGD6f7ExvP2ySRI2a3EcCellc3mdJM04rtbqmkBp - kyhdKLZls1nf9z0vBaK0UmBNkA1AK7AaGFfNRrUZNROtLILDerjwvCBfKPq+52gdrhVMEqhVq/Xa - Si6byecy2VwAzrkJCazSSRKZuBrXYpJceIEvA98THBgDJKaMsUZZldRrVc+TmUzGz2bTYApryVht - bL3RrDeaYRQzIQmQGM/lcvlsNpcJ3OdljANZq41K4rDZsIak5/l+YAHqjWYUJ8aikH6hrT3Iep4H - cQJKK84QwQC59gCBQCeJsWSMbTQacZQorS0BlzIIMrl8ngtpLChjCVB4fqm8kmjtc573/bwnueDG - 2sRoUNrjIJVdqVQMR5b1c8UCd30/UhzGphmFcczygSjmCCEO46TWbFSqhowQTGZyMt/pFTKMOUk9 - B6WBFACYykpNCy0LfqFTclCxChtV1ayRakoG1m/DXJcs5Dyxig0CWANaO8qOS1yyiCZF5S3oJIkb - iyukgi2iE1JZg0OvwACwJI6akYkSrZJEaaWU8qQMgiCXzzF0EzLrmG2ccxfsZowJw7DZDBMVJ3Fi - rM7kil6+08vlW7AC4xyB2RQjQ4aB71AfBqgs1OvN+YXF9vb2Ytbz0FrQwAwgmdpKqaET8DLt67LZ - nDam0QibzWYcK86F5/l+4Gez2UzgNjpoFautNiadzxFYA1qZ0tL83MzS4kKcxLGSi0vL8wsLs+0+ - WOMFeT9T9DIMwDKw2cD3pKwTVGvNKG7zBcwuLdikgTYiRJlt94tdkkvgb+pwxNVhERGRiuNm2AzD - SBsDyAC59INcvuhnss5PyWkKbZpJQeBKLEfQJgYqWVmYK83NN+ohAE80lVfqs3PzHYUMIZN+4Ofy - 7TmPgwKbAGGz0WzG2hBFSaxIc+ASpIfSyIB3dZoA0LTeC1JTCDfOI2AWeevWSf1unDG70ka3jBSM - 1kAWPAGWmUTPlxYiFBZCooihDgwPDM/ywHg5JbOymOE+UFozgtVxvTxbbpa9/HaZz/vO/Q1NOlTT - qhHbcg1AFDoLIH2NmAABY1mb9jXGNGulRg3zWyknyVXcWtWrYQh5xbtEDrwgxUrjsEnlSFmCjiJv - y2mry+WSKZeYsYZ74AUyVxT5IguYRuHabSQNVoFJgKzWvB6xSpTEzaaKIl+IgKGHoOM4ZBKyxYTJ - rIdr2vOOQk6t+4uIGLSw+xavDaxNElWprkRRrIwGJyzTulAs5PP5IFfUlhFDwVu1B6KJwrhRS1SS - yRW49BqxqSeVpgJNLMjlM7m8L1MCFgNCrU3cqFcrUWyMBeDoBczLMCBiyMkiQ8G5dOmUDgUwpJnl - gkEUmzguh/Gc0TWb5FEXJe/k2azflmEBCOmaTQtaCzBgE6VstdH0M7mg0BETr4SqVg+VJelnirkg - EOAxkIyz1C3zrUE9MADhnFjeWHdgeleRth73OJf5Qvux4ycnuHm+OH7n9jedO/fvO3VJZrsCAQDA - nZmr1aSV1pq0ibVVlBL93NQFlAbSwGy93qzHtTBpWDRIjAGXwhPZXKbQFmnLjQ2YEALByqRanp+b - mZmeqtfrUZSEYTg/P5/tyAHmuChmsvn2AgCAJYNWc8bA6CRR6RZpLCDjTEjP9/2M9H3OpSOoOP4c - EYC1nHPHiQVrwChIwqheLS8thI06WOLAl5bKs3OLhoQyJpsP2jolRXEcNSGqcUl+RnqSc4YaoFIL - 7fJUQxnDpQLGvUKxcw2X4IsUwCUgrTWRAjKAFMdhM1SJWjIWLQlkvvRz2UwWA89o0kkUCOn05JWF - uZmZyVJpKU6SxFC9Gc3OLxgwAJTL5bNB1hOCFHAAj7cGUdYAB7C6VCpNzSzNzEwyBp4QWpn5ucWO - jChI4h43xTZbSIXInIMUAGCjOFYK6g1olOaECZlFTswPsljIYTFjW6UOESEQEwBaGcM52ShkjahS - jyqGYkJr0XiByARdWb/T+SIHvnN31NZEia6pGHTMAtmWbe8Mm1EliprNJkeQQgSeaCvkhSddUqsx - utlsxHEchmGtVpde4Gcy0gsyubz0A2Oss5FNKQVMuK6ZAG1rXigAwGpQMZSXS16xzjuU7+i9LaND - hrrRWGnEpVrTcp8xAVz4mWw28APfI2uQSEiGjg2ilCc4SK81GyIgipthrV6PVeIHQbG9PVEqjKJ6 - o2ksADLOebFQCAIfEcEQcOSMMQ6WjIqVI0IlcbxSLtfrjbQ4AYaAnudlg2wmn0fJAZ2ALaUiESC0 - IiyBEWgFFgCYSkJL6AHUGmGjEdWbsSVk0gsyuVwun/WAgRIAwMgoFUZRkmgCls8VgkxWKV2r1+uN - ZiqjASi2tWUygfBkOkJnbpdyFgIIxuo4XKlUmlForCWWOnoGmUw2nysUCm81EekuhghkScVho1Zv - NhpkjSGNaINMwfO7pJchBM5IcgTAZhRWylVfFLJ+4HEfAZSKq7VKZaVUrdaSOEliVa3WX79+7eWQ - edlsoSvI5jMMECkOGxVtCLI667dgawtGkzVI1qCqxXw5BKzNFW0llyw3SWi/XbZvLK5pVwAIIJ2Q - usXbdJSgUrVa1s1IK2MMEWRA5ikoYibv5wFAcCG4JEJtYNVxBN1crTUcZsgIKKzWqrPjtcpKHEeQ - qGqjsbi8NDE5KXUDvKzMtfvZnI8GtYKwuRLTVK2a27BtTXsKDzr2xEq9nERxPpeXQhKZMI6qtajR - iIT0g2wul8tksx4gGK0QlUqaSdjQUQRaxywPhU2UD9ADD8ASMSfyQANoo0ZcUSA8vy0DOR88noEo - grgGhCtxvUr5QscaIcAovVIuRWGotQ58Pwj8TBBkMoEUAhhIKYCAHP8PAAHiMIzjKArDJEmsNc6T - 2jCPREajRLAI0NFeLGQ9pQ0xQI5pbLK1DtZlada6NVppEzeaYb3RNESALMNMZ5aBIcElADOEhpyR - jNvV3AATAa3WSSNKGrV6HIccLLcJJ03cZx2beFuet9QkLSDWIBgEAOQE4g0Q4ZaPqFltNpZVI0Hw - Ik+GnkyE9ckreF4x4DJQRjuTX9AqXlpcDAEKa3lhXTEAyVOTJs6FNUZp5ROZZnWpuRLLNiy0dWQA - tJFWA5O/u2v////1rw7dEv3uP90fA6AB5Op/EJHVVicMQUrpBRkmwQBUVsq3/umL7sdPB4fHBvqH - y6XKUqn2n/7T//6zX/zazxYy+eLRY8f+6I9/P/DfZPXAKgkCjIuwmJlZ+vM/+xlQ2979O658+n6u - KI0Js3kBjKIo7Osb6H748P6D3snJea1ZsdCxf/+BU6fOXbp0oWtNe5AFzpBAME5Ccg6p7xullYwd - GOjv7X3S19d35conR44cGRsb+82Xv7l9504YNq9c+fQHP/jB/n37PN83xjDOl5eX+vsHvvrqtwP9 - Q/NzS9ZCPp/ftGnjJ1cunzxxfN/+PSI1NyFjtWODMsZWVlZePO970P2ov29gfn4xCuNMJrdv3/7L - lz8+ee5025pOADCOeAIEWpUW5l48fny/u+fl0OjcwvJKMxHZ4rY97xw9cfLU2XPbd+3qaucMwFoi - a4FRvVx6PjDyT7+5jX7Hf/gf/yQI/KmJ17/+9a+ePuldXJxrbyuePHni7JnTp0+fLrYVVaJeT0zc - v//g3v0Hr16NMyY2bd5y+eMrh48c3b5jZ+BjGjhmqdFozM/P//Tvf5oJMp9/53Pf9+fn5nse99y7 - d29yYhIAzpw5c+HihQ8++MD3fUdTvPb1ta+//vrFixeMsU3bdn3w8WeHjp7cuWN7NvA9iau2DG73 - X15aHh4Zvnv33vPnz0ZfjWlD2Vxu7fqNZ86eO37q9JGjx6THmQAOoCIFcU3r5O//4e8np2fOnL1w - 4OBh5PLWrbu3794fGBiKonjN2jXvvffe7/3wh7t3bW9vLzAGZAwgAVpQiYpCA/Ds5fDVm3dv3n1Y - Wajn/fYdm9ZePL7mysUtWhvP80ArLjkXMjHgcUFWJfWqJxigsI3o5o07V+8+etQ/stRUzKpCLjh9 - 8ujF8+dOnjy+prOdS0nGIAIwJj1BBEkST05O3rp959rVr8rLS0Cmo5A9e/LYiaPvHX53P+iYDAru - AwhDTNNbSvq3FIiuSmqUlsef3Pvr//qXfSNTk0vVJEkGBgen//y/fPGr33T6sKEgv/3hhZPHDu3a - vd11KVIIAhwaevXba9eePHs+PTPbDGMC6Fqzbv+77x47dvzgwUP79+/hAq3lcaIlT7NPEQGJASl0 - hsVuRGaM1oozzGayge8vLy8PDAx++dVvhoZHKtVqLl84evTolU8/PXzkcFdXm7EQRhEg+gHG5VLf - vZv/519/ce77/2HHqc+CILj55Rc9N6++Hn994PCJCx9e+b1vXW7LCKjWrn5z+9ZYY0Fu+sFP/ujg - VlnIADI2PzPd13Pvxo3rOw4c/vQHf6BQvHjx4urVq6Mjo9VqhXN++NDhDz/84Hvf+37YbAJALpdz - Ho7aaM/zGs3mq1evrn39dXd39+TkpCc9L5flgUecM8oyyrQXNuzdv+YP//jb6zZK0r4vpXTc85Rf - AYzTSqX8emzk5z+7WSnj7r07vvWdD3fuWu95HpEBIs+TzXL15ZPnf/OPf688tv3Avj/4/T9Yky/6 - vg+WRkdHH/f03H344MPPrly68vHC/Pyj67cfXr81PztXSyKeC947fuL46UtHT55fs7aYkSC8N3PH - dNzt7JaMgTj5+qvf3L3/cHB07MXAYHmlnJSrf/Ff/urrG3f8bD6TL+7bufX3v//59k3riGwch2QT - KXkun7fIJqejaz//xfN790fHer1MuGf/2sOnL5+5/MN123cWPaDQJWVY8BhjaEgnkRobfX3t2vW+ - /oHXExPL5XK+2LZ+46Y9+/Z+8NHlw0ePZrMB+5fuiv/3TQOmp6d6Hj3o7n7w3sFDP/7Jv5tbmOt+ - 1PPbq9dm5uZrjQYBO3Ls2KVLlz7//HNrrQFijPm+j46PliZRmIGB/pt3H1y7eWel3lTKxFG8YeOm - Awfeu/zxJ/v27l+7bq2rJZSBycnJ2zdv3Lxxfc/unRfOn/nog0tkNaIFZCC4qkcT0wt/9/MvF2vJ - 6TNnz5w6uX3bOsc+AADf96cnXz/rffzlr/95x/ZtJ0+evHD+nAwCMAa4SKJ4Znbuy998db+7e3Bo - 2AJm8oWutesPHjp07syZc2dOZfyAIZHWnhTWmGq1+rd/89eVleqp06f37t1bLlf+6Ve/evasr1Jv - bN66/Q//uz+++P4HQQaFBOQSbIyMwPnoIQIyIeXc9ExfX//169eHh0cWFpcIcPOWrYeOHDl37vyO - XbvaOzul7zejaG5h4S//8q+WV8qnjhz55IP327ZtI7KAKDzpeX5Urj1/8uJvf/p35IldB/b/4Ee/ - 19bWxoXwkE9PTT1/+PjW3TuHz50698mHsVKjfQNPHjx6+uhRpboSBHLn3v0nLl05d/nzYkdGeOAB - Ov4h6OiLv//b56/ms+t3XPn+j9d2FF88fXzrm98OPHm4sjDdlgs27Tt67soP3jvz/o5t7W84oK75 - s5Ygdc5Kg3UAgWFleuJmz71rD2c7tp3//re/tWOD154B7nmATbCKErOw2Hj6fOKbb26Oj48tLi6G - UVgoFPbt3fvJlSvHjx/bvn2bs1OAVo8Qx9HExMT9+/fv3bs3NDyUJEkmCDrXbThy6uLZ9z/ds2dP - ZwGEh9YSMxYYpb4WQGDIogXOl5ZKD7q7//pv/u7s2TMXTh8/dfSgJyQQASXPn/Y+ePJyqa4uffyd - g4cODQ2PXPvm+t079xYXlzJBdsvWLRcuXjx79uzB93avMpOMASCNYFPfPyIwWsdxUi//zV/85547 - 11+X4+nplZLO/fJX/zz6vHtjUSRRdODQ0VNnL33+/lnJOUeqVVeiKKIM41IuLlaeDD/95T/8t8lX - QyqqdnZ1HTp1/uwHn+w/cKijo01yEDxlXVnjWgianJh49uzpvXv3BoeGFxeXlLVdXWt37d175sz5 - oydObN++y/OxRRRtlWGOxA4MjA2jeGZs9L/+2f8xOfJysk4TkzMNyj3o7lHN2ppCwKX8/Ps/OHry - ZNvmtQAWbKIjtbC48PhJ/9VvvhmfGC+tlMFCZ6Fz/659pz+4vOfE6TV7Nhe5y7VLCzGGhI5Wj5xQ - pAdBwBmTgpM1TmwsREqYjKNYWOX5DCqV0amFuy+Gvrx5d2xswEJIOgwMLzJ/z5bd75w4e/HT764R - Oz0BggNZqDfqsxMvb1z92dPB0qGTnx859d1D7+aBNOcaOAcOsxNT3b2jt++/LHbt+ujCgSPvdHR0 - amACkLSCsBlOjbx81n3jV1fvnfnkIu/BbwAAIABJREFUJ4fPf/f4wQIgTr0a+c9/8dMmbNu6/5Mf - /eFlzl3bSq/Hx/vv9nb39J789PLpzz5eLi89/ubaw6tfLUxPRYZy7Z3Hz79//OLHB06ekD4AgVLa - MxGiBmHB6MW5pbvPh6/d6xnqf7E0N5MPZEfW68x5jHTI88pvX7t978kj7373W5e9vM9bJGunXGtx - rlcrCarX6jOzM3fv3Xv46OHzFy+0MYDg+8GJkydOnTl96syF9s71fkYQgDGAZBinqanJJw/vX79+ - 47Nvf+fQsePVZvLTL3519da9WjM+d+HSx1c+u3D+nJ8DayBK4sri3KvBvp//7B8Hh19Vqs1CsbD/ - wK7jpw7v3fdeHCeMCURhLSQJgLCMW0uGIyJjsdJ9ff1Pnn7z8NFXU5ODlWVA3b55w7vvHDvy8Xe+ - s33vnnUdMkmsh8A9D0DPvxrv6X36699ePXb81Mff/t5yLbp+t/vLr75eLJXfOXDwO9+6cvHMqU3r - 8oI4aY2kgQMx9pZ3XsvrEyA1jQUAsCCE5KJRb0ZhAsxv7+zMv/eOqE7dvn13/PXY4NBorqMr2wGt - BC8QCJnAy2QY+AExYVv4jtWaJSEIAJUsleZ+ffXunQe3nvc/1aQ87uUy+T179h08dvLiRx+vWb8p - nxHWADColspf//aXD+9fffRocHZmVil/aGj4P/7H/y3Xleeyva1t0/kLn33v8/cDaXxpfMlBqYXZ - 6Wd9A7+9fvP5y6HFpRLnsqOza+fOXR98cPnY8ePbtm7RBpQG3wPu6NzOkwcRrAEdh9XSyODAg/t3 - 79+91dPbN1vWirf9lz//y1/88jfZQhE4u3jhzO//wQ83tnmeJ5o20RRrbeMwjMNochLHH17t/s0X - fYOj9WayYeuOQ8fPffqdH23ZsS1oBwAwShMp6QkEbDSqw8NDj3q6ex4/Gx+fqtVDY0W+0PnOu4dO - nTx18sTJzRs3FvJ5ZKpeLk+MvfzVP/300YMbfcPz8wvJchj81V/9N+FhJieLheLHlz8+ferMO3t3 - iQCYAWNApNMqArDLSws//8XPHz/pfzEwMjExHoZ+uUzNSthVkHlhutZ1nbjy2YXvfuIzQIZEWiXA - VQIAK5Xa+NCrG7/94uXTh+WFkse8o0ePH//g0qXvfMsvZFxBzhiSMUlU9wQxhMpKtefR4INHtweG - ns4uTtSaFT8rd+/dcfzYB59e/r1NGzb7XppNIgQDwfpHB29eu19bMe/sPXb5088fPem9evPWr7/8 - dSGXO3/29P/0J3+Sy2YCkIyxKGzOzU4/6e191NMzMNA/P79oCQtt7bv37Pvo8sdnL1zsXLNWvmU6 - 0yrckbdcy7UmxjRUKuHE0C9+9vejsHXDhZ/sPXdqfbu7060jhj5//uz2g5473b0zi6UwVtlc/vjJ - UxfPn7906UIhl2EESmvgTHCWyWRSRRFLac9JGD148ODrb651dnUdO3H87Nlzz549u3Hr1rVr39Qb - ofD8dWvXffc7n589e3bPnt3WamdxKzhnyDzfQ4JGoz45Mdn94OHjnp6hoeFGvQmA+Xzh0MFDp06d - Pnrs+LpNWzJFz5OA5AasgICETplEIBAYkNYqTDzPa4bJxMT419/ceNTzdHhkvBEmQSa/fceuDy9f - Pn/q8O7tG4BbAJC+fPr0yaNHj2dm5j/88PKpM2fGxsbv3+++/6B7YnIq0bqtvf3S+++fv3Dh+PFj - jDMCiBKNCIxzn6E1tlouT05MPOx59Oz58/7Bl80w5FJ0rl1z4tTJ02fPnD17TgiBCBxd7haRNdZC - 2GiMjY7eunnr/t17s7PTxqpCMX/gvcOnTn988tRHa9blhBAWTGTChw+f//Ln36zt2nzq+InPrnxI - Bl709f3t3/3ZzMzEyFDJWlsqrdy4cWN8aqDYFWzf/c6FDz7Z/85BKojmwviNX3/x+NXSO2evvHvu - k2M72tJVjiHjwobhzMLMrccDNx71DT+6IRuznVAP2tdtfff40YtXLn1yBQLPrYtJAkGigTNgHGrV - kelXX3z96xtPHkzOTHMuEBmF+vx7J6+c+eDTy59FzXClvBJGETLmB+CCLgUAFwysYxlbMDrUzXoj - fHzj2j//7Z8vzM/3zkRg7cNHjyuTg9d/8dc5jDds33Pqwoenzpwrbu4AKZ/f7P6md3xgLv7kh//+ - 0vl3PQ6eAGtMdaX8xT/8zbOnz378+z8+8M67jAe/+e31W7e7n7/oZ9x798DBixfPv//+ha41HVrF - QtgnT5/+4mf/MDzQH9ZrftuW0x//6PCFb588sjEjwRKZsCG5AYiMVv/wj7+93z+9c+fuy5dOH3l3 - F6NYMAtx7cnTF90DMwtN75Pv/LCQy87PTf/zP/+yr69vaWGhrb1t3949x48dO3f27NatW/K5DDjd - RBIHvuf+/uzp08e9PY97emamp6vVCgJ1dHZ5+Y4YZGx5sZDfv2/vtz779PTx9/zAQyJ09kTGktEI - aboOCgkMdRz39Q/cvHX72jfXVypVIb3Na9u++8mlA7s2N7wuRM65rw29sYMgA6Sc1e30QvXBw57H - PY+HBl+WF+clmDXt+b0Hjrx3/tP9Jz9cvynwUqavg241Q+s0RvQ7hrME1sy8Hn8y9vLxq4G+kaHl - 0VI0H7WJtg07Nhw+c+To2eN79h0odnQigziKktLir7/4x+s9/V7Xtguf/vDDC6dlhnPGXEge5xyF - aFaXb1798h+/upVZu/3Y+9/+/mfnClyA85L4N/b6/wzd/s4ZI1r9L/qdn3gbZnXcSGu01QoIkCHj - UqfJV3Z+fmZ0eGhwYLhcqkaR1mTGXo3NLZa5zKzdsHnDhs1ag5YtmTK8DQqkwspms97f37eyDMvL - sydPHsrl1gohAHF6aurZ8+c3b95+0dc3OTnvBwUib3FpqdHs1RryhdzJU8fXZ4sAoK0BNBKFE5EJ - KZkjcli7tLQ8+PLl3Tt3isVivV6/cePmw4cPh4eHjDY7d+6anp7eunWLH/gqUcMjIw8fPrx39+7L - l0PNZuzJLGOsXC7Nzk7XG9X5+dlEXd6xc3tbsWDJIHOhijgyOtL7+MnXX38zODi8tLjMmIyihCzM - zc4tLS2PT09++/vf7dqwNicZAi7Mzb0eHnrc/eBF7+P+l8PlaoPLQObapqenZ5err15P9g0OHj95 - 6uK5U9s2rckH6UMVReHs7NyTp08TyJ04fXZ6crK//0Xv456FhdladUXF8cLCwuLCQpwkmzdvjqPo - 6tdf9zzuHRoaqVZr2tDLoZHF5fLcwtJHH13evXtXMcuQ0JCNk7hSrTx7+gwANm3aNL8wP/F6Ymho - aHR0dGFxIY7iSqWyvLwcR/GuXbu01vcf3H/8+PGL5y9GRkc44+NT80vl+sx86cL5c4cPHZQycJki - CKCUmng9/qT3cXd397NnzyqVCuMCkVVr9aXSQGmlMjkzV16pHjl6bP3GDkDgHDXaKAqHBl++6H+Z - yxVn5xZXao2bN++Ov55cWFxuNMJg7PXU5Ey5VP7825+9f+l8WyHLWvlExqhSefnWnTu3Hj558KRv - aHQSFe8qrp2bGC5Nw+LrzmZzeX4BwShtY0sWGRjLrSVfoKotjY/N3Lx5v/vhs4HXM03mGe4Zm8zN - L929W5mdGRt9NXDp/LmjR464HCGrQQg5Nzc/8PLlzZt37j948PzpM19yjiCRaqXSxMjoy327BNih - vufGAHNJV/QvJyRvP4NxHC0vLQ4PDo5PLJQjSBIWr1RKZnx6fqlDmHJX7uSBvXEUASKANc3mwuLS - w57HDx72dD/qmZyesQRr1q1fXCrNzM6PjY+Pjr56NTb2Ye2jPbt3d3YVGQkLAKvhNtBi/6ZkBmIs - Df4ql0s3btxIEv3sxYvRV6/mF5Zq9TrjvFQuLy4vT8/OHj9xYv/+3ZxLQADQYbM5MzXRff9efsex - eds+NTX15M434/29tVotNDzftfFbH11s8yFuNPr6++89nSvldnzyvR9ZcKF/FDbrs9OTvT0PS2Gy - cde+50OjL56/eP78+fLycr1WU0rNzc2VSqVms3nqxMmt27YJIYzWACS4GH89/vzZ81u3bo2MjCwu - LCJCZEy5Xp0rLTWTGG2ukF23rmuFeVvqjepaahMywxhaA1oDY2+MUy3oRtjoffJkfkYlOj7//kmC - 9QSGrGGAyLnWZnFxsfvBA+XzWKI2TtLCQOmlpaWXL18+ePAg19XO85l7d+6OPH0xNzIeNZpLjWpd - x5OLSwvlZjWGU6fOb91UzHlgnW0rEK5KGlMXACwtLoyODA8MDC4uluMoUiReT0wsV+rMCzrXbsgH - EjlnnGmyAGS0ajSbs7MzC9dvhEvLz+7cnR8ZXV4Zs1CamZOvZmvzNXbswueXDu3NUupeDYiJTlZW - 6vdvdffc7+15/KTeaChtmBDVarVcrQ6/Gp2dX5iYnPro8uXOzs5V88D/ZwwXYWVlZXh46OHD7kaz - uXP37jt37/U+fT40MtpoRtV6faVaXVhaWlpcLJdLFy9e3LV9u7JGurQ3a+KwMT019eD+vSe9PU/6 - Bl+OjGULHbl8oVqtLZXKY+MTk9Mzx44eP3rs6MH3Dra35xmDbCaztLR86+bNyYmxzvbi+xfPp7E2 - 1gJCZaX8uKfn9u07c5Uo0Xbrlq2bN61jAoCcRozPzMz0PO65efMm++D9Y8eOGmskkDGmUi4/7n1y - +87dew+6K5UqAWpL5VK5tFKZnZtbmJstl5bOnj69af06wRkQaa2jKOzr65ubm+/s7Bp7NTY+Mfn1 - tW/mF5aUsTPzC5fe/zCOYz8IyIEGjIGLx7AGgJk4np6euXPn7vXrN/r7B5JE+UHGEoyPjy8sLmlj - LeKJrjXIQRlTXqn0Pn06MzsTcH762FHAVJPFkHEQkbWLiwt3795lGV9Lpo3BVN+FcRzPzc3dv3+/ - ySwrZkfHXo0PDL0eHFlZWqpVy3HcHJ+cmiqHs3X7/kefvLu1I+s76bSFqDky2N/zfKxtS112bPIZ - 3b9zY2yovzL3mqn6zFh5YGLhdSm6UFUXL53ctXdzBlPVoHMVIEALLPVAaakuKyvlocGX3d2DO6K1 - 0eUPlfLIB8cLS2qVyenlr75+eL97sP/lMGPIGfc9f3FhcaW8srS8NDU5eebs6UOHDjlBCQCsrJRf - vRr98ssvHz9+PDb2iogy2Yy1Znh4ODRS8Vyu2J4L1ngeILbEdW8WPTdztXEcz87M3r59q1DI7d2x - GfEgOOW2NdOTr5886V2qKZ7pnJ6Ze9Dd/fTps5GRV2EYGWuHR0cWl5ar1Zq1ds+uXfkcX13UiVYp - OARkjVVJEk9MvB4eHJwLsd7gYHBxYgKaS4sZq+Mk39a1790Vaw0IYI7IQdQIw77+wScr0wOPbgz0 - PiovzqioioxNzJdezy1/67vRkcOHtm1ZTy2vWwSoVioz01Nff3314cOHAwMDnHNknDM+Nze3vFKZ - mJqZWVg8e+78kSPH/GAVLwVLljs7J4aAjCyFzXBiYmJkaGgm5HFsgXR5cbmvr7/gQZDLnTp/PozC - xGqJujQ33fvk+eMnA4+f9Pf0PpGBLzzOiL1eHl+eW3q9UNoyMLz31NlPLpzN52SGpSUkI1pl3dJq - wB0AtBwLGWNCtGydCIQQqtGIl5Zuf3Pt9tOXT8dmhqfnorhSLHq1yjLUkzKJ5amFwan5kZnlQ6cv - HDl8cO/ujb4EIoqjcHhk4NatwYZes2bTqcMH8i39PwHAzMzUndu3r9/u61w/35FTOzYd6+j0V52y - GeMvBwZv37599+6Dd09/23dnzdpSabmn51ETFkPc0WhcDnLAuSWgpeXFgYH+e/fuUkfetucfPnow - cP/uxLMnOmw0EmU4ny2Vp1fixcg7dOTQlnbwnZ7BJBA1Xvb2XusZ/m33wNRyM2lWfS9bq5VLM4sD - 1XlmkzhYi8UtW0O+YW2Xc3lapXiDs9pYXZetTeJ4cWGx90nvnTt3+/r7pqanV6qVjs4OpfXU9HSl - Whl99ar3ad+FS5ffee/I1o3tqQo0UZWVlbGxsVu3bq3buKkZq0dPnl+78+DF4CvLZNe6Dbv2vXPw - 4HtZr2iSePRl39NH93vu3bp3506tHgkvMzUzvVSem5mf2LlreGigGkcKkYuUdcsQgCES2Pn5uee9 - g7dv3u3rvzU3N8B4yGwHWvNqbHS6tPR/kfdewZFl553nd865Jr1PZML7AgpVAKqAKhTKoHxXtWU3 - ySbVlEiKlDjSaLQzERsbsfs0uy962Njd0cZoNENJI4pN12yS7V15bwAUvDcJm0B6pHfXnrMPiWq2 - InafdvZldeM+IPIBQN689+a53/f9f79gIn7m4sVTx47U+d1CBZmFUbFU2tndfTb6DBDnq29+Oj7z - ZGx6cmYuXyhRIF0d7T1dHVVuC08AVajA+2l7/DykAcAI7FP69P0AIdIBAWJYkyucc47jheYDrU6a - nJ2dXF1dvnnzTk1jh8tsNwjAdB3p2r57ChhDmAKiDPR9IAkGjPO7O/Or0ZGJwJNnC2ubK9lCxmgR - CeIjoWg0Gl/ZCC4GNk4OnT/e21vrdVEG+XwhEAgsLS5ubm5rGlU1Lp1Or66uYhPGnN3lTre0HkUI - EMEMqFSWl2dnpkafPR4eXd8JZYoS4QRNVyKR6G4okkpnd0Lhc+fO19bU2u3W5xgzeE4ue947oyyf - zW5vbiwtLOwlsmUZVE7c3t6JJjO82Yw5Ul9XXcjmwOLCiGlMVUHVKVNl+cn9h3N6cmPsdnD+WTye - ZowPhRLhWD5TZGcvv9jf3e62czxiFc7Z9ubm9MzUo0cPNjfX4omMpiNVA1mRIrFkLJ7a3tre3dkZ - On1mcKCXYCaVy/FYdGV5aX19LZMFRcVSqbS9syspBY4Hp9PZ3n6go+Mgg/1A7XM/Oq2UFcrlwu5u - cH09sL21VSoUJElT5NT62nqU101Yrarx1fccZQw0BpRSjmBCoFDIrawsTy4losGluYkHe6HNcrZE - KEkns8F0KloqHD97+lBLs4ArDUJGCJKkQmg39vjRwujw4lJgWmUFlRVVKhVS6cJsMhLK7sWkUyde - 7O87bLVUWCMyz+vpdGJ+YSYeLqUTsmh2fHHn9uNnz5aWljCAQeA3Lm6YTQaTQVRV9dmzZ6MjT2dm - ZmLRaLFY5EVRU7R4PB6JxdPZXDgWHzp3vqGpyW4zVkYRgVIAxgh7TkipUDWxrmuFfG56anJZi3TX - nag5chwcQAFAkeLx+PLyyt1798ZnlsJ7aR0LgDlZzYyNj5eKBavV0tXZ6fM4dGCUUoYqVAuqa9r+ - vDkAITgSCT95/Li+sVFR1XQm8+Dho6npmbX1DVXTNZ0twqIklZPJ5MuvvOT3VZnNJkqfizAR2dnZ - np+be3j/4fLycmg3JEkyRoQxCIXCyb3k1ubW/MLSsZOnjx4fdDpN/H6gcZ8eoKkawgwBBswQAUJR - OpOcm1v84vqthcWVxF6WcEYAUi7LK6trmAhIK9X7X6o4S5mm72xvT01OJvZSJrMlk82OjD5bXV3b - DYVzhWKhWKKMpTPZVCpdKpe7DnU5nA6M9wGuqkZT0djsxPj169c3NjfT2QxgpFNaLsqJVDKTy4aj - 0Uw2e/TIkfr6egZM03WgjGAcCu8uzs7fv3dvZnp6Z3ub5zkGejK1F40ngzuZre3UlRevNLXZRTMr - l0vr62u3b9863NXfWNcACFRFTe7tLS8v7e5uR8OKqoKqlrVYvqQmLU5BoeRAV29Ti4wRp6pKYHVl - ZHyFuBuru44zsOtU5xgFoEqxPDUxcePJ5LOFzc1EAeWSHqwU1UKiIG0nS+ux3Npu6NSF8w3tbWa+ - osIhgFFuZ3t+burmo7v3J4cXdjdkXTWaTBgTOV3EeU1O5FPR9NzsnKbpAi8wBrIMPPflB1XBGlQU - KwhjrOt6OpVaXwsk4vFEQQAws2IxFM7K8bKNaEUVapraDxYKQG2AUTC4PTb2bGY7f6D/oqIerISx - dEqLpdLK6urIyEjP4e6dYDAUTkxOLgQC27uRmKrSWGwvFoukUnu9Rw7XVHuHhx+OjDyaHBtNJ2Jy - qSDhcLQkrMX1XOrkqd7mZp8RcwSwDqpaLJYDq6vjYyu5vHSkqx1jIAwDgK6UtjYC42PzO2lU29SR - z2enpydmZ6ef12Fha3NrezuoKMqpkye7ujorywhCiKIo4VBoZnp6dGR4dXV5L5HQNU3TVFVRotFY - TmFlnRCTvcbvMxoM2WxWo8DjCluY7ifDKqCd55NuO5ubE5OTT0dGp6dnVwIBnTKEuVgQ64X0Qp0X - e5qj0QRDFvT8XK3AGUDXoCwNTy89Hl8Ym5xORGPFQp4wqlIlXMhGEtnlUL59M3XpyosH6l1VVnhO - pHweRgH01ce7YiYT39y6ef2Lp0tTa4ldCRhf5ImGY3vRSDqyGd1a2V49Pjh0+crLZovVCCCKwl4i - Pjk5mYeVqpbDpweOMiOB53MWGIGm66FQaHpq6vHjxwf68YFyuSK0B4r/Hx4s//+8/befuv2/fRF9 - 2cKuJL0ro6xM339CQVjVgAKIomC3WZwOm91u20vlEIDRYHC53CabS6PE5fbaHU6ACv/nq/OG7Pc7 - ppqu5vP5YDANWMnnchhV8QYD0PLKysqHH3708NFDSllDY/vx46cFwRqPJQNrW9FYbGU10NHVUQU2 - BqCqKmCN5zkGDCNc0RQxRhljkiSl0ulgMDg+Nrazs3Pzxg1MSF1dncALdrtNKpdlSVYUNZfN3r59 - +4svPp+YmGhqbD506Ej34SOMoe3tzcnJidHR0Xg8AqC//MpLBrGZATUYBECgqMroyOgnn3x25/Zd - o8lU7a/t6DhIdZTJZLe3tu/fv7+xs911pNvksFp4M2V0LRC49uknNz79NB2P8aKptqG5o6u7obVj - bGZxIbA9MzMzPDo6PTdPNenqpSFznQ9XqnW6LktSPpePZpKPHj95+uTx5sa61WKqqanFtbVra6sr - q6vxWKxcLrW0tKqq+v4HH+RyBYPR1NDUnM3mItH4tevXCyXJZnd6vV6b2Y4x1hnouq7ISmIvkUqm - Hj1+NDs7m0wmeZ53OBw2my2bzQaDwb3kXjKZHDw5qCrqT3/6U9Egms3mhoYGYFCU6e07d/cyJWDQ - 2tJitRi+lBeXSuWnT4dv3bw+OvqMENLZ2Xl84IQgGqKx+NzC4vLKajAUiSWSRovV5jgumIDwHKhY - 15VsNh3a3VlaWkw+HQ1HE+FIwlvlb2s7UCqV9/aSGxtbszMzQGlTY8OBtmajiQeCAXSpXArubL79 - s5+Oza1KILi9tXVVdT6Xf2NxZnVlfnelaLXCtuwAZlA1Sdd1UiG8UYaMfGR1687te3/zN/8oy9jd - 2Hzi/GmLrxqovLsdWJyfunHj84nxYV0rNTXVOR1ORnVZ0RiwQGD1ww8/+vSzz1PJjMvpaG9vNwhC - IZ2MRmLB9Y27N26aBE6XS4rMeJ2xfUf8/qL6qxce26+jIoMo1tT402Vd3iskyjLH81any2i2uwTd - 4zKZLRaB5yp36uReYnJi4h/+/h9mFxYZInaHq+1Ax8CJkyurgdW1tcD6xnZwJ7C2XigWX//aG3ZH - N8+BqoFGWQVfVgmF7adnEQYAjBBHiKoqoVDo/ffeyxdLyWS6sbm5tbVV1bRkKr27G5qZnQtFIrKq - trW3CiIBAKCqpirlYiGdKq8FAkt77O69+wZa9pgMtXX1SLQWSpJGGTCQZCkWi4XC4bzTiglHSAUW - qjOq66qSz6Y319ceP378xZ0HqVTSYrH4fD63253LZsPh8PXEtYX5+b/6q79qam5mleuZMYzQxMTE - hx98eOPGjabGpkNdXZ0HD6qqEoyEy5NjmfCuXKJ2a63Z4rTZ7QwAEWogAgDRNFAVnavEljBCwAhh - hEPJVDoWl7P5oqqqFJiua4gytA8kYrIkJRIJ3cgXikVCCML7NM1ysZhKpfYSe9PT03uF3I3r1y2M - a/ZWN9U1RLLJjfDOxsZGToJ0GayOKqu52+Tl9QpNtIJiRWg/doAAeM5mtbicdqfdvpfKMsYEUXQ4 - nXaXV2XY6fZ4qnwOp0sQeTXPeI5QqmXSMDc3F8yFImsbNkBVJrOv+mC2EEym1x89fLgdl9Oy4XBd - rctmAlJJj7JcPr+2tvarX/1y9MkEwdzBQ4faDhyob2yKxGKrgbXxycmNza3A+kZNbe3hw4fdzn+W - C4Mv12rPT2BJklKp5F4ysbC48MXnn1+/cTNXKFbX1VfX1OSL5c3t7XB4NxqNjI2Pmc0mf5XHIAiE - 5whCjNJ4PD48/OT//Ov/EItFGRG91fVdPX319Y3pTGZubn5xcXlxaXl6eu7Szq7H7bFY2gwi+Hwe - k8mUTKVkubS1tVkulSxmA2BUIZbtJRLPRkc3NjeiWRlzwtCZM4cOHeTMHHoOXwqFQstLy+FwSBB4 - v89XSVQqiry6svLBB+9/9NEniHD9x44NnDipaHoimdraDc0vLMYi4e2tLYfN5rBZXU470zRN11RV - zaQz4VB4Y2N9bm4+uBPKF0veKp/RYlVUWgkpV/KdjFJBqITxKlMwrFDIj4+PffbpJ3fv3nd7vN3d - Pd09vZTBamBtfnFxNRBobW/nBB4h0HVWluVMNhtP7EWi0XK5DIyhfehdxcvGFFmJx+KcxVgoFDDB - hCMVEFtFg5uIx+fn5sqYjk9OKrmCXTB2tLfLcjESDm6HYw8fPlrczVhcfp/1iNtnBQSga1Au5rPp - vUSiQByxG7flQnp9eb7abWtrbal1mgKL0zPbqc8/+yxSJioru6pe4RwmAFZphhBCAPDzwtz+yQJA - pXIxuZeIx2LufB5jouuga8ARCpoai0aGn469887vllZjfn99/7H+1pYWu8M+OzMzNz9369atnWAw - noj5/X6/328wGBhj0Wh0ZGT0l7/8ZaGQr66uPnb8WE1NDcZ4dHwqXyotLS8PDJ5Rqj1MAIShkmmF - irYcE8AYMaTrOjAmyVLieWcgavVUAAAgAElEQVSI7ZPrKFA9m0lHQqF4Ti0+fCSMjs0vLBDC+f1+ - o9G8l0xGo9EHDx4Wi0UAcL7pMJm8FRYNMLw/TPGVhQ3G4HQ6PB5XLimhogoIwGCw2+1Vdh6o7vNV - 2W32CusWAeM4gjHOZHP3HzxMBpcigelGn93d3kblQigcWllZXtrcxaLJbDY1NPig8p50ShCLRCJ3 - 7959/7331jc2LGbzmaGh5pY2QTQsLC4tLK88fPAwmcqWSlJdfYPH6xb5/aELSnVCn2MeCSGEN4jG - Gn91Ph7JJ2WhLGtUxCK2WGxOMzHbrGazCWGkKBLSCyuri7/9za+fjEzHEjmjxXr0WF9DUyNBZH15 - bWNl49btW/roRNdioM7j8rY3GG2GL2M4iAEDVOFZM/gS8swqEQeMMS/wUIGfUjAKYiZWWJ6Y/PnP - fv5oZrnMmxu7enqPdDY0eKPhbWkvJygsuLo1Ozt7/9nsUCBYLLzmr/qa4CQ8zxlNoqKUw+GQMDff - fyqBUCvZB6fqQFkkHJqYGF9bi9gyXINfvHC6GZh7v76MgeeF1UBgcWGxUCi63R6/n8cIqE4lqZxK - pcrYnsvliiWwqiASxoAVCoV4PB6LRmdnZ/eocv3mNcikqkSurrGhIEuRZGJ6enwnQ0N5weyo81qc - dgMGikCVlXTs2ucfvnd7cmI909l/4WjfYFd7Y3hreWNhdH5su5AHxZRye1pFo000mDGp4AArqMCK - p558eTfWNS2bzU1OTb3//nsfffyxaDBUV1cfPXq0o7OzWCotLC6sra+trK5++sWNeDL3NSTU+k9W - yOmqqsqynM/lotHI/Pz8bjj64afXGG/yV1fbXF6Xy62qWrFYkqymUjb76NHj65+8PzHyyGoyNbd0 - 1De1RqLRTD4xMzMzOTmfThlKkoEyRAjPcRUlC8bAFeTC8vLyr955Z+LZVKm8237A3d19uKaqCxT7 - 1MTG5OLi8M+mYpmMiKnv8nlm5JiuVbI1kiRlMpn1jfXh4ZH3P722lyvbHQ67y+PyeCilsqyoqm6s - 2K8qeMp9Bxr3lasQA2KAdEAaAK7AvYEiYASAA8RRBk2N9S129cYN//DiTuzGzTMXX66r6rW5QdM0 - XtMY1TVV0TTGdLpvZQdgFVUGRnNzMx9+/viDTx5q2OLy2o8fP+6r9jId1tc3tjZ3RkZHbt+9H4zE - BYS8Q2d5HmuaBoyZzUaXyyUrJV3HDFGn08lbOYasdrvdbLYIIvAc0hUtvZe4eePm3RvXp2bn27t6 - unt6WtsOqKq+uxsen5i8f/9hYG1TltWXXnrZ7bbCc2kJQRiQDgCAMPDEIApmk8FmtTjs1ky2VNaZ - ojOnw2X1egWrFQiyWG1M0xGlDChFmo5UVdNL+dyNz77QMxvqXqDKRBsaG+xWz/ZmeGlhaXUrphKj - 08KbOhsEExCOAKirqys3b16/c+eWwSA2Nre1H+gyGK3Fojo3vxpY275z587mxiZi0N3V6rDyAJQy - 3WIxuZx2GfSMoum8aHXYrcgsithqsRiMxooHbL9FgStaO70yVIyAWcwmp8Nmt9n2EmWCsdFg8Hq8 - NhFEJLmcTpPJhBCoGlBdJwTxPISTyeHh4eWgJOciIir6/H6xWtBK2u5OaOv2ndmtDWwxNng8VoeN - MYoRIyIfCcdHR+d++k+/C27neKPWf+JQTYPLaOaie6HlwPzs3Mz8zE4iqntc9va2OlFkqiYjrEhy - PpVK7O4ms0ktU5Qej42lCvnOzs58NoMJDkcirS1NsmJJJ1PXb9z4/NOPU8lkS0tLd09PZ+fBkiRv - BXeHR5/df/hgcWUVczwnCBZrK2JAGUVUBwT0OU0TY+AxcEAYQrqqxGPRmIaaCsXKIzmlTJaltUDg - V7/8xdj4RE7SO7v7Gts7rQ5nJptfXF5ZWl5eWFio8niqPI7KhBOllGCk67qmqRgBQgQwJoIglcvB - YFBnNJ3JjIyOrqyu6gCtba2CaEylM4FA4M7du3vJPafLMTR0xmK1VPocOtN1TZuemf74w48/+vBD - jnA11TXd3d1eTxVjsLy4vB0M3l2/+/jpaCiWMlnc3b29DgfgfQtppShFnwOjKXCIYLK7G7x1++Zf - //WPPV77gY7u00MXzBZnoSgtr6yFQ9GF+QXppQsmkwEYUmRpLxEP7e6UysrE+NjMzOz4+IRoMPlr - ahqbW9KZ7M7uzsTkxF4ymc5lRaPx0OFDNosBADQG5bK0uLR07dr1n/zkH+0Ox4HOzqFzQ6LRmM5m - 5hYW1jbXt4LbweC2IAh+fzUhmOo61XUOkYX5+Y8/+uizTz/FCDXU1ff0HEYEksnEwuLq8NPhtfVE - Q2Ojy3fQayayJMUTibX1tbqaVlVVgYGqqRhjh8MhSYVSoZiIZQHAYDDW1/tEM7Pb7QLPC4IgiAJH - SD6fi0aj2VxOUVQGoGqKCDrTtd3dnWvXr/3dL97LM0PNgSNDJwbaXTyfD62H9p4tbi3cvn3r0eP/ - yWwye9yuKjfHAVAEOszPzX/6yae/fP/XkgEZfc4DLQdtNhtCuJwqlCOZqcmp+Yn5dLYIDAxGI2Os - WNYcFg7Bc0J0pamAGBAsiKIgCDa7raa6WlNkUaUgI2Szex2k2qA5eL2qqko0GBgwXdMIR3PZTDgU - 2tpKZzIZne5LSnSdSpJUyOcT8fjy8vLW5ubE5LzZ7LJa3Z0HOjK5QnwvdevW7d3d4M7u2ZMnj739 - 9tubmytuh62puUmXpe2EPDE5s7ir7kVDNY63mv0HsEEApoGilYqFbC6XTGYT8ZSsaDwHiHFAQdek - 5F50Z3trOSxPTk5vbATGx0ecTofP7/f5/Yl4PBqLhcNhgrHJZGxpbhJ4nueIIAiZdGpubvan//ST - 9bUAwejgwc6G+nqz2VwqFUdGn8VWtrJ52Wt1u9xum8NBOF7TGMcjxihQnVXqmoQDqKBDKVXk6emp - t3/69sTUFANUU1vncnsoQDmzNz8/vzRZUCw122nC/F2EE563RQEYgFJO7sW/+OLzD6/f20vlmhsb - D3Z2NNXVMlVKhneHJ+dnb999srArmu2Ws8c97VXPvxrp7xUAgPftGMBSqdT09PSt27cmN5Y0C9cz - cPygr9ND3MHF4Pzm4tTU1Ozq/PZu7MDB7obGZquFIw6HxWzSVDWwFdgNhYrFktMiMsQqeXSGQJbl - 9bW1tbXA3l5iwOlwuT08fs5t+Gpm6F/G9v8R6/b59uXsCADsr/KBUAYYcwJvMgj7I1wcx1fAOhz/ - 0suvHO0b2Nzc/j/++m8X10PeuvYf/Zv/vqe3X1J0q83hcrvNJkBkn3X75ZPN8z9Ggem6rpXLZYEX - PW6P3WbneV6XpUwuGQgEFhbmEUKXL1/63vd/ZLd5Oc6s6ZBK5RDiHS6Hz+/XGWga5Xi+YovSGaUU - OLJPrsYICGKIqlK5ODExUdfYfPGFq6cGBw91dQKA2Wx2Op0ejyebyczPTt+6fi20u9vd3fvDH/7o - SG+fy+nRNV2SSsnU3t/9/Y9n5mZ+9e67tQ313iqvz+MBoOm99Pr62t1bd2ZmZmvq6l5/4xtnh85W - +6oxwuWSHI/vDQ+PJvNpQrCqyrKC5Vx6anzs1vUb0Vj8zMnTb3z9m81t7S6PlzPaLrxYXNsOT8/N - vfPubzYDqx+8915rY63P67YbRNCLGHSjUQSMtoK777z7257DXT/60Z+eOXPKYbfpqry7E/zFz95+ - cP/u7Zs3jWaL2+M9e/5Cf/+xjs5OjEk0EpmdmfnFL98J7ew8fPS0r3/A57UTDvECz2GsqbKmSBub - G6lsrrml9ZWvvf7SlStmkxGAFfO5H//4vzx8PPx0dHRuaaXa779w+YWBgYGOA+0Ggc/lstOzSz/+ - h59EdjZGR55cffGK3e2yGoAwUEulaHj31q1bE5Mzgmj4kx/+cHBwsK6+HmFcKkuxRPLDjz4em5x8 - +vRJx8FDDlfV0cONjOmMqQgxTdPCkWjy1l23t7qzq+cv/+3/0FDfaLXaNU0befr03r27T548WV/f - fPB4xFNVVW2wYdAB68sry5988tnm1o63yn9k8NylKy8faGy1CkY5u7e5cOfZ/d+MjU3H4xlAPk4k - nMDpDEQOeERALt67c+2zz27IivraG3908bXX6jo6eZuVQ5pczkxPDD98+PDenTuTE+NtrS3nz51z - 2O2AIJ/NLMzO3L19uywpZy+/8Id/8FZDTY3NaARV2l5fGX18//pnn6iyIhfLioKxzhjab+t+yXX5 - 8hKo1JWcHs/AydPNDfUPRieu3Xucuj/W0d93+Vv/qq3zcJURbFiqc5s9djMwHeTy7OzM2z//+eLy - Sm1t/auvvXakr7+hsdlidxSK5VgstrG+/tO3315YWvnwo0/8tY1V1TU11W54DglHABij/YpGZZCB - abqqyiWpkClKqoowd+rM0JUrVxsaGk0ms6Ipq6ur165f+/Xv3ltaXZ2aXzgXjrmdDotJBIQwRsB0 - RYanT59ST8rj8X7n9Rcvneyz2WwKEgSz02q3ASnwPEcwZlCx0FBAwBEAlRJgAod0TZ6dm91MFjt7 - +9/81rf7+o7YzGZKaSaVfPfd34w+Gw9sbC4sB1oPdDTW13EES2UpFgmPPHm4tDjf1NT81nf+6PLl - i06HHQEk0slj0/3vffTB3Fzw2IkTly5+/cyZNr8fBFJgQCnVEeZFw3N1IVDKFF0pa4qiM6YTHvNi - xWxKCI8IQ8B0TVMVSVMlpusMBApE1ZGiUsIAc4QjCFFVKhWmpqZi+dzLr37tdE/fkbYOA89npeJG - aPvn7/52Zjk4+nS0p+d4ra/K7agzVFRJDFfGQvaHhBgFVTt34XzHoUOhcOw//u2PHz6bcVY3ffdP - /+LM+QtlRTNYLD6H2WIxIACOEIHnBY6XJGn02Zi34+rrb7zxytmzzW43xxeK5dDde+//7L3r4XB4 - eTUQikRrxFqLgQNdA1XZ2Vz/6IP3VwMBn7/6619/89iJ442NTRwvqJoWSySmZ2Y/+vjj3WDw888+ - EwXRfaIP/nlbj321elspIwKVy6XFpcVsoXxs8FT/sWM9Pb28wMuqkkymfv3uu8MjY7u7oeGRsRpf - 9emTg5VGFCJobHT48y++iMQTHV3dp86cO3fxBU9VtWgwUsp2dkPTk5O/fuedRCz0+MG948eOWWyu - hloX1ZnHbT90qCscCkXjqaWVtYMHWiwOC2AMUimT2tvZ3lTKJalYWl1ZDkei+aJktVgIAkypoiiR - SHQ3HCW8we31+fx+XhCYIoe2N3/77jtjz8bsLtf3vv+DgRMnGhsbMeEUjSbT2es3bz56+HBmZmZ4 - ZNTtcg709yNMCEaI6gTRcDj83kefYMIfPnz4rbfeqqmuEU2mYlluaW2z280IgBAgBAPT98tUBIOu - ZzPpZ8PD2+sbXo/nhz/60fETJ+vr6wGgVCplcgVJ0aqqaytiZMY0SnWGEMMcw5xeOeaEYEQR6Jqm - ME0liIoCAY7TARfKil2jIkd0qmmqrCsloOri4kK4kDt46NDQ8RMne4+6LCaqSXuJ0GfXb90ZW14I - bNz44qaHp21fO4cAA6VUlTHTstnMQmyWd6X6ujr+53//v7Q3VPtsHK/lE+GtOxOrf/uLj5cXZq1W - fHrouGj0u7DGs307EcNYB1SZqX/OsmdAdU1VKYDOkKrrsF/rpEohPz899V//7seJlHZi4MQP/+TP - mpqbHXa7TunFixdWVlZ+97vfBQKr4+PjZ86cEUXR5/OpqrK9vb2wsJDJZk+fPvXNb36zra3NYjED - oCsvfy1TpiXKt7W3ikZQacWwygBgP4qJcWVUUSQYU4XpKiCEOAFxgl75ZxkDgo08oZq2sRVk0WJz - U9O3v/1Wb09PXX29LKvRWHxpYf5Xv/zFZmDl7m3hzOmTbq/XwAOHgSAEiHxJIgWOiEaDiO3f+/53 - h/p7HswECp892VXNV69cvnr6yKFGD1Dd7qyyO6sIIaBplGqVx9ZiNnfr9p0Xh/r+9K1/f7it1mrk - dDm/ubHx0bVbn1y/e+/WzWpf1cCJE0YDERAAaMV8dmZ68mc//3k6nenrP/7DH/ygqanJ4XQhjC5n - srPzCx9+/OnG1vbTkeHOQ4f7jg/U1zgr1zKqnKC0Ys/EosHU3Nz8b/+7v4xurj5a3P1f//E9wM7B - /sPfe/PVjsYqzJOq6hqT3cYhZXlh+eGDR/fu3TeY3a++9vr3f/ADl9ctGgVd0dWSsjy//PPffjC+ - uhnc2Hh4916TeLGqu/35pCgDAMQQY/gri0CGEMMVtymjmq5LEug6mAkoxeLs7Owv3v758vpmU2v7 - lW++1XGkv7HRZ7PyipQlkmbU8dby2o1HY//wy9/NzkzYreaBgQHRUGs3Gmpq/I311Xa7LbC2sbkV - yuXAbtIMvAbA5HwhHgkFt7Z0nWSyufnFpWTqLKhOIAh0TZGFUi4djkRzRcnrq7FabQIPCABzhCeE - UqpRXUdYNAIvAgKsUZXpKmKqpkrTU1Nb+eyZoXMXjvYMdLSKTM9LhbXtjf/wn3+yFI6NjE5cvJro - aXGCAUAuS9lUIhpcnJ8ql8tXXnzl4mvfO9ZzwGs3MDm9u9L/4Jrr8f1raeS7/MbXe4a+1tfVZLZU - 8o4MmI7Y83ATVKyJmiqVE7HY73733tORUYvN8aM//ZNjx/r81dV2h4MCy2Qyz56N3Llz67Nrd0ZH - R11VDcf6+mwGbOKAN4gEI6CqpsKTp8Pe6vqjx05cuvpy77ETQARONJptjtpav1oubKyt3L97e21t - zeev+Tf/+i96jvQ53VU61ROJ3ZXV2Xd+/X4imhMFR7ks50slRQOBBx4YAm11cf7hg/t37t1vbGh9 - +dSZP/j2Cx63aOCqQLefP5d9PDb+j7/4RWBl+dq1L3q7OsTGGrPIA2iIqsAo5vip2cWtaKa2vvnr - 3z57auicYDDyBqPFINTX+ASe6DrjKpBXBGjf4v7VaZ7n0lKgCDQADRAlBBsMBoSJogNFxGy1+hz1 - F86dSWnzi7vFe7duey2k9vxhwAQEwWA0UQaqRjEFQTSKGDAApSCXi3omfv/O7Qf3htPZ/B987zsX - XzjfdqDZaBIZZblsfmF+4f7j4d9+8NHs5Nhtp6P3YJehuqq6tu4Pv/vdV146PTe/87/973+PiN7U - 0fDHf/a95s56QXRjzul0NVaab4lEdGZq4uHjx3vp/NkLL7z+5rcO9R612Z2UQTab39nZ/fnPfzEz - M/eb37zb2NjY2tZqEL5ilvryMOg6Mgg9Pd3VPtfJY72/ff+zR2PriYLwx3/8pz0D/fZqr870Kqul - 3uuyiAyVsGAQAMNeMpXITzuajp09eeobV/5dnZOziDaOcg/vDd94NHb7ydT87EJnS83hg40MmKoo - CNTaat+lCxd7e3t91b76hmaLxYE5QVNRNlO8cePOBx98lEymAxubm9vBA621bk/V4OBge7N/furJ - Z3cn8g8WRXfrW3/4h10HW31VdgTg9VS5nK4K/ITpz+VjiAOsAcM+n/8733lraOji5MTcf/m7X2by - QnPbwJ//q79sb/CaiEpEbPDXiDwggDKHFUWRZQiFy6HyZMfRq0MDV88PdjuMCFRaSOX+6SdvP5yd - 3kuntncj4WjMZ7NU5Cigy9OTz27cuL+2uXWs/+rVl08fH+yyOTAQXWXlxdX5Jw8WPvjtvbmZmQf3 - az2eV2rrnIQzMFpgmsSolthLraWzazuxs5cuHj892Nvboyqy2WSo9ftsFmM0tPPgwYPp6WkdyNVX - Xrty+dLRI70Go4kylMkXXnx541e/emdkdPTxowdVfn9dQ7PRgDlCMCKAgCGsA2js9xo+RVEKhTxQ - nec50SDqrOJ8RTrVE4n46OiIxWo/feH0d3/4ZxZXFeEFRafJVJpqem1NtdPmUFXGE0IQYEZ1VSaY - EaOhMs8BlIKmEKoSoBvrG4zjHU7nt976Tl9fn89fDQh2Q+HJ8YkP3v8wForev3O/qbGppqbWIPJM - Vwv5zG4ofP36jdv3HohGyze/8Y1XXnyppqbGZDQBZbls9tmzZw8e3H/0eHh6asLhrXb5/EaTTxQr - GSmEKlHrSqNEV4EyXdc2Nzd2dnZ4Dr75jW9cvvpqR2cvL1o0HXK5Ui5XsJqY2WoFTAFANAhmA8d0 - dX19bW0zWFfX8N3v/6C7u6euvh5hUpak7eD2f/pPf7O9vfXo0YMjfX2e6lqrxY8AVKm8F4988P57 - Tx8+9lXXfvf73zt77lxTSzNlVNGUslT+/PPPHjx8MPZstLu7p76hsaP9gMjzJam0vLZ+4+bNO/cf - mG3Ob7zx+tdff91mNXMcUjU5kUiPja8srYQsVvPz+UZkNBhdLq+ugyTLAGAyGfv6j1bX/o/JZOz+ - vcW//Y+/MRh8A6cO/sW/+75gYZxotTm9TleVpqYzqaRUKiBggihiTthfbDA9m818/sUXo+MTmOPf - fONbAxdePn2oyaLs2bR0kXLDs+sf3XrywbXrw8MjNp+/5uIlI0ZY1bRsdmR0dOTZeElWXnvzzdMv - X2ztOIAxRgwZgZdi2Y3Jxd/88rd7e1lVU/QiwQgbTZwGoH1pnkfPbeOIYg5bbdYzp08frLbNzEy/ - c3/ug+vjPUeOvD509MpApx2rxOwQbW67y004GTRF5LHRYGAIIcITDgipAFypJksEWLFY/PjTz6p8 - 1ecvvfD6a9+oq23ChM+kM9ev3/zdB+9vb2//5nfvP3h490B702uvvXRyoN8oEKmU3wgX//Pbn44v - xZ+NPN155XS+u94uaAhTAJ0C1XSqUgBccUUDgA5UxUwziBxjLBKNv//hxz3dXX/+5/96YKDf6XSq - qhoMbt+9ffPaF59PTIzV1dWcPj3k91WJAseomkxEVldWno1NHGhvPzd05g++/abZZOAI1qnWebDj - 01uPPrx+f+Dk6ddffbnzQGtTfR3PI9g/sREw7XkOl4Guq6VSKBRamJ2dn583Wyynz55/881vedwe - QRCYlF+bm3j24Na7t54xxQIM7fsPKx+BrqRi0QcPH03Pzii6/uLLL79w6eKRw908AgExuZC7uLL5 - i4/v3ptYuXv7VqPX0t1e9eX0DdpXhXy5TAcKzGAwVNdUv/nNN181In9nk9Xr8RKPRbWoaXk2MPvh - 9Y+eTDzdDe3OLS5ZHN4ahweo3N7W1t93dDV8b2t9bXJiwnnutGgzYWAIMaaphVx2bn5xJxQ3mu2H - unsbm5v2nyj3i/5f+un+RWz/r0u3z5kFlYP4e/vcVw4i+fIbs/I6QcAqnvqK4ojplFICGoAqWGx1 - B2wOl0+EVgcOGjhscfkOHj98og0pYOAAkd9Xqb7MLlIgDHiu0gbXNaTrTKcV7hnCHCBgFEmymsnk - U8k0L4oNDU19ff0AIsYCwSArQCuQQx4YAEaYVDyZgBjCtCK9BYoQJUgTtaJRSQlqibc6nbXtZ1/6 - 5qm+7vYGP2ZU06nOkFEUtqKh5YmRUGDB5a27dOXV42cutTc1GAjoqg5Y1XTfwnJ/NBO/9+TZ5PpO - x8FUtacGNFVOZBeGp7YX1qjK9V+83Hfp5aPHj9qNRGCaruJSUfO4vLF0rKbGazRhXcoHF+eD01OJ - 3Xhz29Gj579x6sobVR6bYECA+CqN+eqra2tcO4G58ZHR1Zmp9bWd5gN95jqzQAo8LhBS1hDVBLPR - UXVsYPDly2eP9Bw2GHhN1TpaGhcmni5PPUnsRYzmltrmlpPnLw0c729pqMdMK8Y8DWJp7q55aisz - u7wVyUMrBR6Ax5hjKlFyvFZkAIpg6zx15dwLZ48P9ll5BkwBKb8w3La5uT6xvCObq9tq2y9+7a3u - Q51N1R6bgSj5lNuIZh5652amEruGZD4bp8AD2JimJAKbS7OrqytUsAwMHDt19mJvd5fJbKxgNxrq - a9PJWDYdm5mZnl9aqu/s7jrciJGOsUwI0yknKyaKjM3tfUMXXzx77gWf12EyYtA0JxTF7O7m1Eg8 - sTe+Fjkh8zbgragEqrSxsfvw8WIqJxzt6n3hpddPnhxs9DpEYKC4D1SVas35YnZvs5wqFHggDJiK - AQQEIBcy4a2NhZlQNFrdcejg+av9F16wOcyYBx4ox3wWrCl5afjh2PZWanp6qbe3z2I3g6btbW/F - lxYT4UhT38DhSy8evXClxmq1cwCgtNbanYYiK4d5wNvrGxtPVwFpbP/UBEz3vZMqAAMQADgAjiLg - beBp8jqrWpOp6rlRk6i4XdaW9kM9R5v8RjDpYAQJqAxyPh0LLa+ujs2tYIvncP+Jl159ra2l2eb0 - UIQZQFtjzeGmqvDKRD6XXdwKT6yGO3b23D63iAHTr1JtKvBHCZgEWOYxIyrPyzZiwEf6T55+4dLZ - yxc9NrvI8UCVJr9VyW7NzDUEM+WpYHRybXugy+AwisB0goERpDLIFUveJvtrr752+YWzg91tRiOv - ACgAoq4BLSOQMFIJUMIAUYQr3koEGOkIKRqTdY4X3TVHz7107mT/4fZGm4hBU4rZZCgwF47G1rZj - S9F8TzxXXUcERIleYvlIKbSkFFOHBy+1Hzt9oLefB2og1F90GkBaGn0YWN7O62Xmd9a2V5moZlAr - UGRGOeDQ/vQSZgpWdYcEBh3pGOcMrCgQiVKqg4EQxnRglIDGU0mgRYI0DRtl7C6BQ0GiiBhGGg+y - kWY5LWMwNdS1dA5dfePEoUPNVV5OV5hebm3yJkPbpbT8dGJ9eXKpq6W551Cdto/1xxhzqDLoV8kQ - c8RaW2d12utd5msucUYQBdHjaxns7m+lFHgM4r4HlGGGQMVIFQgCo73q4JFjL7z0wum+g7UWANBA - 8aLixnIg9NnTlVA8FdgNt9ZUWSwi6EzJ7SUDc9OP71GNNR05cvbl19taWtxOM1BADGqrq6u93tWF - hdDW1sTISP+Ro0ePHhWE/YxxRTfEIcAAeL/or3Og8kxCepkXzc66zv4LXz8zeKSl0Y+phHRZl0vp - 0Go+ldnciC4sRQ4dDH2+BzEAACAASURBVJ88IVCqUbWgS5nAwrPFlWVm8R449crF11/v6+6yCDwF - xoD5/d5quyhHA49ufLG2tTwzPetu6vHXuXik1fmMR3q6YsliMAkz63vehhYT5jDV5VIxF49FN1as - WPUY5EQmH4pEgnsll89iBmBqOp/N70Qi0azmqu901TUbnE7gUTm9F99cXJ58qqp6+5GB45df7j7Y - 4bQYOQQIk7KkMKon43vTkzPT0wvtrZ39Rwc4DAKlJr1k1guSLO0V2MDlVwZfujh48aLPaRF4UVE0 - QRAxeW6VZaBpmGABYR2wRjVJLuXS4ZCezlpsjkO9x1qPnnDbRIFpAAqjYjKn6UTUKHAYeJTBUKIA - CjKq2KRig4Yxh4AwKjCV02XCCmbICEgqE1uRc+SxQwKeA+AZE1nJTFMGPcMRs8XTcOz8104PHjvS - 3mImOrBiOV+l6qVUSl6bvB95NhdsbSm/cE7gDRw2YIMRY5B0LV+mhw90nh46f/nslSaXnZgpaOna - tgbZ5BibmJhbXN/bXFpfDpjsnpoqEw8qECZhpmImcIgQwJosAuJABaaLlHGAGRAVCyomOgFKgDA5 - EdwMzs5sziU6+jpPnTl1+tyQzWbjeV7XNJ7n7A57JptO7MWDO7sTE5M+v99bVUUBZXL5WDwhyarX - V32450h9fb0gCAwYEQxFFfISM1uBfx5uYLqONAkQBSwAEnXKCJUwku1KnFPLAEKROEvYXsImjCs3 - q6KJSkBZXkL+5s7egcGLF1/s7mx2e52SBJl8vtZtnXpwbXVuIrq+tBePZyTqEffDDABYA6QDcMAI - kgFTEEVXc4sZ66ESmK2zQkmoqavtPHjwaGc9pgyISAFjtQz6/nwZpQyJ4sFDXWeGhi5ePlPvtRlF - AK3c1NQQC0fmh58mQhvh4HY4W3YbLE5QSTmcCq6sr0wtra52Hz01eObymbNX7RYzJyAAqKtRzUZD - Ppv87XvhYHDr2fSct6mjusbJAxDQAACQAJgDIIB4IEAslqr25iqjEpExNhp03umsqT/Y293X6qvM - vTEGuozmJ5fHR1ei0dKrb7zywtU3Tpw6xxkRgEZ1TaC81+kulWXpdx8uBDZnHtzd6GzoPdjMcRwA - AYYIYMwI0gn6UuuOKroMHSOgVJdVBRAYMJh0JRJeWVhefLSwxttqjxw7c+WV1+sa6l1OE490BmVB - 0wBBg8+JDWh9Y2F2aW0jMD+9tEpctYesgmg0NNdVtbU07Y4ld+LpYCR3oFE08AwUOR+PFUK7pVTM - 6mzMIXkrHgkmyomc1StagSq4FE/H46FEosB7Dvcc8/p8Fg4EDQA0nnJAOZUQiRCVB4qAB55nWGCK - QLOcnrWa6xubD5x/4Wvnjh7qqKsCtQhqoabefefB/Uh2LRnfSSfC5XwNtlsAsFKWo9F4fC8lmJwD - Z04e7utua3E6ROBVh4eXcD6yuTCdSlAQiKPG5/MLCIACIkAx0wFUQBwwwvbFk1oxvrM0Pze9sCbx - 7sET3RcuXj16qM1oNhODCJgDveQ2M1IKry8vJPeS8yvB9Z1Ea73b4BAB6QSrHCoyBmWGuKrms699 - 6+zZ04cOtAEClYGOsFGAUDgUWp3cCczygnCo/2T/xVcPHjxgNPACoeVMdZPfkgtHRbo+PZ8FjDVM - SxgYUg0gYZoPTY0uTown0vmLr586d+Xyoa4jNiMCYgDgXF4JeG15eWJsbHxteX4ztGv1+cwmHvSy - BeV4jslISOi8mVjPnrt0+fzZ7qO9vAgqA00FoaLFpggoeX79YA4jCowC0hBgBhwgoAbAFHQKWAdQ - AcqA88BJGqYScGUqUM7schvPDZ0PhuTo9sjy42uLDY6+nk6HkdiISSYWGZko0gRiFLBQsZZzAMVM - NDg7vr4wK0vS0ROnBy+9eOLc2aoqc4WOw3TF63HwRF2fG07EtlbnRzd2NgWnt9plrbUcdFfZMmWr - YPbwJc3prD58sPdQb5so2hiARoFQXWD5XGhx9PH9tZ2EzdMx9Oof9Z0eamqqwYhxmOiq1txYu7I4 - G49sz80tbm2s7MUjNbXVgEADIAgwwohxmOqAMfAcclirLI0WTn344LHRYOY1a2PL4cO9PVV+rAEI - AAYGiCJgmOiIUMIY1pHQdWzwwpXj5873+EyaQBAoZYBCqlB48nQ6uRXfDgTLsi4YeREhnkGT12s2 - WFQsWlw+p9OGgWIEBCioqpQM7mx0fnHnaTRbjuaUao032swmAzE5Ba6wMzm7wYlmYvPXtRzq7elq - qTYzChSAIiCwv+/TMBACDQEWOdFdXWv3mDwoW3Ab+KIs8i5/bc/xjnZsxQA6yDyoAAi0DNN0ShQK - mAeHt/bkqbNXzh8b7GkyYwW0slrMbgS6dqXk4/m1QCS5E0v1trYDYqDJSmFvY3FqeWXR6PJ0nBw6 - c+VqW6PDKJQoZhowk93LMd/Ig7lsYnty/Om5Cyc81U4TIcCwRWc8JSWFqIYqX0vXyXMvnDs/2NLo - QxQw6JhpIOU3dpef3vkiFEtYatpPXf1m/+DRtsYaYDogTtKoz18dWJrbDcwH5sYDvUf6Tp33iBYO - APCX9A/0ZY0eASC0r81jjFFG938GpihqPp+PROLd3qrm5qbunm7BaKl0NaT6Bl2ngkCoDhoDTIAB - cBRTTSVEA85cEdkjJgGVLFqaKIViGXlb2weHzg6ef7Hn8CGXwwwg1zU6vQ7j3PDY3LOF5dGl5It5 - WREEHgkgK9mtmbEn84uLGVk4e/bq0KXXB88MWU0iIQCgA5UdVmom6e2NiUhya2Rq5MyLV721VQ4R - YVxxVSFChOcuHMaorilaJl3IZQuMQV1dY1fn4ea2VkSAMlA1kGQApukENKRxIAMrW/QM0soFSXPW - tbcfOTH0wktdne1Op4PpVFOV+mrnytSR+1I4EFqbXZj3HTjS3Og3gV5Obe4sLywvzuVVevTMhWPn - rxw6OegwE2BAqM4jJqf3yvHdqSePV5dX51e2mls6LFhjmcja1Ojy4kq8iC9dvnriwitHB09xQAli - ALquqC5PXWPbTmOr32wWMehMQaCLAudQNUFRGcOAsO5w2xzug9mkZ2NdQpyZN7o9/vrDvb1mG6Ug - KBrmeYJkTaCyoJcx0wHzKjFQAIEglkuHdndGJme3k6Wq+uazZ88Nnjre7rfxZSeiBeCMgqMmGMve - vP9gcX6ppqXzxVNDYEAgJSPx8OLW2nau5G7p7Dtz9uzFS16PBzFEGDZjnhaK9W5HIZu9+cmjmYU1 - VRcIECz+s7A9MASs4oTkECCO8E5fldN6uFjOeRbDgJnVYWtobjrad8yEVSCiVskcamWgEsckhBnD - goJMOgPMgGPAqbKoK6Im6ToUmOHwodPnX37xxLkhv8vB64peyqmJ5eU5/5OZ1aTEqkT7iTMXLw6d - 6Opo4TBVpXxjIj81MZUJb21sB+PRYCx/TKyyGIEBEEBEBlJEvEJ4hilhALQMVOGoLgLWgZc5i9nf - 2N1//MqVC90HW00mg6Kqbc3VWjG+G5ianZvf3d3diWVNrlqzkWEto+V2csn43l7p9Lnu7t6zhw4P - ANYAy4ClY8UD65Hox3ef6kgUjZbGhga33UTQfjACY4woD0wDRgEzQFRVpM2VleDyaiFXGLhwsv/C - i31DF50mo4ARKNl2j8GL01vJfGo5V8S8ygCBJgBwVGWqEo/vPXr6LJLIOHwNpy5cPXZysK2xgaoK - jzHVVHtt62oosbm5Hpx/srHSmswNeqxExDwwjAFjhAADI4xiUAAIgNlqa21qr/NWM6fR2VKjAzGD - kVMFUMDghJwU2tie2s3ktmKpZpk0I2RBXEN7W//Rnmu37iXW5ubGmwf6j4sWk4EhHpdxOZ5JRKfn - N3bzJndjjb+pze620sotCuBf4NQt/m/wO9Dv5cjk9y2U35dX8Vd2hAH2g5iUaTqiQHVd0dRK+0XF - ANgClFlozkMKIoECFdLIKiPgReDRfsHoy0JwJWxMAevAA+CK0wNTigFTCoqiqyoFBoTwGHEIYcYw - zwmMQbkkMbofvRMEMBhAEAATIAh4Dr7ydUYAc2z/PVFAuqDlDXLaCNDS2nb83EvHL7xa09hEEMEc - FnhB4AVgEN/ZXpkY1rPJzra2Ky+94fY3IFKJAigIJJ6T+451tvccBAoLwfhGMAoqBhXLidzC8EQh - mqny1J67+kbtwT7OaKCgM1YkWLNazQPHj7zy0tWWhjqbQaBSLjA1llheFhk/dP7V3qE33A01TDQD - 6IA0wuker/Xo4dbLJ4/01HuK8djWZmQrJqsAgBCP8lTPq0y3VNWfvvzShctX+o8csfxf5L1Xk6TJ - lSV27nX3T4WOlJWZJbK06qpCq2q0hkZDi8XsiF1ydmhrxge+8o/wgWY04yNtZkgb2zHDcGdngAEW - GhiggValtUqtQ33K3S8fvsjqAjh84tKMZhuWVRUVmfFlhIf7leeeExoNREZNT7RPHVk4uzjXCHHp - 0nNf/ua3Xnj19am5BQcYknaDL8yEF2aThPyj1d21EQ9dZXlFSRHYQShpq9s9dvHl1776x8+/+bqJ - EigBF4js8ydnz505jiCZf+6VVz7/jdfe+fzcsUMcJiAJaub4gfob52dOtEZusLwz7K+WGHnAp/0n - V29+8KutrY3ZxZOf/+q3Dh49HsXxGL8Nn4T6wtkTZ44dEl/effTw1qPlDPBwzIVWAAVBOD07f/7t - z3zz8+98Z2K6G4YMFJDBqYX222cOHoh5MEjvbGarNhoxeS7LrP/oydqV6ysOk4snXnj1jc92p9qa - ARlA9lrzk6996Yuvv/LascWjCI2H91JogoEr+luPb13devKAtD7/6pszz70UT9Vgxg1n9vZAt3ti - 8XinMb2zld+4/mCn189tUWbDJzev7967ZwSXXn3j6Ktv6ukGJWMhhdps67XLp/7833ztv//3f/zF - z7+hASIvhGfG7USAAnAAAdpXU70BfA2IBFZzL6yGuR2VgrHmNSx8hnR3+eGdew8erOyN5k9dfPG1 - t55/8aVmq+mrgwHEsZmd73z1jedfv/yiOLr6ZOf6w9XMgSshcefhy7ENoQAM54ZQg8BwIHFdZuYm - j37jW3/6+hc+152e1JrhHNjOzLU/efHIm68/T0l0c3Pv3Vv3eqMsIMBZJkCxZzQ73ecuPf/n/92/ - P//cGW0METTDMJgy+BQ+ZZSavAYpoWqmGgQiK5J6FNOHDl3+zJdf+ezXTj53No4TIk9U1HV54fjC - 4tFFcPxgzy3tpk4piDc+TdxelK/XjBw9dbp24IgPUIK8uFjjYKe+kBgl+c0nd28PtoaAIo084pwg - bKmKfx2ogC/gJS459uwUj0Lua0qd+FKUgKxj50A+ojKm1JADR7maSCm0CqIJJFrSyO/FgrOnT37m - i1//xGtvT85N5RqZCCnptqMvXP7EiydOmlTufHDz0b2HSqGELyEW8MqADURDFNjYIC45ANnIDaZo - WFOqcEnfTxSAYuiqugHP8OTgcpFcRyEuvfjq659755Nvnal3qspuDiNnF2beevmldrO9O8juPlnd - KawLAIXB9urO3WuPrt7rtNvHL7544tJzUbuWewjBORdpc3LxyLGDC40wuHvj+tKjh4P+wHl4wAKZ - RbUVx0GbeMArX2ifGpSHFk986it/cumtrx44ctCT8pJrysOavPnC6ZcuPQck9x/17t7bKHO4QtJe - b+3xnSf3Ptrc2e4cPn3q9a8+99JFExlFUETeFklszhyZ/dMvvv726WkabX/00ZUbT7ZLgtL+0Gxy - 8eJZU+s+3lEf3d/azCSFsvD9fm9ndXnj0cqBhjo+E2uUyyurt5f3UsBBbLa5tf7wycrqTq6OnHt5 - YuEoJwlYeturG/ev7izdn5iauPTa21PHzkethmflxTlrA8WXzp0/d/pMFNZv3rx/+/ZDawEH5V3N - jRLbV1qhc+Dlr//bt7/5x/WpaRMppZEkRiupOF8rCTprSZwCG0Cc5GTz0PvEeuXZcdjncOBhUUJG - xNLqhFEdpQMhN7SnOfUkBcU5Yqdip+AAcjZ0peIyxijxu+z6FjTS7Sxo5gwLMCOmrOa3I5cePjh/ - +c13Xv/idxbPHeOARBG0xG19+aWzL544Om2R31nafrDeH6BvCSpGUmOGV4xG4+2vfO1r3/yjQzMT - ymhQgLgeTE0tHp17+8WT56eY+hvXr1xf3S48x2CdsxuSK1hEs1bENg98BrFwLgZCHYB0QaZkbTWc - Bnz26Nb11Rs3VIEXLp6//MmXO1MdNuzI6VCD0Wy3Lr/yyszsgV6/9+7vfre8vCICxcp7FNZ5oaJ0 - aW6tkLCGCgSIDLoNCqpgg0AE760tUkCgdAnOPMNmsHutfE3bETgYcGvAzVShIIAMXBnakRJC0Dr/ - 6pe+/q//3aXnn5/pdjSQxJidbpw+svDckelDiZXBxt7uzl4uY3Vy8QIqgBQoQeMeGQEVhj8KQSit - K4rS+Uqce0yYgrFiA4HIOd/pdP/s3/zbz3/xnYOHOzpUHgRjujMzpw/NXZib6Po87feX90Y7gkIy - N1pauf2Lx/evpEX53MtvvPTqp5vtulJUcXsy0+xk5/XLn5ibavb7ex9cu/Fka1BpTGuxAIS0cOTJ - VKBEECA5/IBRWqVyHaVsLFX4Dq+tNYWl1F597+bNa0tGdd9868uvvfl5z8aBPUQpVobm5ma//dUv - Xz5zol0Mb/3mVw/u3VvLMq81WAmRIiZhOKZ9uU6QE1h4q0hEXF7myiAmKLu9fu/D2/duP+jnjVMv - nHn1U2cvnZrsJobAYHKAWFARzNZe+sSxP/nam2fmk93Npd988NHdzTwHPHBkYer8+TNhUlvZ6t++ - /ygvLSDIsp2lpdHyChX5/EK3NhVsDnv3VwbL2xEkgZQ8uLf6+NrK5nbZWHj+rS/Nzh9IFNhmsLly - DNEl6VSpjMfIo0AFEdkIewHZs6dPfPHL33zt7S/OHTooHMAYJMHMVOP5C8cXD03BDns762lvB+LB - ypVuc2M7K2yj3Tp57nS92yANBlBKPUjOnzrbrrf6g8GdRw82hnsFYKuAQQC4/fBBHAAIyO48vvP+ - u79Z3x4cOPH8O9/805OnzjWbTU1jXhVWcnxx9s1PHH3jxXOa1aPlnWt3lvZSK8SAY84DDIkwfWjx - 7Ktf+PQ3/uzQ8ZOaKNZohNwIEEjRW3uwevf90c7SwsFDlz/15e7hc6qWWGUcXNyIjh87/N9882uf - fvUVhpgwQKgy5XMUhBT53soH7z68ecPUm+de/fSFFz9VFh0ZtVFG4hHUaGGh89bLzy20zO7myv0n - SyvDwkEgaZ36gUYqgZk+efr5N9750tdevnC+rhAAMaMeohraJVX9MUAAr2CJnQekhM/FeiGIhgSw - RNYCJWQk6DkaluxzMiNvCh8EtYmXXrh8+dzJw4ksf/iL67/71b37D/YKpIiGEhaqDl3TKqm4Eb2F - Jt/fevK7X/xoa/nx9PTUN77zx+deeq0zW/MMC3iCNvrQodlXLp387MunFuLR1tKtW/dvPe4PR0BB - 0dBzv4CYJkxTEBuOQxgt3giMF2N3Ybd3H330q5/9aG/kJ46/8soX/nV77pAjzvLMucJo6baSC+dO - nD15xBX9laUHS0/uO+dKj9yjBEqgJC5JgbniEwP72Ahs5j0J1a3EvhpJxIgg4wzaiRTCXsVJa2Lh - yKe+/PW3P/9Kq5kYnYAs1Ojoie75s0e6cZJvDTeWN4ejMgcTazAnnfbhxZNHj51rdCeFAuu1Fw8p - IKNjs/ELF08Gcdi31Hd66MMMCtAQH/uREeugUm5YVSevAoeQwBZiwYAigCzsCL6El7IU+ABUQ6mN - UJt85HLr/J6YvuaRRkrIBRCEsAH2lC8hgRVMTs9ffu3tT3/2nU9cOpIYwAPKmi5ffvno+YvHkWX3 - N/rLm/2x7vpwtLf6ZPnuta2tjSNnzy2++Mn5k1OsDZwjz2XJne6hs2cuHl88hHL3xvX3Nrc2BrkX - R2DdBGmnPGozJ174yh//xSff+uzhwzMEKHgWC5ci3R4s3Xzvlz8aZeX08YsX3vhC58DBMYuvgJTu - dDrnTx27eOLgxqM7j+7fW98ZjHyFb6qQ1B8n7FXAr5QKwgAk1tqyKIihAOd8WRZlWXoPZhKR4XBY - WFuB/AJFoVFagRSgPrYmJA4+A5wIisqDclG321z0gyA6//Kn/uJ/+B9Pnn8prNc8QLDtrn7uzOKx - mQPNMlq5uTzcLkvLzhGoKPYevPvz7y2vrLYOnPjit/7d+effrDejiqkf5KDt0ePTn3njzIXT06DB - jXs3Hq2vbo+GOSpgPAoPTxpkAAMVEgXes7fkLXmLdJiPhqmzGBMUAUkNUUOnUCkFEA/bj/IN5TId - 1s689Kl3vv1nZy691J5sk4I2HBg92YzeefvFT54/gKJ39cb16483LABJ7fqtu+//Ynd7vT0z/8YX - vzp74pzU1AhwBCaG2PNHD18+fbxpeOnRk6u3H+VWUGZu8/Gd3/1qbXUj6h5+9Yt/tHj+JQkgiiug - hQqi8+dPfeXrnztxeqHZSMhDcnKF9jZyLvJQQvCUj8E8XpzjwhlLiaPAeqEqZCPSgoB8I6AaWxbn - QBkCDwSK872NB/fv3bj3cMi1o2cunH/u/MJ0k7wjbaAjkJqfmz++eGhuZvbRw6Xbtx/Z0sJnZbq6 - tHLn0ebaKKidvvz6sec+MTO5QFCGTMSRAptasHj64J//xZ998sVXWugkqCmQV+U4jcc+DZJnsAEZ - VNLLRDBKyDlYkHdwDgIew072dSQtkLMfeF+KCjPEpQMJlHXGFjXyoU2VMgsnL1763Hfe+MrX61Nd - rwQYqaS4sBC+dP5IvVGbOHzq9c999e3Pfvns2QtKhWBtonhmovb88cmLB0SnqzvbKyv9rGADDgFm - NgXpIZlcaSEPyeBGkBLitZAjE3Tn3njnm5/6wpcvXjjXiEP4kqWYnWycO7nw8sWj3ToN0+GTzUGv - ZA+B26PRisuGgmRm7sKB+TOofA15UDY9E8/OT0KbR6vb9x4+ZoJhX40euTHklca1LxGw2LK4e/36 - +oOHgQpf+OSbp15+I27WSDM8QVzt4NRbl89/5xtfOLgwB2UK7+GtAZRNbZ6ur2/887vvDUvMHz1z - 4aXXujMLngg6LNjYMJmcmz9/evHiYne0evPJg5tLGxtDTyBdlW6pkgpScIwScEDSbE0tnlg4fu7g - 3JEAIUE5eEgJcq12+MKF47OdqHTF1qjYssEAKEjPHTl84bkzU/Wg9+jWtfff2+1lvRKFFfgUvccb - y4+v3nyya6cOnXmlPXswqI3n4Kr53//ayG7/36Ju94f6/m9g2GeWkZ5F3T7zQyTE+3qt1QxSAZTE - xpY02ArSTfbsg2TAtQxoAJq8J/4YYwEvcAK1r2RSjZFDEZFA6zCMYhFyDsrw5OTUoUNHFhYO3rp9 - 6x//8XtA+M473zh06LjWURQTM5wHYx8+vF+79SBf9ePFsbdAGUpWk2FdY35hYeHEed0gaNBYboAZ - 7PJ09fGD+9c+qCnfrjd1kOyNPEoX+TRACp169J0feiXQZrmXbu2OAGBY7C1t3Hn/GkZ2auLAgcMn - uBUWQAQhtqjGuDxBxErmqZBitP3kMfcHE82J2fkTYXc+BwyTIU9wTETw5NOJmp6tq0Rzv59tDagE - ACtuz9q+hedac3phsdHqhJogbsziR36imRzo1kOFicnu/JHFWneSNBwAWKgyioqDdWmGpsjN2p7b - HWIqBoBQoRVxXft6o9E9eEx15jxXVV0HyeCH0zV0mjXoKJ450pg7WijYKlR2DlLUOZsK0xmDR3n/ - 0coypzjTAmD7y7dvXfltWRZBoxO3JoZZubs3VHC+zBWJMeSLVKM0mvrD4U6aCeDgvKTOi9ZxUp+d - nD42NXO0NcE6ADMgOdwAlE0bOxnisY/LqDs0YUYoymx3a7XXGwm1JqdPdacOm0gTg1DCD8ApyMOp - 2emZTmcZ2QZrhKHWAKPM+tv3b1zpb62ZoDuzeCKNWysDRDZtchaKHQz3qMgiHbUbk8urK48erRal - 9ySSp6sP7qVrKyGroN507UlHcAA8UOYwOdX8/GydJWgkqlmDMyxUBcqoesoe3gIKAjA8wRMogA4A - UZo0DcnDubx0VJV3lQbyHFKA3fb68sbWdoHg4MnzC4snZKwyTaWr1LMtkC9ONw7OzSKoLe1kD1d3 - MgtnwB5iS7ADWIS8giIo40EjOEulavDkZGd6buFI1Gnl4qIKSuYLFLsTiT97erHxwcNlBE+2B8Oi - hBcQrC2ttyrAocWjJ0+dbXfYmIrVU4Q9yBvt4C1TwVQSPAux7JsDAcERFULSmJg8eOq5oNPyjLIo - Ay5BFoHMduJWqwUV79igb5k0XFFK3tfFTlhsSzkaZMXAmxKIA2JhQJqGaz7X5Drz0zTRyIAigykD - igOjdQoIrEFpYAEPDwyLbGcvtyVMUARaxXFkCALFGt4hTwPlE21dPiocpb4+EpRVp9l5KYZc7DYj - zB2YmV88iQh9gDNMVJT7ZXmwUzs00Z2qtUfbw621jd1+HjWUga78N3siD0BDqRLKwxlXymg7SLeU - daQaKTq5ICQoZNU4EURpVvWo2Qg7jbqdW1hsTx/QujqxVrkhJO+Eem6iE4VhRiYnlRFnhJqirL9b - bi6XPUxOTDan5zYGiAjGl8aVymYRXBIFkVa1wGSD/qDXS4ejpNnQDAEqXTpfyRKIwDtm513q815s - aGrmwMGTF6mOIZCORhOhh3Lwo9l2MDvRTWqd5bXhg4cbWYp63WTWLj24PdxZMVF48NQF6h7uA1pQ - 81AKxighmMAvtIOjTeoE2NraWe0VGdAgP9E2Rw7PR0lradN/ePPJ1rDIwYppaXlpbWnZeLz43Alo - f29le3V94/ajjdSf6DBcsbu8dHe716OovXjm+fbsQYcASLc3l1fuXXMj22w1O3NHdgpEu0XN9eta - 4MQVZZE7cdJowHYzfwAAIABJREFUtPr9dHt7kGYQuGg0CsphImmr1Q6OfaJ17Ll4OoaqUisr1jEU - KFCsABCDAxAAT84XbGRyonXx7Omdm49+/uDx//Q//y+vrO99+3OvRB3WPPI2cqbuCdqAUCr0tSqV - 0Z7jzOkCugR86eOK6taX8EMuttLBKK/7XDfLAJbhBBBXDLaKnUehYG7x8MWX3wzb7Kp42buAC6ay - 2dAz9fiAjrIsd73SeRSE0kL3enk24sA0Jg9MzB/qTMQGgAMsBs66iBrN5BOnFu7+2N7f6O1sbPVT - KgFRpjBUKCkZjoTgAnjlPWCR59pJqAMvPLTSz3NHoSNn88Hyg7v9paUJg9nJyaRe29jZZmLFbLQm - Qa+3t7m9VZSF97K3t5fnBTEz0dzc3LFjx3/xy1/95Kc/sx7f+aM/OnnyZHdiIq5FtD9Q5ATWQWkE - RgMhvCtLlypwhXwo+8buBFIAOuV6xrWMEAMgBe9ClzHgOY66hzozc2OZbzcOiRKNgxO15Tr6vZIg - JSm7P/gv+0U1DQRQ48DJe+tslufWe2KtjVFKMTFVLNdCxnt4AYiJgyCoJbVOpxsnWlBlOQwoME3W - 4xNT7QfsyLkMeqSgoJLELt/97dLDmzoMo+YUB43tHYQAULhyEIU+zwbkcsNWxG7u9gZ2HMopsQ6m - AiJWgdN4/g4lJGVyZAw4HFhXeC+wJELel8N0a2V7a20Pkhw9+tz8/PFOt6kCAOzgPBwLNFMziY5O - TR7vtq7ev9fv722ko0YnbGpNrEgIHuRZYywUCTgST96Ls8wUxpFUr8T2R5uPt3Y24XX35KXZ4+cE - 8BYAlCZNGsygAshroT+20JppyPuPh0/WNteGrgDaYXhgZurIodQEV5dWVj+6cvXF892pBot1j+/c - y3d6hw9MPH/5otnY2Xry5PbDtftLxYUTIJZ85/6V9361Mxjy1NmZI2eiOGbvQDm8U56ZjAOnTPnY - eQMOEft6YGsBup3m1My8ClHBYgMQxGrljh2ZnZtpv3tjfdjbzoYDeKCwZV4450WksGVv2HPsWcE5 - BIrAuhgVcAiCqNFpI9IFEI3j5yrqy8Ea8I6gnUU27K8/Xn782MEE7fnm1IKQhnekdcUOr8iCy8OT - 8eVLZ395bW8zlbsPVy+eP1aiEUJsMXRFjxmLp8+eeunNeCowAYwjiCXvFIEk7208XntwPYSbP7iw - eOaST8wQ0PAKTrGHpvmpielWU5zLyzLzZa6sRlnaXrC+NHx8L+vtNrunbdQqAO8wGsGOSh8OCuwO - B5sB0lhSV4yWNzY2RoUFFBWq2C7ykej4wKkXL7/1mcmpWWO4GohmeDvWsTDjjSsMAI4qxK0o7yGk - yFfM8h6AIikhDsiJR9CFZxEViKmLikEhdHjh+OKXXr20t/rk8a2r//SDH7an/qRRM0OnJWg4GgyH - WTZMxcexgfb5cGf93vWPyiEa0/XphYOq3iz3/aMI4MpQyXQ7fvn8keUPwt31wdLayuxgdBh1BxIT - 6bhZis4tOW/EKXZVYa7UsJAhhmvD9fvry48oOKs6i5LoXg5fDJRkZTbU4iAOLosDSiI16u9sb21Y - b3WgKhNUVbQCNoBFJVBLjshGhgHOCsqsss4BTiNTlbkCAGXIBBTUaiaeP1ybmNZRdTVW8NBlUnfN - ummGoZJYIwAHXhM8YziCzxC3RFASACilGSVc4Xtrtrfs8p4VX+rYm3rJYS5IhCAuQhEwQDqnxFEk - wnAOQobYPyV/oAJa4EorrE0MxRCABaWodBDYgkiVJhlqjIBAkGhoBYURMAo0TJAIh53O/IWLL3Un - a0aDxtDVAhjU4iKqaRhjgxpUNRRI5WCwdO92f2PJukLXG30OtoYoy0Hb7qYcjESXOs6zstOsh6rc - 2V7f2t4aZuV0opE7neYoPAeN6cXnXv3055uTFUxJiBzgQKXbXR2u3R/t7EaLJ+KpQ4UJ9zLA7mq4 - ErqAVi632Siiohmys8XW7qCboa4RVWH+M1wgzyTrAoCZtFb7erSIomhionv02MHHjx///d//Rwpr - r771mTOnztSSWDNKj6KoME5jCb+QoMMAPoV4YuWq4N+mDd9vGOT1djIxX5tomxpIV/37nJG1atF8 - d2KhMZ0NNwNKiKE0YLN059G9m+8N06AxP9ecOWZqoScYrqZ2BchhipkOv3Dh8JWl7feXs6Wtze3h - 4ADqfvwOlRNhqk41QXEYyNyBhbnZhTiKfviDH5VOffPbfPDIsVYnoaqmwOCAXBWlulHsdrVYz6az - cOrImUscjx04CEYrE+v5yWQqsb7EYDTazZALUAzzjQePbn2QpYP2ofbkwcWMzeoIgUXNjeouraNA - nsZSxpCtja1HSxulI6Bwu6tPbl8ZDvJkfmHi8FnTjEcWsVSlDZY0dQHrqOoYWDgJdWI4tqWOok4Y - 16s6eHVwCRAoh8Ai8jBCJPAKCLVSAHtrfGl8weKdRw5tAcCXo73t7a2t3iicO3T8zHkQhru7mU1j - ssYXzhPpKDZ6Ye7A8m5WlrDWepsVo43l1Qe72VB1p069eLkxNePGK6Q0NGDhCo8saYQzkzMHk8OP - R1s2L/rZbhzHBtG4DEVczdNUzKtMABgkQh4sMGxCY4KAGPCqYjcVB7gCVAbsmKnwVEjgARYQIVRI - WGoscZQ0Zw6ZmWMUVSNCAl2i7E3H6cHpugkNNSenFo7puAmASAMexFr5hhp2sR47eJsNvc4BB6Og - QMorAx2USgkJUMDlkALiDLEyMTeS1vyRWntCKTA8k2cDxa4eYbKpa4FTir1Oymobq9z2V4rRIAxb - bCaZm+NDKR7I03RzMNojrThIdBAZozWLEzgHUvCAL6CJoNmVaeFG/d7uyuPH6U6vljSmF47E03M5 - EACmOsw2Q009d+poUvsteuSJGT6AJSW9ne0nS8tPlteT+VP17gyFdc8qLfze7q5nBQ6Uy/LhXo2G - k7GDG23s7s4UE13NIOWdlGWZF4WVstIM89iHUasIkqd5JqFhMDS70aDf3xr1N6TsE0OCJDf1Aigp - MEHQbNYunj5286Or2ytLDx4uq+nZyQ7BlXbr/pP7j/upxLNnjp//ZHvqQDhGiXp4DxGo/4/ZX/9/ - dvsv+W7pmb//4Dv0h48TMJaYAIjEV/f2qStgvTgPARQzvJOnNMTyL/yC36sSE1dDICLee09jiKKY - MDxz+sznPve5vCjW19a/+93vbm31jxw5NTE5c+7chQMHFuoNrqQaMK4F7bMtAwC8F/YeDC/wQgAm - JycPHpwPq1x6n3TXewGQZfler58XuH3nzne/+10fNGLkkc8CykiNPPXX97avX3sMEZtnZZmBAFcM - Rv3V9dXCI46jyYmJKKjWiAEGKzgaM/dACD7P8/sPHq9v9FCb6XSa9ToqY0f7oGQClFLtbqvejJ0v - N9Y3tjZ2BJ3xjLJ4QKIwmJ6aTJKYuLrqOO1LarVmq0WEJE467XYYjLmQKtAFTGjCWGmNzBd5WlbI - S+cB0saAOIqi2enpWhypMXh0/BUltaRWA6RZr3daraewbPGeBMRKm5AYzvsiz50FAJR2lOXDUeqc - e/Tw4T/+wz+830qaIWsSW2aKJDTc7+1++MHvyrLIsyxP08yhpkTAzpcCGKPr9Vq9XosjqI9jOEIY - xkligpBLhjgeF+j9cDgYDYfii2az1m41o6hqStO4rUwKypggNiYAiAQiYxnU0trdvV5R+sFg8P77 - 79/uh+82deT6Dc4Db13ajwibO+XK2tpoNMqKsCxL7704t7W1NRwOglB3O+12o2aexa2DoIO43nQF - OAhNFIhiqnTKfu8gYX9goGLdqfpv3gsEJASl2Gglfv/0VAS1zi8trayvrxOh3Wo3m02A4L2HJ1Li - K91xrtUb9XodkN7e3u7ONvyYkojGSC8BiQgJCRED5MVro6JE1WpJFEVGaaqEC2SsU1xvNA8dPhLH - MQbpxvpanmUAwHDirLcCTE3PzM0djAIoAnlU1/RUEhzICfn9g1kphFRbUwFj3ZokiaamJuKo6sSM - JZyhTZLU4zgGQPBVvZSZ2ZhGs9XpdpXK7ty+dWZleXR6Kg7gnEv7g3t37j9c3/ZCB6dn5+v1CIA4 - KAfNVWQh+ycDsGCArUgZkYO3pixYxFbSCNVaVSqeRKw1BOLdGC8mgLUCMGtWSOKkWa9pBgNKgZjh - AOKg2Wo0m9roXr/X6/XKsoygntZAx8NvlbGCq5bIe3FeRKTiVBUPGps4GbMbEisdgBUzT3QnWo26 - xr5Bq1pYUdxstbXWBGGq8IAAYZSmg1FaAEvLy/aXv9ja7UfwIVwEhzw1voiM+dWv/nlza7ssrXPO - elvhGvjjys4+wFCqVgQpZq0ojoJWo2Y0GBjzsoHhEdabrU630Wiu7eX9fs86D+KyKJ48edLb2wt0 - vDA3224kGtCAL8qSSgpJINA6qbXiqAuOVjZ2VrZ3+xmaEeJm+8hBc3SqtbW0uXbjvZ21t4bnGob5 - zpO1B2t9XTcnz12KYj/3u0d72zuP7z0c7r1aNsvBoHfl2pWtzY16ber8mTNT3SkAAKdZujfa9YSV - 5ZVf/OSXN++tt00au72adnDwpYeoDz66MRwM8sI5VwJgJlJKaeMEJjCtmemJdrumKv6RseGs5hif - OjhiSAnnCmgQ63qzc/nV1/vLe7eyn1/56INNE2YrN45PmvPzjdnFy625s0iqpVYVtQqLKHEsFuKk - ulzVsWcFDy8UBFBKQcQ7YBw9EzOzUgACbWpxFOgxToee9lCjuD0xMTM39+hRb5imuz3frLNiISUG - ZaC4Vm+GtYYJ95EwADMLVBjFU7PzQa2RFb2t7e10OHxq9qrxARJPImNVKA8QC8j5qsck4j0q3m2h - nd3e9l6vcPjgo2sr6h+i5j8zkWJWzCQosmxvZ+f+g4dFUQ4HwyxNXVEqrQ4fXHj9k688eXj/6tVr - P/3h98kVJ06cOHjo4NHjJ2cWjnSmppTZ36sCkIYOYYt9PUZgTDpenRZHsAS7P4JqQQ7kQZ6oEsOB - 1mOESeVMldLtTjeu16WXPS16Pnv7/UcIxCLkrBPvIeKdrc4OUOlzYV8nmATErMIwaLeacQR69lJE - YRQ1mi0dBEopxbz/K2gwHI3SjIg+/PADSrNfttoRgSSz5SAMRXzW72/du38/L4osS/N0VArk9zv0 - 8gcveN/bQJw4C+8qX1o16oaj/u7eTlkWM51WHMdaP91RVEU61XuoNxu1et05NxgM+v2+c83K/Tgv - 4gXwzFXkUz1REQKIBjM0HFsnBO93t3f7Oz1YzLQmpjodoHIdUo0cwmt4A1syR93JmaBWK+zm+sbS - oLdRymFHtfrE4sEFmmuFw61bj2763t6Z4dyUder9e2vLAzN/+NQrly7izrX3OHt0//r9x/cLmQ8d - rWz0333vSl7YuenJY8eO1JIQYp8JZFFp2dE+fAHOjr0Do95oTE5OmKfhuQjEE6HdbCRJbMWXIhaV - ppaJ4nhmqtNuBI8Ge9c+eH/u6EXfPQBC4bCxtfO7j25s7aZx0pibnW0l4ccqEf6ZT4/2zb53u3t7 - K6vL1pa1JOq228YEkALOi2YBQQhsgrDWbLaV0ulwuLK8PBwOPCbxFMNB6Ha7B2amowBqvDMI4r2I - IvQH/c2tLSdoNpvT09P771HwdJ8qrYwxRjNDEYVsGGRLi9GwsNY6OxoNf/yjHxaPn3StRGla6j0X - DLwa2t3d5Tt3lpdXvY/SNM3zzIoNQR7sBcTUbjXn5+biKNwHkox1whTzx2shgPNgBuBE9hEdSj5+ - jVwBdKtIm0lX+caYNI4YxiweP/7K66//8v2r97Z3fvWTn15+6fLE4Y7zhWjv2RU+t74AYg1ISYO9 - dOnJWjpEI4wmp6dMMjaTemy4CdBxkHS70yZupPn2ysrqoNcjTBO8JhjF5B3DMQGVLl9lCliBtcvt - YJj2e0WWDO/duvZ//NU/RLIZYyuAhSvYWxb/8P79mzdu2tIOR+lwOITIPqLt6TGmMaplHHxx5RaY - K2Fz7+CfMgGPDyKzBweBrnXb9SQKKlCd94oFYB2EURQGgRH7seFjqgZMLIrsyXrx4XK68eRatvdE - uZ2w7PvBxt7K3d8+THd7gynHeWkZMASIB7FjI0oTkybHcOJKOIEyTBgLxAIAg6uYWJGq6G9l34BX - CZQwHPlxMlVZFanY/cb0TpwkyYEDM0bB2n1zRwxQGMVxFIHJMFcUlCB4kSwv8sINh8X9+/f+6Xvf - 273dbJVrjXItJZ1RUOjI9np37tzp9folmbIsvHcgAxFbqXiLxFEwOYEwqNaVxsk0UV6UozRLM+Q7 - O9evXfmrv/ybLnr1ckuLK6EsmZD9w6u/vnfvfmlLa22WpZBnWIyfcQrPALBYwERUOQYCmDms1Y4e - O/6Vr3z1pz/72dLa+t/93d/de7Ry9vSphbm5E8dOzCwcUVEk9HvDtSCAFEhJBd8RgbcszmgVxnFU - b8X1qgY27loxFCmdJLWkFrOiqhUnAtiiPxysrW/keXcmDmdnpmq1/RcsQlKJmhoVJLV6Owxrruzt - be+NBik/tSbVconfz5CItT5+7NgLLz5/59695ZW1H/7we8NsdPDwsSNHjx07cWr2wEKto/0Ypg0o - GAWlmMjESafd0uppzlFxYuuw3mzHzaYyyLM8G41sDgilud3a3snzfHV1+Uc//Kfk3V9zEBmXxz5N - fFZDQXn/0fUPhnmurCvzPB0Mc5MOhsPVtfU0D2px0Go3kgjKw+xnWtUGIPC4Q0tstNbGKK2sLew4 - FX/6wdK+PfYfn8uneQioYt2rHuN9T57lxWA4LG0x2Nq6cuUKl2kzVFyOEvba5dYJmeju/cdrq2uj - 4bDIs9Fw4CMURbm9vV3keRROHJidNVqXKEMwAV6kSsRYaYSR0tqTuHFtVAMVHeUzifl+rYjGgSDJ - vvursqhnct/9YFHIOm+tFV9hl/axqEQiIkTamE6r3ajVzHifC4jgRQdRq90xWgdxNDXZDYzZvyiD - NJTWWrEWD5TWurLqYxEIVE0gsGd4AsNrQMEDsA4lMaIg6LY69aShCIACeSYBXBhwrR5qU2XPBF/F - QyapNeuNugn48dLduw/mXzg/HQYFSKDMkyeb9+8/cqXtdlsHZme1DkSqjx9P16zqyhMLo/C+WFvf - 3N3LdFLrdFq1RJWAdfvVGyjoMK6HzArOOluK91VpqcjzdDSyZTHo7d25eeNv/ve/nqiHIcp0NHJQ - DopdtnTjd/fvPxylubXOWwu/P3EBFu/FexJf+Q56alp6e/e3nnywdHttsOP7hSmIsnLUX95Yu720 - tGL0AQWvSSrtHjFJuzv54ovP760s3d7auHrlo9qhS6e7DGuXl5au3bielfbQgflz589328F+yd9X - /ui/Ns6E/2KlW/r4399fQdkPq//wGbxPRFDFg8A+CRqIWRtfJUgMyLjoIFUt9v/xE6pcGhMxgLIs - iyIHkQDWuwA4e/Zsu9NOs+wHP/jhhx9ee++9a+3OzPFjp779r/7o1VffOHXqOBG0AVMVsfn9vINo - nBoJAV7gvFiLZqMxPTWpq3jGCxPgnXM+UNqYgFj1B+Uvf/XLn91Y6ZfKlMPQZxopeEjK6xp61EGj - 0agnUeWTxZWuzPLMEhNTvRbv+579mGn/LWpiB8nz4sGDJ8ub26Q6cRJG0dP3ryv7wlAqCNudVlIP - 8jxbW1vbXN/xHmDFSlUeIDC63WoZrb1UjmpMoBJGURzXBGBWxgRPczsZFw1ZiKvkuUpaBXDOOe9B - 7Lw32kx0OxW7f/U0eMCLCcIwjOAlicJaEj+tP1YLCyJi7QTOSxAYzbBAnmUCjuLEi9z64P1bVz+M - UEbkDIt3BcNrRYoh3modRFGkFA0HaachzMr5wnkvEG3GdN7VayEASiEMwyTRRkvuXJljP1wryzLP - 07LIotAkcRSOhZdpzLIsgFBpnRfAGPHOFmVpRZQXIS9QSm1uZdf+9m8R/QYYsRvEfqC91WITraCa - w1yHyVS3O+HFO+cYMkpHRVkEgZnsdlr1YN+HAboqszGU9iSeFSlNxNVh2S9wPHvk9v9vrbeOtbXW - ew8iBCaIwgAezkMq3KMvYd3y8vLm5iYTaaUUs0BcaR2xiox1gPcgsDZKa1iXDQej4ZB5rIbFWsF7 - QIgrVVmnmKsVDqMwikQbZW0h4jQZ6wrlAEXQplZvTk44rTSGw82NjTwv9reBd2KtRb3eaDc71ceh - GMwQL96XwpbICbyQAETCJLyv5aMEJOSFoA3HUVjFnUqpMXSEyASBMQYQzaQVA2Ct0WhEswcWDh4K - r2z99re/PfrRh5eOztJkzWS7Kw/uf/8HP7p676FSweLc/KFmOwF0RVBHT+eriGAAguQgQYAoUnXD - sSspS11RZhWWHaKJEQTOOWt9FEXMJN7R/vlwRUnEJgi9r46DkCAghKbKJB1EkCRBGHpxw9EgyzOu - VhuQylMyjbsPIlY8M1XRkjwtM4kTB1IYAyugaV+cvSydLV2tlsTh0x1FIIYAOkhqdWYmiGKuCkQQ - 5HmRF6UAH350Nb+7B/NdIkkYjUC50QD5iLzzADM1m82kVlPMT2X1nmYLXsDjAylKqygwJB7eKfIM - BEAcxoQcVuAEUVKvN2uNOg2t9955J8J5kW+srw8GA6Z4ot1sJFEIBASX50M3DHQITSERTKxUUjq9 - urW3urO3l6MbSK1WX5itnz98YOmj5ft3b64/ur21Ox21p249Wnm0mTanDy+ePNtqyNGjV377cPj4 - 3sOdzXJaDTe3t37z299sbm12F46ePX262244C2j24h1ZFeDG9ev/fPevYBqE3Uh2jB+RsBIVBIn1 - urBUb3TqtZoxCA3DRyoMnfcQadRqcWjM/voQQGO583FRpfpyJQrJo1B7aF0z5994U43w2PN3f/3u - uz/7ye9+8B8OxOWXXj3/zrf4/CcXGgeaJqjqDso5J94qsZoF3km1mavgEwwBKd1sN4fGOFuWBSBj - 2dkojhuNJoDRaNjb261MpQiq5E4gZEyr250/fGh1/e4wz9Y2NsPJaa4zalGsoRWZMPSkvXzMzxQF - YQaICqJak8Na6WR3dy9LR7/vy4W9QIRZgQReoLQHF2VJREZrYzQTGKyNKawdpr7n8Z++95O9n18t - qnYJQF5IJNC6Ua/lo1EQhIqVs67I80DMofn5TrMeGfWXf/mXf//3/+ff/NX/Vm/UDy4svPnpz15+ - 47MXX3q9M9GIAig93qSAggoMB8UfWF8SkOeqdDt+uCrdOsCLuCgy9TqeetLqxsy1ej0IIy9ZVXD9 - gxv9wV1WxFzR2Yh3RZ5ba70I72c54P3yrhdAjNKNei0M/+CixEqbINDaaK21UlTNqRQOrLU2IqMf - ff/7P/3H74dARMRUeDfSymrtlHKlLYLWQhJH3tl0hFbtGf/zhzHeuDcvUg0Pe3pmKwu8dXY0GmRF - qjV7b20JpQFdWSfFUFAKmpTRSikPSbNsOBg656HgQU7Ei8fHlwWEiLTisIqCHFlH1hPD+d5uf9Qb - wnM9iOLASFWaAe13vjS8R2lAQdxocRQVrtjZWR/1t/LycBmEYfvg/JxbnGnfu/N49e5ob2+jZ7t5 - gQ/urG6l0bEzxz9x7mxhN/4uoaUHt+49vDMqXufSPlnd/d171yg5dnBh7tjRuGYrlV6CiK/U3UXG - woOVmbfWeRGw9YiTpNNuK3567qsYSYwiInjxKgzIhCBAR8125/ixwzOTzetX1n/6gx8cPfPJI90O - atFoa/XG9Zv/8IMfr2722/NHFw8dmmzWq96wCLyvKBT3GdMxrlcN0+Hm5kZRUhCoZrMeRQFQ2qJQ - 2hARHEDaC2dZWZZlmo62tjbS0cg5BwVWWmlDBKN1oPV4FnsfQerFK5Y8L4aj1FkYrZM4rOjTqrAC - HnDepZl1LopCrZUxOgIxlIgUpTVhCKJ0Y/3Hf/1XP/aolz4pCqt71gx0XMQeGGXaoXn0TBRFRLDO - VXEAKwURJmjFz6JAqjTdMPunu8h5KQoKQxBX8JHxZvl4X1dBCY8T5nHGIeKcVPGWNvVDh15w7sLP - fn3/J7/94NfvXn//g1lzvC6lIyvKkfakpSqiudyP+tnuZj9PobRpT7R1iBKwFokGMwxpOAdR4KCE - GmbF6tp6mo4MYMCeQOJIvCIxmpnZEzkvrIhYQxnrpShdUaBfbO/+/Mc33v0x+e0Iu4bKishLERgg - 8UppxQxBVY/1+P1bdWqdQEScOCfMHIYmCDQB1lvNUv3I/n6uJHUpieNxBf9pGkUEpbU2SinnKvSD - CMBECBRSO9rZefe9h3/9ww9+/eO/21q6xvl6IpIIAot1YODbmcVgmJL4kLjavY6MJ8VEmr0i51zh - HRgaT4uAT8uT2ijSAFzp4ZxSUskFVKV8Ekd+v2teRcsktC+KKwKtdRJF1iLPIOG4xQSicXZDqMia - ql660sYEISs96GPzvfdv3hp9n3dbdqNerKWkcw5yFUReElDoXefAVBhFzPxxYRBwzpZFnmeQBET7 - QvAAQF7EOW8tlpeeLO/+8MrPfkZ+t+l22FtH2quwHrDJd4Ii40gHQagUBebjhP9fyqJJiGS/O1Hd - YxAltdOnT/+3f/7nYRT/x+//5/fee//n//zbVqN+9uSpf/Wtb731+a/OHT0GQHkEDAUoAawDEUh9 - zGTqnRLLBG0CDmKtxt0TEaeIGRpelFZKKxEPEhkfxCLNsmGK0hbG8NQUkhjeV5lH1a6gihA+y50t - RBwNe2melk9Lt/vx0zPVTMXHTp0AUVmWf/Mf/vb9j65+eOWjMGmcOXfum9/+zutvvr0YnnSKw4gA - wKggYKM1M0Dh/iZy3pMIiwdBBXESNRpRgqIoimE6DgiJ89KWtnx889r/urQyTtp8GfgskiySIqYi - cNYrnux2283WaDAcmeEozfqDflHWGxphpAONgKGf1jWNUYqqIVwFVJ0TrVQQmNFoNBwN/6UPVvBx - bEF/+Ch1rpitAAAgAElEQVQxiBSRrmTZgdLaIi+YeOvevf/04M6P4sD4gotRrLxxeWHFk/bQKUVe - 17Si4WDgO4n3fjAY2LLUMcVR5J0rbJHoRADvHVRF5RTAmqzId4e9HIUJTC2oWzh8XND5uG6LZx4V - L957OCveifcVs08FHxi3zwV5UeZ5UaVB/IypLq2zzhNRvZZEgcHT1rkAzhOrMI6VUmEYNBoNpZR8 - nKMoMCujWMMDrnS2EF9xOBCIRSlhJUwCYcDAa4jAlYXN/y/23iTYkivJDjvufm9EvOnPQ2b+nGfM - qCoABRTQha6hq9jFJrtZTXZTNErUZL3QRhsttJC0lUkLUhI3MpPJuCAlcUFam2ikqCK7hq4JhcKQ - yAQSQCKBHJHz8Mc3RNx73bW48X4munYSd623+sOz9yLiTu7Hzzlulpy4XqdXFdSSawAiBZLz1Ol6 - ZiNSBlShCYDbu2//3n33fcHvnf31njl95UuH53tWdaMv6w/OXfzg/Y+gevDAvmPHjzmHlKBmLApS - qBcHJADCnkvAl7K+sbm1VaM70+1Wztuk1tLQMyYhQGA8ntRJDZqauraUpg/ahKgqijv379/4+c9+ - 9cavvDUVxbIoG6U6glPdx7Cnw6BWFEWnLHwb0dK0kJeYTKZVHKhiEq5duvSTM2/8i1/827c+Orf+ - +V1X01zZERqOh2NymD91EBrFAqFIgHF3fmnllZe/evGdX7975eI777yz+sw30qmDmNRXr145e+79 - qNXeAwdPP7l3pocCYOSWvAKWR7nSX47XvwPo9rGM4zdR1S/E9H8hsm9tKg0MzSa2Hm23YldU7Dwo - mqYUmxy6ZJGjPvooeqwctfs9BBARee+8dyE0BhTexTBW1bm5+T/+47/90ldfvvDxpV+9+e4nn1y5 - fOXyP/kn//j8+Y+++a1vfPWlF9YOLHsPVTUyIdHd688mzCAiNuIQoaqmqQ7OBMRkdQ0pfVECaTQe - P3g4IcZrr7329b/xH3Xm9/Yo+jgquYEMFdsTDduyMCmXV/Yef25pDjHAIguVnTKFmFIITe3QnhPQ - pDHucn8SJYOKuMHMfK+a16pbFC4jVE1IQsm5IkekqjoaD8f1CIyZwczsYDYDVqCWRWWqKYYQQowq - DsgPN8YQYkzJFCGEejIxBbWAHeUtL8SkqkwonfiWLGNJNYSYkoHBRJnj+YjbZUgKVYNZDE0KTa5e - qoLFwcTa3lftw/YMDzjvm5S2d3ZU9bmvfvX3v/dX1pZm+gWRJsfGZGSprsdeSOZWtL86WDsxN9sp - ENRIFTGlJjQhNClFUySDApKPMWYijkljDD42UCUwEZVF4RybJdNolhViCCG6ENqaVUjZDxxqpkpA - 4QmKpBqTRsXavvnv/d5/uPDEaweXejPSVGmHU2ANiCFaBzIjxdzsgjtyZH9ReBOpqpKY6sk41ONY - x9BxMVdJmXPhOijIF0qyPRxxjG2d4zcm/aOX9+w8OLA4BSdFjDHFJpuVkaElZYqfm18Y9Admw6yO - Ck0QA+VCIQMsCGqUI3ljYe9kusAwrbYYYNmi3JBMVS3FFCZNGE9GKUUzVTLvPciAAJamiesP11OI - 8K7f7RXegwgxgi0LQFJKMWhTw8oW7GDOLVQiIRm1hy8ZkU3xdIgBRmaEGJvJZJSm5D5YdvlpGa6w - 5JgkQ765dll1irIC0XC480//6f/xzk/+9b7Zogg7462HN69eGnTKV772td966atHVxdLwLuM5KtO - 40IDYiLXREhCQZ2Ok1DLzkS3txkgn0eHYQRFE+KkbkJI0uHC8ZQgB3FODXWIIYCZCu9ShPq8xxFE - oA7aRE3J1Be+rAo/1XyaJs/S9pswEJEnZzCwUFGxK0BkljQ2GoEiFyjbI9cUTRNDpmDmMtd0A4UI - Yl62mnm7hXdO2riIRVi8AC+99MKR137/Ky+8LLHxKRTQ+W7ZIYxHO+PJhIhmFhb2Hz02OzfrPfHU - 35am0gAWZhVQ0pSapokhMGlVOIutKo0yJ9QVaFId4mg0EqmKssgRHTH1+/3Ce52E8fZWGO8Y+qTw - ZTkjlJyNMGma2saxbsSo6s8uzS6t9GcBlIDrFHjh1OHb7168fvXerSsf37i5f74/9/m9jc3gD5x4 - dmZhz+KcPv/c8+dvnl+/e+/2jZt7Kzzc3Lxw8WJRLB85fHBxfqbfQcHQiBBjk+poeOGFF578xn+8 - 98iTy4PQc5uiQ0SyhBTIqGDXESkOHzrsHcxAKaqqK0rntKknKTTTrnsAiDKNKiM3OfxOcB4iJShG - Aydjlie+9OU/WTv4ld/9qz+/ePHSez+/+8k7759975Nr/+C5t2+8/J2/97vfXlOmJqTt7R1SLQQO - 6jg3fkYTo9dIjsDOSEJMo9G4rifdLjxBgWYynkwmTQhqmJub3bd3NUVEgxAMCoAgCHFze+fuw4eT - mMT7TqdqfRwtITYao6nlddu+VEMI6hNIXNlTKRNExD1SRSAnFgZNlmJOoEEM46jWhJhSYqaqKjPN - 1Ig63V7ZKYH6r3//94+++r3+wnKnqpwIUrQYyaxwgpS88Eyve/LE8V6nk5HnblU+8+Tp/+xP/pPv - fvPr586dPXfu7KVLn/1f/+efnv/4ypfev/RXfu8PThxbm+23i4WSgTPVbnfzzevGMuuWKUyHL6Hd - rJSglmIMCNlLQSCEaIgpxZhiCw/Zo238i7nmo20+xBA1Z2tl4fu9bll4EEIIJEWb8ouQOFXEmFSj - EyZDjMiNhIUMBjOLZkZsZikGMggJsQxHk9GkLovye7//hy89+9z+pRVJkakRjkxNSpOm2e72u5jZ - p/29+0481++hVaHaFw8gPLoTAzRGuOSZHbfDBQILOS9gJA11M3FOyjL7/mQ9cIpGRX5ySaMqsUhL - Es6IMGfOUz4NWx4KcjrNZk7VQmrYGQDECCNPnlyRRnUzGjHmLCMAKRIRZ3MKlOAyGteqRtbrFv2u - KwskVFIuLS7sPH/yQH3j+vq9S/fu3ujemd+5Gy7fGzu3vPfgicVB/8RS7/kTa+cubdy+c+Pug82t - yfqNu9t3H+4cPrrv0MEDpYPLcyRLdbLXJBlP6Yr5calZk7QOSKrElJVfBhBLJq6Od7YnkxGYim6X - i2oSUHGBoqhK1++4MBle/eD9f/gP/v4//8cLvULiaGt078a9y5+cPnboud/+1ksvvNBdLKldglAz - eTRg1g5X4VjYoERsKdT1uGkcKnJVB+xgGSpncWW3OyiLqip8r9OpqsqJJEsiriirokDTNKPhjtlq - y2yQfJQRQL4oirJSQwghNI1pixBpznGdl7IS55KmlEJsmgCUMGYhX2xu7yTV/adOvvTX/t6rJ0/v - cUU/huSHyQ9r3XBN7IILozi/d/74M70Dh71rkCgpJbMQo6ZIZl+4ZQDIknpqAT5hKstsUkLsWrgH - jy1FAvKBDgE5KGXufdNMYkrtTiWuNz//9W+8/undncs/eeeNn/x4pRx+5am9jTYmVnULKaStLpuw - CZQ1IUMDxiAgixs4bwxBU9SopFyIL8uy8uJy362mmYx3tlOYWDRNQZyQON2lrZOIK8FOFXv3LB39 - 6re/97vf6rlhSducJoWQn2baZJqM9h86unboWJHZJC2d6XFmAIMdTNQ4GZoQmmZCSN5JyaXkTgq7 - 0C2RgVPSejLWOD3xmbI2C2qqqqaWbQDUtN1HbPv99/7ZG5/+bz8+/8l2Nb+w+PpXv39sT7U6cBg9 - 3Lx56e3r4QfvP3BV1/mCydiA2KCud+oUkiWNrI0gVYXj0u8Oc1Jw5tkzgzg3cxXnIAxLIFLTyWSc - Qi1IXto4WRXCICJTjSHFZAQWEe9dr4tOJ9fzMiQHyoKmECwFTTEmgBFT2tjcqpuwsOhO/NZ3jr/8 - N798eKYzubXEm1b1g6+2gtpo3COqDNKffeqFFweDKsWxOMfOg7koi163MzODzAiMUZ1FRgKBWUjE - gKPHjh589fvf/c53ltx4kDYcUjCOkEqsCFtV3IbvDI48P3vsicL/RUSe2hJGO8QGUnCMaTwaWZpO - +aZhkbW1tT/8m3/r6S9/9fwnl945+8GnH3909fKl//2f/OMzH3323b/xt08+++LhfQW3KbzBO5gH - eOq5QWASUpg2MY2bNIno5Bk+NT6IUdVMNU10nFLIy4iYxLlOB0XjCGkyxnAMGPolhBzBwxqAjJzz - HZFSqO5WvUKKXahyN29v5wE0f+vBA2t//a//3uknT3/w0YUz595/9733r1699I/+0f/y81/+7OXf - ev2P/+5/4H0HYYLRVgoTIlKlmCQmZLmX47JFB5RHdRinZMz1pNYQCwHYKySBjHDiqdPf/6O/u7q6 - d9CfLUgp7FAYShyVHCuEwF2eO9Tf98SBfftmJna/6riiACNZUAtM3k+xPxAgrKYhhexub2rbW9ub - W5uTyaTb7fQzIflR7IApQtuybnfDK0MmTGXolmFG06501KZ7WD1x/JWvPP/qi19anum5NCk0FIgs - RVH1JtEejBP3F+fWjq7tW+52wtCQYoLlNnChLIquywJH4rYMRFCgbpKZOOeiT2qTWMPB4GmX4k9t - rdLy1pMXF7ViIpchZmn9oRIRVF1SON/tDXq9nvBEBMzQabGwDk0TgmoSYTKdbmg5sXBBbTQaZyQn - hgzNQrPOAgzjvIchiw0SZ8oQdqvRFqEJBpiHlIADa9QmxCaFoHHa0CPrIEhBkSgxq1qjmggkuRjD - vlzeu7p6q9Mt7t2/+a/+9b/47KM3VhcK58L2zt0r1y9v2ezXf/v1V15+8cjhvTlwFyGQRZ3ECCce - ETGFYNu+GoqgKEqRKioxoRTqiVQGyi48YJhEIxaHwvW6HWZqnZ7NUor1uN67Z+3kS9/43l/9awv9 - 0mkzHo2NC3OlWBzQuIdRiGn24OmVA/u7nQrInF4SEe9Ucq4CAnD71q1P3zz3L//5n/7qk3N3wtaX - n//S8e8dWe4t9F2RwsMH9y7/8Mc/uDuZWGrYYoZuA3zZ7Z84ceLwgX2lv3Th44+evnpte3stbG5e - u/751evXV/Z+/eDRY0tL2fov+/ZnEcxfLtwW/65Yt4/VdKbo7Rcg1RzsPPYbkYI1q5AAMpWWZY3Q - wKcE8XAlCcjUkz2qOz32wY8lOI9iX4Asq7k58yCVKcuEWUh6vd7JkyfX1vafPPHUgYPH3jtz/sx7 - 5z744MNf/vIXKTX79q0uryx4L0RErSB8Wq5q9ziQ8+xLYyTVFANbi1zSrmwvKYuUHZjgyNGj3/rm - NwbLa32BT3UlATxKtj0Mo6Gbj91V9n45ApMahfeVZ0eWLGmoJyPRklrliRBk97YZPqAxYH5xpjPg - DatHo0kzBs0AIFACMvTHMIzHaTwWgltYnF1YKPIQqBWmnsBkKYaJaZwyEBikAKVkMeWS1iOIrn28 - xCCXSAxEpmSxrc2yABzUUj4UTHmadQAZvZWEzBgyi8FiyLu1KiAMZQVHIxWw+BQC5VqdL8SXLI6A - fXtWX/3ayycP7Z/piMVQOBaGWZyMdrzjYn7PFoqRa11z1DI1OAfkyaCP1vX0hwgkU4I6Uk+JjE1T - URaFd0BsmuFkMqwjnAPIgRzYQxWU3e4FMbKpUOvlr4qy6oJcp9N96oknTv3Wa08eXuxRLOI2aXIE - jSGkwrjPUrpSnR+LjBtYUZbsJMXQ1CNrxoLBI1yNGUbjoIWTxEUCs0FMdx2ip6fQF5P8PMwp01Od - GVSTpeAIgqm8wgCz/mC21+tBNdSTEAIRsYgRJYVwHjVuosYQQZjpVjP97qPDX3Mvc1ONxkKElDTr - uUJq6jiJqfFeHMv05E6IAZ7Gk3Dv3sPYBCr7q0uLZVG0s4Q5X1dOxNvqf0ZMBK1KiUwJ+piW5jEU - m3ezBjZtVW+Ptghm8cwMzZM2G70bjSaTmzfuPtgoq+6rrzx3M82MR5t3x+subDPp2tHjTz1x+pkX - X3/m5Ol+F6QgNjhLuVa6m9jk9txE8CSFOA1VU3csuRyuKMisYwqioqykKCdNE2PMm157kSLEkkkK - ROz5kYYo81FAgqaZNI2R9Wd63V4XZIosHW+pGKRteZdAAU0KUdiRFCzCljwl31KbciEGAJSQDAkE - IiHLX5qhcWploZwy8xEmZDJV8osr2JcKrCyvPP/MM9/73W+7aBxqSWGuW3aY6smoCQFA1eupc+q8 - UBuCmrVKDAKYmLKGEa1HOcM8m2uB6NxOQ8AezXA0qcd1XVQz3V6XmAzwzs/PL1RlRx+G4eZDneyw - 9VMEmJ33hoZgCZyk3EE1VO4MZnu9rgAESRDh9OTRtU/39H8QHt65evHG1WMH9hy/cX9rm7ovPflc - b2Hv/II+99zz//Jnl26s37p68aM93fl793du3X544MTpJ08dGXRQMMSQgpEUUnUDsLy89MJzzz77 - wtdWFlDxDtIOm7BJM0ngwhU9MxZhnT6EoBaNDGCo2K7slB6b2NNdy9rpQo4TTEngGOqxsufU6t65 - 48dXTp+4emThytnFj978szc/uHh/8m957vTLL3zfzyZQ4V1ZCBcWKTVsiVoyeh7lvMY8sSOCQHdD - oQxgGMn0y1Vy53E8Wl8p2ca4/nxzuGXsq3JptjNwgEZEbeDNDM24g8YJUoQIwOyghGZcN3cfbG1M - iHxnfm6hX2XNgWVKIpORRcuUc2ota4wdsSRNpNExMSFBmxB91S37M0L3jp184pXXvr52+Lh3LlN7 - LCak3A6QHMMzFVkOqhFk3rv9e1b2Li88der4yaOHTh09fPbse2+def/TTz55uINjp55dXlzqd0vh - PF7uN0YlM6MBKCOwxaliNLULkow5c0xhbldQ2kr/QtJkuyC9foHc9xdw2zYPckVRMhGZ7jquJNM2 - 9UkJQMvHMIWmTNI0nUZXIGRs1BBNzVRgSIADudL5rrBTrU+fOvHbr3/95KH9aJSoKT2AJsbRZLzV - n+ml7soWfPTTj/wLCPOjS95l3SZYdKxMLXUU3IqjnGeQjifDJkw0wRX5qHhMWsXUxDBpajUty6Lf - 7QpLfriWy+mUcqaX/SKi0cQ4QMioUKsUDgZDp9/v9DpgHY23JqNtBpwFWPtdyK0/xSn5UZ1SZOeK - ublBv1/k+Fhcb35m9rnTR2+c+fWta5/funmN9y6MNvy9Udq3sHf/0acGvZlDK/MvPn3y4pU3t+5f - vfn5FYzuXL2zs93I/oNHjh456ADZNdfJhhcwgmWFQX6C4gQsCRQUUdU0PWaVshuMTWnC5JUpMcBa - 7+xcvnR9uFUvz88fOfylLSuHO5sPh7UD5jszL77yta+9+OwTr31jbe9qmDYmyslrOx1beNUyrlFU - ZbffoYejerK9s7Whqx0wQ8RUFQJjkAtRRpOQkpZFsby02O2UGZtVs6i7G5Wx5aA4XzURM0DOF2XV - SYa6aZrJZKraRVLN7CGIB1GMscPETEkjGMzCrjR2LNLtVF95/pnvvvbqXo9eghbJivEwPJQ6dslV - JMNqbtztjQGGgMTEEwvMhGzq4ZlfNsUnLYcTbTXK7RpwyCPntOl+18IgRoADvKrASMw4j2r7VAmd - 7nNffv75C1fePf/xpY/f/WitOLBcjidmVghXZJL7ypCL3Z6bW+g/vD+MSTe3xxJgHjlsSgakCTBW - DdvjydjEVb3FxaV+p2JAYGIqSJ5UMmOSSYnNTJCtcFw0ARdViaJ0xw/u+avfeb1XxJJHSHXp2JFx - PnTMFFR0BlJUYXo25XNouiXlwMHBPNixeGJSiyk2ZsoggyUkCprhUbBL4KSqoSENDM/5uGmnHLf6 - HVJmeC8AQjPxo+H5c+/96M/e+PDCxtKz3/72q69+7dmDR1f8Yoel2bpx8f3JmVs/u/QW2DnnSJXb - OMxFLhKYCA6BtGYywBBDcjKtjezu1ZRL9kIEZSQFgUWY4RiFKCugbexhOVomBkk2E0gp1ZOxEJy0 - dl7tZCDHYKhSitCk2XGTmcWLK7vdtGd19YWvPP/dF/d3660F3rSqV5PfUdCk6QCVIblK+r0JI06C - uBb4hipDJbtSA46m/g4GY2FXFhV63d6hA2vf/Z3XVkr04qYny9CtR6p0p0xDuGpUrY4qiV/MlOmx - yKIdDEjmajhhS1MUi4Qdw/tjJ0+trB06euqpQ8dOfHj2yAfvnfno/Pmf/vSn1eL+Yn7fgdUjkJzS - K5iRpK3u5u2LhYkBSqpRNSbAPWqSZlMxCDluUCeNlBMCYVcUVcfzNkI92trcDv0BV7ukLYEx4NR8 - XSNGOHLzM3P97iMxCClyIgigZV+rIQVflWtHDy2vLh0+euTo8aPHThx798zZN996++233ohEr33j - WwcPHYInEBwZAU0TkooIKHeKg6VA2S573OiwQVDqV1W/9IUDjFVKKTvsZHl14dVXXjx+6PjS7BwD - ZBPYBGmMOPEUuJytMRddOVdCUlX4yvlSScdxPK53NM47D6TUMq4pV78smSqZEOdgYDIZscD5L3ic - 5MO2rYROIZOpaxsUBHJGotm6TVsPWBZfFCUBczODkydO/Pbrrx9YXXKp9hYKC2Dnim5U2gyg7mws - 0FUQbRKLc957F0yHW5vQ5CCGQGBTq5tJ6VNrSC9kAo2mpmb2yOvo0TH3hTmZ54WIAKqx0dZIscVz - 2wPcOLW+CiqWWjUimYFSqxOAd5LH8RF0Ky4Z1000M2jSGPDIfooIDCIlUmqRyNzdIAss1BIskjXQ - OL3QAiLIEhIYqbJqi5nr7n0p5f5OUCAxWYua1mF878Hde/cm9fCJJ55e7HO9ffvu/Q3VJsbR2v7j - Xzn2/DMv/85zTz896CEFJMlEbGEURDyNXwKRqJZRR67wUliDMB4PU4pe3KMzTxy4SKizzKFbFEKU - rZOqsqrKyhT9buf44UPf/fa3lmd6nOrRaGy+It9hjX2adDCOMU78oCn7pUxhFCUyEyhZQIoGr0g3 - Pr/xwx/98Fdvvrnj9dVvvPrC61978vCppd68TzYe3bt/59L7H567fWPCGp0FartgUOXK/srqgaPH - 9u377MPbn9+7euHurQP64NalO5sbo+YrJ0+cOLw28CgAQtKUwIJcPP3/odv/z6/dLHP31ykKg+mf - iZQeQbeMxC0pDqPRSCaNJyFXsiQyq7y06vvpp/7m8fPon0YGIiG1EGMQl0N9iG8F/KY6GMzMzC4d - P37qlVe+/u477/39v/8/vP/B+Z/8+Mff/p1vPv306R66jqe64umntjGHgaVwRUccUkqxqb3rSL6y - ogBEVTWE3mCwduDgzdt3e/3+wsJC2UNPUFkpXII9IF47hcwF+AQU2dGqV1XdCgIjSykMh1udNO8Y - BAIX5MtcvTEFc6E2NsPSnvlyQDtbW7dv392/CbcH3rMDGWLUBBI27Ow0oxERFcsrC0tLuTuFqRUp - CUHINDU1sry6faIMcWZIyYSd994711oNAEYgdnAFu4KYYDGFicb8Z8ci2jqKw1IkSwRJmkAACcSB - pH2kGk0jAVBYGx6QGkUlCDvnm8kk1UkgcL7bH8zMzoncYDIn0u93Z7pFCk3hhYRgqVOVTKq+KAw1 - EBIiqwdlawjnWAQsxtwGCm00odaoKpFzVHoqGNAUm1D4oigckw53Njc31ne2MTsH7wTchRqgcB1w - YcYIgc2EGEAMEeDZuUV2ZRylFEKvKuZ7kOgcFUyoyoKIkrpxDRIUBRPKhElKqeyUvvRqsR5tWzPp - YFDk1ZISGEi2PaqrsgwmvuyKCE8LpI+vN3r8l/xgmyYqSHzLSrL4qDLFgmAaUrff73Z7MN3aXB8N - d7zzZKbKoYlUuCz92xmNd4ZDEJYWZpcX53NRzQwhBC8G5hgCebDALLu1SkwxaqOI3ouDAKopcdNo - M+E+7Qzr27fvhkkzM9s9uLbWrToAIELE0zwPQuSldXLNpVLKfQaYtDWMaA/BqWFCS8MFwQmVhXO7 - KUdbb2bxhRMHqMXGUsj/XV9ff+/Xb3988bN+f+Hv/Mmf3I6D0f3r9fptjDd6g96zzz937PjxvXuP - eMdNDZ0k60RizQEBWrllpkcXkAkELOYtzUBnvHOEBoi1mdWOo3ckg5lOfzAcT+rOxGLjqGXDgdn5 - QnwJhqmZpsJNbXSzQDphuD0ajscgW1icG8z0Y0qc1IsUrVwzN2SUXDkZj8Y8rgdguEJECFp5qvxU - pJb94QADG4mRGIgJGdw3g5oyCOwASQqYkSVoameaWVF2fNlJQIyBoIMuOiBBRaGikFKKZVl1+n0A - o8lYuPBtn0O0+dpjOziIoCQiReGFcyPZphB4ZIltToZle1hvbY/qpunPdmfmBuKEmcqqXFlZ6Xa7 - Gh5uPrink6EjpJCJBjAkgbErQ9HbsmKrsZn+TFmWsa2FiyAcO7B8YrVbAXevfXbt8mdHjn7p5oPt - iZs58vSLvYW9MwvxmWfKpfk/u3Tz3oUP31vtH968v7G1Ew8eOPDMUycKASnIkKKWVa8/t5iAEAKn - MN/HoAONAnOFKztFN5TJyIsjEEJEM7GqJDBHw7gJIcTSSdka3QIgg6mqEO2O2fQHhaaAZOK4KBEE - kcC8tDh4een0bz19YONrT/9gqbxy519+dOnS+fPnb916fVAWvujNzy12vBcNaTLUGHKLzzJLNFJK - ighm56uyqgqfGhgggC/KoqhcUYKxvb394N7dw08cLPOQtAOoMaXNcXNrazymoup39y76sjTEiDpE - FDCk0VbHGi+oG3QZcBAvgvhwOPzo4uXbGxNXDvat7Z+f6eUKTfayFSKx1PaTyuRrZXJlUXU0pRQb - WCK4pBrqxlXdajDrO/eMnPhqfsY1Cig6DlQKVEhRMDznXkOmsUlN7b3AC3cqNp3rVS8vvfry1766 - fvvWP/yf/9c//cEb5z/48Mrl6yePn1xeXJUCBQOugEU1aDYhIW5xbQKQBJERpthsQnYlJ3NM0KAR - Uj7aqZnAzCFpArE4ag2IHgU4u3n1dKkQnC/KqtvtMlGoJ+Od7RibbBEFIjM0TSwIyqLMZllhYEww - 26hPMeMAACAASURBVGXaE4iMKBE1KaqqZ7IGygpXzS+s9PqDerIhZN1uOTsDrZmoch6gClp2u94V - rna+UERFylPkNyLmR4u7LT4rEHMPzUcERjKQVZ1CHI1G21tbG6MRZjt5R2VpbwogGk/GO8Nh0tTr - 9eZmZ51ziEja3rcgQXehWwTQRBFJmKQCV6pOGSTzi0sz87Nml7Z21nc211En0prZSVEApNCJWsfL - WLG+OWoadMrO6vLSoFdOc8jObL///BPHPlrp/OqTrevXL4XVRW2WtpOvlo8cPvlMr8vd5flXnnvi - //7RW8P1G5c+/bjeXr9yZydJ7/DRE0cPH2TAMbJbAmDJzAhMELJHVRDv2TklUcrSk8CP+Eh537Sq - KApfAjIJKapyAVi6efv2z/78lzev3Tl57Jn/9L/8L65thNs3N65evtvvzJ46OPf1F5/YvzKwudVN - gk6h22xIkQ2lATNLahGWAO0MekvLi/T5zs72xsOHd01XIA5mIaRIKJlhMhyFW7fuD4fjalAc2L+v - 2+0QIJAmpnHdhIjC+15VSEZBBYgKx8IEI1+UZaebFJPxeDTcIWvvMQa1pKQwzULXUBa+9N6SgkHk - pCi7g9miKNe3NgvhmQG6jDIBXsx3pJqRSl00kO9UvQjU7TQUkkJcwUyeqfDyCLJqo1rNgS8zrAmk - hMK3b+BH78tQaJsQZLY0CcybChRs6kUk039IYAHOLZ04/uKLz559/72f/vwXH5/vHl5b2h5G1Y6q - ixEpQg3wujDfO3R47d7tO02IN2/dKw5hsQsDoiHUCToGTVSbu+vr242W3cH+AwfnBn0CBKly0q+K - TiGli8IAWZx2X2DASBoF+3JmthqFidPxyhw6pStoJlvIkyXTRNk2lBjsoyE2kaeO5jQtsgLUhvHm - xJW+7PiiEKYmTJpmoigBtRApBCtKYjH2yVg1QpNYYnh6LGICuRZRI2WhqlOYYTzckc2HH50/996Z - d4u5U69/85t/8vd+b/8cuuk+NdsobODSuXuO5ExSExGNgRLDCaQr1QBSeBFP0eLEUoModQhmTgvn - M3hnAqKkmamVUenc7od8UXQ6VYdcIWYxaZDHGOlMlOePU6N6Um9vbWZDobaY0uL4QmCYsSmZZgaf - eD87v9AbzDA3O1tbbDo3wMxgRhIBEhP6narbG4gZkoIkCSwixFgKgqEOsWnqUI9DjTqhKNCpiMxB - FdGInSu7vX5R15PRznavg26JTuh4toJchDgkbwzzYF+5IjxWN9zdpB/L1c3QZuXO+Zl+lzTGVOQg - AGZICeIG8/On5pcPHzvxWy9/9eKHH/zD/+l//MHPz/zyjV8de+6Vl589Yh6gsAuUJ53uX0Rgyha2 - 1gZNu1Mhg9Isrqw6HVf6iKgW8wQWJ76our0ekY2HGw/u3Uqrg6pq5ZkwhglM6obWN4bjUeOlWFla - nu3PtIit5l0zv5lALX+yGY+J2RdSdMsjRw8dOnroO9/9nTfffvu//e/++7PnPrh29fInFz6em1/A - 3hLoVN5BdTyuk5L3GZ0zWKrHNVFHhEd12pzESdDDi0srC3NegAaQqjczJ6UXR51SZqpi1iFG+E7F - viT0RuN107rTm7VJiZSNDsVJWVQdpZ1hvbOx8yDU83BAjBAGC5iZSYgnYSJkTmRxcanf70/qUUqN - 7bq4PYoYLPcVbGsRUzS7HXkRJVG1lCJSQLaiLspOtycslpKm2O92Z2cGHMtCDHGiTUyAL4rlmc4Y - aICuACZOfK/f73U645Tu371dj8cEU1MQVHVre3um78oOw4kxRaQGjcGExcHJIxcQe3Thj9B2cr5w - zoEs1ON6Mta2CggGiAnRodbxJEzGE0sBGtp7NRi1ImkiKpwU8kVypjglDkkz1cw0EVl2xjFttYUG - U1IjMLGQk+lVJU2aIlJj2QHXADiQQJi9iHBm2z22zLITqzEbM7JiNHsLxAjbGv767TNvvf3Wg4d3 - //Pv/96rLz198/JHN65cqCfjleXFJ596eu3kc7N790UHVVjURCYsUHgqOUsLBGwoyn6I2tQPiqrw - FQ0trD+4v7OxvbI4n5VvLAL1IF8HxJCgXLip7x5hMBjMzc4WLjMptVcVZUGSiqqsVKAMqKvQJ3M+ - JXJdx3B521AkhaZkKVBqkKKZiylcv379z//8z9c3N176ndf/q//6v+mvLM66vjNKO+Ph9mB2xg1m - 5unGLYGKJQKiIoKUhKveoWMnnnzy6rnLv7x//dNLFw8M7316+e62uc6zzz134sj81AU+aYpGRWuV - 8JcLuf0iy+P/9Ws3Hv9iCeULP37huAAMrHDZZJWnrFsH9Hvdcm6O5xe57NR18+D+3dRM8pJrmmYy - qe0L6iX6wvcYYKQwI4upacKEyEyRmtz1zAAiZlMLdWOKxfn5p59+6oknTi8szG9sPATUTZsm5DWC - v8DDjpoMRgKGpqSxaSvsGR42mBmLLC4t7z94qInx2rVrH374wWQMJkyVRAxyTkoAo5RCLgQ6RlMX - neLpZ58uO8XtOzc/PH9u4+EOgGCxJQIAwx08eLC9M5xA/dz8/DPPPbX3wMKo3jl37oNrl+9k08ig - 9bjZZtYmjG7fvv3B+59cuXLfuc7C/MzsXNsKziwbQjLDmMwJi+RkU6EKYmIhltY93OyxsWtFLBGs - ZqYRKcCmlp3ZcDy7aWp0pNJyBvMhwkaOmWFWOi69MOAZhWvtFEBSdQdGvm4iE/m2aIiZucWDhw5X - VXn75o0z7769tbnJDO99jDE1AWbsHMQZTAgloRIIOZAjcsREZNY2Pt2dizmGpNzfhAkFWSlwBCbq - dKqF+dn5ucGtm9du3rw+mYSWCxIVxqhjuPvwrXfPnv/wYwBsmkIz3KmF3dzcwv4Dh7v92Z2d4Ufn - zz+4fVs1CxCdc76JKSiM4YpWdwggpZhSXFldmVuci7G++PGH1z69MNFW1gAmKO7cX3/7vfd//PM3 - 3jl3flQ3KaXdOsdja8AeX1k5JqKymp1bWFze0+0hpTTcWn8kgDKCeO71963tX92z6p28f/bsubPv - bW1vaUwiUhROk6JpMGneePOtX/ziF2jqY0cOPXn6VOHa0rX3Hq2RQmYCkHcFkzMiKXgchp/fuPaT - P//xhYsfObA4h7Libg/Jbt2+f+7c+Y2H64NOdeLo0X63CwBghSWzjCNZSo6QQQdVoO36rIApZUJt - e9e0S7VFC+RSZqNMIS9koAU8bcpintsMmohC1PsPNtY3dobjCcyeOH3yr3znO9///t/4W3/0R9/7 - a7//xPNfnlndx8JO0SP0vRBZApQoJrRVibyHZpNsz65ws92qCs3GzRvr9+8Na5QdKjsVi0PTnHnz - rZ/+4o1J3ZipkLm2Rguo1U0Y100TcPHiJ79645cbD2MGRrKZPSb1J59+dvnq1eF4uLpvdd/a3l63 - U0jxKMLJSy3vcJBetzeYncP8oq+6Icb1+/fieCcjs1FD3Uw0pbbjGAvYE5GmCA3TfHZ3zxbLRjWa - Qj0xTQxA3Mzc/Oz8Yulw/dr1Cx9+ePvm1qQBA05QFOLLgp3L6XBVdUR2S3QQwPEuXw8Ky9ccY5yM - x7HB9auXf/aTH927PVSDIyYSKCHYpSuff3r5ymQ0XN23evjI4W6PxVNZVaurewb9mXoy+viDszcu - XxxtoXAEJwAcmGCbW8Nfnfngo+t3UQ2efu5Lx48eKdqMxcMz5jpHlzsHZ7B178YHZ878/OdvXL+3 - jv7ywdPPlzNLXA727N23b3WppPrj99/99Zu/fv+DT5uAleXlwwf2dEtogzBG5auFpdWlvfvJ486d - O59+9OF4MyJBiMuqwyyTumaRXE9ICiZUHSIBiIxEfOW8p13KAHbX/y5Uvrt5KZpRqMcsYnBBzZqI - 1u8CAhSM1eWlp06ffunFFxYX5zXF7e2dpkll1V9d2VOITLYe3rt5fbS9qbkTT2azknzy2aV3zpy9 - fef+zvZ2CnVVIMeEGgJA4gtx+OTChR//8N9ub0zj1xRgSVO8fPnqpRu3NhPm1g4u712tBA4TsKHs - cNmLMa7fufnhu7/66MP1JBgF1BNAE9J4a2PjwwuX7mxMyt7ssWPHl+bnOB9QeY+2xJZaR/X8KBQJ - TCxMxFCopgQi6nT7+w4cml/euz3GxUtXL1+9roBj5MOcAGY4h2RoO3kQsYgvCwi3hYzYoJkAihjE - 0tOnTx8+eICMzDgla8LUJdwACNEuV4QASW0imRiBETmHAu1mlUvJKtBs253HUHW3309GfimfJvji - 6zcDKHa+2+0XvoCm0XAnhkAgcY6JiVCURbYDziEDk5EpE0QeL3GbeF92uwqKKVqMpYNjB5PVvQeW - l/ekFC98/OHFTz7OPXuJ0NTJYgLIFRVYAHhGySiyGftj1uv0+DVnqhqBoETqBUymSDHUMC3LYmFx - 7vCRg7Nz/Zu3b9y8+fnDhw/afay1r+XRcPjZxx999PHHDzbWjx0/fvDAgbmZGQFDEY0gjpkIiTRQ - e6ozxMGXyo7AhRHXkdVQddYOHFhaXUIYfXLx/OXPLkhKnkTAadLU40mTkuv1RrDLN2//4Ic/vXzl - ZtXpPfnk6eWlWWudL4ScP7K2cnilXwk+/uj9X7/zzrmPL46t7K8e23v4gJRd1+sc27+y1Pej9Rtn - 3nnz1++e/ezGejlY3nfg0J6V+ZiyKtGm1as2BhEkNqgiZc0isbEDZ+Og8AWG96NWLQySpJRy00jE - re2d69durT/YTk0z6Lhnnzz6nd/55r/3d/79P/j+3/7aa99c2bsPTiZNTY9TbqeS+txxy6DIGCrT - yp7V00+erqriwf3bFy9+PBoPEWOcTIRd4QXsMWouXbn5s5//6sH9B3Mzg2eeemp20M9EQGIBu5DQ - rpNHM7o9WGA2Mzu7umev87h27do7b7813LEENDEUvqCiQtB33jt34ZNPzGw8Ho5Hw9gEg3lf8Oz8 - 4vJqWVW3b924c/P65nrDDDiAodDseQ1fwqgO0XZRKuJonJKZqsUmhqbteGAGTSk2TVMbEsGgur29 - NdrasjrU42bcmE4HLKtA2/md8V5jqMCcmUAhQL8qhSXkOM8XqDoQHDu6/9u//dUDK4NbN67+8Ic/ - uXtvM0bSxELOCQoPcBzMdE6cPFJ0cP/hw/c/uLC5aTQFi50TeELYuXHr85/87BefXbstZffo0WML - s7OmYGhZ+tl+t1t50mY82hpPxkHVRLJO17jozyws71lbW1urRzu3r168fPH61vokT7aQUt2EEGKe - i00TVY2JvHeZPbbrYKM6TV7AUFaSbn9QdTssFuNENUleeL4syzL7ItUxKYlzvipcMa1PJE2atdDk - mIUydJpCaBoyOGEms9iYprm5ucXFxdlZOAYJw3sQNSGOJ03V6bK40WgkGS+FIZpU/T1rB4rCpcnO - ZGfDNMK5suq4wuU2Zbo7hExKmATEBMtbuTA7cYUzjXGygzjOtQ0ipKh1aAAQCYsnFudcVRZeQFOd - cYa8iR0Tw8zBpC1NwftiYXHJF53xeHzn9q2tjYchImXP6/yc8yQ1oA7j4SgleIeq04FaMvJF6Z0r - HBcOnQreI8ZkMcIMLEXV7Q1m+zNz29s7t2/e2FiPmuC9y13SiMAsEAEITYhRAcRHLavoCyszbzBE - BlESYnbMnkGGEFN+fCnEfG6F0DDRwvzcM888ffLE8fmF+c2t7eGkVoNFRWpgCaogISfT/c6QUlI1 - ZP/foiim8bklgTCcKaJmZ0TJAjlAQTSYmX36mWfmZme2t9Yvf/rxxvr9x3IfBvl6fefjTy6/e+b8 - +sOtleWVlcXlmX7ppvH/LrcDsLa9lEjR7fiyyNkrSFngPa0sL7z26st79y7H2GxtbTV1g5QwGo13 - tuvxRMRdunL1l298vr7eRG3IrFt1nGBrZ3juwwsXr95SuNOnTh8/fCAzTvpzK088/Vx/MLhz9+Yv - f/7nW/fvFoyuh8VYN7UCKi6KjGJiQTc7lIgfzMydPPXEwtLCuN65dOnCwwd3ADzOTB0Oh3fu3Nne - 3hmPJ82kGY/HIQZpjfAUu5FSmx4Z5b5/7ZG6myzAiEFOyYFIKPOIwSyDmfmV1T29Xvf+3Ttnz5y5 - c+dOUzcuBxDiuCyZ22Di0XFv6Pb7Rw4f8d7fu3v77Jl37925Y0gFOQE752Zn55wvtja33j1z5uLl - S5v1psFIGKAGTcRvdFeb5nRt1bTX7/V7EArNODS1E4AYihjyAiSwc0WnKEuCkUW02TGBiMRlRAKm - WUzWfm6m4knhfAFACKX3ZO2Bam00zckstR3hSGjaWAUAGZMKqbRmO2ibShKUjHI9wYxt9/yg6f1o - Jv3DEpmSwXsUvcHNW3du37nd6RTeY2Vl8bWvv/oHf/gHf/hHf/ytb3/viSe/vLiwJ2tTPKPssLGG - lLL1THs5LjnPgIOVzlcHDx9a3ruQtL586bNb128Y4IQ4985Jev3K5//iX/3ru3fvAZrqug1Gkwpz - p+rMz89tPnz42ScXbly9OhlOvMutkWCYesmShxSmiAmAgQW+AouZaqzZoiPzRFCdjMfrGxsgKspS - 2O3a9ElZGejOnfujUQ01S0G0yYkhMxl7sFs9cOjJJ5+Y6bg7l87/9Ed/9qOf/uLKvZ35PfuPHT+2 - dxm7aKEXl3vs2W7z8r80r3+XrNvH1du26zXQBoptxXr6VlaS7HhNBrEoU9atc0BRwlVFdwZ0Z+Ph - g4d3bm6sH+z2YZOxEbPzNG1/ubsftXmUEUBqMNNsppY0JjNS3d7avH3n84cP10+dOjWYGRC5ZBpi - 09STJtRmWlZlWRROJCVklzp6HIluEQaKipCJ8AZokl14iLJ/k3nm/QcOPv/lF86dv3DlytV/84Mf - wPXkxPHVuQIAlNR0HOu7G1uX724v79nf63e6pQPbwtLiK6+9cunG9Uu3bvzoz/6NX1hcLZ9eLFE6 - i83k7u2dDz+4/GD7zvMvPbW6f2HQ6x05cWTfob3y/p1zZ88tHD1z6uhvH1zjXpnMbDIeXfv8xvm3 - zp957/2treb4idNHjhxcXupNT7ACVMCILDG1fRuhpqZ5JRIzWMxIU9IUyXZRhJw/c6OkamTJkcoj - D0MyEiOGGmkSUwGYdk8RjhA1AtSzZfaTZE9xNRgpJJEPcGooRJzFLHZcWN5z8vQTi4tn7t27+4uf - /ezo2h6Pp1eXl4A2XQtN2Npcv7U+rBb3+dm5QQWaXklOytWiWXxsmrYAtJIYC6CkdYHkWQrvudNb - W9t74sSRd8599smFD3/5i5+9/MqL1WKXUrLh5vXPLpz59S/eeufsrdt3gK60vSqIWXqD2UNHj68d - PCLXzr9/9r09p361d8adOLBSlMTOqVGM2oTwcH0Yo5Rls7hYCqPqdI8eP766b1+MZz84e6Z74okv - HT40u7qnD67Hw1s3L77z9q9/8IMfhQbXr98ZN6lSFVV5zFuQ2mTsMV+LvMyc6/ZnBrOLJHj48OG1 - K58Nt15qKohqxxohoOys7lnbv3ZgcWH+5ufXzrz77rtPn3ri5NH5pT3ki5T04frGw2sX3vj125cu - XZ5ZXXr69IlTJ44WfooCsGTeCDOraTIVyiRNVdNJGI3uPfzJj3+UBmlW/JGlPX128f8h772C48rS - 9MD/mOvT+0QCSAdvSRD0JEgWy1d1dXdVj2uNRhppNlbSjDZ292HfFKsXaTQTuxE7kjZCo+ntnu6e - aVdV3V2OVcVi0RS99wQBkDCEN4lEAmnvveecfbiZKPbEPG3M0859YCRJIDPuzWP+8/2fqVbnZp/e - unv/4cNHFKvJRFNnNuN2uYQAJATjmAsqABhjnNVwQzfbqBMc3E8SIAug4FD1wUKowaZHSAB1anTO - 7K87LvURiC3GmW2DYNI2ZsoFoZI3EESyurqcO//VueDCVkvYEzQkYpVqQmBF0dyesDuUCDSFDLfk - AQaYOURbBLBN4q7XSxioouhGOBRWpPW5memn46PRtqQnneCc5TfyS1MTX3x5+uLla6YtdFJvAqO6 - NRACTDiitoDxiSfi1BeKy7errzudiPlkqFWqufmls+cvPhp7LGtSti3V0pqQCTi+m/XPRwgRDACc - cwaMYgyyAqYsay5CaaGQW1+d31jLSF4Aq8YZUE0CBAJhgTBHWAAw20J2DYNEUGOmCywQqZsfc5tb - Zt0SC2Gv19/Umu7qic2USg8f3Lt65dKugf50c8KtAgjgXDDbLlfKpVJpq1QKRMLeYMBBeHBj0AKA - AL6NSjphL4LD7LPp06c+I0aADfd2pqMeRTDTLuXzF6/cuHXnLiCRTLWksylJAcAgq2o01pRMZYIP - F+dmZx7cvna/Nby7pzOkS4AFImhzNT/6YPyT0+cezC96I+Ghwb7uZr9WZ4xiIBQMLdQcbutMX19b - Hr//uFj1V8o1fyQUb4lIGgDhqgu3tkSCfvxs6hGYaxQzlxGJRuKRkFuRAFnOV08CgVhzsjfWFFvb - 3Lp980JnVwewrqZEYLsBZtv2VrGY3ywKgTxudyjkFg75hFAsyRhjbpuImY3p7Iz6Rlvr64nNABwZ - NTaFvZkrzI3NRPRAJNlEiYZlBxxjtVqtuLXFGaMUy7KECSVUdRk8HPBrFK0szI4/fpRpS2XTLbZl - ljfz+cWpcxeuXL1xp7AFyMMkLPC2XYkQHMDRxywuLhSvXE6d+Mja3defiXlk22SV9bWl85cu33s0 - amGU6e5MZVOEAggGoh5AwSyrWty6eP68D7vd8O2YW/G7OVjW8uyzO3ce3Lr7aLPK45lEOpUKelwY - nGYFAgGIcyIYqavs61wtBoQJRAiRMGBggnEAIStqSzLTnGpTtPNjj8eNy5ezbZ2RcNjjrrMzhIBK - ha+vrliVss/j8rkNTVOAkLkn4ytLC4l4LOj3UsNwhDWWzTY3N8vlCiAhSViWSZ0Z4gxcxjhQx9gQ - AAEiDEkCEAgggjtuNhg5awNlSBKAgTMCNmmEzHAuuKP3Q0ggwhB9vhe1PT/QNsrWKKIAMMaSIisS - JXaturK4sJ7LFUtFiVImmABKCCcCLEDMSQlHHLiJhL7NFnEuJpANQBSZECJsiwIQIECUlmRbKrXg - 0u+OPX545XK0vbUlEYu6XZozPwUXtVptLbe6xSQ1mKAuRXfS2/6O5nH7DlCj8SO4BExCAoPjhc4o - JiBRl8fV1d05NvFk8tncjRvXgpG45j7i9kmSChxEobAx9Xjyq1OnHz56hAnZf+BANpvVNR0DA0Ac - EUQkhAAJE4NFkOQ8W4wck1DBgVqg1bhmC4lISqIpnm2OBvzK5vzYw9v+6wM97clWf8CPCeHcQsgi - tjk38/T2zRtnL17LlVG8vaNvYCgaDjR2nSpQhEJhf3PK7TNmJqesYlUzNnTVHY0FfUEAYoAeDITt - pnBgNTc7evtaoYpKTI61ZqPxhM/jpDo4yz0AQk6MFALhOGyg+rlDcIQ5pgKDAAQNeU0dahEYhGQx - zDkDVKOo6jB2gctUknW3hiRYWFo5deqCGk4aRlCS3RiozEujbCvs092JTDjbxijYANI2nfRrM0HH - ZRABRuF4vKe3x//ljbXVxRvXrgy1Nek9bb5gmCDEbKiVitOPHl+7cefR6LhhNLVn0+3ZFrfhHLhB - khQqq8K5FW43yHEAmACqp9qGQpF0tj0QDKysrFy5fLFnx5CM0z6PZJn20tLCwuiDz06eunv/ic1M - 266B4AqVKAACCpKa7ezOPM3fXrh36/qVjN+r7zvQ7HfLLuCMAUGMiepmKbecyzPsS2WRSxYgnMqT - CQRCYMGwcHZIsq0awAiQEJZdLW5sXrtwJbe0SlWta2Awns741Lry2glJrB9R6r9HHKW2EAQEEOAK - JQQjxoWEMZC6V0s0Ht29Z8fVK9137zx8eP/+ZgVBIEy4LYOoU0EReIKhvl17zpy/MPZs69KFC8G2 - fSFjZ1MEVAyIC1YpzT56eP7ylQdjE1xSU5m2bDoT9Cp1fQYmmiL7Pca8WMutrSyvLEXLCa/Pu1ni - ABgo0xU9lkgNDO54unDl2dPHX5z48MjRgx2d2aDPjRxvUw6bm8Wtzc2V1bVYU0swFCEy4Y0nU1d6 - bN+1411KZF8wrBuGaa6vriys5+JNCQmgIgmkIBkACc5rFgciUQoSBorqLUkhOBeACQGHlIZAgC2E - zZlJkEwIthg3BRYAZrVcK25UimBotuKIzxaXbt0fvX7jVrGwEQCmUERIPUIAgFDF8PoCEkHFrfzi - 7FRho9UKKgww44hLskSAcQDBCSYOfstB1D3U6rJ8STcMsV7byC2vLc0Wm7q9PihXAOOaLCOn8W/Z - wDl2nBHqi3n9qWBAVNSF9eCYVzg5saCokVgi0Zr2+3OLC/P3bt64EdcGUsGEB5CuYIQBeLVmVvKF - Ur5QNK1oa1rx6JQS4FhggghGGCgWEnluL6iXxYQarlCsqaevf/nhwuz05OcnPj423NufjhiaIrCM - MAjBK5ub1fzS+lZZCTM9HquvyvAbm0rjhQBATpQ8Y9yslgkwSgAYKuTW19fzubW1ZDoVCEUdFxHb - tre2itWaKYSQCFIJkmkDHORO9VCPhq43bTiqCMoQxhgUxOVtgRcXhGAAYtm8ZloWswg4lTEwYBSQ - 1x8a3r332njlzmTu6uULcZ/q14ZjQZ8mYbDt8mbh8YPHFy5eG5+YUtRwX193UyxoaI2hi37TLcFZ - 8Ww2MTZmmrVoU9zr80qqDgJZllWtVMrFErMZxUSWCCEAAgGjIFSEMCDr4ej1E58UFWv3UEe4OaLo - FG1u5h5PPLp4+dbTqU3NCHe0tyUTfoxAYOwOxLq7BuL+8+NzSxdPf5mONauG0dIcZpZDPBayhUrF - 2lx+mrpisifoV8FNsRoIDuwcujpXmpvM3f3yTA9lSWlnyGuApIDJl9bWRqcnp5YWevt7k/GYTnXL - YpxzKhEhGOONeIgG8ujs9gA2qkv3twVcqK57RgSQA9NzAgAIK25PPN6USaXXRp89nZi4fvWqocQS - +AAAIABJREFUQVFXW8qlNA5+CEql0vpybrPGZHegLeYCAEV3tbQmo5EwTK2NPrj/8N69ZHOwNZEQ - iEtCUmR59tmzBw9uXjpz7cHogwpUGVAumLAZJnU+6tdkcPF3jPOxy+1x6TpwVsitra+tlkumAM4E - Mi1m6KqEMQjk+GhhJIiwUV0RBaKOA1AhgNsW5iap379wtBEcy4hIAIIgIVMMjoftdp9bIAaECcl5 - XgS2eRXE6exQ4NSxqdkueoTEOBUCkGCIm/XN4rkDrOCEcdygGJv1/yTgcrt1wxCc37p5Q8FmLOxR - qSCICgYIpojh9UQSwabmUFDWKAgMTpRiIw/EBtygJCBV1z0dnR0TDx7cnJq6ef2aq7m1rSmW8HoZ - CFbcnB57cOnc5TNfXVxbtSEY4lYNCY4wAo6QrEQi0Z2DO64+eDrz9Mm506fsfXu6O9rcXq8QYHFA - ANVyzdxaW19f130BXzSOsNMOkRGWARBwmwCjWFAAjhDGCBNicbNULq+urhJDNVwqEaS0tfVkcurk - qdPzC0sAMuIMc8uBYikAIApY9sRbs+0rzWFPZWni+qXl9fImqFqyvbelOR4xQKrX2BQTcLQTf3fU - /CO4/gGh221m1XMHkEarGsFzTxYBAOJAOFAHhSFgUwECwKwCl+pObLonoGi6Obs++eTx5ERET4Zw - bYtIsqSoBCgiqJEUu11Q1QlEgBCvZzobpmUyziiGxYWlTz//9MaNG3/8x3/S199n6B6zVltYWLh5 - 6/b9e3cLhY1kstXtdiEElmkBYpiCTBXxfBsLISDUFlCzue0EKiDAAJyBbduUYu4UJpRm29rh2PFz - Fy5fvPdk7Mc/EkRV4NXI7j7OwGJWtVZZ3Vh5+HTuyr2xXcP7/G2pYDQEqtzU2nz8peNnL168NHbu - vZ//TA2Gmlx0oK1FUsj62ub58zfef++Tpdzc/+b7X10+I+BV4ol4cybhC0w9eHCfu87EAvzlF3tS - rYRQvLyyfP361U/e/ezWzftxd/ORYy8ODvQ2BTUMlgDBhQQgC4GQ4OTrAFYhvs5ewgKIzYVl2bZl - AgeEG4UuR4wjkwEXgiKhUqC4Yb0ECBDhAgshsGBE2AQUqR6vgQCIw9UFEAQYAb6NCHObYUAMSLnK - TEYwIYosEW6ZVRmoMOKJgUHS2nrx6c2x01+eCrg1sKqHDu53u12UEMbstdz62ONHV24/6Bjc09zZ - ryb8XBIYEVG3VbI4Nzm36xJx5y4wAUwYpgJjxmxuVjA3ZVCorAHF2Ux6355dT6YX7t65Vf7vltut - eQc7GTYXJmdOfvr5j/7qv+ULtapNgRgUC0WiLpdMmAW6EWzr7O4b/Gp05fydO6byS1LNB7/zFvVq - WFZkTSvVaisrG3fvjlarLOCXdg93hUOqHgh09/ffymSEgHs3b/BI03A22zS8W1aU1aWFzz8/dfLk - r7788pFjR2AxLDhsG2I+d6wX6PlJ51gbYSSrhmp4LRtmn83eu3Nr3/5jfuqv8C0Xrvk1glQpHI2l - Uul0MnlvfOrWrZvvG/Jvvf1Wl6QquodzNjs3f/qTT6/fvFMq86F9h3f296SbZNkpCpwpx7jjOm/b - FrNMTQUmkGlZNbtWs6uFav7C+XObUJBq1htHX4wYntzq/NkzZ06dPjc2NpkcHhns6erIBD0EwAZu - MZtjJiTOgdk2t6uoAYdKFJhjKgUEBOVC4s4KDzYGC9XZgc6S4mzSwq7V6nsbOKAPAWGbFrNtC4BL - mDvEW5vZmm70DQ6Fok3Pbt76r3/xF0A81JC70gle3SpbtmQYqbb2XT07R3Yf3tE5GHO7bQEMYQxY - JvWPFI7lL2aAEEiK5vK0tCYf3J0dG31869oVb1M45tNr3Jp/8uT0px9/9uln4/enBAFVkXWFYidg - HAMQIquaorsAweTk3GThxPxKbv2N1954+bgcD1Vy6w8ePHrvl79+MrcVS+/oG+hJpVpJA7TlnFGH - +SxRYMy27ZptKZoMXIDJFJdHd7lKC4Vnk+NPxlpIxiOjLYyoJuuCOGIywgAzxpllgm1iAAkBQdh5 - d9vxgBACmA3crvNMECL+QHtnz/GXXv2bE189vH/vg1/9kjLLJVG1Kcprll0tW7Xa7Ozs9PT01LOZ - A4cPDwUCQgj0vFYVQIhtOimSJNkwDExgYX7zaf7zxXx5ff6I9u1Xk/EgqxQnx59++PGnF69PKN5k - R2c225apd4BVVY83DQ7uvPk0N3b29pULZ/wSj7gVFI8Yuowxn3r09OTJMz//4GOT056hg7t29HXE - QHKwEgcNpCjUHNm1d9fNjz+bfDQ7Oc+NaG9Ta6s/4kRsyEAhk2pKJtxjj25s5de8nlBzc2c82uQx - ZAmbVJGBAFjC44tmO3b29O85/dWty+e/kCTM7LdefPm4SbEqKzKhhY3C+JPJR6Njiqq3tWV9vh2c - 25hzRGUgsgBgZlWY1ca0RgieOx06gI9j8yATSrAFqFypTs88e//dd/sy3ce0YwEckEGxWTm3uHD7 - 5q0vT53agKhhqNFoVFUxQjZGJJ1sjYce37n/8Py5M26fJxx8q1bemhx/fOnLTy9+ceLpvSmTgUdR - NJmyWr0diQnmAllMmAy2tipLjx78+Z/+h+XvvOH/Z7+dTfg3C+t37z14/5cf3Li9idXMwO5dPX29 - QABsC2wLmGVblm1aYOJ7X5zamMu7kOulQ0e8Lr28tXXtytUTJ85eu3nXH2tPt3Umk0mfexsPdaIz - GAFOt4cMIkCoDcSyuUSJQjAWXHCbc4EoTabb2rr74s3Nj0ZHl62PA8Hw/v37OtrbJQ9FCKpltra0 - +ODu3XxuNZNs6WzPtjTFgeIzZ86cPvXFKy+9uH/fnmRHB9gMGCsWS199dX509BGhhm6obpfuMqDu - oCSA27btBD461Q4iDDlQmCBOw9IpK4UDNMtcYMEYBps0Bj0XXDiMWAf5BWJzEEIg+E0nnIZauTFj - MCCCMKVUpoTUKuWpp09mpibnkzGvx21aAmEJuzUXti0OHBGEEQbOne2bNOozAQhQ1bJK1ZqiG6qi - ALMwAwwYJD3d1t3ZnYvHr0yMPYZa1aurLx452tnV7nEbAnilUl1ZWb5x4/pqyeoY3B1NthsBTdDG - /vP3XBgwdXraVNgUGBLcyZgHACBY1bXBnQNT09NXrt/8/PNP1zeLwYinZ6A9GPMwm01OTZ89c+av - /vIv15dW+rq6X3/zzc7OTrmexoh53YKJAzcJWHUkhYPjS4CRsAU2uVwDmQEAlvRouKetdbi79c74 - s+uXz6tY+vY33xnaORAKuoELZhVrW+t3r3116ouzV28+aM709e7cN7hzyOUCCqAAB6gBBXC5gs2p - WHPr/bujuZkcuAqDw9+KNUWoAiBkUHyG28w0J6bHn47eu5UXHk9r3+6du8KRqC4B5c6oaOS3Y+zo - KbCwiBDOXwCAC8QR5QgcC/NtvIYLgYEAyDVT2LaJoSZTm9axPykYCvXv6Ltz++Klh88u/7v/WEFe - VVf8fp8kEcmuiUrx+MihQ6+9dTiWshXKBKh166zn6vM6F0IAgC8a7eljsWh44u702TOLCa+ssuMj - R14wa9Zm2Vybn/vkxOdfnTq3tLy29+Vje/cMJ6J1xhECpKiqohkYA7MZt0yCGrIY3OCFIRSNxXt6 - +5Kp9MV7MxcvfNXW1eNWXwjs6MrnN25dvvrFL9+9eObiTI7ZKAqIqYrkU2QEiIsKtmHXnv2PCujE - rYnTJz7cfDYdIFjasSMm+6u8imVmlcy56dl7t+8vl809x+VgMs0NDgIzJAmEEQgZI5liZluCOXUB - xsh5iqhc2pqafPqDH3z/8rkLxZr17/7Df3w9GPIEjPqkBfG1Q0z9QE4BnEKaIoGI4ATxr5tMIABx - 4Fz2u7u7O144eiifyz+euGEDJW5bElx2RGkAAKBEIoOHR1o/OfHlxO1Hn38uhzo1sI+N7JY8YFqV - tYWFj058/OHJs8vrG1079u47eDiVSrsNUJxJxoVEcDQSHEWTCwvPJp5ORNtbdbd3bmERIRn8RHfT - RGvmyJGjV24+uff44ff/+4qmgNere106BoQAWTabnVuYGB+7d//h4SPHdmhut+T6Tfkk1N3GhZNI - A5iq0XjC5XIVS1NPJkazbd5ojNpW0aX4Ai5JlhXGRNXmQCRCBHCbcAs3hrHTdwSBuQAOXIAthIUE - kxBgjMs1ixMZYbI4Pzc19vDpeJa0+rBuW6Wt69duvf/BJz/79TUL+zO7rJDXQwkBxgVjSJGJ4lJU - jWIoFVYeP7gz290aMjAHTHSv7PZ7PQoXwrF0rI9H4uSlISAEMCGS7PP7+fTc4tzU2KO7mYjHLycK - aytuN3YbLoCqZfFK1XQWasEsR+GF0DYZmTCOOBeAgApBEBCnXaZqenPrjqHd155Ufnn64YmPPrTX - p/7od193dcS9imYxXmW8srH1dHRsZXahZNnDshaRWw1VYCojKnMALhgSNsFg28CEUBWCBNRdeHVX - ayb74suvPCl8ce7hxP/xZ39a+ee/4/nGi81NMdXwICqbterszLNnY/fnl1YzO6wef0zW6gYGz6FN - X78QgBxCVa1qbqyvI2YrCCSKR6dn7t6583h09PXX39i5y6V7vJZpLi0uXvzqq3v3H24WCi0dA0G/ - S5dBBgQm5oxhiTaoevVKDwlcBdkCgoSgwqINZFEIAU6Ek2WXq1WTWUQimCAhhGmZCsLBcPTAwcMn - LkxevHvts08/UlFNxfahvbuDHrddqU6OP/3y9FcnPzu5uJwbPDh87OjhRFNYV+srJ0bbCw8HwQAD - cF6rVH79wQeFQuGV117t7u4OhhRb8I2NzYnxJ59/fnJxfiHZ3hOLhg1NAeAg6boa0DSD8ZXCvYu/ - mLsDm0v4pRH3fl3oMDl5+8y58ydPnV9aLyWz2UwmHY+6MAAixBOIdXTgVLxlYvTphS9PuX0+U6Pf - bv6mTIBayC5VRdXcms9dvX5Hb8n627rTTWFFEXIotGfv/tM3p766NHb6F+8Gauth1Rwa7PEYvmKx - fPHSlU/Pnr7/ZPxP/qd/Gw8GZb/KxRYAyDLl3GZ2wwcWMAjRWM8ZQnbdJVDUfZIdWJMBZkAAYYli - hxcPAGB4EomW4eHhuXxtfPThB7/6laiV/e43SDikUGRaNmC6tLxy4+6DlfxWS7a9PXYYAIHmSiRa - Otrab40tTDx+dO70abdBXn7huC7rGtV8HuPq9evvvfeTs59dsAuyCiELbMs2bct2KYa8HcyLkRPp - 6ognHUIPYOLx+l0uF1jm0tyz6cmnS0uLbkIFIqbNKAlKKgIGNQZcAMGIIIbreBMSgBxiChfCqlWE - VcXbq5kAqANQGAmgiFOCQHBRb606P4aFIAwc6LbeZAUAQAQhggAIcCcsvfGeyGbEElgIwMIGbm5T - 3AEQCAKIco4txycHBHBLcLCYSSyzp6+3c2Lt5ycu//yHP/zFT4XXJQd9Ll0xgCHTZMF486HjL+0d - OTIw0CGF3RQQxcRJLWbAEDEB1RBIIDDFqtcX6Ovvv3X10uZW8eyZL5nL051sNXp7bIpX52Z/8f6v - vvrixK1HczaOgLeGmEmQAEIAETBc6XTmjTfeWNr81fUHT//mh39dLRZUiju6eywkl0zObHt9aW5u - cuzO7VsDQ7tGXggjqc6oQEQmmFAkKDAKwsmzMHQjFAnPbE4uLS49evCIGppBNIGl6ZmZs+fO/be/ - /KtiyQxnskhwLGxS5y07daOihZpaU6nOZPz+tWv3px/nQc7uP9S1Y0845HcTQDZgCQCcvRsDej44 - +B/L9Q8G3ToHzm08qV7eOG2uhts93/5R4bjqSBwIEkAEo8KSQZIVIAAgyeAJ7Ny9//oyuj1z5cP3 - f3H//ImQhlzE3rV7z7/6N3+sYIwRrofAPg8V1zV6SACYZqVaKxuGJknUtCpUkrY2izdv3vrf//2/ - d7ldlMggcLlcW88XlpaXB3cMvPPO25l0SqIEYyIQQVgAIC6E4wJZf39COWBbYIFAlmVVpogBoYAJ - 5VYNEJVkGRBIqhZLtLzxjW+a6oVz1x9+8vFHNy9dCnl8GBjgCqBK2Sxt1PiWjTPpLO1Ig2UCMDDU - 5mTL6994fUtWPrl47fMTn4zdvBTUJYMgq0aWlzaZLWU7U01NLW7DiyUzEAkdOnYozxM/+Pn1mafT - 3/urJ5+d4G4jL0CUq9VcLl9YqjQnksf3v/H27/1WU3McAxdQBafhZyNHb4WBC8644BRjUvfGYVwA - F4gLIITIVHJu39FjYEyAKCApmBCCBBE2FgwjCRzHGEQFws7W4TSpGpo1AkSyBWbO02Qmt2uNnRsw - ISCoQIQBYUjiQljVKhW2rmAQBFQj0dz63d/7PeI99+UXJ8+dPTv+8P5PfvI3IDg4PXfOtrYKS/mt - 3/cEE21dsoQxEC6wzQUHgbGQZEIpwtihDzTktwJZAgQhCGywq2BXABx6EU6nU8ePo8dPZq4/eDg2 - +ujP/tN/ivs0F7FqhVW7UmxJtb02MPgkV3vv43PAbMFs7tDLiAQgHz56fB358+9+vrS08O7Pfnr7 - 4hlDAo6JjbApoFZlGxvFoaG9L790gEoSxhhsBoax98CBf/lHmz/+4sz4w3v/5f/6P3/p9roEWOZm - sbjmD+h/8r/8gap6VlYLV+48KSOfgw48d4lG07JxkXp96vEFUpmO9s72WxPFi1+dXlzM+VXuk9kL - B4aO7t/VM9gte/y79+z5Q+z64U/fmx5/ePLkyceP7hseP8cUBFiF5fzCpIzxwcMHX/md73a0paDO - kAbBgVsmQYhQCgCUUEoVgCpBkiQrHImmllhPS4+32b9UWv7B97//5YefeCSV25WF+cn8+lIkGnnt - 5VcOHjggkXpjB8kqJrJAskAgy5Iq13MvGQeKQXCnVUkAKAdZgAQCsLAxmAj0enUIWDg7MSIUY1Iv - GQEwrqcZYIIRAsFYrWzXKgiAUkp0g/uDqWxntm3l4UIx3tmXbYl5FJJbml3M5UqV6sNHj2Yez1w8 - dfHbr3zz1XdeaspGhAKC1VSiYw6CgYSew4hlzePXR44c3VixHz59eu3SxUczE+/+zQ8kbvNKsZhb - Hdqxc0dfz6dnrgIIu1qSUEOTKIRpsZrNZY0c3jkcbt957c7Dn//sJ+e++NRFAVU2K+vLa/lC78Dg - yMvf3LV7ZzgSsgTUnRAx4pwxAIoQIEQp1aizPEpguPt27BqatW/OXDz1+UdPH572uyxDrnR0dP3r - f/3HkWiMcYGIBFgChGVKZAz1ktrJ9gMMVKaSghGiGBSJEoQYB7NakTGKNydfee312RL68tbYlUsX - 5yaf/MTvNxRVQoA4s2q1crmsaVpPby/nnCAAgZ4PKAMAjBwtIwAg27ZrNVORYfdQtm3va5fvjn/0 - 4a9vXz2nSyDManVrY3J6trO7Z99L7xw6fKC5NbjNNQZC9+3dv8FdS2X8ZCn/0QfvP7h5xaOpCkWY - WYXc2uxGkRie/YeOvvnGt9vTyaAECgBFAIKAxYBZOOrfMTzkP39VzBXNYqV3bzqZzdjgdCEVADPR - FEom3IiXy0UU8PmGdx1JtaYNjWCoAkcAEhAKyAhHM7/9O38oqaGTp87ev31xfu7JL979BcKEIIGF - sExzq1QxLfull19JpZJUAswoUMoQqdqMMaZIVCFiO9FcOE4127glAHAOzARgQGUECGHJtPn45NSd - a/c+OvkJkQUQpvAKquSXp8Yopd/51ttH33wtECAYgwBq6O6RAwc2FtceP3505+b1ZwvzH3/0AbPM - WrlU3VgZ6uzszbaevHijpsjcqm2PTEQJ47xSswTA0NBAaOCFa/fGLnx1bubBdY/KbVYoFObHJmaC - 0eFd+7954NhIS9oFFgcsgGKgVMLYbRgxfyi1c8RF3H/94x9+9uFHYVdJQ6triw+frZiy7nnhlTe/ - /Z13opGQQh14Gur0GCEo4hSjRjALAkEYUAGI2Ta3asI2KTEo4YxZRHf17xj+V//m337//RP3n638 - 5Mc/OvnpCbfLIAgkjAWzKqXiVmGjpSn+L/75H1BKASFWqTLOc7n17//gB++9+wuv2y0E58wqFYsP - J6aaEm2vH3plePdQOGLYDIQAjAAjwBKlGNsNJBSAMqACMAhBBMNC4PoW8xzrFjjmNnJykxGitM78 - AkAcYYYcMYoTwbhNh/o7tZRDI0HY5clkszsHB58uF2amJr/3ve999uF7iiy3JjM7d+3+zpuvgywJ - LBFJJpQKDI71W/1NnPGEMaJUULpZKtm27dY16qyTSAJ3YGho9//4P5Cf/vqzuemZn/30by+cPe12 - uxpbrS2Evbq6EmpJN2U6m4A5XDAQuH5Oep4gjhAgzIAIhLAQmNWEVUOCE0Kok18vOCDo6GgfOXp4 - YSV36crNB/fv/fmf/5nukagCiPPaZjm/sr65uXn4yJFXj7/U29/n8/nAoeoD4ogKTAUIJEyK7XqF - xjmzTWbWBGcCUY51JAFHAKYASRrq6/zjP/yd7/3Ne/dG58+cvfBofDHg1WTCsahSlqdsI7cys1JS - 0h29L37jn77wxquq1qAYgglQA8SB0GBLpqtvx82Z2dxKUcbQ2Z5JJCIMwBQgE5fsMrva0lP3bt15 - uFgmUswf27XvsD8QIgASBmAMHNMijAQigBFwhriFhU2xhBFwmzNADFEGAIhQjLazOhEigCVAiqQY - lBIQVWEXgZmO304gEOrb0R9NRPWZUsX0dPcdjMY9hFoL85NbK0UmxJXLN26Oz37//Y+/+d0/ePXo - UY9U55zW+zN1YQsH4GCaIMupdPqP/uhf6B+eOfvZJ1+eOvXk7o3vff9HDKSaDXZlKzc/JbHKgYMj - b3/nt4YOHzRNIHJ9Sd8qlgtbZQ6AEaJIbGvpEN8e0Njl8aaz7S+//Mq69dVXNyd+9f57Vy5+6feq - YJbN9VUobr717XfWy8qth/MW1QlCpgAZCYwoqHo4nT1wgPzeavnTi7eeTDz+v//rX/xM1yUdIdm2 - RZWbwirbVpUlOruPf+ttj4ERcLBZxeKWzQnGiFvMrFFCEaWAHFk3BwDAIFPqdhkgmGmZNuMbW1sb - W1sJiDdop8JhICC+rXMhAJIQEucYAyYgrGpZMIYJAowZs2xuKhICAVghQzv7Hz+auHblzlZNAhCU - 27xaNk3NpEJCCKgEsvb6t97ZNNq//+srd65fW5wc//i9KBE12VzC5fm1uUcFE7V39x9/7c3jL71q - 6BQAOANAAjDxedy7dgzMTi48u3H1r3/41+99ccLl8oGQXnrxtT/67lsCl72h2PBu9Xd/Z8Pz+flz - Fy79+K//n08/O+FyGdhhVQhRLpVrtRrn0Nu3Q5JkZgOm4HDX64a0uE7pACwBQqC5mltTTc0JSXpw - 4cLZsfFLf/tTmRK2a2DPq8ffHOzqcVGJKnrVFuVKxayWgNUcvhslBAMF4MCxY4SFsKAEUSwwcEmi - 3nDkwMixp2X9bz+79uWnH8+OXo76JRkV7cpWOb/mkvGrr7146vokmOVauSg4ByohogE23b5Qd29v - b3fn8vrdyxdOL08/Cnp0genug0f2HDp68OBeiSIiJNE4hGICnINpW4qwQaKhaOzQ4ZHH+fPjt6ff - //mPL33xsUeRsai9/trR3//9tw0VAaKYKIqiUyo5iUZ1M+76sCa2DcxiwBjmFuKMoIbOlPH+HcPv - sEgRx29PrJ89/WVu+n5AtRihNsIWQmDxUn4r3Zwc2rNXVRVdpRgLEMjkombZEiUUc7MKqg6yhABA - MIY4AyQAU080ceSY5/GqtcquPZkYf/+9d2+dP+nzuBlgiwMwi1UKEqsGwjFfa5eqNlrmjYLiN9EO - x+uWMEQkWQn4PBS4k+iAMV1dy3362ckbt257fQFCJcCkVqmsraxsFjYHBwZ+/5/+k/7uDouBTAAo - xRwD54CFI3khAIgQkFRGdYYo5wzZFWEDUEeFLwEAAipJRFJkLBGBBeM2FzahGCEJdE8sbrz55htV - Ev3s5NkL589OPr7/01BQwQRMy6wUlxZmysX8yNEXX3jj20ePjIT8sgObO1HCpG6264RG1HfCarV2 - 8+atq9eue7xeWVEY5wKhXC4/PTnd299/5MWXu7s6/T4PoE3AimVh07QUFUd27RpIpu7duj13f/xn - IZDwerk4Pb9q5Taqg0Mvvv2dN7q7ur0GQsCAEKz7YlH5997+bb9s/O3HH167dfX28syP3vuJywbD - EorNcc3ipdJCuXLwd7871N7OXaQGRFa1dFvHG8derGzQC1cu37p0YX72XjDkVYgqTFhdWy/UqkYo - EApH3F4vF4AxQQhxbmMnRQugQZ7DIDACQIg955PxdUUJGAuEWd20SyBm8foQIH5/4K1vfMMkLl4r - T09N/vjHPzp3+guVYgqO4YVcqlQ3tsq9O3eF43HLsghiICuGXz0yMrJSEgtLc/fv3l1amPro/V9R - JEmISpTk8ytC1N761rdozZOfr128cR0TpEry8yK8+kvRIPk7GCqhuuHOZDJ7Rg4/nl46+emnSxMT - 1GaBYLizu+fNN17r64qDonNEbc4xEhjYc2WzAwdRABDMxtxyeJ0YYeAIgJgc1ywm6kkeNtn2IGgA - PgJRgeRGW8MSNggCCDDGFDgTlom5jRz6PiYAsqAqIiohVCIgY173CKiznxEQIjhmDARghIFgRjGT - KICuhCLhZLK1o719ucB9Xm1woBMLu1Ksri6u2XZtZWXlow9+ff7ypYGdXb/73bez7S0RPWKZIHMg - EgEKAmwAgkDiNhECt6ZSI0cPT66tn7t1/+6Nm3+6tBTxuhVgVmmzsLacSbb96T/7n7/3wbmZsmKo - MnAmTBNhDkIYgdCRkSOzq1s1IT8ef/LLd39x6auzquHmVLORzDizSptgVUNBf3tPr6bIGCywbKjZ - HAghRCKAuAm2hQAIxm1tbe98551f/O3PJp5M/Of//Bf+eNStuJDFixubglcOjRwdfXzkaYc+AAAg - AElEQVRfqKoqUywaBkccTCEIoUJ1+/z+gc5UceL2xAoAoZ6W3t6dez0uQ0d1rQUDwgE4F0QA/vuk - X///vv4BoNuGKArVl4y/5xHWWbeo0YUDhLGkeoOR3XsOrJFQMptyKYQCyAjqmQG6q3fH8LGSPlWS - 5wt2bmW5AtWmoMe2bYIxdvLcn/+A+h8YsGS43L39vYWCncmmNE1FCAglHo8nncn29fWv59fnZucr - 1RpGVJZU3XDt2TO8f/+B48ePRaNRQhxuZt3dQXAuACHSIPVz5AuE27p6DhbtdCqlydSRJWCMucNc - IBgEB0n2BcMHDo1UiJu4w7lCeTOXfzg3hwWTZK7qoLoUlzcUD8ejoZDmUCAQAlkhfmn/wYNV1cUM - 77O1fCG/lpsruCjWVL/bHcpmenbuGWiKR1VVAsxB93d0Db9EuteqoduPN9dz46sri4vmvGVbmq67 - 3J6W/vah7t1H944M7Gg3VagAYJCE4FRWQuHQzh0DW+AKBXyyRIRzMHWodtz2+gNtHZ379uWy2ayh - a+Q3JgUmip7Kdg4XtXhZbYoGdUVyVK6ESrrLs2NoOM/UpmhYkwiqwxAYIQxIUl3eZDJ19MhIRybp - 1dVtNyKHp0BlLZpI9g/t9WzReCzm0RXJwf2povvd+/cfKIGuUrK0MFvaLMw8mykVtzhjskxDwYDL - 0DOZcCwS8eo6BUD1ggF3dnbYTE9nO7wew0m7AiSAO/QmrLi9fYM71YLtSncaMkV190fiDwYH+o03 - Xn/FF4s+eDq5lssVV2Z1wqI+I5vK9ne1d/f2pVaKC0XIJlsMXUUADiUKsJzo6DmK/Ss1+fb43Or8 - 9Nrq8nK1WGWcISIbLkP3+P2+RFO8KR7TFBUBAsRAVtp6er4paXlJvfp0pry+Mb26qjJhGFJzS2x4 - d/+xF16ikjG3sKoH76+XSWs6iR2ptXNuq7Oa/y5DCzgy3N5kuuOF469IgbmH0+X11YUKMoVPty0u - AAOmoNCW1tajRjBXKN6L+hefTRW3Cstr+arFJVkKaLglmW7PJLv2HDtwYL8WlhruVHUUzgECwEHQ - EAEgHIiiaf2DfXabEsskAq3B0ZnHN82rqytrOYupMlI0o6dvMJTuPn7sWLYjLSPHlxGASLLibkq0 - HTmyr7enOxJ2kwZo5TiUISEBwsCZpPgzbT27rIilJAx9G+gQsqaFIy3Duw8HkoMBn1ch23C2syxQ - WdVbW1v3HhnpzKT8HhcCAIQqNWt2YXmzUvP5gwdT/b17j3ZmWrFdXV9ZWMmtF6uV1fX84rPV2/fu - GUbA1ex7NfaiW1GQwNu52F93+wQBpGNN7urfsbbOp/Nry4W1XGljamzRrakBjyedzR554bhXlWvE - U8Se1lSL5ASzIQDGTcYsjoiktLe3DR4+iKi8PD9X2Fhf2lhXhOlXpT0HDg7uPrrv6IuZTIvuAks4 - ZymHnLntYIYwRhIQDswxFGjv7T9S1CcLdHLFzK8tldeLkQC1LRvVvzji9Qe7+3fU9FgiHnUppPEV - O5QSAlTRXO6hoZ0Vyd+SiGuqXAeSMAV/qLvP89Imo4HmW3fulwqFhfk5q1JVKFUlKkuSoRvBUKi9 - oz0YCGBcb3WL53YI3DhCACCbg2kDpnKitfXIkUNccc9Ojhfza8uFdcRMXaYDg7s6hg4dePmNbHfS - 43Ky8hzEi7iTmf3IM7/Fb4xOz05P53Jrq2YNc04B3Jra3JIayrTvfuHFIwf2NwWxgeuJRsxBQ7AC - vlhrBxo+MGIFp8vEu3vvcF+2WUFAG5tRUzw6ONgzcnh/pepKNA0c2H+wublZwhjqJtpO+pukeYI7 - h/cVKxah8sTTqdzG1tyzZ1XTAs4oRi7DpbvdTZGmRKIpEPBiDBiAE4KolO3sKXtaY73dfkNvVHoY - nG5YY28T9ap2e79FsqT4/P6e3t6p0Sfr+ZWtUr5mFiVWCbnkSLQpOdD1+jfe7Nw1oGtAOGBEJZn2 - 9vQU1zdX1/OzKyurm8Wxx49lWfJ7ven2joNHDoQNYqn+HHji6aQmb/sRI5sLkwMQaE4079yz2xdu - WpkeW59/ujy3BKiiaNDTt7O3/8VDIy/2d7m8frAYlrAOrAa8qvOKKkm6Lzp06JWIrNjrv66tLUwv - Lwm+7jKUdEf73pauV195eWjHoMfYxvQRkdRQMLx/7x5fU280EpIcwTTCILDu8SfT2d27caI9o8pE - kXCdCyfJ4db0iy/7ciYK3hldWlktbazlFp/VKhVNVXRV1VTF53G1NDdFIxFN0wBAIJRMZXcO7374 - 4H5udW1uYYnZtiRRXdfaOrv79owcfPm1bKbZZTQ8DQEACSB1OqTDPwdEsWLEE61Hjr7Q19kV8vkx - R0AQcAIWCYST/QNl5qu0JqKwbVDe4MNgQuKJ5p7+QTlciEQjmkzrmeZ15fr2/uh87QgAgaS4A+79 - +/blLXzt2pVacWNhYUFVlGA4igAIJYBA1l2Z9s5h4RaumKFK29NNCBAgCCDD42lOpXft3Zvq7nTp - quSkoYIEsiuZzLz0crBk47u3bi8vLGwWCyurS8WtokSpy1CDQb/fH2xtaQ35fboqNZZo/PWg3C4T - BIBAWNGDocie3bvyyNeZzWiKjABhLIFw9MrIGwz09fe9VbM9vuD4xEyhVJidWaxYRSLA5/IEgsH2 - dPalF44f3n8g1hQHTTHrWwIiqt6SSg8V1RKNel3q10pG6tJ8iR3DI7a7NRXTAipIjl8GMXxNbfuN - xGwe+SN35uaXi1tLc/mSWasSDDJmMuEeV1NXKtPWu+vQ8UO9fVmZgA1ABGBkA7Kds5m/uW3nnoML - xXJycU6oLft29bQmJA5gA8jUBapoa+/bMzS7WNY2tETr3r2DO/p9Ps15QF9bNgvk8vp3Du3aEEZr - e0ahjSYcII/Xn8q27ztwuK2tzdBU2pj7DrcFqOYJRDs6eg5sQDrR5NYwcAHIKpXLz+YLhYoabsrs - 6tnXPXQoHvEzq7y8ML25uszKxflnz+7Prl3/dMzf2t3emgkNpBtnVNRwsGvslQiDpPgD7iMjh7ds - RbarpdxydSM3NjFh2oCp5tGkaFOirTXWNbD30KGDsaTaKOo5B66oWqIleXhkT3tbm9el069t0xpD - HxAoaigaPzAysm6pQg3ni9X1tdXlhaJHkxI+b2d7+yuvv7lZU7zRsc0aT7Y2g8WRDAAEsAS+QGe3 - 9k2uEnfo6f2HdmFrbmG2Ym0RWQDlmqQHPeFoLJbJZL0ej9KoPxXD09TcvH/f3qbONpeuyRL9zZGK - AASl2ND1np7eWqm2WbOam5t13WgICYVACNVx/EZvDQgAJao70ZzaNSTCVVcsGNAUhSAAIPVsIIQx - yCB5kunuffsPLC+v5TarpqvJk04F3AILh5rrxgiDjPt3HdmUUwXhm5jPb+RW5iYXrUpR5iWfBoFw - Jtuc6tq568DI0faOTokCcxBkggBTw+XaMTiwkSvWZDSzsTa/OCfTfDzaSglVFAkhGXRPuNn7wgvH - KDUQxhulUi63tjA/x2yLYqxpmsft9vv84Ug0FA5LUl3GVJcVbFd5DkOAUAAEshZLtO4aHp5eqc0s - Lm8WF55NLfj9Rq1awxgjhDClsmZ0dPdZwWqgo91nKOjrpQwDYM6x2+PZNTzELK2jI6MplAADhMHl - 79qx71UUXjX10YXC5vpqddPCUFEJJCLR3o5MsLlH9o9GM1mvJhGMBcKIqACCakY8Lh86eMDi8uPR - 0WJxq1oqqIanZprbt4Of0zbXiSOOEwam4A/u3rd/YhPnsG+jwleWFjZAhAMezuoAuaoa0WhiePc+ - zd/q9bgU8vz5EwMQSTGaEy17Dh/q7WgPBXx4W5vOIdLcuk9u2eShwLUn06PXy6W1wuLiVrUqJIlq - mks1vLo7FoslU0m32y01eiouX6Crp0cOss72jCMmcJQejZUWAabg9sbdkZHDh6qy/2FLU25henV1 - eW1luWoxWyBDlUMeLRwOpTKZcDgkod9I/Hh+b3G2B0yoZngGduxqwb5sKqkrVDAACv5gqKU1mW1r - 2yxszi/Mlys1LoQiK4FAINve2btz+Mihfb5QgAgQABxLGG073jKMSd1wHiveRNvQ3kMVPZ5pChJW - t5JCQBxYC1FoaW0d3Dmoh/3hSAghqMdKYVV2y3uGd1s0igRZnH9W3FifnJqEmiUBDnhc0VhTNNo3 - cuzY0IGD6XR4WybLn79JR4WHABCmktzR0bWymhsbG19cXKlUqzXLdnu8hsu9e/e+wyNH9h46GgnF - FImALUDUbFQRCEnY3dux/6WDAxetrdz0/YXFNau8qVPi84Sa2+J7jx06/tKhRLxJJQQ5RGwJsNu1 - a+9uLlheWM828vPFzbnH47hqyQx0Sj2q5tOMSEtzPBqNujxuAAkISAoOGjv3DZeRIfv1+fnxza3F - 2afrFMuq7HL7/In2bLKjo7m5WdN0xAFjGo/H9u3b05ppa2qKgcNiFgAIUSpHo5EDB/aqnmh7e1qi - tCHjQggAYyKpekd3X054ky0JjybX5RGIUE3r7uo+WrSFWX10/25udWl1ZaW8tcntGsbU7fXphicQ - DKRTyXg0Ui+msQSq3NfXl6/hjUJ+aWl2Y2NlZnpGwpKEJYwgGg12dva+cPglXlYWnuaRW05nUjKt - W0ZvnwIAYXA0+PV/woAIqFpHW/vb33rr7KVbi08nZ589kwUgTBtxAxiARuMtAwODcrjWHAuhhnZe - IERkpa2j62CVtGVSAbeGt08fCINAVDXCkdjevXv8yR6fy5Al8jUFSoDgIhhq6u4dPlbU0+mkS6/T - gQDLsqy1t3XsK+H2dLvP5wYBgAVwgqg7EksNDuJQ2RUKGJIEAm+7LVNgsiJ7I5HUnj2HvfFen1eX - ZU4wAwKz83NLS8uaqg9nuzs700M7ey2rWipsrS2t1irV1fzGk5npp+OPcuvzmbak7nGF0xEkbEDO - KZcK0B2XO4FqgEHxBQd27v82k9Vg9MHUdCG3VsmvKpi4FCnd1nn4wJ6j3/jWXFUZXS53tEQ9bkPU - MRMAXQ+lM0dGRjjV/YHrG/l8Pp/fnFtgWEGyrqiqS5EiAV9nZ1c8FqfEkdQggZVguKm3r5+50rFI - QJYwBsAIx5uaRo4ezefyV+/fmd9YnZ+dxxwpWPJ7vNlMZu+enYmWxJaFs6mkR1eAbYvDnAdGPF73 - 4GD/3J0r0pM16vbEW1LZjg5DJ+CAHQKes2X9R4fbAgD6WrT6/+kSzzV0CDhZDAgQ4fVdmoNgdUUJ - qie0EAQILLG1Vs4tzC+tblC/7W0Nt8SDKmgAxLRkXgJSA8E3N/itqfUr959src65CO/vynZ0drW1 - t207o0Dj0wUABQuBBWCVC9bt2+MY6z6/NxwOuD2GolEA2CpurKysPHnyZHp6emFhSQgUCoaTqXR7 - e3s8nvD5DID6wakhLEAWY0KATAkGAcIWZiW/srC5tlQoW8Qb18LJcMStIZDEcwbhgoOwAWwAVC5a - i/nSwvL6xOOxsYejSHDdIIGQK9OWakplIy0pVTfcwCVu1jOPAAGgSs2eWdu4/Xhiemx0ZW7GZ2iJ - pnRfz1A61RmOqUCAAZN4FcACXgPu2SwrT+bLU5N3Z2fu53OT1Vq1ubkllU63pzqSsaSme4GChaGG - QAbA9iYrrq4WKtOr5aLQo+FI3O8K1lctBFxwq1ZYX11fXVpay/niLf8vee8WY9m2ngd9//+PMeZ1 - zXWrVffqrurr7n3fZ+9zsH0cB3CIgowh4gGEFIECygMoWOIBJINCiJAV/ECQg/JCeIhCkBIrghCE - MQnxBWMT2znHPj6Xvfveu+/d1Ze6r1przjF+Hsacq2rbFi/4zUu9W1W7a9Wac44x/sv3f//3T7a2 - 814ZuWAGjdRHON578ejJk0M5pMHWlXcmfRQOrKE+3jt88+Ll7u5BSEKxtLK1s9TjFDA4JZ0DzcHT - xw/fzF4cazbaWB4PV8a5BSwgfg6d43T/xaN7p8d7RzKwk0tuaWM1Rdocwx+Cc0hxCpmezL/4wfcf - 3Lvz+NHD3d2XUB30q2vXrly5vLOxfSXtjcgRFAnNm/rtm9eHL3dPjk7I2HR5ZWk0GpYZDJT0FPMp - QsDhwe27X77yia9WLly6uJz7lI8R5tACVED5wbM3v/vFrW/9zu/sv3ySsv8XvvmNj967sX5hY//l - 7uO92fPDuhitro7KjaXcqiKcQmfQBpoczuXes7dPHtx+ePfWq2eP96enSdFb2bywvX3p8qVrk6WV - fg+CQJhyqOE9vAfJo1dvf/fBo9s/+OLllw9zks3Nlc++/vH2pa1qMJ7Nw+u3R18+eVNTzxXLa0v5 - UoHCAuzn2swpGFgHw/5cwEIKOoB/s7938P37e79769Wjx6/6qbm8ufLp+9e3Vse2tAgenDWSTGu8 - fvHsy7u3b37x/afPd4+ms36/f/3i+g997f1Rv5T+KvJyBthOj4agrH5R1w4hKE6F/bw5OHztn9x/ - Q03u+mlvuX9Ks72Xr37r13794NWbPLWb68uXLm1tXPvADJYbgQDOIw1gCdP9l29fP3384g3nS+V4 - c3NjKREYRfB1rJ0wPObHzf6bR092d0/klAdrGxdWBq4qAPXHbx7vvrj/em9fi5Vi+cpoZalv4ACq - p4QGHE7evHn0Zvrl7pEpBmvj3rULa1Sf3Pv8O7/wP/29/+0f/s9NOvyp//Rnbnz6zQtrAwPA1z4E - JTx//vLXf+Pbf/mv/FcnJ/rpNz74L3/mL12+smVBJuZnbXTeADWoBjegFCE9Pmiev3x289b37z64 - +ejhw62tratXrn78wXuTfqXz07uPdl/PJGSTixfXhjkyRjg9/KVf/F9+8R/+/D/+lV/90//6v/Vn - /9xfGC2v7z5/dvfmzUf37uQG26vLn3369ZWtq9mg52OFBUDLhOmoDXGOEpEHaj9P/SnII/j5ofze - /b3f/O6DF09vZnb2zpW1a++8e/nqNWbTTPePX7+Y7r/abyz3JtnS1mTgUoZFg9AAjd97/fD18eO9 - +amtRlW5tTIe5OLQQE/QHCOImuLFsX/46OmdW7ce3v9y98ULyzKsqs2NzUuXdra2tpZXV6yLQ3m7 - Dt2IXrRfRKS4/s6v/sL/+nf/+1/+5V+6+tm/+Of+4k/3Vy8e7729e/Pzh/fuajNfnYw//ezrq1fe - T4ZFDbjYqhq8CSfAKfQUmk7nvHvsnzx+/Nu/9ZuvX73ys3kvzS9duLh548ba1WtuUFRAqjAeIMy1 - 9kYz1GhOcfC2OZze2d17ftIchqRa2emvrm0NkQOZB9gf7z/Zff3lo8d7dTNKsosbG8tLS1oWp0CA - ZvBpC0izAj7MpkcHbx8+enLnwZN7Xz59sfsawffK8srVKzuXLl24eLEoyzRJjAED4WRv+ubZ0yeP - 3vichlvFZGvS40EChyhg42MirKCgKmEGahDmYNdwcgpL0JO3x08fPL5374vHj+693Xsp9cmNy1vX - LqxPtj8q194LBXF7bAPDwyums72j41/7zd/+7u07z1+8nEyWr167/if+uU+WS4uTt5/fe/wm5DrY - vHRlY5AgA8zs8NY/+9X/+xf+/t/8W//DjR/7V3/i3/mP3v/sh0/ePLvzvW99/t1veZwur40++do3 - di5+tjQeRONDBOPBs8c4uPc3/uOf+tu//eL5+KP/8K/+zX/5k63TB0+f/N5v3n146+XbZ5eubb/7 - /tc/+OSHwayAdWCCgzKOmqNnT16HB8/n3k6WB2Z7rVemTdRVqV89v/vs5OVJQuXW6mp/MjK58Q4N - mhohgG0zC09e79+5f//mzZtPHj8+2N8fDPprq6uXdnYubG2tra4MBn31XkMQaxGa44ODO7dvf/H5 - 5/fv3Ts5OR6PhhcuXLh4+erq9tXh+rI/d8QMwPCAxk0EwOgc4bh5+fThfvP4IGg+HveLjZVxbpA0 - Bzh4vv/80aNDfhOqdOXKxriaVOQ64pMPIUwP9nefvnn+5auTsPPB15PhsmU4hqUA5lgWEMAiQOcI - DYKHKkx6chruPX/ze9/9vScP786mB6Ph6Pr1G5cuX91aXTbNtNl79eXj529m4pPR+sXtYc/mCQjw - PoRQJ4bePnn44su7xmXor/NwsxikIwOrh9BTIK3VzdTsvnhx8/PPHz989Ozps92Xr3plb21t+fq1 - K9vbF8frG2lVNoBVJAQO3dFu6ZtQQMIJ5keoD/dm8p0Hr45ksDLIN8blOBNnTRs2tvwaAtkXL/ce - Pnpx+86tOw9uvtnbNURXdq68c/naO1evLQ2HJs3BCIQ5k0GQ2cH87csvH718WxdNvraxtTauUFiw - n81Ojg/39h4/fX4qaTpeXd9Yn1hP8z1oAykhxVzx6sWLu7e+e/f2F0+f7R4dT63N0jTPi+rKleuX - r13ZvnQBgoYQCA2QARn2gH1oCp/4Y7938Obl62ev37489L3td7+ZjMrMoDRIobY+qh/fO35079ab - +fFgR9dvbGz0RjkyAs19bgJRA21wcnj4evfOk5enpjKD9Y2trSpFSjA6f/30weunX+4fn5Zrlwab - 17LCCsAhZOwZp6iP3r58eff50aO384uXr22MskGKxOj/809+5W/8N3/9e9/7/MNv/vN/5b/+68V4 - pbCEuc+EWaeY73/n27/9d/+P3/zZ/+7nr3z8Y//GT/7pv/jn/83lXgyiG+gsSgN6kGCO9k/pUbyd - 8+GrV4/u3nx46+bd+w9PTuuiGu1srb97defS1tpweas2UkurpkVoyB/t7z4+fP30zWFjqgv5+MrK - JE0ULnQZM3mEGagG1VB+8/r0zpO9L27ff/To3t7bl5urk4+vX/vsvXeL3nB/P9x9tDeHlONk9eI4 - daHEKWZHgML2Zpw/3Z/uPnz04PNbj+/d2337QrmphuXm6oUb19/fXL/YW55oQnMgwzzHtH795OGr - 5tGb4JOVlUFxabXKHYAAjiqBBKCezQ73jl48efHq+evdg6NPfuRHJ1srSvHs14xWJEUULRlbA3CI - 6e6r568eniRveDyarG307XIBAgI1DWYEb5URCN7j8PX+62fPX73do9KXq8sXro16lDEkwAVAZ4BX - T29Om8/vfnnn1ue3Pv/+fHpcpsnO1tblne31S5eWL2zBptLlXTbA0Ax6jEZwVB+8Obr94vkvffu3 - Xs6mVTl6752Pr195/+pW5tBADwBGkHB4+uzVmx/cuXv30dMXL14cHx45a5aXV65fv35p59L6+maS - JsxoIoeBzxw0tdFFA22gDeABc3QQHjw/+vZ3v//w0RcHh8+uXL744bsff/juJ5l1On17/PrJs2dP - 3mihg82li9tLBRJA/FwwNxLq06O3r+rHD/aauc2H7sr7O8HMknouTPAeM3r0fO87tx7cuXfz8Hgv - LezW6uRHf+gbZWreHvnDKQepyiIfD3t5isQAmCIchgZvD2b3H7783ve+9/LFc2GsbV64duPDjYuX - hv1Mum60ppOIjCfLNMfAFJgC8uLZ0W/defnt792uT04GRf7u9cvvXN/Z2V4n1If7r18+e7K/fxhM - vxxeWF5d7WeQACCA5qDZyd7rh29P7708dL3JxqC6tLKUEKDH8PsQD5nMkH75HE/vf37vB9/afXLr - 7eFBUpb9yXhzZfPdazc2Vzeq0VBNLAXXNH+7//LB3ccH+7MyH1+9cnmcO7R0BA1Qj1ADDdCAk1nj - 3tR2Op1+71v/9O7n39l/8+a0boxLN9dXr1++eG17a3ltjbJhzWgUlqLUteJcVYUAhHl98Pro9ZNX - u69O7NBNLrn+ZJBh4GDgTw4Pnz17ev/evS8fPnr67Nnh0fFgOPrhH/mR9Y3N0dJyv9+PpaCYfFiA - tIE/VZWGkyCcBI+TN/vPv7z/Yv9EeunkwubGapHAElRVyCvmPMfzO8+OXxwe+Xr5yoViY6mwQfxr - CfuwBTA4aLI3e0f37ty6c+vmg9t3/Mmsl2SXd7avXN3Z2dkaTpYkzT1zOL9p24J3iFBcG34q/HT6 - Ynf33r37N2/eevL0+dHxydr6xsXtnevvvLO2vpFV/amHsaj8Lg5vf+vv/NzP/YPbv/g5/cS//3M/ - 9Rd+tOSjR7d+6YvfvbP//Hi5t7Z2bfPCe9uDC+NRNolJQTdEeg4oVHE6fXt4ePfxk9sPH9+/f3// - 9Vtt/KBXXb186crOpfVLV9xwSR0MUEI5HEIJyI5P+dnz5w/vfv/h3e89efjAumx5ZeO9Dz9e3b5Q - rSxbmyQgDmH/zf6z3f1nuwc2zVZXqp2Lq94f2eDZMI5Pn745vfnglSbVZJTt7KwmJkRTTYDOD45e - P9/bfbo7ZZ8t9dcvb42SgmqEYyAJkp0EzE5muy+ePbx/796dW48fPphNj51LL12+sn3p8sWdy5O1 - 9TRPjPeip9BTcAJOD6d4+Xr3zu3P7927+fDhwyIri7Qwwu++/84716+sTTZ3nxzuPj48DU3/4nCw - PWSwawNUNQs054yGNAWOQY3X9O2cf/cHd+59/+aj23dHvf7Fi9sff/K1leVJkXnM37x+fOfRnh6E - frF8aW1UTvpioc30YPf548O9V3ve2MFqsXJxuW9M0JRqQw3qaf36+cPXJ29OTcgnS8P+Ur8sE2pH - tjdNMzvYe/X4eP/5/gmS/oV8vLM6SVJSNPvTWfP5o1fP9uuq6F1YGqz3S2s9mgMcvvjy2e6reT41 - S73B8uqgXOpRa7ED4E+nh89evXm4d7Tf2FE2ujBZXh+7KWZPf/Yv//Q/+N+//XiXfvq/+Gv/yk/+ - mZXVjAgcIIpQ49HTp//Xb/z63/o7f/v7t25+409889/+d//8T/7JH0t8Q8FD4mw0JniCDzqnmogT - qFE/u/34/u/d/sEX37/pZ76fV5trqz/09a+trYxPkN1+cfTshG04ff/C0nrfQudoTqE1TAI105P6 - ydMXd+/effDgweNnL0492ay3ur5+Zefile2LG+srSWJAMFSjOcDJq9dPnr04Mvw5CqYAACAASURB - VIehP1jZWhrng8oxGvLALJzsH9x7+ug7N39w+8H9ZtasL69+9rVPL21vFrl98vTR3rTOR5NisFTl - vdwhygDG45odv5o9vvM//rWf/as//49O19/7M//Bf/af/Ht/dt2hCACpV++FhGRx0v+4vf5oBBO6 - wvvZM9Szr9p/iVUdBTzBgMhlRX+8JlkhVV2MkrQdntA2b3kGc6+XXb86LEcrzcl+Ar88qqrOSSxe - 9JUvCZA0t+/cuA6kzolz1hjWoMRI03xlZTXPi+2dneOjE4CyLK+qfq+ssixbKPO0IjGRosKsQAgh - qCcNwqY/GJdpOmzUJ33NygUt4oweFZTQchnSPJtIapJsMhx+7cOPfF2zaVxK/UEvqwYuzyMfFcRd - AqRgStJkdXnyaZrd2LlQHx+lVoqs368mWZr6AJY48yYYJSCFcXnOm5vlsH/j+pWlev5pCMG5pFdV - 4/4wzRJwAzLohPNEnCnKJVdyj6ZUFFlWGpZFBz4RG9sfDLPEVeNlzkqTuMU4eFWADNJ8aXWDBuYw - FP0CVuJAGliXVIORuKRC4l2VZbGqCh9glMBS9IcrjrK5cD4osrT9tS2Ww3DZZHVzNh1kyKjqI+nY - ZypxCzGQOHv58qW11aWT4w9Op1OCJmlS9cqyLLKiR5biwEkCW5P2B8alo3ltQJIkzjC8B3MQIhiH - EJCXq5sXepw1+bDMDJOHD4v2Bg00HPQ+/vCDra0tPz201KwtDUf9Eux6w6WNgqpGyOWFQ6T1kMbW - UYGYPE221pYnveTqhfX59GjaBNg06VW9st8rqzRBx6wiQCLBDsxLo9GHeXl5faM+OnZKWZ6MlvpZ - kQZlEtvru51kdNpYiOkXcF0ITwAvtPg7XAABgIcAkvSq4ZXLS9Xk8t7+LBMaFdnysLKZIHbSEjHg - DJbG49yZ1eWlw+ms8Zrl+SC3gzLJs0RdUp/xFFqcKr63/VgiIgHUmqzX47WNRLQwhTWFm3PTc2n5 - 4z/enJwKaVGkVZWnvb6X7vdE3SHlLO9DyZseJ6UtcyNgKGgOClAHsAYiyk3Oq+tlL9i55FnhUhfv - 2mdFubx6cbDk57ZCNnALYk3UuiXKy2rFVq63JFmvcFTP547p9Zu9f/RLv3JwMrtyeWv74oVBVcRL - Eo7yChE3CWSMTyiInXuEqAnildpVD+2TbGHtRJmldMtmtSzl3SvrB0dHLiuKqt8fDMVZWLt1MR96 - N+OySKMyI8QlNkkg9nReM0u/VywN+6My31heOnz/XYfQz9PJ0sSVxfmEavHF73NdbVWyFdAXV5SX - dvpJf/n0aNvJ6XiQVoORMRbESZLJcFymSa4GWZ9zZyMb1Ac0DRuSrBwtFWYgc1Pmic0cNKDxtYEC - AmNJpFck2xc2x/3qg3duzE9nwpJYV2R5URZFnlvLi07qLpLWxXUu/jexYZPMa0+EqipGg97KqL86 - Hn5w4wa8L7J0PJmYoqjP3TUWVCA1YEkT24Nuba4P+v/SdDoNc+/YDMpBsjSSKm8i+qaAn0HYCvno - +0iR9o3tb/ZW+kFmsJxW0jmj+GlFkRmzVBTjulkmWSp6SBIAJ4skvhuWQmDD1lVVeWnnwmiyev3G - ByfT2vtGhMejYa9fZUXBzCANgZiUnSuGowtJMtT81PSl4MydZ4uf8WyVOug7BNXGk1GyAip65ebF - rf4gf+f6TmimRuvC0qhMk+GyJnQabVkANES+NKz0e8Vnn35y6fr149ncpXlZVYNR30oD0UtXsgkV - s2RYJBBAA5hIrCObzJugCmc5MdRfXR4kn71zbdujcYUZjZarrIzDpGP5NDFIGgNu3PzA1/MaySlX - SY7ltdEl9857728f+MZWVb+/5FwUsmnvVhFAMGkxHifBkJdxPw/OAVqj8TBsi/7q+jCbZz4ZVz04 - gyhRxkqAgMQ4WRn38+Tq9vryyclJ0zTOuTTNyrLI8yJLUyIiEcT+GrZ52d+5cn08Wfnok0+bpkmS - pCyLrFfZsvoDJ2tRp164CQKMqcarucsmrrFlapEYCAOcIh/1V8VM0jHKkPerFHKO3SjMkqbj5eVe - 7kYNpWURBNIOZmyHWnXMrTORn8h5T5NkdWXZmo9vXNtRf5qlWb8/LMsKANiYslrbdH3valNkuV1Q - DInAzKqhqKr1i9skpk6GPkutiTKsBmoBJoJlWhoPkw/fv3J5Z3p8ejqdO5vmeVr1iqLMbZ61kdZX - 4+WvfBcFWzRJyG1fuHBqql7CvYStBCAqDwYFqG06wGBQWZtNlkcffHRjVp8wUVX0qqIalD3TDiOn - M3shNin761tpX8u5HeUFDHeMoaRfDMolLVSapGcqc9gFYxmo8EAd0K+qD2/s7Kwlx9PgkSjngXuB - yrI/GfYhBtBG1UTWs4mdeWhAgDjN88q6LE83JqNjFMkoa1IQ0ABTkJXcDlcGTB9vJK/ylYOqVxQQ - gKOaHNqRlLBJbzDatuXMFD4d5AkQ0GhtDHr9oWVUs9pUy0lmu8pWlMg1kKQarVzK15bnNi97ZTJN - 6PD47ct79+9/9/OHZX9nc/udvCpNqgZkrXCElkyoKtPrZSCZ1TSb+XMzPQhn8XTHMe3S+8xRMh6U - 9sb2+trXPpvOGhWb9ctsUGZV7mCFqXWAyiAEEen1B5mjfABNJpy1nVVnO2PRKgECca/Xu7hV5EXv - /RuXVevUylKvV/QHMGle8vpG6sm6ApllgqoySQL4GLEMenl5cWu13z99753p/CRQ4xKbJWWeVb1y - aC01WPQNiC16S+ykZ4Mb9VM41/mPlqGmAKw1/apKTba2vHE0973RGJ22D7du6yv1iZYHbfPR0qpv - 8lIGWW4zt+iPiNMKSSEUBAjI8v5SPyl4TEWd9lyhjkmBWgGFQwICmdAv6MblpQuTD7/x/lbwXjjP - 82Gej1yVwdjQlZGoFYSMIgaMLKmGfKlwycrwjXpBOhmuDcpMgXkdrEZCk3JilpeGNv9g+9o70+lp - PZ8LcZ7nVa9flmWaJnH6opxrh8FXbCC1PDgFSLI8XVvLv5EmH7x/0fujflUMqyXnHCnIJb3RJMnL - IdJj6SVpq2NIiN1KsC7rD0rZrgiZyYKQZ3iWdmIiElpbMWmaXr20Pg0NnOllbrA0MuRHTquaiFJx - qXVQQQMYCMiwQb+yl7fdoCqPT06IuegNeoNxWmRBoQFBA8WSWGypAZgZYlpBLeLx0vijfLJ+4RLq - 2jEPekVVpUGVCVlWrq9fWJo0gQtyfecWWzp2X0hW9Jel0mQgeX+QOBGg8dAAcZAGxAIsDVBdvXph - UtYn7502NSfOpEme5L2sl2cFS4spA4B11Wiy45YPZhml49ThHCFwcWwjFs3WmIJhKP3og/evb2/U - 81kTFCxlnvWLtF8k1iY+WrNzrXmLxnSCRm1Ql+cjXnVpcSI9LQZIkbRZBiVZvra2XuTFxe2d09mp - Dyo2Kat+WQ17RWZJCfCgRhHaD6LocQyCV1YiuCJb2twqVmpykvVyg07rmbwqEYmY0Xjcd9VUm3TY - ZysxL4JGxgglhkf90l2/srG2/I2vfcLzkMD0yrKs8qLMxBilVi++nVfeQg+LruCu7Suo2GSytJKl - 5ebmzvH0dDZv8qLslb1eVaVZDkESj5lXUN3waVAlzS1GmcOgl+TvjdeGk3DY7/EFtwy37JGdAnPA - xZkXnecOYCA1famu22Rjsv7pO+/N6rpRFWvKsix7vbzsswViIaGNYQAmznm8Nq7yd69fmEwPD7yK - SYvRymrS78Far75RTojLslqVrOiPTZLmObfZVLRTzg0HycXtNJi8yMQKq3qvDREzkYgtq4EVShvb - uMr0EsPoYgyFwDIkTVaXl6siv7R9YXp8pL4hkaLsFWUvL3tJ4s6ZhditTJmTlfFSat+9uLV2dHiY - uMyIbZp6PB4OR302ZjAeZm4wC14GhsEBXcr+hwUR3T5nAizT5YtbG/3R6QcfZiYpit5gOEoSC57D - JePVDTtOT1BpWhWuZRcblywtrwwG1RjWux5yYyKbMP5mMbY3WLGDvLGNqbLUOUNx0YJ6hdokHY5W - ekXRnwPpkDMnMUglI8LrK+vVknVG+omY6FDEIR9NVrJM85ntuywvHJShQSnECC7N8tFEkPePa8kp - K53zs/nB4cunT54/ret6Z/v6xupGr8zqOcRBGKQQgyJPV5aGmWXfxEYgB4A4QE/hbaPWE7MEooZo - RpICBspk0vW1FVvg0oVN8jYxZa/Ix+OBJNZRMpkkdi7cnOZZ0rbDa9faxZxl6cb6alX1rl67enI6 - r9VAkjTLe2XeK3KXREWSAAbYIClHkzXTT07Qc0WVJ0wRB1OClbyqLiY75WT07kcfotEizZdG416Z - GYPVtY2+V9jEZGli2l/p2yyRpqfT25//4MmjB4BevXZ94+KOSyGITClIq8PajdD848e7/SMcU4b2 - 5P/+R9guRQyFW+MJYuOQVz2bW1OeukS7SIuJwAIVEFGSTDJb9HP2ywaaCMWw4Q/74PhiQNm68XK+ - +LkIwgJkrbXWFkW5vLzsvQIkLGI4ktWCB/h82zlUwRwlzkM0wmCRvJQsdUqe0lrOrHR3+4srYoDY - 2MKQ2ETGQ2fha4TglWpjObAJYF00OLSpYACIWYos2cgyWZ5Eyed4UU3dje6MFC8NMYg0FgOLQW+E - 0GfUYGqahlmcsR2mgNiapQQCw2SptePczSESa6QgBN96OmZOszRxaS/ULA3bs9BeFSQwmVTJKHdp - SF0SVSMBUhhr8rJyaUKuMRk6ObUYrpFC0rKfWBesSmLORqZq6+tMwtUwS1MKTtOsoQ6kIlkANUZo - NOyPR/22ix5RnVqBEJT9YgdAmUyWJklig0rQBVAaJ9oz2IACXNYbJpnN5tYxgzyFhlgktoeF0KSJ - XSnsynLRxp0hUPBQz0laZS5FjBpxDr2PwmAizFXJg2KJJkPSpoE0JCpdkhTnIUbJA1psFslSs5L1 - eDg2Cm5xzaDwTQCIXWJdSvMGQZHaxdgcpbavtpsUQwv3TQwBWXbJ0qgoh+m8hgESguW40+YAIhzA - QJa6Ip0Mh30foCTGiqjX+QkLqzG8gFljn0KsVFCbV0bslUgIiUttf5QZZJxwMDAQGDuuBuxVQ0MU - E1k3R5fTLk6cTbNSxiaFs3BOEBR10BrUYn8aiODgbGbKlLkWgCAKaA0EdmluTM6moWRGpn0Sii7p - FyRZ5YzNhZ2IQusZE88b//zl62buSQwTWD3BnlV+SQ/evn3+/Fntm95wvLK+ltg0lvU1BMCDsJjD - AiIoK6QB1CArkl6xiuUBNKiSJyNRbhkmT1yiPAOgHfwhwmKVTZReckayxKRlvjTsh/V1o8EQwBK5 - eIst09lWnFv6Lq0kBpvWHDk7Sijtl+RLoeDEw5gouSHWCZVw1pIJJgum1bpV1QAwGC4trLXkaumy - rziWjQhkwAZEiWFblYNeGccE8UL8F2eRZGeiW9WErs6wKPCRslFOZg3VAcaYJHH9PBtXPV1dJw1C - BJGZoP5KJhlnIDFgQIbYZgmlzq2trISgvgFAzKQGkaBiAYQaqEEWZD2ogTHajqUve8ZCOiw2UnKi - YVPYLLHjSeZ8GHhAJIaG8dh1PoOgccI0C1yRuyLvy6pyAHvvQwgusVHZt9UU0xBUmRlpnqSZQ36i - RiNPPBJrOsCmvdO2+MZtuq5gUgaJgRsUVZWRrhjyoIDTY1CAq1RaKxF3aAs3slBi19bzFZZY3/aR - LhwCrCtHZSrZtMMm4+2QWIitlYjZGSOEqkyXyi2htUDBwwMsMOTbJQ2xr5gNJG04UTADjkJq0R+k - rtgcignGnhJDGWileuIbFQHCMElelEuSeEZq2AiCJ1WIMtK8sqkNRSNw0QzCazuDsvWNaebSLJks - DQG0vxrdmW43InU0HCJjqtGoGo7Ox4Cq2gidkQVb197Sdr46louRljnnhrju5jpTrHG6AsYWlDly - MxO3X7fl49KKUF4mqUvUNJzOpT0+i9fZVo8hNbUds0xc5VJk66rLBC8iDFEQhQYscFk+yFMkNQsY - UTQ8IsIAAtRluXMCpVrKOgoMKaACNSBiIjCyzOXpErAElRi8dbM74KmbbPwHMq3usjWq1AGJk3Qp - zeZiHCEBuhH1EcHRBW5ore33k/6wBK0jjmtTPTe9ucVtuV1HQZKXrkxRzJjArckCIIYyNkvUq4WR - ukAcdM6I1m4ucImCEiPlZm9pSVXEpIBRj6YGE2CghAYGZ3I0iAU5wILYGEBymwh82eN0ZuT0961U - XsFYJ/myzYyBtGRtCLeBCVQhDrkMM55zWosjgjZBQ4CyywpnJG0CklK5FX7uPsGAUrGun7geO6/e - KkHNfI7jk+nhyUHWH4PNfM6mYTbgmNrpDBoO9o/39g7Uh6rqjccjWUxdPwfdUmv2WgBXoQYQZ8vx - mEZDgKPMvbRBvI+LzPG8A8RKYJflzrLLTcN9z91ZWNxFuwnbvWMTN7JJnveMgbUcmrmNB1bZpjwU - UQYcOM4KBxMbBCBooCZhV1bFpCoQ1mK6DQYC13M1wsoRTuhiSJeXJpcsCwaZgWjHDzzvg5gltXlS - 5H0zAGpGLBMK0OUcban2bOayMsRxmQ2pNGLFwGmrndvdJpQo4mJwCUw/zbKU8rntBWmDMCXoggNJ - METjqjeuSmxtAASkUAlATWjoXIdN3NGBQBbEsITcDHtZsb5yCFJw0oWmqhLItqoyRq2ky73+ElsF - aVCKQA6giohvnsOm/7DTTdHlAcRiTc8i742JxswNQzlWOhQQi7znsmKExMKSdBezKA+wS7LEiSEG - DDxmSqA4liAQCCY3kyyd8PKcqWYWxDa1JmoUi4oKe0EDBEUgZjIgMo6Hg7SqqjpAyYhtjW78WI7h - YMdi6RwcwUftS5g0Wy3KpUnbQkNR5EQbgI1NjU0yhVIaEzdabAoAEHJpzxhNQDZJOeJ+gVSZTUSD - FMgdqrExoy3oGihAKABQDrUKmXhMAuDhDTHlxTDNknkWgeY/oOUYT64BMRM5hhAN1iZ2fQLVVlCN - QBoQ6uCD915FqNPqaY2KxkCviyatg1SlTRPK5856RtsfoWAxedFL02yyrMTELB40nTdiEycgBIQG - ZLsYaHF57VhJVZA4W44GhWongqudTw6BCAThrFcgQQ6E3NbxssiCEsThFtDUSjocLg2HUZ2t3WyR - ihyH6BJx66Nxzu6c285xWh2Lzdww6w0nBEVkRC1aRhpA2iEMDClrreYeIZxY3ksdUmf7o6vLRWrr - EoGRYW51ChvA7SyHdmNJl+kJW9MbZL0BrxHHHqM26aeYl3eQPQEaIyE1jF6emHQFkwF806r8Jaka - mYEatBPJjDM9a1yRkYHhaG+YSKEEtllml2zeiHExZA0cQmjTchHJ8tyIUedN7k2MFVsQlhRCEIEt - 8l6R0cqE0e14pdDqBkdjSK1NCgRSJuSpzdLllckohGDEAjyfz4xhMaQBSc5JAq/SuEgaP5+9nqtO - 6MKnMsBEZIUnw4EZLBkl6Ww2ASCGOPT6FcqCshnBLMJmY2zZs5qnZGuydSd3076VDJKidNaoq8ka - xoKHFyNvZuuKyqVZGshLGhYaKWREMOinBYOABPGUKUhg87yfW85qk3Sj3UNAEDWtx3FF6mBDUbP1 - JmNQ09Qn09PpdN403hlrWaSrE7Z/e52eHL3efVGfTrM0WV5ZzsoiLgRCnG/qlSQ6ZyAltmiR4lAW - RVqsr082CIlQ2vmcYIj6pdgAatLUdo+7rQYxQBDJemXW6y2DEYVlF06ho1l0C2RgUqpsP2QpchiW - VvcgHiCGM720KobVepxwFeciIBD5sldloFoVhhf4V3dypvtHB9/+3hd3nu2ZpPjg3XeubSxl3fMH - AijEZ9qEuOkXJ/yPy+uPRuv2nIGkxf88+7abdmgYvp2GACaBSWEolQTdGWZADCNEzNFDQUAiEEFc - 8v/PFy0Ov8YBi3E5qQv8439EIiQLxogitC8VI8zdqLpzitVERFFdN44xVgKxkDmDJKjzCoou327V - RxmILMtYP2GVEELwwfsmxCmKiHze6JwNFMFrgCcWBmJchdb5tbcnJGQs+RiyepBEMV7i9tSLM+2l - nYubSRF84zkICUikla0CAUFDqJsYHbNpfxesWDobEayd8C/YgNjYpIqhIy3iWYYYEpOQlYU3gjIL - KdTPSayjhGH9uey1Nb6tISA4TuG8CJ81bLUReFyX4ANIOUpqdaZUlXwIPiAQg0JsIGASJuazMacA - mLSLHUEQQcaGbXuhIXgPlnZQE5FqaHxtTDeCo2l88DU0OGvBbQW73STMce26nEAjvEnMgDHEBIrI - cogqfxrYgIhbqR9mEAevisaHAJBjAUdsM0bVFEPqONerc3GqqkSLFKW7s/ZZCsgCTfzWEowDA6Jx - VgohqkSq+qYJYBBY2FprFmAkCZJ8gXlQTBhiiR5nV+BDvAxlIwCBxaUC5Vg/pjZUIhgmjdGMD0EV - JAJDMBJvEgBBXF465eARCI3XOUJj2MUwQRebRCgOKfQBPsxV50YILGALMMMsskONcwYR8e3AxIkR - jRpxzkF9mhXrmxfv3L759OnzB/fvl4Olfr4a+bYRP3t47/63v/2to+nRu+9//LXPPh0ORgbwtRp4 - sEfUcG2Fhw2UvWKmaGLbeCwKMROLIQMQQjQQzARLgII8FEqkAewhtde6rpv5LNRziBCJs6Z7Pmdm - ls6t9lehnjbBFBYiBxX4JhYKkgi0RqhxkZ0Rw1gIGxKQ+EUgxcywIAKJkLPdZwlADDamXS8SqIJ8 - 3MSgLlJHi4xFe8iIe007/mDcUW36E+M/JePJzgPPmzCfz30z9z4lkBFu7QO6AGOx9xDDl85EECXW - tpfFxC6S5QBAG1iC0RpaAw2IA2wNkLLxgiCAKKhpAZKofACKfip4goBTiItlcBBUPUIcatAdSG4L - GW34qwBFUXYYESVZLB91CY0GryGQAizEnFAHmCrUI0CjHrF2AHjr0sQSGemImUA0HkxwgId6ZD2o - BxlQS59jAamg6egGTAgBqiRtj1rTBG2aFB6uXeigYIoHScDiyQQSFuus4XiHDIKJwWOjnjXEaWho - 4Nt4XMAJbCHmUADH6gBjEc0dG2OBEFDP1BqKrqgNmkGAMEmWkV/UNsAaa3jMLEkOqs82sQfQlXsB - 3yDivy1Q6xFUvfeqREwsLAjRasX8g0jEMLUORgO813lTkxVp5drjJjuDbrGgwYKgUa+aeVHGi/VB - JagBAWKFIIB6NB6EQF0RjNrZZxZkhdkurNa5M05txkQAgQ2CwquyJzaW0QGD3U+ydCmEMMTFiw7w - QaMTUmINDDEwAMiSpQW0EaFbphbJ0dD4pvWh5+DkoPBBPbySMmKZ8wz+6tAZba+WUoFLyMgZjhb/ - os4OtE+1aWpVYYljV2KwEdQrqRoWiuJp0Yi3RSUDMoZIu5HU2lbXAyikuTNsToEGqOESnYEUqNHW - wNjDNZLQwoRqED9jJY9EpXW15GMB1TfMQEIwrU8nAgwYJKZTmW+TmaYJBhbCEMcC1wELTK0zRCBt - tA2+SCzZ+EgVyi2D0iJhl5CSbbp8ntoFYChPZycwc3FhfnpC8GIkc1V/MBpNRrtvX9x78OWzp3ub - NkcPCCfgE6jHfP6D79/6nW9/B7PZlSuXPvrwg2TBGWyN12LHabeLOfgQq0cByqpAiKh+Z3zVB8Ui - ZdIunoPApMY4gWu0a0fRcw+CuyGqgUBkBEUWN7CykdYfgGGQGCgQOgyFEJUHdK5NDYVRZQNu55wy - JHpWY4i61JdimZEIbJiMY3h0iFsX5IOoI052B45aG8ld9CkICg4IinMDYgkIBDWwzonNIvKtZ4z8 - CGsDDCMIAWogCcSAUscS5VAULXIeFOQB36ifCRowtW0BRAGYK/zZpeGsjh5AC8xJABurDxHSa08c - O2HkQAP1UB9PdlBSYmGzgKuCoqmDKohYDJjP7M+5FwELrJ/QCmXEPwIEDXGIIAGMwPAhsMZ1jA8z - hKCqyjGZYupIysKkMZwg0QbU1C16yk6Ya0ABD2Y4ZxSkCCEiIxG61QUFVRUIIpaM9d1Sc4wZBcyy - mJLa/WndObwieIXXhT9vEzhqFf40IMTANUCYO93QLt1jgAybXiJ+kVS3GAVUUfvGa4IzbCSuWmjJ - OtISYHxQH2of5uJAJGBJU9OERe0tbmu0Tql9qK0bMF16GKOrLm3U4MO8bjxBxRl7NgIibvng46Sf - OOSqpThYSkCI9sf7brazavQFxDGxlTSVtgKCKOBAyvYMDeiumDQSpUxk84gqtFGgCaxMRJAub43F - WGaQRR1PMDuoAiY06sOcjI0d0ro4DIhQZ6iDj/j92ed/Zd/G80NAaLzK4uhrl94s6KoBIdYmGSCD - dFhTdVr7up4aehufn2AsLmYgACMENGSDjznA4tMYMAg1QtCgAaQkIBYY6iaCo0sXuTO+RAwfvHr1 - sSVFO1A5hrgc3yVkFr1ssUjaxOkWDI50sxgzGM6t1GdpwvkxQQQ2cOzIekizeFxsQaatyWlkqMQD - 3R6XoNr44EOw1rWBjxoERYiJpILIGCY27cEDpWnig2+8R4AhJgPp6lUMbocl4g97td6VCexYApiJ - YuHq3CseKgMQU0c9iTFNy3UAUet1GIjziBDihzuQjXhFe+pbdJraTBMWImyYKTLDFFCQxKECCwsZ - 1GvjJT49ay1bIpwdDdbO1gNkwJlYw5AaovBgTlyapHnT+KdPnr7a3T058cOJxGHgqjg5Pn705YNf - /j//8asXz1eXJx9/8vFkdTkA2igFhhVhjpw8gqXW/AOqwdfMwbSxtl1s75hGJAxhcKQUtIZyMVIi - uhaAuoQHLWc9PjmKGbRw6+3YghwoTagdwNvGMwwE1eB9ZKkYYWEEbZo6hIYJ1oowqcbihXhE2ACC - pvGHb9+8+qff/s7d5wdFf/LpRx9e35q4M0UNbnVjmKAaYtnij9nr/y90t3TMkwAAIABJREFUq1/5 - ogsEvnIQ6fzPdQaH24iIIoEoRniq7bQ+hppIt4wCNTEe+EMYvd0HaOvTWg6iRg3/c1l+CFDVBVM1 - fhq1oGzk30aINv5TNwYneiliSDcxJigCQvDKDcScq4V2SHEs2MUYRb2SUOty0QUCBm1xjQCIcluA - XFhUsAFHNqKGiD4xL7riY74ZcbfoOqgNUnzwIdQagjEWRPBgkijJ0HIbiUklemGlRddnRGwtabdy - 3YTHwBozlfYJM/ugElokBgAt2kPaV5cwxyuHUtu3TV7JtEiCdj+kTPGYt9/FzeBViYLhOEeJITY2 - vHN8gB1jJIR2poswE7GwErfWOpp3hfrQQJlZOkevFD+/m1kGH1QDS1StMGTTjgMGFrIUmf/wCq8q - IiaOoeN2umzEYyJi343qagMKCl0dGCGQBGJlE4WeEYdUUgdjUdsJy8wJCCzdQkSnJdwGK93qdy3a - SiEmUwuk8veduXjKVH2jjddT4iSaVWq3ckx72HSFtPPv1HjLxOggU9EWNe1KKKSqqhCOZyN0URBF - e6qqPoS4yk3TtKgJESCq2jUTtjcVz/3iGHFbka0VDci2IetZZLrI+mcML4J2kKcKGijDSIsLMhOR - 6ZjnbRwZag1QthLqejQa/8RP/ms///N/7/adu//tz/3c0sr6aDTs9UpS9bPZ0f7bL+/du/f6YHVj - 7aPPPvnw44+L3FiAhIQEURBWu8Q07r84bTqe98ajngMAW29IxMaJYhpaVZUIS5ISQD6oB+dlZa1D - qA2rxPL5eSIMna3Q4u+vLD21hE6K9gIAM0AhtBtHzr25tdjapTDanCt2URcykgJeW3xHGEzgxR0G - BUNiANyZiXZzUOv3F9lpB3h9Jezqrp3rgHlgl1c2yZrgiSBCvGhP767rrJzW8oRAStAIIUXMB+ob - IoGQB+aABRKBC4vG5xowbeZMUDExEJwFnXIjQK7Ghc5eQKGMoAgW1EKaqioUiKJkXFd2atEIbfeh - 9wFNQIi2mtj4SCkljTgMQYN6ALGQhtj/1PZ1xod8Rlpr3VFr5xkUZ5qfs8wKDT74hjRYwxH6iuov - MQknIhLTilNHHwOtgw8sCohhw0m7VKpEZNu5SYCGugmzOgRICOqbRqjlpDRNAyGQhOCD+tjSaQQ2 - HsdGMW1OgwQwadD5tAGUAD9vfCBxysQM59pNHm+3uydRkPozJJzECCEEz0DshWmhGg4AOEozBukk - 4xXw7UZUAERCJkalMR1nYuZzlHz4RcZJIENGom6FEmJZYlFyiK9ufxBBbIwufNs23nWbgwAbFYZh - WpZclOwmAhAQos8+Y7Sei1bOzkb3D9we0nYtybRnSQNC4z0RRV+vLfTaZoLcHuWzqa7E0pafFaqB - IK02H6Tt8CZlBCU10mpZNBEbpxYPFyImVvLcVfHaoK61Th10CwbFDkU97+djU8vCk8WtKtGIxxw6 - hhEs0XxQl4p0t9M5WUULNcWqNzHgEeZoahgHmAaIWatly5gBNfQUROCiAZ2i5ZQZQMizqaGNiAkw - kaEvCgNVpga2AQuMkGkFcjzFCE65JhYDaUNPMagDPINYtWXx2LafTBGdu+nK3UoxBBWAWBgdWqaA - qtcQS3ztgre7QlhykrmhJk/VBAOVNOltb+/8yR//sX/yq7/xz771O//5X/qZ4XhtqTjMZTeXg/o0 - 7L06vH3n0Yt58aN/6k9980e/eeXKhrWLTHKxyxa8pxhQiLC0bIkQS03nsJIu6mqlYGmB0qB7O2NR - 1dZz78L5n4EGH9QTSRNqH2oRNiQcm/YXJ+HM/Uls8jDxeTCxagg+0vxoMWG6bdCBxOn2RLEIFalk - 5NEoNGae3N3+QjKiKyi0rVltKBKPf1BwjFMW43dbyIAEXUNhFzy1lxKg/myEbExquAV7wjyQ8wx0 - nCYWxAJqK0DWhhWqX2k0VAK1B5YBNQpQ07T1Io0GgRkWaAfAKsNDBID6aIOImEERbCHIYnSR2A4m - jy7jK3ncefvYBh3aeqpuXyhhUWWIOmAkhuTMbgFiDOk5H06x7laDPbVZhwSBqCLM0cyhaIyrOyYH - AyaAtUGoEROrCMCBFYbg21pwq8uLJsQ9sBAKUNLWEgaFDyB4juiYSQAikuB9AwnxwUfjyeBomoiZ - NeLz2o0aIF7wKKAEDaG1VwQ2DLXx8Bi2EfVvuwQ1KDwk5kbd7gGESYkVXIfatMBmC6KdSwAWm7az - tKrceZAYDIQQQCQRWjPOsQkQZV6E0No1NTKRxrpXoO63ISoctGmFgDRmIEoU5xOr+hAoug4EVQkN - tBa2wi1Idd6bMUHJNIQGMAoXGtI5kRF2C/GUrnWwdUw+IHD01hYMKDNzQqJMvvWd8UChM1rCANOC - GLa4gMVZ7ZIkFuPiVEhC6/7bvKU9udwNjYyQuGYN9SEuccesr+sZgkMQkQCEOWgGpEzWkmXT9hF2 - yxAzXYmZgYACEYhVVYOPzm5hU6kzdQIGs6AzgqoIvo1qmKBBuROrbYPR7vF1FRwmaWvzQUE+CIfO - wDGd3/6LFWZSJaUQPJO27QLa4a7MnVHVlgfDLIZZ27Gb7Z5hA0CIpE3uFUFVtQleQdZaZtYAr8GT - RuPTeA0chPgrMfSZwYmLFj1FQAwj0GhA4wWhvfpY0l1At+rbPU4cLWWEn9WTBxNTGya2jaLRr3FX - tV/kwBFkaM9CQBCFBvJKDdo8n0GsAdoyGEBMZE3rKKgFK1R9nFUJInT1SiigpouFlOATZ0ej8Te+ - 8UNPX+BXf+3/Je9tey3LjvOw56la+9zbPcNmT88Mh8MZiq8iKVqkLEqKHEiRYARJ4C/5mCC/ID8g - v8bI7wgC5HsQf4kQOIEDOgogKbYTRa8RyZnue/aqxx+qau19bvfQNEwlgXjQmLn33HP2Xnuteq+n - qn74X//jf/zf/nf/zVvvvP3k7bunw3xe/+rP/vRf/os/+cP/7Yfvvf/+9/+93/rBb/zg/Q++kAIm - g+YRr65DhjF4kYwhCGYwGhCIOWfGDO7MjGaqZlWdTU9xlo5rnv4RpFlKNWtfWgOdRVH6US0Gicxm - qUSziXSHgh1dI8bwUhwEEIMQ9l2XKeSIX+DhR3/xr/74T/7oj//l//0wPvfRL339a1/5+IvPLgOQ - 8EDcEZiJQaumTS0Wf4FeP7det/1TxxHP9kY62k0HSO2aErLbmDrCFMXDWqRjjNQjyzR886vpqoNQ - RVmn7xTbUAdsUKrEzIEqSdSPmhYOc3TZUjDlAHeJioYdHI+bmlsVvEI2h1iCqdQFMj2Rv3oq25MC - Oaomm9811THvjPXkKMaWAfW46lc+Z9UGAVVuEyQUJlmHuVflckecU/PXOWZsLhGZJEizkBRR3fPU - gYV6LfhG5tzFGswL0cQRZQynpM5f1nMmaMEiTQGpSpIsWx2xPwSySnKivDmE2iU8yRkAIVFS+t46 - MLqlXmmlX0IsO97gjbCFMqaC7BQJpflQLkSdbG57Bug77l4dvVAAS7W4UxDTrIvmixasdjt9hgVr - idKJNyJpDRw/jqgy7zf8tkyHTI3DhUR4TdNObn2JbORUkf/0DaVCkCa/9tLseDCqc7a1NGUmFwQs - lGeSLBGdKSELsbJ8MFbDs1O4r7EEzUQAksQ5VueMeq71GcnA0sipbERRhIyMLosjEyZYaGhDSJMA - JhTx4t33/oPf/4d/9hd/dfc//JO//Ov/50//7M/d7Z3n74R0ffny1Sef3l8uX/3qV7/9g9/6B7/9 - g2/+0peyVUVbBiuWrGVHZuI3S8abKQC0CwyyfRQTGO0HgZf7J++8eP/r3/zlFy9emDRY1T0hRXT+ - 5NicG8v08Su5OKP6eXpxqhtkhVFUm3IoZevGbfV2t9TDqpHJXSy3wSsvjMmS4kQ/nc4HeeySTkd4 - XjjH5cnnnr/35a/98nsffAhUIM+I81DkBDU0QKAAzB1jrDBnO991ApmR3whgL2kZA/JZji3odYuh - hwteWWQapyRcZnqqbROYILDK/1kai1Di0ZZJQ4LV4mrtxbEnyWNUqSFmcqxYzAqweVT/a5mfCdZX - ll4kxXf7nDoZBlygYZCaAjIbdiiazC1Vh6hCfbbpTxvQDgDFVnndgODb5XPPX3z5q19/9/33L5dt - eLufmXAi07NN9TWAp4ahFPP3d+9//cOP799+/v5zf+COOSC77MqQXsGPMuzQ1qGppNYRLM0AHWwc - I1UzjgI0HvY1hjjTn53CDULEZLn9RMudyjGg9KJZpfe1vlkSu+7VtGupVqtmvDKHZ7PhCLOQp7YD - xXZUHCGxR4x8MgGAM/+DaEVBVI4ZSK8aopFUpCfYMYcTBzKlTiuSEsW9WlA5yKctLpZwFoQujW8a - XwHFx6ttrGPBXNQmnFrTYe2iJW2bmdFaW6LM/DKiUoMJxoy6g0DkwiI3tWwJ3awnawUcgDk4gL3P - mGi4cjEPgc0qnz5hhCnFbxYhmg56mi0NfbUNMmBkpXfhpHJ3jjhoKzCADi94YIRKW1nFoKTynAMB - ZV6o6aUJbLgHxy6R3RX74l/+pa/+R//hP7rud//0n/3xv/ijf/4nf/SHT8bfPLv75N5+sl/nj//m - J28/e/H9X/nVH/zD//TXf+37776DccMg1gYFDox7kuojIX5Q0WwhBbaw6iRsf6HaT+NMJCfuWR2u - s/3QlCYqiXmmEEBgJFQgq8J4BPg7aZ5h2YNF1SbgEY5qd141R3nxws2dTup0HVw5txQqgLaqdQEa - 6GjpndR8um4mFzODJsLBe6RuqIBwBT5z42p3OU7Sn8uO6FjCNIyuVcl4xnACcQVLxxNhiTGNyuAE - YfDiR3PQ8mhaKbE0Va/82IU6rxaOixgAJDhBcQTN9ehbBhpJPwS08p0qv2m66mX2thMwh5IfuUR+ - 0x462RyQXzohFHCD2KisBDFHR6BK+CigJKE8SxWQgQ7fQM/CKaikXfoXiUBhgsgEJF+ozQ02rYEU - mBYRK01IVvmjmSsahJ5qXbSG7B4ESThN8NA+u3CUR7eEk6ZLcByUbsAb4uy5VFJwptvRRHk+zM4j - t8wWke3011EvyFT+lYGIdRR1RRB0GH2VnmT2Y+mW5rTj7plyw7EYKVYcte3NlOKW0K18mODJCDhT - HO1WcJz/e/7X1vuJltfa8iNl3Rd18v7z73305a98Yz5/79lT7IhIJkRJ+cwNFDy/SLm3t0A9FU4s - mtcOCFG2X37x2E6DLWdBDGYitYR0c20tOCBknrOere253PY2zjMRnk7HSUOmQs0TNkWG1zpJXES/ - dkc1bGEBUleUp0PADctdBEipeiMBGSINkygZFYKCEbB2nd/4EhZ/sTAUlTQpgq/NyAbcJMLk1uyY - fn9xOcIPDUZlf58mzEPBFx9QYFQEp8RktwJpeZ12cinoweyLUnyReV1FJ2xPrjtQFy2eNntiT975 - zd/8nR/95HOvHj7/53/143/6P/+PGnr7+dtPx9CrV9effELNL3/05d/4jV//we//3te+9hUOTkDT - OA0+hT2wEx7KzAmNkk8SUHaDAVCCrUP3kZ6hEYiZIgQ28rlwftLOCb3hZNZOahjsgOiqLSMpyrw5 - JbigAvhnxkhBa0bqJIPi+umnP/rkk0/u33725W9+8Vd+7Qdf+uIHzy6LgfPG6oDQL2K3BPyce90W - 37IoFDj2M9+ww7zDSrckiCnPGG2PiUYH6LaCIEvXH7fC4l8Ap1qoLKRrAywlB842SXLN7brTsFMI - hCWIZX08ElNohBnNHBtPkjRXjUXktEpmF75O5/gq0f550Tliat8ngDE8MsbF8OEsiCNDerg+REzC - LttltDcWkBSWBjcxho8sec1l+DlfL2IHjOapl6wFrT0i+vSZktdiRuwx5T7cvPVKTNB1CO0j/thb - 4FDWQK2jyVbeQiimlft1MtiECRpc7s6wakwBgOBoQyQK3WdGwp3lUkoxA1LGM9pVNSe8VaLUY7Dp - qPQbgMwIV9MJVO/FKHcBgiZlwwqMtJYbAWmHIp+2cmxNbGkH0eDZoesg3MeyRWGRvjtHOxanAxMi - Yha20MDG4aHpukMoZXlFx/iaqAMknbTNcb4sgDmxX6/uMktU8gFXqF0nBe6RbalghDdM+DAiD92e - Mj61m5QMyKwKI82y/81aWhZIZRo3S58Oh6yMRAIcFWi2dcPcIStTgcatYlYrCOVHLdKK5BEriQAS - wwyh2K++jXfee+/5i3f/q2/+8n/xr/7PP/iDP/jhD//5X/zlX7z19uc+ffnKYF/64off+ta3vvLt - b7/38ZfH2/dPAHsAkBUduxlsa/kpL++6I4yeDIi7zIknhBSZdskeEYGYKkPL+N77X/ju975//9Zb - 3/jGN4Ynl0adyHKrrCTom+mp2JfuHtKMlFhmiRyxPoG2rjLQ7hWmi/rHZd1ZswGGtQfbTFk6loz9 - IbSbbzSr8Uod91cHIuHVMOHG4m++yN8//8573/ru98b90xcffuhZ9dpkXP+s7J2ThwYZYWOliNPn - omV8NasqYADjCj1gJpwDL4GrY7VKy00ZFp9DZBL+do1WQ2cRUBCTzX4AxBBDkKE6t1Zcwsy19YWq - TtMqkJdNI2PlPJp9ehRJG059UIqKuzNE0rM+ispmCyYQpLsnl9Vurc4qOpmltpINADGsYM17gDER - Soh2UpkUoUng+Yv3vv33vvcf/6OffPj177545/lWbQQwWqxcfA2sABNsKMEG7l9849d/7/fe+8tX - T9//yov7kfXD929vK9DeVHCQcqV1HDB32CzDIVJIeFfYRsaM1HSbAS9IsAimi1xdhNgSk4rYZ1zn - 9LG5j4gyTr3jm4e6OgmrI+57Ppvax/birUq2eKZpduVPw74Omk51QWfO8myf6XX24M1v679CUTec - bqMOIkleNDEQWfd5ID7PF68gCsk4vXtY6TMyHsxBcozi5Zm6kaJ22mx3tb+bTncr2qh4Gd3opxur - UJzLw7WylRCrQ2h9VorVzapK7pyJDTFAaT4eea0IGYnLSPGUcFcn6BuQCMgoOagKZR0nktZKzPng - gonglo9j65SBHdpBx/Dk+KJUyKsDsGE4RuW6VxlmPstJNzOAqUnAqGTnfFjSUpCOMx1I+5yUGcwd - ++SnL6e5NHAZgOnDjz7+8Etf/7Vf+50//KP/43/54R/+0Z/88fXTP3/+Flyfbpt/7q2nf//Xf/Oj - 7/z79+99/NIQDx3V7sW0oZ7ntzYzspDGvY01ptaYc15DQciw2RIqeeiCVqHZ+bWEXJkXloqKMkiX - bVwKS+oCoxM57Oa7BELcZ6ZqlQnNaq/vzpOFsLiGiEyfzwiZGejZfvmWn9KsOFbLRj9nEP4g2TSZ - I2DBHhruDl7QWMsjUpW2VAbBENAOuHMD7tZ93EFmvf9EzIhJyCqRMwoAxZURzi2cwEQYGuKCigMP - BBEPsIKfOWLAcrlh2T4VIwG/ZQZlg44y0SO0z4gZRrr77fmtxPqZIhNuOWfslAmex6IKrSRHWtp/ - +QSq/yLDm95oPjiMNjEnxNAo453ZOBW+baf+/nlVKHdAECzK8trhprmVrCtBNlaDfuVeBAiG07BZ - yubMo3Q5tW1jKXB4KcEZKpeoHUauynUcyoEgcHFGJGgjVi5XIKZigge4LVXOvlLTqebSXIE5NJLK - nSpQN09US1TSKysnFCCKS0naoeaj4SkVdKMth6Eu4ycKAyHG1Jza91ewLNk0ZisnNiKHJM3beLCs - kQVQDaQAYUa2BmVELLQNC3jUrY2gdAhhFDTnHClajVWCAwiERhfFRCA0uKCg6VAqdGWbx4dBtSTB - zQ+B7BEBssy6k2qCZll2SRaQsMcXv/qN3/39y5e+8+mv/PLXNitrTATHQETGPzssWHavZV7T8PK6 - T+o+OzlkaNqM1A5NRIADHGLZLsgSVcvOJgZsZhiXghnwrKDrXosc8lH22C29IxbW14FAEIyAH3W7 - qXxzoopIjGplkzJFsc+Fa2PmOpgPerba6tWl9adX++Cbj8Nyoo1RDQmyCT+A6/Uqp5nzcP7OBglP - j11N9ys4fmMneWFoH+mdtHHKdRE006ZhZWLGeoj1YMv/lVB8bxo2jlSTIiAovHrj1kICuQcTkqkw - 76p0Mc7eb0TIklmcMMTA3L77a7/9rW//7n/2n/+X//0/+Z/+2f/+v/5ff/2n437zXS//+sdf+fCj - b37tK9/9zi9/8cMPti+8/2rbfgRcr3h4FXcauMgHB8NgmlCmpy+EtXPDzY3ud3HoaZJhmKlsJmZk - UpSZKg9lQ0NT1isfW4R0oSSFGys55ZfVDL7iA/2VGfFwvY5tcyvAv6DQLBwM02Arby61Z5Sq2Yfh - nffe/Qe/+/vvPPvyt773nRfvPB/lrpYrWoGDZKejd/8v0Ovn0+v25pcyiZY9dGAOEOn7kqt6t8zG - OE3XJOii7YIpLPNPMJD7FQBGqYmzx1GKr03PxG5OyQhriE2baSUkw0ghZkxFIHNjdC5BBZzJ4aht - WPW79RD5wHZez/KRSLNCd2m5a4lsXJKOgLkNu0AZ8k2xGkdqHQAxtkxXebWBUHbkCQUkc/YMrFvZ - pcyfBYAH4ytwI08ZvozeiJEpwbMKRxkrTmnu2dmsbDHvcum69I1vG0JPBSlNFe0YIU0iwtQRIrXZ - bEbUElgDPWYVl9ViBMnIPSYCHUwpk4nulBoptahulVt32JUH5LW6cjldDR4s+8K64kZIWFSZwScC - N5rWzCFDgsVIKaG+YZBRJxtCIBEzZpRVTzMbQAEgYun+mBmgzlkAZsbMdrIe6aCKwx9HSbKlP9lb - K4puiKzJgyLCIyrANC53VpMvlbSaVuZKuUXFwZdlMFGFJmBrQAn7PpkBwIJVEYjAhNJfXWGFzH/Q - mMAjEUEFzFSefFPekQVhHWWngXKvYtYo9hUqnzPrphPxW36wUj+yHtFTs6YPnyWq5Nzn3/z4J0+e - vv3Rxx/fXS7f+ubXf/Lpy/snb+0hwp4+ffvZs+dvPX+23V8IbMDwNYeEYuXL66GXzSGAEXM6MqZn - oAW4R+aF/OLrwWbBKWEfffzlJ2+99avf+/7nnz1998Xzy8huPjTzzF6jI1xtA964nItr60dmoJ1X - wSI8CwMxIGYfLY6FO8x4bcJlo9gETAmzas/S+OChI1mNP9ytEL5FhlmMk9ZcRQXKeMJrsSmt/73/ - xQ9/47d/51t/71e3J08+/4V37+/vgGrxhjbrDBkf7QZ3eWl3xDJJD7thlUkOCJjQFXSMDRocl2yD - O4EpDCVq6YH+ErgDLmikKxn08tIMBk4oUb8bgntWJCQuWYVHkYUoM2RjGoihORPDf4vyQoknzT0G - wu01XdwC0rptIn3UfKe5I2Y5bmbg0Km9hLL5HQDtJdmUqqVwM2BLb1ofq5ttRPaRXrgRI/DOi3e/ - /d3vfe7FFy7P3vv8Fz64bJjCPrFVkr5aQDS4bCImrleIeOv9X/2d/+RLP/70atsXv/TOk5GxBzhg - +9XnTtK2rTyhAvMyYXaF/O0KnBMwosFItUGR7lhIQZgbc2AzTgjYKPNApA3fzGhOWvY/W7p+wUgq - WVLnxSMY8NorckA8K4SXNJh3U9sIuTWZysrwnOZOyHO4+REDOPtCS00dpkQ9esYDFJhzQmEMX9lt - CZoyEdWyP4Feau18GEFpgLRo1u3tm4hmhDkrStQcjTTFUlecWHnpnGXqCLYwy8eFF/yq1pCwnM5F - Zzov0B3K0xrzLAlKZwFnjXXQu2eWqp+JFLYGmwQBOGiisklCalLrpQSiGgQa7oZd0jyLAPYp7rSr - gcKAwB1Zr1P4WwiY8eBxdU34HYyQTVRPprMFUzvb4DZzN4CljwytrZbR1xtJAMM8HwPA8HGxt3dd - xSswMUi7IO6/8OLDy93TL37p/b/58a/E9dPNZbr6iPs7f/7infHs2W64M1y67Uwb6ufzKUltqS5X - Fi893+wdxaBnBNUsVvPXdSZehp76nFKlrOQxicYl4rh/igBEdqdXqfGDYBKIPS6giGkZfDgwqzeq - R0ncGdATIyRhMJyHi7kYrZO+GRCQIhQmdLKzvQUIXcmjJTNgQPZ4JQzhWIVr6d5UCzAyQAsMVFin - aN2AwWvggTm3SQA3xDicITuZpYg05JwdjiWUmLqkHAMQu645aJUoGRONYZmZzku7HehWB0CawfBM - Jdqp59lr+npxLtMMHp3pZCTa5eRkWUMXlcFrQ2IgRIERfcR1AEaMjOB0yX0aHba28xQbYhn0MbN9 - BbKEmlvEK5sz5r6Pbe96HKJaWJYU5BFoq3xUJnWyCN66ng/hGQh1J6jAfk2gjLxiXIcPd1isOZEZ - aVin15Pn46Pt9rOdhpR35jNyYHuYJSIkiztBRc17XqRo7Qcw8bZOBVf7z5OyYipLFrokTexysvKD - enS+Bhqdw8JLY5pWAUFCmg4n63ynekyDsp0EjaITR1Xq6fT6GBB+/LlDh0pAzm3pbiM6s7i9mqtV - mE0kzbno7iCSdeTnS7EKTNlRgxsYstfORAbaQYy7j7/xne3FN779o3jng689ewtZKiz2Vu775Aw3 - sprelJZKabQ1+uQqXAUDNravxNm1jIiAmE0UJnCFrpiEIF4yVZNDT+acQHStuXWSKYCrrgaM5CAB - c+1Dug5O80IlMTX3MUHj8GR708y9XXvDAvSgaei0s2ovRAB3dQe5BqQaAe37ZAIgiMDMjkLV46ya - GdYJHPjxgyqXP57qPGJWOR2XDQ0iJM3KRiWA6CCzvPTEvEqgD9FvRIJwFjBqZK/V/hZyupIsZmQg - i70UCs64iLAqICcZkrgLTK1nOosuA81hqyyTwF22SB932/Pn+K3f+vtf/+4v/ej6k3Dxpa4/evmF - Z++99+7n333+bNxvr8gfPXx6vdyPjXeXgSuyNQ3xgMrNpKWDid0lptKAQ4WBBgBmgKJaaBuRNYgp - 7WROBpd7hZwJUb92dwlb1ZjqzsMELIl2AczMxmVLnFwoiMKX5Vz1zqDFAAAgAElEQVQxs2HuxMx6 - QmvcthHu9u67z7/zK8+fPfvak7svvvP+s6f394ZrwNLWVYo0HUf8C/j6uaJuP+uV3MXyaYJ98kBr - vAwtJQsX5CpdIFNg+XCvORmv3acEVym66ulBwm+yNPkJoirxtPBwqelKFFXgAAd5LEcBSgHeRJuy - VMgHXIjfJTZul3loq2WburWhXuKiI5slerstb9n6mfQeoUHuwA4+gKto42afRIg7AogLbOQUjt6F - KDmrqmV8tFKSRpos8cg67G8AiT6dVTWGdIFq39laAidTL79pXEGirpyigc72hZhaTzM/LqZdHe0A - LQhMHUQ6AUZCVrnMxvgRJ8GyzlLpbiHQA63CWlHl16zsx3JrZvZg5rLV0h46Npkr6BmiqX26hAUH - KcGBCCoafdPaqmJnIHrGRV824wZkOXvACdKU9Flwv5oGtTKOZ85SV6QSs6q4VXl9s0xkziqA4mF0 - HBR0qOq6cdYsCxWtZFZFsEoxeHy0K2uWgdC5f3QrgMZkLjopuupYdXfbaWorVzjKSXNhfUBlh4pp - w0f2yjjc4OPngoPWZUO47nEJvXX/5OOv/NLHH34wRb+7Az1x9ns1pZyu61b1pGn5eRgnmCLGVq2p - 4JjQzrJgRkcuK+qNruNLcdUBED37/PPPPX9uBuZEEaLq1uhqHGuGuJeh88ZXlhizg6xZMmo1czjD - a8hjOB90jc/L4VxYbHuOIpWQO26cxqvZsZh2NLWCMS0az7fCm9b/1tvP3nr6lrkFK3MsYI3DXV+t - JMTBe1Ztweo2VYOcf7vUt7rFrQx2AUaKq3p8AhbQK8xPEZ/ACHt6NcxsTiozrR0vY/rIoUhkCJPZ - J0N1zOEPgIyelpPKnFyPfOxDwCKhavXlg+XU911yLwEh6W9k+WcrqmOK1tqdFtU7wHXayvkb2T5R - AUyx5mqYgfC+5qp4IMjt6Vsv7i8vPvhw591uF7AnXxUHZfRxIvYqHU5lTcd299HXv/4R8ErZ4Ot4 - 8kTNelJm7JDBEpLGUAWpKsFZD1kiQqlsSlDXQytCqWEMkFfwpu+mFLtIaWruXfqHA6aRxwkQVRm4 - WCT54bz205U7h9DL6cF8gGCgBfPAZrcY6oZGaP/gVlef+YKnG9Ub5WWuUtmQrKPESlOmjAjiQPHm - c3Elym818iGfD0weAHaNRfnHyjebz4hO3B68xCrdUEv22sgMrZ/TPr2LRE7yObZwPUMZRtb2kOLQ - m8JKXqHC06Ur1yMjVfYKIRLOKmw1oXoienmAaU7VxY8uOLUeUo3da3oIZvuVJTzbEqxOAjvCha0I - 6kRDoWqGRLbjXZtTMbMDECcgui/BqstMRT3gzqltSldwo8McIb/w3bvPv/ve5+EArnP/1DwSzDrn - /uncZmAzbAukqpUxPbyfR4ReRFSkl4UgalAlzc+owSQEK7FcH69OYN7eXAoHZU2lFuVJCNQsxzLE - 82+nHUnRmDK/8RzLg2yW0dJYan2HZeov0+RIei40VbMQ48whWn/IoHrHuk6UnLYHT7mftYle5wxi - m8Bk+j7IJlCsponL9E9PRTXBAlwOhgEGI3Zb/WEECFOZi0aXGiXVd1IchbEoDMXB3qVbekeYaRwt - E+6RaDhRRG8MexsqNrjcprXDsYR0VqvU2fFMbJlsCki0li4qPimyr2ONc+w2PY5ikJkNtdM6FFju - RyA6DHd6FX7mcSo5o1vrzKMoT0AWerPUDBohuswRRyE2gLQAG8LOI+AUhVz1kzmFRUMtMlvctB4y - VBSzw2YUsrn3ESeuy7QzUjLzuNQpsppQzGqtc2iaZZaqV5TOuWUrnzj3ju2LtVrISRssSs+NwO4K - wUq397fUZExAILmqy6d1wZ8ZFlt28u8YoFF0eio9r+dg+n6rdODNrzMdr3Si0AkmFQ63Sv/KP5VI - ju3JBx989f2nH10vcnDDjqNJE5QdvHZKxAW81GoDqVEu9OqoYoGRLdPA6gGfTXukmEQ25x4Z+qpK - GQniZM5U78CDMgTnwrmtYnmoxeHqTvqhjnS5rSw0mp7RNlRaI+eSl6Tw9Z21eycLpWl2GWZ5Jqsx - 8yGHFQEnKlweUYVrAtzMzpHhlvSPXuvx08YpfcT1aWKCkLwiOVyRWRwXLKshl6s2mG3Vaa1EYLNH - Rn2FKYjhZ+btb+85wlOCjhBIHLRlJLuIB6UYsy9UbWYAWZyXcBrHRx9/8NHlC1fsD9h5NXzKp37X - o8SuCs0JpKZM0osJjMAdwLEICxAuEVePQGEfFWXYBhhecduZCW8q05Js6+7oPVLivI1MMnNl7JOw - aBPAgIykEGhzibZsQrXJ1jK+D4YK5RTyAUo1MuPy9J0Pnrz1/ntPTPfYMB07soZSpRq7qR2SiXRE - 6X9BXn+LodvHWhOHgl/6oP6kCe0xFRPjYkroQeL9o4wTEGPcHAxfuzoOpiNJuc2ZTcEzlGQkzVGO - TbaMHd4eIou31CjMrsFfoaX8N9Pt0hzo9uFtyBAMKcRYfg5wnsGUo4bJ0+XQkiBwvWIbXTYLApgz - JiTusMysOkSTiVuuy204duAT4B64P67ZFwAf3J12WaiNNkeDDKtGZVxW/NrZXhqHW3QY81h15Czv - WSNtydoZCZBn7KmYics6YIq4BdhJi6y3N1aUJJsYAHA/tZENkD58GdUJ5PSjk4oQc+5hI3vcBDCN - +zGyqV/ZgazGl6cLEQF0J9clAsoTjJixCz4uXC1+FJgdqih3nwDM4LZZRd+IzGqldDcyS5qBSu+e - oi0UGDIQI2eeMMkil2pcMf0y7IWYOVC+TJdeCkoytqVlgQmF5yeN551QSHMqrlnGxIxks7Yobnis - jr2AOIdRaNtwnIhuWaEEC/KrglCZ8XiKJIN04BRg5tIXRpdpNmN545x5u4owJ36v3DeOHLySXeFB - EDnZ4uz39UNEVjHOGX652y537753f51x3ec2iDE8iTmVMLETJtxnZkGBa1bFbjY8iD1n+BDb6kdG - UTEYcK9UCisS5uae1YsTI638yp9TiIfrdVc8ub/4MkRrkJWm+DABYBu4eLPgjZffWhCIiOvDdbtc - snM0AGbnzvL7wWHbqUUospvznK5r1h3WfjV9ageFYTHsmDB+2Fg6C4aKRxxC6LEmXX/Ro79d97g+ - XO+f3NFtWT8V3RK0Q8Tk5BZcggxtbK2zLWYWGQxAAwFwh15NvIxwqtqY8kgNAz6xX3F9CX2CseGi - KwHDyLHlJcFndsuCLPPaJHx4YBeuWYHMrNEfiZDdUSa6u8EK+ofK1CN6xg8JHxuGapZzgWWb/GBg - T6hde2fVCWJ1MiVgs03Ba0DM9r4ou60Kvkp5QfIUySrjn+tMpYiZY+7MncwRbYEQxrZ6F9HgWS1W - CHrE9Rr7T3wbsAuw4fLkgN0Z3LHnyusNcAwbw6vE64pwKAfBIYRrhMVuXmFlNZWn2jiKuApVGmlT - 5t12wXSECVsSLUuUqFiv/NSlMWJmkMnMctj20kqlfc4I9+4yQBQ5AVBM5jSM9imWt1HgJnOSwwZy - 1mX5TMv7yRhn7/AjFwkEGPtuYbgz0GBymJntFYioOjcpSHevnhf5UMKaa1oLi1YUzNhCujUnBCZh - w49eAdkqwWwhVYW5K4zjpvb+xNRHnlFzck7YljW369mY1SroAeogJEMHNXvLQzEj5vV6GWP4kDDF - CawuEZj7SVkkJn0G9+A4koKgY5CXfOYHHec5yGrbPQMK4bpjkOFOcngbawZ00d/J781W2uPiQegB - CDCV8oU8RjevV+4kV489AArtO8eGs1UYQITNHRBsNOhMOWMrMtxH7hj7PjHGBlaDCgF75Fy8h3kd - mw8OQZM0H08c1wnt4FiscLDJOSuXBh5PhFgcVQVzFXOtD2hdDa3UM70DhKVRd+rYVNamVq/tumGW - LVUtSLqfETsnKKdZitQcf9VLFJDTcM1P4JsOl6b3zDF8YixIrHJ8JGGeW3ukh0iXkee4bXHJ0ll9 - Y7bZ2D+fI6pJHAao6uhM2HJmZjaeDOFCeO2iYZ/z4epjwKN7fKz+f4AW32Qvw6g9D8zuZLhgmZsN - pJ5CgVInMA2wrDoaZQPUHlbEXL1vSFUXc+szOCvzM7UAmJoxH4a7owdgBWLu132GOcfIIfNA6VoC - db6dHZFCsYcB8AZclHmW3e7VZngCkE9B30waZOiWGUEIwGxgbM6NHfUba+FK02ACXmn20qZ+GjZk - LMczCS2kyKoSs8I6EA7smFew5k4ikbsBcR/OaouQigZ6eLhuYzjfuJHpETfK20E4y/sk4NXMaqHa - QCAUq0/m2Yq6MQKz7jmd0+MzkmLKjpBHvtkkCygiiSEi9ofhNfyj1Jm6TDZSwEa6N1nuEzKL68g+ - 6XY5Y/FQKasKDgUwZZgzvTT0mMkmESH3HUyIkhYu/xAyvY+5J9REzCVmzjL3ZOUu7n1kejbCQMj5 - HBCUDT3Y46UAeHoYE8doCACgw7mZHA9HRF+ICNNVIN2GUcDsaXd589EHMue+zysxzS5BT0W4wUwO - KGykSzPglGhurPZwnj6nAMDAzcZyrVh6X3CpKY/9pL1GBRgBZsSzocwgENgfHpzgtmE1Sb41R4Ds - OyHz5ZDAnLCtksBq3UFul02HIm7TAVsFHzqN9EhXHnCvunHNCsk2FQpcdyDZ183HSLIEswsFzhiv - ohxnAe57MVOYe7j2jepBxzA70iWChH3KMcP2zhuUvzvBSbPBEjUCshqnOgWK6J75Wtr9SPRU4gkB - VzYnB32H7RkUDrwa9uTJ03tcs1RNgLbt8uyyfdIKGvsDuONi0y5xlY0SbCFMDcT0HJVmIykvAvs+ - yRhDbago20pk+dc6NOv1ItHHWMmUg3vIaq+e/zzTOZjgII+YQCCYur6aMngZu6X+AtIQobDwdg8s - sBmHbRseMPfsimOjgL07sGWnl8p4lsi0W87+O/76eYdudeiPm11M3nTrxFYxmi9pUlV+6VlhLjlC - Iia4A0On2MGjF8+2VpILJtGzp2WpxJp/ACAicsDOTYOUCpcYK0rUZp0qmFX1I+k6lcLTVKxGSCtv - fci6I7EFdhOlxetoQZd/O3ZNAFKFZCfnlL+TJBiK7XAfsQM/AkKxQb48gADCXgmfGJ4Cl1xsoNLR - aYFzlbXNWdkMK/9EghTQbjlNeAVM114VIiMt4uq9l11kqvotIggVsib30trZXkZZn7gwcRBDuwBt - gM9KH4Wm6CvhSBRKojCPpI8MrGTBToN9KjXGwmxlgUMuYAIxK7R6YLtVZSwRMDf3HJ2pTpyzzJAr - INFz1uqJAk8fPOg/TuF8IMOyQMqrpP+isNzP1D/ds3ERetnBVi2t7KTySnlVY9N2IlS2L6AkxtJ5 - 1sFkE9IIy2zGiQDVJ9w9MjrKfzahWJoxaSbSp+C0lC35KM7WmMgJOq4JzJy3xE4xI8OZJGBdeM8a - VpXnLIZoXbSxlgAkfytnNR9rqofuhHOdApAD45DjN52WfUiiBA7K5tuBadjSsNtfIoCaz24hjwYX - ZpBqBkYIiYLXDhIYEzWmBDyqBsxQuPJEiNNIG2OTdN2neRTtwd1NRuscgx3bfrJIb0WtmW3bYAe3 - oyvZyrgVUkknYLuYJqVZ8HzxY18dLji7FZJq9jmIbt4SXdZ0sxShoBXehV2nv9wqB8CGDdxfQzQZ - U99zeImntIVgFuWrsm0hZsgriEjvtm/leSTTMJTl1BibNPbA3vqlpBmvwANwxXyATQAPvcSBnEqx - C9duPVgOpSztiRl4yJqlXJeAwJW4rokd9YyF+4rFP2q3iTc7AaCpnlAnWiam+u2+3KLsHPrQAcVy - oiEF4qEvQaiLH92z+cmCYe3JeJBni6486YjEDRQACtWOZJFIjzmEofJNsImYmIb02gUEJlrBlUCs - wcgRYIRl4woz7Ff4HQcsgSpsRXaQe+Kg+uRq7Isyup16KLNcoofSx82kUUf01jmQa9yiJGZ/mxWq - yERBd857w0uHiFisaLbeWGfJlOHVCmI1FyoK1Y373ZfUDTksAhECZgPZpycB8qV/y8Uxkp4zSAGk - u1yVK+d8XRyEd7J7eOPX5adCqkbzvbxidK77nc71uNLxhbZqTg3fF3runFRb3ZOWkqgnjnrPbXAr - pHnaZWdJyJIHig71MJMlMxJVU7Gt0TSTpQ31fUOYgLBsENppaMwsdVIC45G1SWRMRjo9XP/SRgnA - MjJ6dXdUJrtrLJaN5wArlZZGC93X82TAs8LEFeI/ba1xBe4EkA5/GsiA1A4GdAyJHneXYDwAgMk2 - hD28xDB0ux6tM3pd42O5mm8Q1alEIyDTqmbLVEQB4XpUOkGMZaZW8CXU/TIXS6KCNhIjWq9Tu5kI - r4YphOUUFmVQMsrZZtUdcdEeWz7EVRTcz89AwzDMlGodO4g0U5RYNaykQBN9r3PFF3IH2adyjLa3 - JMC+QKoKlxDZqpYV+pkIi8mZMmH4YLXqmtcUZsHLojHtCRi7mu3AVrxb7RjaIC+umEKPqEzOYTJE - CuMj03QWAOuciQxc2jnzsihifTjPzEgbXXTTnzLzbeOk63GqiCmPsq8mGmlqxjDuQIBbrpETfoFh - b4GT6Hjd0GGKj4Cu0CC2DHjYjDtFZmPYNm8vWscvN3m40gPpdcoOC51JLigcLBbOUTU2Kq5XGxeQ - ObfFNUqxVh7OCI5t4+rUcRLnx3b2sL0V0F7DSivYeTolZtZNFXBvW62dizknEr9KM9wAx9MCYE1v - u3Eoaj8Fst1bjuFLIyyBUIKdqxpC7KqHIIY5dIWugOeJaI2JI5xtgwNB2tioHfGAGTAvwHfxmlkX - 0nPNS6i1avF2OeRNgIfffRJZaso9b/+McwO88ulrextZP2N59FzootzQSPg8EKlajEAYHgIjYRlW - rXVEVA8/GSZxRQ4/0VDjKIRBathu2luCOLApgSmk4wEI4KLY5rQc+QSrwhpVcnbauRAtZRwhwWZr - IZ12I0G5zmX55xsRgE3SgTG2MmfnDDA6n3akxzqil3ZgAK5z2/veWisqTv7KUHxKbaqd1Vsb67HC - WezYBkXKLWavWpRiTYB6h2SFSnG0AXYwVoZmoT744TYKOn2y3LQoPwK7LXpP+xUx95eXNAvSLEnF - 7Qg8DMwBgFv2HsvVxCogYw7cALVVQgioVtEQ3KCrcA3shnCDrstaDHBmQm0HHqpt28QwcDNsNqpp - Zy6ehNtddYqTI0J0M4xtI3dPHJKuQKaMiMYj8GSgPQ4GaAmvNDuZZdf1xSzRrsRBZM1Z1F+y+1BK - thxpsCYbG8JBIUIPpBk2BGzHtsGydsQd157bgBxbYg7aVdDEBYnRegPp/N1+/RxCtzc2P19/s2FZ - lYerUQFjwq/VoywIVe+vml1GdZWYCZjpuSzViXVltDOhA8hAgCKVCszPuE4iSTtA5WS9Vt2l6yUG - ogLMvLmTGFkFPpDKi9nkXoG0z9NQyGW0g5P39Na7p/KswzrohRFdXHHazCpzWh0ADkF2uosBl1Al - z9eQYKRDYpc65X5Ok1jVvxYwZuvvQ0My91OVuE7F5rm7tnwVCrW3KDEos0pAGW1D7LNj29ZB6are - KETCmrdaqvPIZ5ql7FsHp4535NyavGtOLCgLJS+Vo2mTHuzk4TFb6bOzlLh0jZEAchTdJeJQYhD0 - zMJmqo/MdjCZyBQouGtKFYdQww5Q2AHs6YKJRnnN/2UUoqMyToBqWnxHV2u5WqR5hpksaiLSbzus - Clgep8rJrMd0hSLHvm0VZkmJy7S+MxaZhOspgrNaZ2g6vMCuwE5nxUtbwhe9HAyfGFVgp6JwRcnL - YJyS8KX+cAWu4AZu2W6UzT7AhF0l5FgPCtWHPrcmUy3+Wuj2WJMWhgur9+ky/lKNyCGTEiP/yrZs - Okmi3QdgEMqI31kt9FCsctWxAAMAMJkOi68TITJWrUptnjk0Dq8ChA8X4vpwncKoQ/Escy4PnzWk - C8BRmFIXTec1ucTcB1Twrka5CRZVm9hbnSRXx+kGeA3h4VZdmoAL1oE1+2fvQCIL5bvPpwHbPBBM - WKmMnCeTyJVGyiTxBwA25McMvOB6BSOGIzQN5GqrbcncxCHL6r6Bc45f/WQZaGUxmF0ccF4ARMJa - DEZc6qgMvMMQzOFPwbFKG0VIswLeMMgPk6ZadVpXYR/9HFlFyVuLxwwwZhfY8AKWRfF0U2sjPFTC - roW+umujphJpWJ9v1HaJO6wh4iWFVBTq1fm2RZ/nSdJhbsBIJGAu3QiOTGJLzS+ZKqPlLXqrgagG - PWaAD/jTstajg6VATFDwgAnTEJzCToxseB4RZg67A4aq8hoGDvjq43YSMau+ZQFEMxK2oWtV2eo8 - EJGxRyy+K1HQ6J/KRKDAg9WXrfEf/KmWINfCrP977mtKgCXXM47ITmxAVS3IjLJNFGZ7ZJWv5dCe - BW/wxucGCOSQlDQ3RMiiO6kdqq4lf06nTtWifu7iejirB3kbF0nRKyybfRgk85KzbC18CLts0LTi - WgRwZTlqzoM0u2WlBN0AadoCEkGlVXByDZXWC5R6jpbdfiLFQH8u15/kbWUgpWuIGMgGkk7lIDS2 - 25aGZvLG7tm9OtO55jmcZ0W3T20xEYwsvSe0nXei1pJGS7LUJUlRpwYmBIaqZ3OmjkJhzLZNFfiP - c2CFndRFw0scMGRv4+3YRIqDaXu5lXFkYyN2XNPEcIwJPuxXu2xd1LWkS+03SpifnujmCbV8NIMH - OnqtOsLOS/iQKyEw5yK5cmEzYqqgiMSBdgyOkZW12ZQBZa4doXweMFBGgTg6JM4DsnVkNwXIAwmT - tGJmK1IfXJyaaYNqZLJkzrEL2vKmuR0VLxWbywCA8rK6rBN2hkgcUrOOg5cC0OUxBjNbkA82oiLe - URX2WHZFmjUzQvRxoW1pjGd2aWCNpydkxs3gzNp+7jAz84OtkdPvKt7bx1sH0NKiN3H5Rv2Ztt0z - B5UgxxxQ2ZrPkOTBU0vU1m2mLqnLy7IEuHWuPpcTa0RbBpzSZj1HIeuDhrYFjAknnhl7d9R82Lpx - F1YXbuOcseqkUbQVppwo2qKcua/rWbLfRdnlE7s0gvQuVVoGXRmetIVHPshpGa7RkUoxqw1Kc+U4 - z1Ty5A1TnlJcp0v2g6SCiYKVIMEIKxCTOwBXGoFeSQaljbh3Kxe1YTfQJsYNEXAddF7wiJKTBmxQ - 7nowU63VnzYJZhdGYpIdnRLWSru0/d4IprRV/CCtCWZo3wTbNUeb+lsFDG759/TzUufKbP95ZuSt - 4qlgdEj0mkOdZSmp1IUxp/s0XNTTUwkHBkEqDJZlAODTZv28cs6xdMGnUPk7znQQHR64Xb7lQiuu - hghFtUTY1rSCFaqrVFieRWJT2KwRN0GFELE7ZrhN8AG5egd2hIDpWYfuBc2e8zo1pZz/XBMc7LC2 - GWhbTMs3W0r+ePhEM1PZkH4riFSC8DuuUbCalHvl83tGp+1ExVjlINsCWgExhCCrdx9Eh/KRgjTI - ZYjUmRaUJFNNxoRZUnuW3tZDLMcMF2CUHZ1nEzOmJoa7AxuszHsFxAGaNEhvLFETYNEfg9nNJXzF - kNBiwSkpZKMAz0MtAQtb1tZ8mfiXwtnegenpdhS1zXItn0VI/7HSqcgkQoEaT22iSincQgjqhEpZ - 3+Cj28Es2yR/kw5TkTXrEMh4ikhPk7xyOu0rMhBeLqQTWwG+ugLCi4vZ2KwmsiSZw/X8RXn9u4Zu - efp3/H5IYlT63hDAPq8UnO4Ac1IMgIFXQAAbuMGy/D1lQTGxC9kT6ualnjRzWbXDGfszsKr4cWQO - 2hfKb+0AzXmKliFFkAzG9hDPvlvRGrlEFVLHBO1UwgmgQpDzCDlUjFEto057Z7VDeSs/X4jn/7f6 - q4055WKTp/GUvKxMbKlXwngvbLxpSFfnUvZ3J+tpo/aTAWXNPsxM8GUs9NlGV+Gk1Cn4JLMY7Qoz - bBebcFGJ97EVyqmt5Dmrn2rUT1MxgDSzLMrWq0yysJJfp01ZF2sTonwgpGuZ/X42mXWeutzI1Ykh - xooeTyIGHRwQCgBayJtywAyJrHHBwu8dOf4dds7cZToKQiFa2xrkrKJ+1gFtjdns6HWkqF16WI22 - O5hrmYBiBhx7LCqQRqvhCgDYkGg8dBPkclxy0N+Jtgkoke0ol26HsjObGyyMVyDrLAfMs7mNuPgO - AAYmYsfDqLnphLwcnppehBW+79HwGd2+02K/WtQEHzjqVJZTBoA1kT0/fQWsiuIPuo+VI4JC1xi+ - Ebju8IEwn2ZGSdwf5mXc+5jClXw1cZkwwgdh15nzqS7H0QS2rZGWXqZob5cHwBz7bADL+wLTmL1U - Ydix5RWV9+ZKAlSKCHer8gDf5lTssQ2HwAmrQQ6N/8eJGChoSjkA8i53wzuXQMzshko3WIXwRv8V - jQCgVaEC4PtBUQ/A2BOMmqKVGVfLmD6b6bagLfrxpKmqnjPQ9mKf5PKcoNtceiKiy8Zu6rce7fgA - j1VRSNHUrTWa8xMJKizvIsMvl6Z/GXlHbJ3FNQnY4IAG7A52D4ytmR3IbTSU5LEVDk/hMTAmlO5z - 16nCyqHdantUDmkAU1FxxhDFHELIZf4QgmbwSNYJLPgaax1lbrYtBYMsR4Krm96WvUfA76CRs3lx - ZPsEMMuPMsA8bAUfU2V73qEeMk3Am1LXQlIXHwfgFtgCyfy22Gb1NjHBiJe8BvYBGAYKy5ARa2OB - 29ciD4Y5JP6Sfey4TUVKHNCoNiCFMclJXejkxuuGyfoT0qsoPij50sGKk5zE7c99++WMr6wa+hH6 - GuoMaIqqAY2crTcbng1lM4sM+CywytrBPPVuRV21z4cZcEiClldkoPpiRGtwTx/DyRuITNJtm0kE - c96Ap0yCYXUWOiXHyr44NmQHEm811sc75iBgB9XJheXPHSn1Aqa1DAeqOWQnWycqGNJErz7AyngU - xXZiKmXZdKiKSupehko4VfTzDgCvQH7QGy9wOumlcx0ks87mYsgAACAASURBVE4k5xysoDgAuBfJ - 5znaYLmjpfuYpzxfcp/cLvQNBs0ITOvW/O041nEwzsvoXQdBjhN1AYh0v/30FtggCaa0dLPx9HUY - eWLI1He6ZMCl7LsldqsyMj9m2azCVmcQQmQCqAY8x+jAABbuSSmuyp53WpbEZ/qsEdlVQ2Agrg8i - p21MdO+cslEjyZl8XVHQZi/G6pmVviUQoGPcO4Y6hCyeYxiL2DosU4V0h5XaVyPisj5ukKfFK4Jb - EWg0/1QQNiHxwzgSS2ecjsGTDUkA9MPkLU5eLQbQs4McgA1ot/2Vi04fQVxNCjoxst1+9tQJ2tgu - pLHQ36CMm3Wcv21wdjo49zCqTIvHDgJY0cDo9bAhiqdd9GLHJWQXySyhxLI8q7vPmQSZGUGOxuYt - A18drG+13rju2h31Kmrt96V67jIlnHqNSw+iVNgSqyf+IeigCjLWf1weRRpr+dk2uwh4fiHNzTU1 - WdnexWA9siWXv7IC0qxRL5rYr1lRXUMsDw3kp708Nis3j8fvTcIstHDXquBEVceH+6fppbM3BbIT - l/kQZgJp9/2BQR+XVGzWXQNO+jCKv1rGN0kQ2IAEbIZjd7ukgLf61hWA1fzIPLs0Fa3A6Fld2r2P - UBsR5ZZSoE/4DhoYblU9pdP8QZ4O7/Tgi3K8EQD9stsGXAJoNI6D+EP0iJGGn7TFjrmLjuFzyzPZ - iCtAz6GhBuDk7RPCLj2QexqiOX9iIMCHTgWUJiuzgWsPaqIAO93RHAGmvhj5a3vZlR3tYCqqOQg7 - qYIZ2B9gMr/bgZ8AEbicKykVSLAu4TlwfO6hdKMIkKtFS0OOeOqOUNyC4zFqly11hdQRUMsMb7FN - GWBJjLaevtpx1NOVXFCJUeX8lqyMISCbIhSFOhGH6QFz18SkMyOwEjWhtJ+wY0e6/2ZQxNUljs0A - iRGiGfH0oA5meHcaB3kH5OHIWL3NBu6X/QgiMIVpmYCo5+fOnRBwjeudc0nQLMuQaBsGZQgDnDeu - uqX6eAu4v7R8Iiq1AE6whcRJEnOAAy0Y+k8GbjUYkBUI44lN2IbW+fb94wGg9Mp95RXRafPszsx2 - Avr7NDuNJWzmsKojMfcn7QDAHXeG6iORT1kpz8ZOIX2QQng8ig3+Qrx+bqhbPn5zCc+EaGkCGlbh - 81XNOwHhAdjTKgOrs9eyGtFWY/H+ukN0EHbrmwCoQHFBKN+guCZ4hR5QcfzbmtbFDqe1Y+nwvO6q - UDKg/Oh2Z1Yki2A93jIcyZMePZb0BuZ44w6zURJrA9J5yEHoJjxRJ5iX8OxtOafE880a8vAosZIl - p+h79QXUZmmLo6wn5lZIrgLPtsFkOTkLYd5OV9TEwtqcDGIGsuzluPKxD4l6rCqPNsbPVHb+/M0z - LAc56sii5p2V5B/q90pQDZjt7VwBD44A7uoZetru8rkJQDvwAF4mxqz2F3O5nW2UBHCFLvlOwrlI - JHr0IJRDyJ7qNc6mV5k7ZYi22mp0VBiILDn2FRynwMrK5uwgADAHPZBjx0936Vec8JAXBDQRV9Cg - mZGvHXhZQIZGnQg3DVOBwH7FS8MgNsSoyaWqI1y9zDuot2dYEO1an1JpE3iVLK+GOZU2Pj5zBV4B - Do7TA+XpxlUwmh/l5dgMAKZhYjxgN3Pb7iKtBbsCe8CuuGv3jJjKgBMtmpDc6BXLWJhj9HA5A4qK - ctDy2ucbdjxwGUBpvROaJNk1wIpxdK755H60nEGbS0hRKzJnngO65FsNQgOqYXL2JjvHpMTm+chp - XyTgIq6Jt8UOfQreA3f7kh+Zc+3y2ELd0jNywxIfQgp17KlzZyc/RlZkZsUtuodfnyDRTS0Kos7o - 8CCWsK7kvrEbvM7206Bgp68Chd2BvGKXpTICWGNfMyntYGI/BVrcKMUrgPIiu1XCwTsC6VYo+tnt - Nmir0yzaIBKgLG2o/r2mo40PAjI8xA5XnnvWujGPDsEsP7ZuPnqjIFlRTAEZx2IICacwodpO5Wd5 - g5PMTrK6bX33RoV0aNIbE0lojCoAu3YVzWiKV2pZtXhzOOIlXs64XnDZsFl2r+rTPe/uYQHfrKVp - t23Z8lprn6flTJzSgXkcJx3R4ujmGW9wIrnjAkDe1tU+3pNand9cbgmhQ7arPF0R06rUsog+Sfch - A4hq8LNTiRU9tDBPKkhtMN8IjvU5A6DrjIdsVURma3gRUeCwhkfWYm9EuFpxLuig3VwdYI2eQnT7 - xw76zBYA6LNpRHnCi9biUVHa2vjq4F+b1gbXkXI/oUl6K06psNOggjaJ6gGa7DSoimFG98TkQq9g - Qg/ABUw5cFMofru51YC/gtkLnS3AMMEdYyx1gJSnEac5Hr5fOT8tYMCMPQKZvWhbZFkuKCtunY1O - Bi4AN9G6wLa+lYmZBVkC20zg2p/u7H+jtImHPtsUxyfZ1Q8OXE9unReaAseZRAl6VjtW5p4o2rBi - IUWLNKpdY26SsEJ7CfgbG4dG7yM5PNANEmpJeYDtP5QatWokUiN3DLigC0Fqvcf+9O+d4lvMTiw6 - zIHK9f2oFDqBCb0sW0bbjcHpMflyAsC9SmmbsDMzAyvmyXloYbXJvkBavTzDVVXabGawcW/CNfBg - eEBcDAO0ecV+LXAeN8TocU8Zuo3epfu0sjI9oHIneCa3rgYrU3Wp0baNl1mz5LTp7Cncyurb8K5O - 4lw3nyuNyUNk8jAJWlDO1LPtLShRwgsTT+QxEKBOYJHXKLmvfz7p0y84aENLeJ0tJlXZX4L4mcVg - CuyzoWtm4GYkyRmAlGOZQ3BnWO7TftQ4FtVFK6wbsdOLq7jvY4l0bDIrA3roueMKtw+c/tADNKCt - jtjQToIGYsss0EkOGg85o9SMhcRIYlF7u5e+uQG74WVm8nr5ATzcSpjFfXainaTTzloAwI/BWTs0 - nkRHfgOY5iOb3QHlB/MNu3Q+5kc/f8YfTcI+EZANF102Y74yzVpddhokrlUIYV4PVftQYjw7u2Da - Fo5puALVLCEEMpwPANJCthNzBNHJLVVaN6EeBADvHgVlibelcTDPocSAsDUKpbaNgEKIV/BXwJ21 - 8Z+NaDRhd3BcywM2txSOVyEkWTxZEgE9Ifhn2eg2H3gm27YhkxZmIAQO3K2tyMrg/PipMiVNhn1H - DFwcbdUYQx4R9rADwibL/PMYCE9gcRA7pwcdjoCzvBQHi5KLFuXwV7tN+l0DPud19xHuNBvCaEW3 - Az8iSLydtmip33LVsg1kyU6BgTAEOZ3VAwBEWFahStnXeA4sY9qW7US0b5Kwdsu5INUvxdL3OVm8 - y9IxnFDmqZVOgqHk4Gt88ej0XpOmb/iKvfaBxwTxGV85Vc2XtdArPJbb5L9Q+Scl8tPW/nf09bc3 - puyk8vrIDAyF9tgy3npoGjsJm8OEL5lVP7XKL9n8b3dax/ewHJcVLCpqP33ms57opHRur/2IQlmP - /XMmqUO5lbm6ch4FmmGPGzke5uTylG3An2FhlQT/7M+tnSIBhiYj0sScrP6ebAV2IOwP42QdwbH6 - 1y5/3tjHNtbjn/TmT/VZlMd8XF7HYpZcPCX1+tF6rzJwflqmJUy1YkYxs60Y18q7GNBv91Dnut7D - /zxWfPBEqfL+8A0NP96rN71/PvjX3rtdUpxzJevZ14+n/y7T/k2ksQ7r8EjetLK1Xru5yAFmb32z - vHugfYy1FN58q31CpKusYLuyNdz8iKYyIAO3gfkKEdPvHnM1047ReV9t/eURaa3v3TAVzys7aoJ+ - +osoDTslSxiIHaCXFoaPc0ABdNeNMoUOak7ZekPbpwftVhQ452rII+AqZGPSRdhif2EFlvSGA25T - /uD09SGt/9xI4JPBUUxf5PYmmucKoJ8p89jCN4jndYfP5iIQfI0L+g+Pjvfx388Pd6LMRx9pbIOO - 3/tjEmYEnWmeR2hGjGzIHYDT4Ec5CIEb+NDp/iexcmMNnUQNH7PwTxPzP8Pr5q5oktOjjwQgMaM2 - cw4G09kVIEzpTQUnn/Vaa9cbd/sWAXZawxtPFzdiplWqne7yb1zQSb19hrjLa90ORH2NqvhTbrP0 - 2Bv+cPy3H0IRE5KZe4+WqVnI/CwiXSKqP8RSVic47vE85W9FwUnqaRZs6CT/6qt2ftaz7nusBYDb - It16bxVP89Y9WHFIPqZ4vOnwlgw9r4YdwrMV9cSbAiUosH/LVtwcyOufP+MTTZjC4IZhkDgfEIJv - UdMs6+Vn5DasZ6Z01D63tuEsj+jlcO8+S3a+gZh56wI80oTrBzv9fnvXog6+fo63F3q03Nck6qlF - wGaw6ptg2VJxrrQFjr3v4H6cW9U01ItnPfDZfPUmiXDkD3pSwusrvlFS52ddq3zzn98sa9dHP1M3 - gQQHsGeP4dnpAFB7dJnBa8e0+E9rdeyr/Zte9Yk3csFnSdLPvuqjo9fr73826ajLpxeK7yC2w0xe - KbH87pu48d/idYiBRyvW+l/iDQHERMwAQanr24HsnCzBPUSp6lZgRLS5zc80Cn+Wxb72mc88l8fP - ppUhquj3BDoBFJccD3M2305y5TE3ECicyzzpEKDABXGSSrbKsfy4Mn/KWfXJ9nVOXLfYLCIsmT1W - WvunbNEbX3yNLQNQaA+ZayNBeYQYLDy9B/w0CxXQikfreDJmiBbyVjvd4bkVEdutONGtbre4f2E3 - 9zgs6EWLjyXrIfkXD1VQm6nB5h5zwkv3CAL3giYE0WPjAsipclZEYJoden1Nuv27vdYRULf0sJpp - aLFi3frWQ2uRT2Z/5KAisvf72h5BUUWZjLowDVLlCXo2OKtzAyntgjLgTmFO2ma0eev18NT14Nj1 - NHsIC4RO9GWVQj1R983DmnAeIXAjKnmWfUCZLmXAHFGC49P58d6ARxr3Zz6d/xdeny3+3/D7/69W - /v/Z628vdPvGF1+9fHn99OH5/ecMWxkblvigNabvs166lbCLiZewfHSr0/taBQFsTrPTFY7v8/wT - Hikj3vypfjzJ/RsVcIv3+fm9WLlylgyCDHEbc6wWpeTh30M4lftkaujQNW9UFv1Ajw7l9Jllo1LX - hyvAzZ/Miauwa253PgwOz4HXt6ZA/s8+20f61+y92dNsx3En9susOt3fXXBxsRAbAZAgKBJcQYrg - IpIjDSVLlkYzY3skO8IxE3Y4HH7ww4xf/U84whEeR/jFD37xeEL2eAmFNTK1UiBFgiQIEFxBkMS+ - XODu29d9KjP9kLWe7g8ASTAkTbDixne7T59TpyorKzMr1/oy2nn7sg3HlXE+yEqE5vOD5RIO1JF7 - z/tMDEP24mnjJxArOFVfWXchpJy9QQEBh+IjSAPthcdXheVE+rvaT1aSTdU5DeAoS3E0Rabx3/7W - HQoXmNBYNA8ns0572A3InRPLPtP+8DKOwB9wh0feNwVWRCC045ehlqMr0U3c3lVP0VkwKVpMU5Vk - 80zhgFectOQDAPx0JrMoy4QACIGC7xo4R3TXt6bH6ciAeuqepqXYXQjqFM27jca90M08UEiqoknB - weOmk0aK9XCaB6KtKwO0QaMs5uBaRQu9ba/ACajJ8nLnqfqmwjMzmFeWN690WgmpoQnW3VQI6LzH - qG6kbjxVG7000pZ15O6CFaG13DVS1nqroZmWj6a6lNNjoz5Niwd6QrKc1u5NI9Ff3jJSPCIKFASq - quYhkmpIQCQOmMJa4NWjoaoirrp1AZWHDlEXvdCrgYaSlkQylej0Y93R+eCoKfQ/75lgW9RdmtT9 - 9a0yA/NskRhTnMKEdQ0iQ6kH9iZ45S47WMxmkBCWhI92ZtJeO1Bd9LQlw90aui41D51ZcIEOBDR3 - NCquYAOT6Wx7vZ6on1X/iC4m1D63UXGcVjARq6+2NM9mIFqF8dy1IEV103UvKqRnxBH3cG0WHHfx - dsSrIzGnEzndCxVr3LgKvQOIP1RWJb9Ry4GVho1A/Uvadu0mwsOqlWFXO14m9Vlp6wJUdVnqrYY9 - vEe5cRejxsYwVQvKMUIozHQwMTAfkqXVamUcZ8TUdZoVKUYgLsfkIvEt2s7768oVetPzgH2bHkBO - 1d2NF92c2xNh+L5nw9EuPR8RdfHsQNGLjdxFKRBxSinN29U0BRSfzPGd5i5fjQcVUZ0Gd+Pd0dCy - m4L+HTph8bFb7XKFh/lS+0fd3MqKMKoX+VGjcZWFLcbXYZqV6Bg2ggm2c1JWOkaBDw4Axqxpm+J6 - VfqtI2x4sQcoywv+rmG5xj1Vt8WbpNh72+628RVsSpYdbLVROUs7t40C/s/Y2uZv+N0jRrUOkqex - YpakktKcEmDEzCEEjhTc9548q3rOuJ3tUFTcyAdiTzt/jxrg0eN+/RtrGGsGoCpmQLENKSVJcXWQ - 47R3EHbcD5nSd9hZ6i3s00sZyDwhLKyPqdjZqjuODt5jKdZsHbs1VVX1bMK23WCaKKx+KgQY4EYw - JllPphayR6MhcAQBJpDrCAmcbLAjcn+UpeISuJoARFASUbGkSszHmDhEsOefa3yWgCP0thWEWVdp - XMrX2V5UGbZm2VLuRW4KnSFbgcSQSf+sc7QNsAJPHoXlftViUD4U3kRMIsQ6kWfC3Wuk+1kbFbBR - +9Y+1e9VgLW9QCICBQatkLaS5o3KNpiKEBCxCtFP3tQnWG6SQz5n0LwVEE9rmiau5ZvBWK0DGIbD - OW05xFJ/lYFV6aXgZl4YNtA8b6NNgYK7NwdEA5lBZUYSxMAxlKlm6/7O9NF/H8BftbeUhzIChaqP - 6lu/Yr9of6PtrVbd7iM5gAfZGSFM0xTBXCudKkxEa+LrkdyXHtGJWT1J6kSqhZRN2HEHq+yRW36R - YWOM/HM80GDkJvuG199vb+So9VO3Cofghs4Aslw3tv7E9eDF9SSSI2V8J7PuQHl3d1PmsBmOdYZ7 - fN1AMUZY8HRAEQCCl8JWNa6lKfsnjEBMJRv2ftVA/vvGMBxO8714aSgZbReIVUSLEidX8W/wsshJ - GmuUS0UZlkF164UO1IsxGZDAghDdqUwHV00rnjsDMI6eY63Rmu97M+BYUnYcSbvNjeND9HVxNuCM - LVQhU3F9yR3K/9VL2XII/hLi3lwrSDlisr8hH/VddRvbb/kpQtMIMnacswzsVbtzpCIR5XyyXCdW - pkkETDEoR8PWYAqZbQat8h0ccp4LRxEqilHSyp479prce2E5xxFQTR+AhawIAGKiMKLIXPKKGrMB - zAOuVL8Ta90W2WPZuoNp+2ZNeMeEEhLpKEmeV8xF+XKrg7uobsUzJw1TbMqOMoh6NhhkEACWf3J7 - 97D63eNtI1bXl47WD3hKg8KA+3eN5Kx0Oyi/aBCWB8qR17t//ojWr+XObf3hpeRyaxdMVYTZS17Y - YZoZuo4rP/kBgGvNAYNpc001R0SuRp0BnahkH9n1XmyrMg6O0B2ojpzB603fKv7TYjj1sMUMKGNi - T3YhAlV2C81PwCdHwrncSLR70yAcHLlWi4XuAAu02nrVIWLovJNMlmMRar9yp4LMrSlXCHt0VK0j - Hr8e+UVVYQK2nFslO5RyDBNrcCMj97L/Us7puGXmHR0ZH7CtZ4owsCByzTFUe/ZkLtkO57X+hvdq - 09s1aI7Nc3t3FKLDLRsLapAtxLhi3chwz91zf073fCkl7Qa123aRqOOEPSXf62ydaZ+uoEwKxI1R - okjwKpQbQK3UQPRuIkBIUE8EdJDzF/n2GJB2GN7u1hl/6/lNt7iw4sLMO0/3auIjPKyWF6gJCI1S - 72zrxdIMvbDBYEamgUAhGA4scC661o3Rlkhi5b/CGmkY9sA893azcxWZMRQ4l8E2ruNWfuNhXQgD - wxtfO9KTwluo/71kX+u6sEU3jMhkwAocAliLIG8AR2bKWJzP8540snHPfWPrALM3xGUkFdQu0HDt - J24LMu7/Qn+N0JMSRQ7c5+WC0aKTcTcuCN1PM7z8/A7psXlGDOQFGUNgpmiZ4IGYqBRmAyHnYnbe - W3dlb5Lulgnj3zczuDf7Y36vuY0kCRLBopcgiHGimCt0D0u9j2FmbCr3aQ2rkXy6JgyPOoMIofjr - 1It7B93hanEqzP2plfcZQEQxl5wExcmrUP6U+DggigCz6MbUAh9rowTAARRBG+jGghImQkRNH9Zx - BIYYuNgxmUMkm5QnKqo99/s0M09V1gGqrX53tdE8on5x9kDvqKllDsaEiSLHVFOQMYwSSciVdS37 - BRSrsgBT4CnasWxkdwi99V5/I4nawyycSJaQ3vFOM8yCUCXfwJGiMBvbRCG6a3h+osu5Wh9H8boF - cZzMeBahIIRZzDStg4AjREw1cSDiard21a2jZE1zUBeRpzgFzeFlbmoAGErETDF41ZEybXbZfodY - D586lux/qrVyl87X248Q7n/R/s62n2PChPLBAJiZwJgsxhjClE80BC8LqgBy8epOKrPaj3elfYad - Tj6znbf5cwteXWUi3sHwI7ZI19sQirHcAnvITTHH/Tx2SwNRJ3sWupYLUhfoNlGhQq8VDOtCkPbN - Jes5eu7rdxSikc9gBrMQcskfCjlPiSEnz+FWX60f/B7gL8aB173Ddj7kB+sil7dw0ZjVxdzbFdXU - PdZjQRG5uJNVLFCxuBEAUnACSy32QIjsz1LJeIYqVZKCQ3cFWUwdB5Sl0CHD2zhdajPcM63ib2Uj - HtIOvAZk6O6jGriZd1r1Dsvjp7E3A5Fn/vUO+jQZ/WbzWkre5dQSUnddGchK8t6GNTB0WniAFpGz - 5cG84vmu4phoCmmJBIgAKEJE4GnWbc44KsI1K7w/WGpqF3C291fBJq+SP9ByPlSgLqG90/JQTU1h - IYCzuSHnhC57qaIKG4y7pbIsOHMB5fKVCzHaqoxQcbtVZ8m10GJVTo9iAgEtgTChCFKZzHT3Vp0O - 154KRPx+LqEPjS53WyEPxi+Pe6OLL94xItn4tX1r2NVIw9DF8gGUgb0ejdp5aMmxhlebow0zwKSU - Z6pkHv3KatgmYbN1XIWAQFVLkNPhQi00N/SsDqtgqwSubJ86heFTmdQ+vNyJN9xtO4di8o1ZQUCL - 6efmuVudGq7cucBMVCQymMJPpLtdDmHnEo2DeYOHurHb3puyOUMH5BnIcKc1qKZOJzFIxaDVRwIe - 4XXb7eq6zHXXo1/AbtAYB61mqlY8SF0fpgCvePKZeLRuDwMameMAnmKVwT7QlPH4fzWZQBmV9Tf5 - +PdstqJasvq+MbVRUQrsn7VpcUqvZIMJNXneYr9XsBR+grICmdbWNdydbPkWyqP7kWu8RgQlMpgU - ahsVUJ6YjoNDEhJYDJ0PZzWU52q3pRvEApvhLT3deV1KVuVBAFoc/2jJoTIQF5b9sO8e6SC8yxd2 - YdGxEGApHucM74AllpkoMB+EQJoT9vTvHYZIDrEi8WYZxAZM2RlKRyuP+KEjqS0bQ8EkK2jQ6Rmp - n1oObMby533jauylG08m42O/5RuTMTQCkSiwV7uAGlsgrsnYDaCQa4t3G6f21b2s7o6OonUjHD2I - qSNEGMb2M7W6nk0tWzdpt+FlP3tpSNGt3u643vxIGxvPm7EndLWpmagEnuoiUuyLv/mAg4GMe1m2 - kljnw+1zv4v7vz/1PI5omhMmGNQgBuRim2EiTJFINScZ2/feAlyuVewA9Huq1fEYmwFW6pcAuufo - icGsvKSjMJiZqW+7XAOaCRTyNEJYHpV++kaAayYSVx1DXR5ewzSZEbweazlhdzp5GLw0ifnvzIwI - skCToaaRIDVW1chMNGC+d1G3at69VkDkPpsl2I0wYA7Qoyp1aEYZkiHAVrGdVUGYjA6IAhRO8UzB - EYGgWCmOATFS5FBSzVpHlt+6VjgI9ZfqLDpv3Er7qYI8+xOLMJUAGmZiimQGjcEzsFefm8DcJKnO - eukOJRZiFDVVzxSRDd4AmCCqRjTxihAKT1XKueBjPTl1JDJEYmbCDKhAlJg9UzIRIbYTc6ELZcn2 - GR+pEORx59RETztslVCLer0llPoX7W9P+3mrbouIbTCzZMn914IgH/aZOAQGZqg2sre3nyFUEIai - KzBAWg79/FChtfVkkSX2qpM6qjVBAIVltF/6Eb1BD3XYb/axN9fypGjIyVo6rxJsN45uGDpMbVcY - ARVHm/Y6WnSalUTamEZKc9pMqwksYqpgUKw25ilQq4U+CM2umCDqrJVlGu3v64CsR6/FEo3pq5n6 - d7vA0JSz1B8D2nms9M5lpH6uIpc5wMVACQLACkrgpJgoK6WYbJV5DM9u1iwpzElAsY7XfGy7E7Uy - NkLVrZVMfIszcAPUMHzbWdAdmt9+cNN2qUpD3GRKwMl/bDd3HQ18loqrquUjObVnipymuSysw4TG - 808RmrWPRfKJd1hji0Uq34r6AAaoJFaNAChCwrUtNHi4Sk5RJckikXN4AweEg7jKzFhAouASdw4a - EdTg5QotS0TuSUroS0gV4kPdSBcgH2aOEHI9Q/evVNFgZAablQyBAlYRpbhvbzPu4t8IGOIuLZ+H - /ItV54AiseUd4VKmT4uIotfB9d699iFlassAV217du7XkiWtSyLRVF2haucLOlRt+D45Ow+yjLGc - RCk7uE95v+RV6PI3LOXXiqEFSWhxZV/ruqggW95DOx8K4pbe/fPywSwJEjMoECN4gUnQOoCMCIHC - tDrGVohl3Q5Wz0KN2il40J3UCRghezL2+q+eL3Z7sqcMvVlkZ4bY+W3fbTXN5AgkAiIwbyGkMUgu - TU8hcgzWl/Q+gvXvb8u16i6OE39dtkvLT/vmqK3OWr3JFq+1EZrtQcqFuXKMY3NozERw6XXbURob - R8WLi0C3V0oLzMRRNQUKzATQ4eF2nvXE8chMVApDZzVy2Uj7gPJ6cKN9hvE9x/1CzyuNHqSrfAt1 - gkEY5DzzXEd9woSRQuSfymCskEDydzXQdiNXoB89m1eEa9yn7f1hZ/Xiwb7WxBhq9xMAmb1azTpG - MoiYUWSOBGwlqaUQpoIGAhgoeIaEWE7HnsiuaHe0d4eIoQAAIABJREFUg+Du7NpgBs48SHCGXGA0 - DJdbF1V1azs+ubWHlBl+zl/ZhWWOIsHQM+1c7+i1GUhmmq9DWVdBwrQRRAV5MfmG+1SjRmi0EJsv - /pE02wBryvERfjbCqGgpBtVtHaZLee3JRvXaunfg5nE5umE1pmSFd1fYEHqtgoNcLdlWwqxha8qB - I8iVaLnwewdj9sNdP7pGlpcCXJl6C5xo/3WMh7r7X5+w/kTNXK4xhD5ZCqHO3sobLcNkD5uyZvT5 - GUfW1qWRprL6DZaBKayYKzyyD71atsUKRUaIWh1CMsa69VYzmnj+8TFPG4bFssrW3xqAi0C3CIyA - 6HBnABAkNpkImhIbU4j968et4rYJtn5AZnXPlMkUS0eFEEryfrNaabN02+3iwtQHGkH+i1NAzIrJ - YB4+OieZEzHzNIF/doUGAUQIq+kAFgGV2ZJ4PBwIUCLwcQ4OCFd9Wx1f2flZmaHJBESmTh8JVt3g - jWBYc5gW0/eeOMO0XCmsDd5r0qRQcIjMIYeSFSmhd9Uo2DQgMsNIJW0TpciRnYyeAATzDCjCWhVQ - L7ccDQeWt+OswpqIEXh6i/b/MNSO+nRAKd4rZQ5OvIjr0T5PMWDFoYixBjUxETZhTUlYQBbAqyxH - cTODMreAETOoGZMSI7Ln94jGwSYAUPL8wAcGzi4VBCAZNgA1n+Vu63q6XZWZ00xGgSLTSglEKpaC - zaAADoWslKMjLcxYA8B6Ll+gVW/tWQ0tOPAv2r9L7eevuiUAYGY2SsllU84KFp2x3aaw4rBmMEFq - DHpuw+FFF5cKglvRFMQdRVVPGvyHgJwitgpa/dZwr1VCd8RdeGxitI4uZOTiUVhvot4/4K2hdm0u - /bhq5L/1VK+IC1ZsrYQSekH5mV62LjyywVD7dLT1XcOphjmG6K4+FELxqiNJICCGzkxPNbzL8tq1 - 91vpeR+kFqcLLO+w8e8wq866u5MuroGo9Ofay67r4muVIECKbujTQIQJVbOnoAQkgwAhoOTWZQYn - QQrgch5rmuJaFxI7x+CMh+CKq9ZQai8sdo8GXRz4AOE9D5Xpe8177avN1GdDTmUVtA+Pry3fW3Oi - Vhbbfi/ynEe/MhByrts9k/Eja2fW7XYfunNG/wXtrVZ0qQYipGSIYSKU9AwCsWQhhRgmIz2cZ1or - s9uwQc7X3VbZKTgquFoSX3UVt2muodFjkjWBadH2XgQapTFTEagRhcCMSJCcCdwMWwJ5oFNWZ1eM - qrvSciW2/pUGWEZ/o+IdZyBGggp0gpdCZigiZXGpiglaFrwv0K65qEF+fxU2mmm+W/nuQFLzLCyh - skPVqF2hbfFhCZZBa+7S6FkXahhdqeSquzg8vGQYxMgGcpVtF6TGcb7e2lmxf+wuvOt8mJo+yDp9 - lOH6tevE6eAY4hS6fWJJI0hzRrnAuTC7Va35yCnrT9m7oMDRsm9iW6IjZr0UEQeqYvs+dMyuUqcm - f5c7BRCwgkJSGGdvHTUjtUCAGmAlr3Q/gCPb7mAWQ9/fyZHpCI7sqo3fBQzbM/8OY0uwW9bjSvEU - a/H4w3MwhgZY1qcSoWQPpIFR1tMs7675skeYGZF7yKhIkmAUw5rBqvAU2qFtw0B7gEkL6r63LZym - qkJ1ObzMunaVzD00rFeT1RQMgGd5ymqd5WqaE7VBad7JEc5HuIkTWZApxMEcCp6Bsad2QKbnNqqV - qY2rGz+6dShNimzlqCCw2VVOE6sZK7niE8aRNbFT0z4ph8uNCiKuZqsAVKf7OlvqRKYFAhcW2StY - /BZdEo9lqyOxfEBY3EtuzKhOzYR+WNk8scvZq0iQ3cvr+otiBgJRZEJ0/qUbQWJMBAbBUoansVEv - RCHTvc6VoY6kkyT9x5rGB90tjhYNe609UsPA8wS9npPmiAfulU/NpFjev1gUW5JUyyFZVoSJLL8s - +mhynCvJA5PBRBIpIQaoApo4zM17HAX+ob5/gTfDgPLv2mtO6rCrJdLQOHefbPKtaN631IiFEWq1 - umL1Zt376orbdNR032Tb6TnjGjWAEeB0pzPwuHFFjTIlByAq6VDYJlr3TJIqcfAojaboH1HXxnG/ - JfAuwf+kltL1OdLa1x4iKZqyJ4AwHpG1Tb+MLgzkP29DdNuw3r5gk1X7ikX0yZ6P+YITY4UJwsrx - wNVtMCBJElHVlSdMeEsw0mBqlHtLIZSkPhSUW4r3kBUZjQe1XZuhQCE7kvpmVCohVOJHa/ZSxNqf - hAsNGLYCGXobJTMHIiIaS6XBALNFCrCyqateUA1gDljFnIFLAUUIFBDN/VZCIGIX9kmxzuyJEnPM - bs97dtjP2Ja8bcSJGuZixXhJ/cnWHfPabiQqYZBCnvzW3GiRNsLzKk5j/8UHkEBkhllnYkRMaRa2 - ECYCIxnmJDFSoOh7lrLnlRgO65ms28lCOcW/yykzQgQgySU55hAkbUmNS0xSnaH52NEjRenVrNBq - QqeZyUKjHfUTOgn/LV65X7S/kfZzLVPm6OTHGc4FLIhyBP32Oi5eOnPmzOb06dXdd1OcdiOgARRp - xLp/1P3kqC7layEry2aZu5SzAfWn2Cb8Ol8YQv+o66PuD/+l42uVaZWaMp3s85a2JqtT05WgA1Go - RHCUj1EPh2VQbuvOm7wIjCOpMAVp77UKwKMwK1HR7Xz16qXLVy7Fg/WpW2/mae2rqAr3ZqRW17qP - PKJO0LXS4SCdDODFDkhHSaYscKbrJclvBlJV6Xcz7d9RB5J9gdsrivikCJo5cL7ErkEJACKwVkQF - YRi2GSCtHoEtz7dNi75ohQsP9/fz7x8xgpBHMLVp+c3DhsqnhDq1nZcWTRx6nlGeVT8lGGIb0niP - KyeKrr9gyIBYhhqq6Yrifs0H2dBGuwLVwGJq55MmlOwOhwBTse327GvntnR8feOd0wmOGbJi7mxA - UNOUhAMQzbMXUQVb3kbag6uoA4aYWuvEiO79b9QasgxSmru/UqaTDGB79fLlC5cSLJw6ubr5Rmae - 8mkf1ROwE5eH9WlYnRMlFBzIArQX3POiwllFRcZFsgnAMUXUIUwyxy0qmBBLMK1Ry8pcT1llrdqB - tBNtqEG1rHxVKCySQXc7pgF+gDk16NUBdEhXRJ6hr/bsGKKdGUpjEW/uzJRRmVqSxPKom2dCzUxr - ZgaVPDjTS+deefna9lpY33TXvTfdcJCfNCTRIQdJZ0Xs2WEZdfs2XM/fqjr3iKksL1ud0RvPuzxS - OE6jNAQAAtsiABxEij8JXOegTAQVg3se7B3DnqtHfaWjFsx6UXbf0F9vhrbUdtkCPLnqc/+CxjfQ - sHY0dxmglI9tQOechQzDJXcwYDju0rjSZICpqQKBImxWEZnnVTiG6cBNtwkW2ONgqBPlF9PnIhZ2 - b++EiXpPX6eFMvvoIvY6Ys7FpNGYQoe4HYousHqJ42PTgvQ1/L91aeTeAd2BsDHmvvaq07E2jQbe - rI6r1CxiAYP9zdNQUydgKixBeXv98Py1+dzVeUsrrG84deLYqTWdiAyZQdZpPKvHVfJ08NrI2XBU - 7wfbz39E8XLA7BFzHO84pbrSBRMXjfquMhw77HCoA7Q3uZ6NqJE7FENSsJcmVcXh9bNXD1+4Fk+9 - 7Y54DMcmQtJCSAfq12FaG1bvfFq2VNX6deFio4fpEc2aQbCzxBhy0Xh/s2ZJWkKGZlXUDWmKrTE9 - GqSWZlJarM6Ajo5QxByAoEZqmOfNuUsvXxU7fnO86abTx3LyygL6UMQtG/rqVnzwnbBRaVT5dfYw - 4O76W9sGAjuUv8iLR5xFU+n53D5Sv0suhhm9Lp1HlcYGwaKJE36hdmimasy5YpTb+43ABJ3n61cu - Xjp3Ja35xG0HN56eVsXsZlqCHqisfRMnh01k47Vh+D/DChAA0SsXXzp37dXLx2+7+20nb2wbiwBw - fzRDUUr2CQ52jazc5U2te7JVIa6PEVAOoY0q91LaguHkj0QQhaaUDs9cuX4urW84eWo6wPFIZmow - IgaHcvj46WGTm8HECAQ2mGy3h1euHl66fH0WWDx+7NTb1ifjqXU9gxhlf5SKey70ujm25L2hUE40 - CmNTEyL39Om8SitZygS2cvislQOcS4YQuSNqdTFUjQhU0wsVHof+f1GQUcSKg1TG53fGCHXLVGAy - M5goh0AUFGogZnbbUROB3pLWIZaOV7o7nAHqwiWo7lkA5k47uUZvYCIy9w+SdHh1c/7Cua3SyZun - m249ti4v0IGnKljJkggBgaGyJQWmkwCJYptQkntl5lMWKFYreEFtq4iO/EnAdO3y5TPnrvN0cOqG - 9enTx636IxpRZ5lXYI84nFv1+WiUuwPYQCLGVTqymsUv2t/F9paqbnuWOzYzUyCEmDWLphfPvPTU - 44/+yV/85Yn7fukjv/k7d7373cdWe73wqezoUaTPN3LxEdBctWt4sGfZzTgvg9Kz709rQtjdo3/3 - 8jzHhRPPwOHbc28tkUNlnIU3VqhXdRbRUBJYyxGGsx6zMAMq8lrHNGk4EWSJitGZ/AxAtW8annv2 - mUe+8qUf/OD7d7zjnr/37/362+6888RBJIQptvR+RXtrA3Bqd7va8EWzna8dM6pON10sHGUamhWA - TVJoFHdshd52CkMa3iuABykHsqaNJsAgNCnYEJEtbAarUj4rJhsmvADBUcLkzvW9O4P84FBTR9el - PArlRth1/3cqp50HzUBKOW9jZ/ztHkZxgKwnpDCOmQGDWnaxIxqiNRVdUCFlf2ylQfKLFcsNKFpm - HrYxwM1nkq5evnzm2af/6A//6IoePPDRz7zvow/dcdfJVdSAsFqtIkU7VGU9eeIGZQWCmgGiyjx4 - z1QJrU54LJ8GNI+wRjIInZFkX6PFEoupmjGHQCFEzqkfVJHku9/+9he/8FcvnDnzoU9/8jd/7z8K - zJYpFWChbqrOAUSL61YhDkqwLBqU+70a2YEBKafWD0QJlgA/0gUDJ6zrKBkANsAhaAKOKVD8rPOL - OEsUvfTA3eqjKVWpgasAQqtbT/FHqkCyqk3PQ8sQjoud3FFq6+i0X7L6w04rm7lR7Ob5t7sZUNd1 - /K3MysZ/1ItwfpuZmWrIwRDpqSe//fATP/jWs6/8zu//px//yIOnT66Cx3+tIwPKeduICnPjKIPj - 3FFUBBWAu87Ou6i5OI/9BGyrkjgqfs+o1NwEcojIwKSaIy8EmJgiR4KC+M3nurUjPr/efcA+ve3w - w/Jq/YHG1aSOiQ3gySoSKyha7igoWJjF6NlmgIVqOVgE9NTXFJ09CvL5R+rFEoITBGbOlEOMVFer - A9AKCsyGSNOqC607shUS14ZvI1CQvY4GuUEZQhUBlt1JUW+gf6rIIcW/Z4lyPfDHy+iXZj9rXD5p - Zf+N17QoLgrGU7nPb9OiH27SyfjK3TFrF2pgYEAStvKtb373Lx557PN/9dVDOrjl7vs/88mP//qn - HnzfvbcdXr18fD3RFAqt8HjwBEsg1IK0tLS9ZIzoKNEQJdBT4ZEwtvvbxw50hrC8c/+Jj/LtMAzK - mCP2JaFfg+7NRkxeYQiScO3yM9/77p88/uwXvvPSr/7WP/70h+4/de8t5Var/+XxEWowU/+Ph/51 - 1HIUmtwANRjUHVAFE2D5sxbVracQAqFYbPKSS4nYKnaKbsvXc4E1qBE1lKpovOsU3EZZejEG1jGQ - 6vbSpb/4089//pFv33Tf+z/ya7/92V++t7raduKIi1JjM3W3rurF0r+n+2hZ8jUjYlTMHpdvd5nf - sC04TcO3PbhTEjZ1nulKfV7t/NwIrNp3s1C9Hr/Y00l/eeHMSwQQEQVWFTVizpXrESIgVy6c/853 - vv7nf/En5w9Xv/17//k97/nIiRUMUAOphr4YG3EVu7m9zqoX0Q5tQUfB9mz2RdsjvViyK5ceffSb - X3z0xSefm//hP/mnH/jQvTffjIN4sMIMJMwbM6Yp+vMdZ+/JwMhFKLjenwa/ii6orldPOsZ10vHC - r8b6aROysZcZ1w+fffnF//PPvvrEc+c+8YlPffbBB258510U40QRapJmplDzPBwJgCPasP2JOU4w - hSRJ26ee+v4jX3vsT/7sL89duHz89F2f/fXffejTv/HRB27wdCTkQfaQciQxIMBiC+zwzLDcHUPJ - pljoRJGVUAlaAXj9RECWIWVWlWQU11wT+5pBpLghO45lyrUPnYkQI+aNzDNWK9RUHVp+JXe8BUxM - acUrX55NEiNdkU2BSGDyJgJzftJmoGL7te5vR8r8uwPMesJlcEcSMlETpZDrmAUKCrt+9eIPvvnY - I3/x5997/tV///f/2f0PfuL0+rg/5pVcqZxMAAIF9qKq0PWaoDOwAQ6IsT4IRFBo8BRzOcQ6GNaZ - 4xcSDgBgNYiWpNAsUHn8sSf+8P/9q/WJGx966IO/+Vt/L06BOMdGcOd1W4X1JbE17JV59olBtk9C - OoLC/aL9HWxvudftgqnU/UbFLTyLOlevXXzu+R898tUv3n59886Pf/b2BKz8fh07rF632vW/EMQ9 - gKvNpRyvOznIcrEIo+aju2fwppXsFWHKiFAyBwCAp7HZ1d9UFpS/DpvmrdozVReaeSOhO081Rw/r - zl5VWg3okklRthbSaE537U6lEUVDNMovXeZIu3Lp0tM//NGXv/Sld1963y//ysdvklsdes0hR90a - 3TkR1WXpFhN7AWQ7n3sReACqj9j/UncaHoJR0L+96yl3rJw9gFvKmvx/h2FU4mddnmFF2CIXOmUA - EGADzMDKsFawZh/JxozL+1vk5jjrKr31N9fRV8V07oSzRNuCmJaq20F23eN4S70UgTyz8QimgDCC - Fq1sTm5K5ebyBiIqYRtD6kOGaokSH30q0XZk6ZCzA1c/ixx/ytDmxj0Crk7BYEwkab54/tyjX//a - VRw//fb3vCslMDzM/8c/+uFf/8lfX794/eY7b/7M5z594uYTB+vjgRgoEbb7mGGZ4nLr123V3dMH - +CzHOYyXnMUaERXNn8EsEMPM0+OfO3v229964vs//NHxO972OfNEGx5VWoaaH6xvNKB4EFsRvLUK - dC6obIEtcKAFmzMBo5QND3ZcaT2XLc8AoMAGuAocAw4042DLNlvqyWiHaTktXU1y2SUN6AHRezXy - QJtzjDNlt8QBoKHjC33VBOtcpdrFSgrHV1fCVoFZ+2zl26h7oAf6ojc0JNRGMo2StSo+AJiIAgMK - FczXn/3Rk49+7WtfefK5h/7+PyCOXAJHqbjfJQEITG2+O3MZ6Ok4Kst8rffh2DN02/n6+tg7zHkI - LbeREZrANj/85rcffeqVx3/82oc++bkH3//ue24/aYCIkm5Dro33xi/ahfbiekP49n1xV57RUV3t - W1Rbet0uf238sn/a2p9cX3jH69b3qXuPUk/UBlF7wYp2308oaWHhVueU0mQWmWH27a9+7RtffeL8 - pSsPfOzDv/zZTx2cOL4O1SZm2H3n7kygICm/U1PaDnxYQ01i3qS+DFD2uBD/lYbJFJ/Fqlzrl6a3 - EnYgGSFf5JUlbGzUNA2/mOREKwtz++6dbS/3g+ha52MP9NSj7F6TzUvPffnr3/zjv/rql7755Hef - fdWO33Lqkm3ndN8dN7379lPrwJRFjAKi3KXAAKykOBRUl1EaVsjFgcaBl6u3f4I03NFNuPOqa4s0 - 5lNZQnvAnp1EquWD9aJq31fbQmSw+dqlc089+eQXHn7i7vc99OH73w4DNLkjVZUprO+4CEU2chFr - i1Owa2A+NIzpyFa3v+dzox7CmbVm1a0UP/TidTuEQedXWl5EKvTBSvaS8q4mN7Wx+rtyxIKJe+Ft - r119+qmnHnnkkbuu820f/NSMe7mYuDvyTegV61ZBBQwbZOAdVbLLgerUxrCz0/ZT29cH6L5rY1hD - +WtAKdVr1etWAdBgqfB7O8qyHNXupli0/mAxXu4JScGp+lpPTkW9cxGl7eb82Ve/863HX7rMD372 - d266eys3rnLi4Zy5yABTg5aiSm19rcdV5MtUrrQJUR3s60xqH8swle1LLz73+DefeOz7lz/xq7/9 - 7u1dghgrdjFVj/kysJ6j74rcBDBoQvEZKnfE4iieb2q2PupVtz1atc3YuEhFXpPD69e++73vfemb - T990y+0ffOddandQmokiQmQQcQn5G9bujdHSxs+EHPV3+fz5Lz/y11/88sNf/8Y3n37uxSvX5+nE - OTv2thvueO8D979vatmAqxBdGRnDALVnn3/h6RdefvHF5y9cPEuWTt9w8l333vuOu95+8x1v14O1 - k4z1rrWznpULgC5euHD+zAsXz5+7eOXatc0cV8corozjLGZqBqxXqzvvuO3d73qnP7xXr0oARHH9 - 2jNPPfnMhYt26sZb77v/7ltujwYoxBBipf0KQqBAhKuX5zPnX/3xS89osNtP33rfHfeePDigNwXX - n7AZGFkdutitOzg38BHrVrojeqhZLFTmi+fPPvm9J7763Wc++Cufu/3+63rr8bJ58kGpEiAC5aQQ - UOjmuae++fk/e+TKfOrWuz78mV/79KlTWK/Vsx8WKLNhXcbTp2zIjnKmIPISffbKyy99+a+/euLk - LbfccsMsv0IBMY8632/5xdCuUHibJLA4xwxfRm7WRy+MXfyi/bvQfh6q2wXvy5JlJ7UoTDbbaxcv - vfbscz/EbXdvtwkaC4Xv5P7cIw2yaWHYqij6jp5o1txIpXKC6zog0Blpe0UOtiscnxq5tNanAQKj - mkogCw9q6JyJrMxqIPmZAVPOgFRdWhc5BM0JK3Xf8Ho+R6Oiw0aDTNuaWeCqtEthAtOa6s0DvYdw - S+ctRs3qO0yMAIUkYLNJljhqPO6WvfpCz7+TNptLF849/aMfnrj5xiQp96HV9AfXltUwwmEy1lYM - QOcLUbBoFEK7mfZyS5bYqlTlQM/5Po0WMRHl+FM+A+jDfDrpsaPO4EEoCTAtWv7GM6jiW85tVAs3 - VTprgxhdhZp+ftkLugloA3KMjhoGz2ghGPoAwQxq7tg3QByj45gi+zdWn0cY2KiaINHuJHU1sUfG - W+WyBMBTkGaYWHZa71S3ZqDk5ZAVZEVKrHpgBdCpgtn7Ns02iDa53E91Ia8DqOvnllQmIti8uf7i - 889e5VPXNxvjwAyBks5Pfv/7/+O//JdXzl55/0c/cM/999wd713ffBCm2AhLv7lGCXC4njWRVS2X - U016vZ06tNeRreuKUZbhPepHwSVimmlzeO21M2eef+6Zs2fPJhUgFK2tOnEs6fq9sBrybisO4jDA - ONebzr4rVjPLVMQ2IAcxOLXs0C4TDqdFnIsLUy+YL2tMUv69hMcXbM7bvNff+/OVdjZvQgNhBhmM - YVPvqdGhJRW5OXq23vykDdvYzIwUS1qcF8zNEB2p6Uw/y9YFK+2TXns0gIkbCzdzupZoOjgxTaVT - YsQImWGCdP3My8//+EdPPfXUi9cP0zTlXaAGFPOFqMbIIZcAXJ40MlEx1DHTAuUa+WmZsCrulnu6 - Jelh17GWemnsNn8sGd9s+IkACGz+yiMP/69/+MU/+uJ3/tl/JTceP7j7bQ+AoJowb8Pkrtvc6JJ3 - MVa92ivNv8HOqguKxuB3H1kS2OU8613UPFmGG3aJdPuQmY+1L92bKg8zG6fW0bVhsn3PQ4bOkn5U - YQnYJp0ig9iubf764S/9z//T//LiK6/+3n/xT+9537vftr5jxSvA1RHOPjzogZd12NpoBNiWUdAi - yNIyu/I6y2idNCw0aulTi0TWui+SWxYpAOTQjCwUNACMILbaA4ZVNaBLOteJGQ5xYqcPVnQufle7 - BwbO5CDXljakWdWu21rXzHGRyqIJIshgFZiB3Ktesd08+9T3/uBf/6s/ffTJF6/S7e944MQtd6xO - nr588fzVy5fSPJ+44QCZBVOmG7nnAArWoVfD4ww362aIQsuXO8WsMoiiu7QW0d/gVrlvCXABrJGU - YucvojEXNzuYs8C2vDuL1d7U8YFmQc5lx3KYDilkc+HCuaeffubs+UuH2xlAXixe9llik5vSbrHB - 83qqn4V7Rk4oidEWudEK80EJpqEiqTijKWmMCoMvrxODWN4dRbzZIZrWLtSqAD58qv2ZiYGop36F - MVpOdQKoQVU2m4tnX3vh+efDHa9dvLbpUxRX2l2gZMXnpKABms44GApfMwyMTWEKmTFvNmzbcEAB - sY906jGoKRYJ+WTj38q9ZlRCcnbWKMN+2LYADEpehLpqTktUdNdDPWWVfWj9MKz/pSdDwxiGiyOX - seLtGx0kaHtSh4d9XchMJW0PL5w788o5u3Dx4vXtrFghj0azFcZIrZQfWeJIVQNz69/KuNrg9rLi - PfMaQEUgyJXLF19+6aWnnzl39do1UVWFseSo/xhgAfUIm1FPs0LT5XWnRO3AFipUMx67mzZxnR7l - gyZZhz6ZxuY7Mu0aqJKvH3G9+ezZs888//zZ8+evbzaqapJiCBSIOLZFrhl0qIpMZgYuI+7P3dZ5 - qpZXC2wLm1955aX/6//+w7/84hdffuW1977v/bfceixNN165euXS1UtzggYAG8c0Q2w8zoB5e3jl - 8pmzlx7+yte+9vgT3/nOt59/7hmV7R233vyZTzz0iY8++Esf/Ogt73hvPH0wJ8SYiWG35NUHKa/s - mTNnvvXooz988vsvvXr20tXrFFcU1xRXh9ukaiGEE8ePf/yhX77n7rvWMVBwSNcwMDXLSftMttev - Xnzssa9+8VtP6slbPvVbv3vrQ7cdrIkBMwEINNVdSEywdPG1F5749ncf/vo34g03fuyDH7jtxttO - Hjvo7bNv2AZo79F4UJlv8frtbFkFSyr7aMhD3d6xGiXnPrT1usPBTNLhxQuvvvzSC+fPX7h2mBzX - A1RNgrEXy1WUlBYZm03nw+9/6xv/w3//3529evJDn/hH77j/Xfe+4/S0Ck1qy6vPGX1hBiVPLlJy - IDh2ea7ja1euPPf0cydPbc6fuyhqSYWJmbunnwa7AAAgAElEQVRKmJ0hx7lscdSqPhsuiGZ4VCGr - 7shdWtfBuYHyKNWTU+k3u7S/aH9z7a1V3fay5XBdzcTIDMzGZCSz2UwhgWYzVYGfrcVyEGwTewwi - CtIYGDAzTSkEhhm22+20ohChECARvMhqMGBOQgFMZWu7ou3a5ZcvnH/ypSvTLe94zztvDBGRAIMo - CmXzWl6qMPcSYcCgJsJA8WTNKarVjJR4tMUqSDRFBfsBRkRk5imCyFSZWFTTPE+rFTP77wCCR1OM - G8aDag1gbvGkarYV4RCmLuMKAFWIIEzOKwlQpMN53k4Ha08hJkBSUtFVCEwwEQQ1TYebTVytKIKA - WQCdc9YW105urp27dOa5V17lY7edvPm+07eeXDEAJAWb1zVSmAazQBbY44ay62JjzoJkxoGkGNU6 - MZtQTlGUkwPluQMgUEoSPdtjJVHkUnRNt+96GWfvLWG8gmaRtQUYIc1KTI4/NS5BFWbgYKYgZRfT - O2lFTGFZ5Us1XD8f9whKuk28msAwCFMIAMNUZlLlMAGTk9CARIAXgFJThFKOR7p6V2ZJJIZggIhE - UHbU8sXNLIIyBhCIyQARJNlMKwksANTULJQyRyZJTCIZxSLSJElEHEIJcDezeTY1XgWXTRWWz37m - kCoJd9SgCUHBwln2mqwzkJqBVJi8qgwDPPsGsVKeWbfAlpgFmsDRd4ffHkTDvNlqDDEwBUCTMLYU - JxEztRijKFQxud+CzSCFBVgEkZZhkMMf4tIpAYGxihzYDLqVJCAFRBJJOrx2/fzZs9fOXbt08eKl - i1dULYaYjS1qm41M0zoEzFuNkXPRWn+LKxgNKimwApMkA3sxVqjN2znF1YHv7qRKZhw6xlw/2ZFf - QPBslFn0FGVYIJhqSvM8J16vsoSoojYbJpqc/mQ8YEgo56sskojVRJQCmKQVECgACMDa/VcVee0O - 5ykY1qQC33yuqjdTogA6BkwqSsTMIJiKbg+362kiLyBMmnPnFieSLHiIcBDXckuSNIfVKvesJgSA - SUVRMkOrSkpXVysmOyZzdLd2wsxIhrUJOyUQ2caoAKWkzBFkaZ4jC1w/BafwgpgIUTUAYHaznwMt - eSYQU2ZjEEyEKCEG60hO/k/UVAAQBwSuVKxtGS5fHLllO1/fvPDa5ZfOX73tzntuufn0yWPTPKcJ - OnmOS9kCEpBcjZqUUoLGDLEkCAHEWE9Vc04gys7YCABENFp1gLcqt6lKaAaFquoXC8qYAFK1NKdp - ihQYgMxisDgt5AHTLHhmVQJ5qjSAuZhEFRRdsWEK0exv0p+tFZxeevmZ559/Vrd68cK1ixeumiEE - 8BQpTLAES7Do5YBUTU0BipHb5uimIioh5FLCIgZQH4KqYmxDqjBRMbMwRfiqiDAHIjaYiphiKjYb - KgiDaksERDVJWk2RHIeJTTDPmCZnOUbdYasBDgAowTTJAQEKS5jNeCKaYCaUK1BCks0yx1Vm6TIX - i59Wqq9kUCM//haYqolNFgsXTbAZFBMkIUwHqwDBZnP5wqWrl65sr24Or20uX7p8/uLFm++8LQsI - 2xlR4oQSBu7Kt4CyrKaIwXMYCvQQBnBUQIRrxSynvbnumW1FjDm6F5E4jmZuLN1hBLNAgEDO2EVk - DgAV2cUMh9cxBYsEGFdkckZTfGUVqhrqOU1NQheJAtfviBSFYKaYnKCTKHm9NnOlITGQZg3M8JKQ - xAESCEgzJCGG7flzz529dvaa3XTXu++9a50ElD3FbaF2hyl0hhCmaADNs14498LTT33tq19J8W2f - /Nxv/5f/9b84ffPNBwfHDijddfrg2PGpGJa52HsSXOvBEygWTU9GKgEYxGYiKRBcqoGaqrDLfyDt - sFcN82azmuIUCKLkLwIjqVriafJNLqbEgStNMyKCKplqiG4y0jltCJhiJCIR2m7n1SoQQVIKcSKQ - qIaAHFmvBkMua2EQVfKQYYOqwKocAlHTkgcGKkibCI2REePVw8Or1w/N6W3xxEDZqgYYGAqBcjQz - E+O+PGcVT2TepHleHztWEk8ClM/bCjJTUyWiyL06lT2rYtHui1dRlmRgZbA2mR8GBWbFrKCAVVO2 - lWOMC5sAPDpW1I8zrg93J0xKIgCmwNvtxkAH62N1vqJQAweoc22KoBmwVeAJZmpJbaZQ9B1OpTzH - TqtXaqrZpcLnZ6rGkk8LYEmqimltXCFogEETrl5+9oWXr9gxO3bLjbfcduo4h1XRLLnezZdVcsIW - MKuqJCFmImIiYlYzSRJi9PeLKEAhkIipaYyOliZVBs0AtMPNVtZhyuGABlgSJELohqmmQubWesoa - 6pxZPkkyozhN2XJLEIWZRQ9JMsCMy9mr6jY8ILHoQvTw8HrkaVoFj1SUWcIUEEDEqomMGswoIM2S - 5hgQSAgAEcKU5WhJJnMMk4t2CpJiLbXGMDWL/Oi9tqnocytglDiH2YmaGdjpn0HE/HMSCcyByVTJ - NXEwx+QYEGMIMW62KYlGhoqqifsG5nFk9C6ZnPO/YMAsMLUpIrAaBd8FpMaZr/F2Kxyn6LpuM9MU - 85mQRU3ATBYZUFWZVYWZwtQHTuVpJhGGljz4yuTaTCIOzBwD82q1G1+lKc0pTdPKZW8RTSmJyPrg - IIQAYLPZgOhgvTZAxLbzdppWoUSpkSXw1q6cf+nlF77x+LeuXg8f+ein//m/+G/uvvseWZ88pPWp - 228/OAZNW9A1YA1MhmiYc3gU9LUzZ77w8Jf+4P/4f773w2fOXrjGRDCZN9fPPP/sK8/+6Ctf+Pyt - d9//n/3z//Zjn/uV7py3mESzoxvw2tnXHn/sG5//4z86c/aCUjxMqhQprrZJzIiZY4xXr17++EMf - u+vO206dON6552CeZzOa1ms1IxNmffaZH3zhL7/w8rUQTr/r/e98z6k7T4c4W64AObGpaSIykELT - C89850//vz/+tw9/4/4HP/MrD/0qOIK2AJCTJr1BU9XtdhtjjDECyAuxXg/qDoOlBI7OCUUwq8Up - K+xFjExAFtwNqqp1i7O7ZxqOhkBFYZ3JPLsKiGCBLJAQjIiJVy4am6Y0b0MMhCw85OS/+fRkabtJ - h1fnw2vXrvGFS1dePXfh1tuOnzp9rJgEFArE4L5KzollO8cQmCOMuhTIro42AkWKkaYYpjhNSqKq - 7OYO1aSiHC22uEXZbo8xI0QYQRRQRM463OZuBgVUlZgI7DoEn3qaE3FwWldIdgb7vN2CaLVauZbJ - i96ZmaTEITB3fPQX7W9l+zklTFhcIcqnTpiZiEQGsxLNxMJMzFOaIQai4MfFlMgUHDBNAIXejsKc - zf0hBCYyJEmJo/oBKktezGJKplM+SyssnX/phUce/9Eff+V7N7/rQfzGr77nntvWx7OBJfcvAp0R - Q/XaUIDMIhVvCBHlkElINTkaTDAn0YmVCRw0Kfuxm7NLSmbhXhMyuJ+hZiu06pxSjDELo+bDd3Nr - FzBlJiKzGTiIgUxWREizKVOYAIDz7iZNkRMoTcEgM2DGwRC0eFeyOqECEWLIk0t+IA+R/ZxvCpPz - Z1/71vce+7d/9oUbb3/P+z76ax/52CdvPAUGiCtjV1JhaCSEwByCmiksEkxKujYCsxMjz66lrqHE - ZoMQ4QzTj2yW67OqKLNHwLCfCjtNcDVOZSOBSDJYiFzsw+zSRy7dCQDMoFJPCWZmSQlExKpqrEzG - UCRgBijk0yFBQKo5miKSsiWiCOMkgBHHtZmpKgco5qx6JoUXvVKoJQoaSMjYkpJ5xSGFe1SakWX1 - FhFCqXaQE0Y5sTU1MlMFBYjN2zlOkxHmrYQYicEIRAKIOw+qYd5aDBKDBiIBiUFmREOM2ZVIVFQt - 5si+zFHYvQWKP6z7dzSXcfcjthma1ISDCaatwGoJJYJBITN0hk0aoyHmXET5pOROYYHACtqIBItE - oIA5zcm2IazgSKIlRZZpIHZrjK+rKNgS4ToiAWvvWYBkIAYpSOeYi8kV0yQpQdU0mYnX7CQKRPfe - c89/8vv/8bWzV2+/7+133nnX8eM3AJS22xiIaBViMIIqOBTrD2CaPATZBUxl15QGpiAJm1mnNcBK - kUEkChGvH0vNAySDqvxXznXFec7Q3+DkjnzquTKamYmpgQQq8zaAmCjmjYhN2sYpV6C+vr0OkXU8 - HvzI4slsrcTdERlFMzUVprAq71MKDFBcuxKYupSWpjKntJoCmIEgqjDjFQFGFIJr0S2AcplAgE2h - YuQ++LBQXOpExAwcAIIkqG5iLFpPDtlFHyACRzUSs+OoDhu0VVxVMUvHXXtCgY22QAgU/TTMXhfQ - sN0YM4UViElN1ZJZVvlRtt0oB2XSTGudF3CNF8i4b4WHZa2664UM2U/EoK7K8dVMSdMh6cwBSIfP - Pfv0n3/lm19+4gcPffKzD330wQ88cD/nSgdZmQrIKmKKgSgaohpUSu4TzmQ5huYJATUlz65nDGIi - g+9zBaio1MxUiAr6JoEZIvuZVA1ABAJzbF6gHGCSkqQ0A7Y+WGdCaplUAiaiKkpgCmUxm7ULBc+p - zYzcm9Mw4cMPvu9359MPnIkf+8zn7rn7PiakZKzbKZpHuuToMnjZ5pzJUNRUlZmp04wyc1mrPn4l - j6fBVkQtG1eNaE4SgvsB5ZLxro+uWSlUzUSZ3Ls5b9BZXFMfN9vElCZe+1uYm0NPPSsYCktSCAQs - ABMFFbCBGFMenamKkjBR4MAUmaGiCMHNJBCkZK6PtnnLkUEWEBX5MOCIyVw8LxVAArboUiEbCEwH - 69WHP/Ch3/8Pf+/s5Svv+9Qvnzx1A09RAMweDqnADCT383e3zayNKXuERRETaGsAZa/Dg6xYCOXl - ppESkAJFACIQzRyXFMwGnaGACdgQo3Hmgo7QNSlg0lk4ECHGLOJlhk2auaFyPrF43SRTKw7y2Zu8 - zp+cqyI4iFICyCKb511hQ0qAGU8uSmhKiBOYLHu0cE41S0CaH3/s65//4uOvXNJP/8Z/cPstH19H - Hy8MSiUT1FYxb66fmoRIwBElqGB77fKlsy+9/NL1Ew/c+Pb3fPiDH//ADWusCWtgbQiWNM0cIjgW - P/0ZYFgUTBbJbapwY2U9ghExFT2jGxLnbQQpufpwRVUjQOAw+anMTIO7jStBAxOgCSTExGYgM/cN - LGdjAsxlJMymCWTMBDJTwGJkJooGU7NoBrO03WA9UWAVddJUtiwcVdVgagwi0+1hokAUgnGwkszX - Y3LIkqnAbDo4tjo48L0MKNxg1iV8UkApqPkWL28ptDVJIp1XkQIThZA9+JhgrEaKnL/VaQJ5rJ0K - TI1ZKSQEx+4SbuUvLKnwnS+41I2k2HDR+RKAZJCEabKEjRrHkugyUww2kOg8z4fr1cQUCUQUzFR0 - JjZG0+iYmmsc3K13gsaQrczBNJgywTgIR0/oYEjME0reIh900gSRCCspjMxJZlJstjgRbEXEzOa2 - cAPIHS/Nrl199kdP/sG/+cMrOHHb/R/+jd/63RtOHhdDSogEYsh2C7figswsSWILgNt1Cy02mJmo - krpgn7e1ukMsc+EWRIQkmBUTA5YQmEOcEZIdchImQaiBRVBFMCCCwKBei2QmCUQUIhNZ5RE1E5Hb - CA3mdTKdX4moCkzjtFaQJ6l0hXEIznIMSaAUOGYX+WC+szabeRWn7GUPJpjMh2QzUUwis1j22vUc - 9jmnioG4Fu2sfzuX2yzKNsuVe46renotZJro5KBRviQSKboRpe7ArNY1BbwO09YgHDifClwayEK/ - wlQs02WDNXdyUVESimZgJiYzTUIE5mRgNbKZOIHWIYRkrAkhAGSZL5nBNYIEIkoirDNMSx1nM5gY - qSJwjRflkhcLMM+6ByOybAjywoadEhAuCCGEYGYq4gw6hKwXFpGUEoiCkx01NQ0hEJEq5pRiYGYB - Nteuvfrqqy+/9MrZ6fid993/wQfe++A77r1DD3AF2AAAQphhG/c9EeIJK3eZ0sPrjz326J9/4U8f - /vJf3fH2+z7xyY+/9z3vXU9RtptLZ19+6ruPnXn+hz9+8cx9Dz8cb/ul9z9w6x4fx6q4L77Pm+32 - 0qWLZ1979dZbb//IQ59cHTuBsEoI22wCiUT48Ac/cMMNN0zTyk171IGk+EKBIh0cn246fWy9Wr/4 - 1Cs/+P6LP/7xs3ffuj52sCU6UKwFAJgpwhS2sWsXXnnu+99+4vFXX718H99y8633rtYHwCEAYNWn - tTiqEZGD18xc4xGKAkRVY85N7NQPJiWXBtNmNoIFBsO88Jiq5YBej9ASAYJSMXp277RCUKpcwFDG - DJMkOkuxJAeKLg9YC8jrFVjTFN79jrv/yT/+h69cv/nW+z5z2x1vP3biJDPMA2tUkER5bTy5ekhT - ckuJ6ZZ47XqkPAJNONyoyno6UIGIUQgUAglh9lzFNBEJ2wxKgPkhigD1NYmuo7U5EfsZU9yPLKmA - mJjUimTLwSkuM8zSdmsxOzuwqjq55hBcp+RKWyorsutE+Iv2t7O91V63e0JNnbeUmAeFqiASR1AQ - ImUmpigJKuBIhKCmIiklDcoc1kwTEQPibDdEcu/K1So7d6jCQy4NYhaNwExiIaU5uoOiGTSdefn5 - rzzy5f/93/zpPR957V333nnXLcdvOjiuphyjsXuuJpjAjIpvh7g9JbgJO0GS6kpDoHp8MMCgKnOa - wRM4BBf40ga8AgWmejwmt+1P06SlxRhBlOY5hABm881TPG37vApmNqeUTNfHYlLVlBADRJNopKnW - ITeFJsmqkMA2J5UEEtDkKlcTT5ruihJarVcCbAVJsIoIHMkUYlCFpnOvvfrEY4/96//tX9373k/i - 4I77H3jw5KkD18gRA8lgXiNbAxkTMQURkv+fvTd9jiPJ7gR/z90jIg/cQOI+SIL3VWSxWFVknayz - q69prWZHM7IdM9nItB92Nf/Pftix3bWVdiTT1dPd1XUXWVW8bxIACQIg7juBRALIKyLc/e0HjySp - 1ko2H7S2s2YKo5FppDGRGYf7e7/rGSsJMDBamzBKpdNSkk5KEGGZJVtYY6KK9ANwyuk7BdhaLUk4 - OBsgSLgxNs9Br2cvHHLLDAYbw7AQitmQgCBhXDtNCXAOqQRZlViQ2YBiY5SUUghtNIiVs05oJg3y - nSHIOrTCGpAkYsukqZ4loQ1LZuELRDGDSXkGDLaCHDwuYGEMLFjUdafGWkmSiNhqIYggGYIoGdUl - CdIVL0lkOtW/owHHMMyCtOFaGGWkIkIYhoEQyhee9AgxoK0FKM2EMNZgowQLoVhCW8QxQA66FdZC - W2u0AUlPSpISwoJjwBJ5BM8NVXu2j1mbgA6QEpZgNJgJDOHHWrEH0AtPu9XQNTBYBlyX9sLxBcJB - txDwLKSJbcrJFgkm1pZ04GfZ0acGyiHtIEghWRgLcjCzhTFaIiLpNNBJqWwcE2nA2ighBAkLAJbY - kjWwhilRBwKQQimhDh86NPQ//2mlUOGM6to7ILM+oHUcKQgo3/dV7HS+9XbAsjXWwmpRjzsWUloy - xJASHCOMQul5JKVUAkxsoGPjB+pF3uF398N/sD/y8797wQJal//LOldEIAvU4jjr+RDkCVhGaBCG - MXm+ADF0NQxJ6yDlwyrA4rnQg+HGwiCRgQspneyHHVrDQvkp2AgMIaABZigAVlujWfpEChDWxkwm - sdYK5QUeDGB1Xf4pAWEsDLMSxEYTG+m7EcBktBUCygMAExsdh570QIIh3JQl91AIARIpAw0I4SXY - UoLn6RjGQEkQhPAMDFlS0rUaVigJIhNTtRb5XiB9CCEsG2tiITyC4PpkIq1jX1giS67jds2FSHzK - zzz2XO8/iZ5LgtlaV0KBYYyVMhlVoo2JwxA2TPuSdTg3N/3NxW//5vPvt2vc1tZ2+NCwL13wTJzY - wxD7wnpSCCFBCvW6HQRBiIwmJk88w72dxMBKEMEICOFCQCzr2HgiUY8KGLAVDli1bCxLcgFzLKCN - dXkcSqjnQKuQki3iOKqFIcB+4IMsW2ZYctQpW6u11lop/xnOygSSMInBzYEnz1Re9RtaMiTevfDG - /tPNq7vtzT19Xa2QEroak6l5UsA5Yh3qkeCg9ebVsDbGI0fqWICEICHEs9QKWQcirGVyLXw9i1Lr - 2FgrlJSeR057QiSlEEI9+2hOlZGUrVobrZWQ0vMSD45T/UipZLpWK0liPy3AcK7h5Fta5uQTE9eB - GWNh2LBh5Xkk/DjigAgKlGCssDAxG7LCg5TCV5KiWDtcgwjWINKaUp4BWa1TgiCtu/mcgN6hV6re - dYMBji1FBOm+vAYsQ0rpNza9ef7NUwdeqVlwR0p0Z6VUxgLGeJ6ESka8Msgw6ohQcnWJYDUsGQEH - qIFhCEIIYQ1QN8tYB2Myk5OHgEzMsUYgkwfKnV/WrC2TkkJCyhfXOiIpyQprWRsNFSvlKd8N5xJw - 0KBTQLIlFonHWALwAAvECf8p64Mb67e0hNPeMYyBrlkoq1IGElKADUxsiAW5XAkL1sTE8GwiQqbk - CQQhjO7euf3nf/aXq9ucatn73jtnU6oumATXB28ijqGrlayQyul/3CYqZRRFlUqlHKEj29jc0ZlK - J32cZUgJyUbHkaP03RBvCOWuiWHrRJoJ8PMCi80gKSXYwF0Ja6wxLK2FiWLrp/1nT5AAfM/JyQxA - 1m2IBlJKSMG2RtJCSOGedmue2W7q1waWrbYRW53yfUUCie5eer5iwCb+SgtrjI6Fp4hErI2vRBIr - wAx2PDprCzC7xjOOqiSVCoJEvZwghoAkYmutgbXpTDqVToEcDQOSSRdRx3KgARY+2DKzU/HqOvRF - gDHaRqFPHoQQ/rPpDwSShslYFslldhwOAxY2hjUgn0lpEBJxpwOzLWCEUMQEAwkYAe1qSsRAqOCJ - ev9jLaw2nlTa2FhrXwau2nG8mXDdvzZhraaUkNIDJJEAx8ZoJYUgVcduLFsjpLAEzQidYjRppSCZ - FVtBICGNkC5uU7CW5HECdiU7mNFGOkN3smIkin5tUKlEqayEl5wJ46q+ehpLrVqefTr1F//Xn5Wo - 6eSb2+ff+UgIWEYcgyQrgo5jAMrzlOdZC6O1w22lA+0cuJhg1i6gzd1Xwul+iUgImUhNQSRgNYwx - nmOVyFdShYAxZDQLYohEfu1uGOlsXkQEr04jWgDGGsDR6C/kLD0bGOU6QmPBlqQkWDbMRhsdsbVS - KCZl+LkF2/c8QMGCtSFSUICBMQxiJyiO4oggPRLQTEpKQVFYZY6IhLZGW3aJZkq6FdI4UTq9cG+9 - CBglrh0kZyrZrQjJSmMsU6LXcc5MkvJ54ciwnKRViBfJk+SfDcgAxsQ1awwJKaQCCWvhCylIJO00 - 7LONhpM9wUG4xlihWZEHqUBEVrO1xt3P7Lg1tiAopYyGjjWIpIRwHTQjgY2cncUYWJZSCOl88sxg - Y8loIzxZZ8odiavrHIQ7NYki/vmd/Gw7YU4U9FJaa6011rLneUpIt+loraMwSmfSUin3hQB4ngIQ - axuGkUj5kAy2tcru9vZOqRp1d7V1dPX5fspxZR4QAwxISqLDtDZGgFyBHofbW4UrV2/cuPNgp1z7 - xfk3fvyTX5w/dy5QHsc6LG383V/8719++ldTl+9fvnJFdR0ZPvBTfoF04PoiVb8h6pS9szoq+cqZ - M//Tf/zTXHcfeamaZm1BQkjpWbbpwGtqSCWYhGVRx+2d8t29gFLIpvft3XvwwMKNsdXFubmJ8Sdn - Tww2pZLoGOsMS4JgGbHeKRaXFpfnZhfSmb7unoHO7mY/AMj8w1ko/9hBREopJ3ICIIVwbLrR2ljr - JoIBgCSybK0lIYWABKqhBWtfUsqXUgiCNdpYBoQkUgBbYwDLwuPfeXwcNuB+evIIMcEIaII1xmoD - Y2AFIElK51hkh/okxXAdY4Af7D905H/844FNkzONh3qHUunA7T6u9GEYba1kJ2cxVmjylbI2slZL - 33N4dAJWWBPXqlYbX3mxtsYYJiKhwODY9dQQShBB1wdiKiCQCnGtXvMJCIoiFp6QQlgdkbAg6ChU - nhIy0HU20ik22BhPkNFxGMXCTzlC15rkbCkpLbN2qkGntzWGmT3P+xfo9v8Xxz8vdPuMMPwH/2Ct - YSElpBRSKFAshFXKghCGtVKpKgXqZk0hpPUC8gIZh3a7uN3Q0OT76hkO5PpmF7tjLDPI91MEbWHD - WlUpCBVY19cpD8Sw2v1SZDxF0lMyCPx0WiohBFNiowZMLF2lTglp4+ASIWU9/FFDwVFGBhAMaZM4 - E+mJtErFKtlhlFIIVH3Ou3BchzFxHGspZRAEQghOnHZu5XU6rL+fjWAtMwuH5zI7nNcTYFglWHjS - aCul8pQHQhQijKJ01lcSwvNcpBsI5HmsdaVcCjISwtcansuaiFkJI4SGkFFsI/efEirbioT0M3FY - q1XKJooC329qavaDFOr7ls9Jq64EKcE6rsVhGMWWoYxFJaxk/ZSnhLQCMGBF9VEbzqYNJWQmAAGs - oxCx7xMJTyrpzop9IXZDJBuzO2FOACqeyTlBgee5nc1YA8tCuilLWmvEEXtW+YECM1nNAAsPQsiU - r7WOdBx4SghLrG2sJXkIPAgYratRLUinlFAuhyg2uhKVhRJKkC98qchzomMplEANMFBEiHXoWSsg - IKSSAHlW2hghWUjPFxCWLBktBAukSEkbQcfGE0KQ881RrOMwNCkvIxM/LQeSSUjWlkhmGxqFILYs - pQRRwmE7UYVhJs0CqbSnQEDoeiTpQSgIgrWWYSCEVFJIJZxcRCrYEGEFZKzKWpmKkYSbOdcrGRdd - L0ACUkEYwQYck7XSQyyScH4JCEHJ7GUhjEGsoJJGArAhIYKIAamZrfNcIilag8DXUjsxmSCQAlgC - ko0lyc4mo2OwC0yQPuDDxI45iSPLJJRTYimQTDHpeuKPBVtiS7BgtiBDrsFXHpSfTTV2N6KFjAIF - niEoSJVKIa7qqCaDBldVG3bBGVb6gqsQ9TUAACAASURBVKkut7EAOLKxVtZj9hhKoiGTthIRtDVW - sZCgVKAgoQEda1/Jupv3H90Un4k7gRfT5hjMoj7nT5DL2wBBqSCto0iYSPhpYSAJmWyWCaENq9VS - OhVkKCWdvM1KWAsKQDbWsVXwoQggkkrAEEds2bCnlNNGQBvAQhEDFQtdDZtTXiCFpCDJXhCslGel - YCA2FuBACtgYbJ2/mq2MYiukVJ4QALkBxHWlqu8pJunAfaVIkgKDLVkhDEOxyyCJjWWWysIDCSbE - FtKYQJK0vnSRGwQLRBoGvgeGtNChtpoFhFIkhJ8KVAL3siDLgqUgHSOObRAISSAllDBuCDAYcYww - itIZIslRHAvhUz1g1hg2OvI94dBRNxDduiQtguc9q5OhlFKZDFgBMYex0TEJ8tJplc5IPyUEjIZl - o8hKQRAME+moEkehMZYhhYSnAANtjWYrlPBIuofFOr+t9CRpzRFDW3iSUhAESRqCSHiAtUZCKwmr - Y6MtWw5UAOkBxpoaKPZkyjJZjdAhziJROpAQnu8LKQAWJOI4ttb4np9YUoh8T3pKUb111jqBbjVD - EwxY1NuM55Bi8sDEXnO6K5Wjhh6Rdco5BIEvjUZchjQgFcUhK6WUc1u7IAIiITzyhKNfQYLIWFOr - 1dx59jwFhrXWGCOlBDiONVmrBJHnCSlIkKjjsEJ6xlrLVqkXYjnrhxSQnkhi52BgdGw5YkjfXVpO - pxUxWYdDgRwJCrJSylhHACnPF0SxtWGopecHymcobeLYIPCdSRhxZdvzGJkUEZNUxLJS055UgQ/p - whd0AuKlpFc11khKpwJwDbYGISx7FolszoI1a8le8jWkEIINjIaO4XlAkh0spdfS2hpIEHQDyhmn - G4QfOCTLWhjNhsmhRYIttIYnYS10DN9zXbeTDTtNsHQTZ3UMrWEA5UMIYSxUwjGRlERcR07hwGBF - vvRYaINaNfSCwKnHSDr9mIIQgoQv3cMfEWQYGWhSniSlJJG1VhEs6Wo1DlTK85wNgcjdw2BmW63F - DCWkZEB6kAo2ipMPz4ag6k0RKcvwPOk5cyQrwSJQYFjDpYhtICjh6BINWqxrtVq1VhNG15MzHcdA - kusq1SBAVjUrUUNc4UjH1pKSnieU8oUKGIi1iWMNQAKegg8oBsgoX0CQ1aZcqaV9TwUpF0rkEwEs - iBIrwjNJqYGxcUoRWctxSGCQSKXSEEqQhEpWfW1g3RBJ6fQ7QkiZbNfSgwGMIZcZ4KB1w5bhKely - T4wBAyTg+cKXnisCGDbZ5pmsQWwtE/ueRzaGMNlMoEkawPO9OirFOo6stX6QtpYsC8/h5qyzGZ+F - Z0nVNBtFiWuBGcYQwZNS+Kq0s1Pa2QW64fvC3V0iSRMRgLaIDKRHPklKUviTBB5YkETgKSY/qlXJ - apJSpdJgxLERvuckGa6vFgyyVlA9hJ+Y6ulDDlRhhtaxUDGRkaLeWxO0RlXAT0HC7dEmkTUypCJP - pKw2QlA6CGphZBUFgWKrQUoQ4pgB0dDQqOo6kGolJMSZjM82tlYLKdkYsBGKwIZJsoAGpJZ1bMGF - RjExM2BJUuJhNsSGoJz+MzaAgO97HgvEEcIaPA9CJquiQqbBVxKwkdVxBKtl4Hmu3GACpwOvKZMK - lNwOdbUWagsGhEAqBcHEbFOpAAkvSEJASumotTiKnfHWyUQcZCeEcBI5Iggi+xy2FC4VBlIKBY8I - ZMAxWEaxMJ5QKuWRgdbQJibECoogVSKdjWJjSPqeFHUTiFKee0Rr1RoD6UwGqFOlgLGoVau+pzyl - 4GQ31gaBp6QPa7TWmpSzFBgGWy2VFajXlQkcBGaK41gFQkgvSKuopo1FOvDrcJFlGzP7ylPS85zy - yOhIwriYGIKwnKSDizpNXz8d/MImSu4kSQasgbWQKglo4GRwirU2YT0FQHAccoL2PnsvrgtvYZEk - Dzh/hbCWY43At2wMhTUoBSUEUDMAsyed0t7EtciTvu8HAgg1oggpHySkhAKgBARJYmVNZOJIeb4n - IYViaLBhSB3FEkr4RARia7SRQkjpTGKajdbWkJcWAiwSGYtDXi1RkMB79YhuEkyiDtn+7kFSsLVO - DqWUYoa1lghCSh3HYGSyGSEkW2jj3l5Y66YPiEwmk+TqaeNLFaTS5KVqEKE1XuApQqwRM+DBgwtF - lIAQQgrpqlGN3c352Zn790fyW7WjJ15558IHJ0+dBFgKUik/rZo//ug9Cte/vXZ/euZp26Pxre2f - NrYh/feCB2zCeL3w7YQQUgqjdXNT4/C+fTLIRBYUWRmkpSQmaA0lQAStHTFoSEkhAGaRjNB+/maD - Q3uOHl5L+VeX5ifHRnOlT95qbWom8SwijIEYiBCHSwsrs3OrhcLuoVf3DA/vbW6uZ4KJF6unf+pw - rmJZ9+A/S7wVUopEGW3ZMpgTiL8et618KSF9CXBsLUtBUgoi6aT2RHDgQshcqbL0RZB4JLi+AzxD - YCHAAlZAE1gpT6mUkLAGbGIAJH1ASIHY8eqA56pArSUDmab+oVy77NoNUiqoqwqsSAYgSWVNVKNI - SN8XKSVlWI0cygRhHXS7u2vYC7MpqTxFBK11Ku0rz4u1tjYieIEKEMawFikJtlakCKgYIOZsIKAE - DCOqQXlQgR/I0MJq6ylPCAs2LEmwtlYy+aEFrA2UsyMSsVFkhCchVWKtlcroqFquptNpKWVdb261 - 1s7f9l95Wf/l+P/8+GfPun3R3P78IKpvHO5h0qGxESgGQQhS0rcGhU0UV+ZmJu6XK8VUWg0M9Hd1 - Dra39dS5Q5nE8UATASTj2G5sFFbW5ucXpsrlbSLZ2tLR1dXb0dXf1NrpBZ4SMIZtGMU7W+tzTx7e - u/10aqJULa8XtkYejWVQWsyytegd2NPc3tngY+XpZLWwXOZUz+GzQUcLEpqPAIbVXMyPTc2EQaNq - 7+nt60vS9uruMiFpdXVzfn0TRg93NPV2tcJoABB+HOlypby9XVxeXl5fXy8UCr7ntbW39/f3d3d3 - NzU1PWOlhBBaa2b2fR9AGIY7OzsrKytra2v5fJ6AbFN2cM9AV09ne0uHJzyuG9YFgY3Nr+R3yztx - VBoa7M6kZDWsTjydml9cXFvfau/s6+oZ7O/b297WkQqQ2ALZAkwiMYhGBmRNWhDYYrs4PfVo9OH9 - hdmZKNSbG5uPHo+nmjubWxs8n7rbmwfbWrqaG0EgWHAsiYUQBMUs8/nC9Pj45soqx1FLQ8O+vXtb - +gdT7e1G1e82F0trIifviWK7lN8qrK1uLi9Vt7ctc3t7bmBgsLevP0ilhVREJBXgAmBdsAPqhYl7 - N1iwza+s7uwUY+bOgYFUU6M2vDi3uDK3sr62ks2o9vbGnv7+ju7eTFMbCFZKFgxBVmuKQ0kSlsNa - bXF5eXZpZnF9UUjRmGpobWjp7u5u6GhItaSk8ISAZkiBcnEnPzdTLe/Y5qaO/cMi25BxEh0nRbNY - m8svF5aNZ9p62nJtnYHyKJFKQUDHYW1hcXNzo6xN9cjhfc0taSEIIEkwQpQqtbX14tzcxNbWchzv - COFZqzKZ1u6u7r17htpbWz3Pg7N0SRC0iao7O9XVjfVqnO3u6s54Ji5vTk7O5resRsvefcMDfY25 - do8Ay2xhBSmAmEHG1Hl4XS2XlneKM8uFzbXCTmG3Md3Y1d68p7+nt6sj3eizNiBGXKuVimvLi5tR - Q3PfCa+1sSGFhFQhBhmEpd1yZXRxp+K3d7U0DnS2NihIsoC2u4XlrXCpqL2WgYMDvbUqahul5fXZ - 6aXx7XDDDxr6+w71dA135toCHyQUC8OWgViQWJxf3dqsdnQ0tneoTMbs7paWlheXlor5jV3hpVra - cvv372/vaMpk6kHNYCDRHDq7vgXZZJIKEahaqRRmNkzJiMZ0575BZ1dxv7thKNUQu8Xi6tLi2tLi - xnZB+jLTlO1q7tjfP5RrbEXWN8yxm4xgnDAROzul+cLq6uLKxtI613SQTnf193X39/b1d/Nzg/w/ - hd7+7lrK/PyFs/cbE0eh9VV+ZWXpyePiyqqE6mzt3Lt3f0tvb7o1EwEsPOH5whPSAlEEViAFFpsL - a9P52aWd1UKtKELbqLKDnX25gZ62nk6klJSJFNFEenNxobKxVjBoHtwn2jobfMXPHUiiul1aXFvd - iSpeY6avry/wfU8pBkgyrAZzcXN3baO4mt/sH9jT1dPrSfhkiAzAphpt75bX8ptzS8sr6xtsbWdb - 02BPrqe7N9vWpbJpS2Bm6PL6ytJaoVQsx939Q20dHdkGzyooA1gJdmBlnF9bXF4vrhZKPf17Bjtb - /IwEIiVIC7m1s1vIr68sFQv5Sqm6LFSpo8vv6xkeHDgU+M1KCpEgLwBMqbZd2Fgr5KN0qmtgsGt7 - t7i89nR8/Ck41dbad+DA/raWxnRKsnQaWwYzE9XFlon8hy2cNh3WwsTgeHN1YXpi7MmTR+sb+Wqs - ZxaX7o+MNaUzFIeNWb8719zb0aA8QDLZiK0GCQtpNCLGxPjD6fnZja3Nxuam3s6efYP72prbgoyv - DSAhQJJYwrgM5EhTuVxbWlxdWVrL5xe12W1q9Dpznb29fa0t7dlsEwvFTKwtCba6Vi5VZubyjMy+ - fcO1MCpuby3Mz+Xza0Q8NDQwODjQ3tZqjAZYCkECmxsbS0tLCwuLpZ2SMbapqbkz19nV3ZPL9XoZ - 39YRpWcOtd/tp9jA1nSpsLJBU8ul3MCBVCfS6br1mYGoulMqza1tL63vFra2ojBs72jv7ent7u5q - bmpKpXyXmlrfpsgRnKKOlgsCE8JqpVQqFbcKq8tL21uFarWaSmcam1s6Ojt7+weaW9uEFGyJX9CK - PP+YjuBxIv4wLO3szC0sqExjW3ef1KnNjY25mdnC5mZjNrt3z2BfT3djYwORAGmAQHJpcWVxeWlj - Y7NcqUBQY3NzT19fZ2dXc3OrVJ4goS0goAQ8yUAEjZjt3Nrm4tzq/MwyWa+tpXF470BPd0dLpnlt - ZbO8VSrpKDfUn+5oghDQEe/m14vR4qaO/c7egZ7GZukJJDMK3d5d3l3fnptb3/BaBrO5/V0tTe7v - AbKFYmGhVKzVgn3tjfs7TH1xrO1ur+QX1jaXBvYMZBtbtI3m5iaXF4rrq+WD+44NDvR0d/rWgqwl - ydbocqW0sbE1M7u4thrGUSab6Wltb+jsaevqaW1tTkFIy4LARJCESJunkwtxZLNZ0dfbIlVc3C6M - PXqyur5ZizAwuL9/8MDAQAekc5bH21ul1eX89MxEFJUaGryhwf253FBTU7cTqJOFBBExkRVkLNlK - KDc3N5aWJldWp8vlXSlTjY1tvb17O7sHWltbKMlAAFkN1tB6Y3oybyU6ejK57iZruLi1MDk+n1/b - rJaaO9ra05mMEL25zlSunxtak2gCpko+v7G2vLG2Mj8/q+OYbTA/v3zl8oNshjIpm2nwBwa7G5rS - JJSbLOZJ5+2xJJUiub5RWHk6sjH/cGJqGkClWp1fXLhyc8m3UWvGPzqQE16UETV4CtZYw4Yxs7Cy - ura2vrZaLe8Iq1vbWgb2DA8M7fHSWXiefebvhwS4WCyuLszqOGxt6+jbs29zfX12cWVydsEKr7Or - 5+iJk0EqEBLaOkllfcmjZ6COBSGOKts7pZW19ZXV9Xy+FEUqm83lcp19/f2tba3ZRmhjhDIeCIll - LrZalndrha3CyvpqfjO/vZVPe2hvyXT3DnX1DWdbOohgYT3SQpJrxq21TErHKGwW15en15eebuTz - fqapLdfb1b+nuas325JSyeZM1hprNRvjGM9qLdpZWZxdXJ6ans00NLW0tnZ1d3X29KaaWllCo26E - Yc0AkeeACqu1FCycKZVggcpueWlldXp+aSVfgPSbWlpynV1dXd09ubbA2fCtRnV3e3NtZrUYplr9 - joHBvlYJKBZJrDsMYDYWlnaXqKJt655cpjcIrU6JUCAsVcOl5dXijrdn4GBrNpDGLM7NLa4urW3k - Qx21tDb19fUMDg42NrY5+kdKT0pjbFTY3F6cX1PSa2vNZoe6S6Xd/HpheXFtI78ZRbWmpuy+/fva - Bw7KxowVgKqHWzAASLbEbCzr+sq2sjg7N7uh/LbOrv29A1n3s4QTpTs1ijW1QmFqeXPHBrKlZ/9g - q5Oh1i3q5GTI5VJpZWZie3V+fORBHFajiNbW89eu31pb6ssEXtpDT2dzX3frs9mgrmHZ3t7e3Cys - rq4uL68wI5VOt7a2DQ4NdXR0ZLIZZmaDZzMZrLVS1o0a9VB84S5eeau4MrtSQqZ7mJo6tSmvTT4o - Lj7JV7jn4Kn2vccHcj4I4MgaArxSJSpubqwuzhbWV7a3i9lsQ66ra8+evdmGxnQmCyCKjLXs+y6X - naUQpd3d7eLW0uLCxvra7nZRELo7cwP9fT19e/3GFBSi+glL0DRriWS1HBaL1YWlleX83PrWcnNb - Y3d3/9DgvsZsc4OfcqmTbG065UsJhiEibex2WS4sTudnxjbXV1OeHOjr6d5zuKl7yCphOHF31WWk - +B3oNnlFBGNrtepGobCxUVjf2Nja3o2NzWQbBwaHunt6W1pa/cAXElI9V9tyUj/WZw8l30UrBUEw - 1mrLIFISAgRroONnjpKFxfzSytONwkKtWgyYcg2Ngz39rZ37Mi09LOFMApVyZbOwvby8nkpl29sa - envbpEiG0zprprGs49puOZqemhPw23Nt7Z1tXqAILIQKw7i0U8yvr6ytLi6urAsvE2Sbm5ua9g70 - d+faMtmsdTNBlHgBunXiUPG8AXyRI2ZbT4lw2XtEBDasdayr1bm5+cWlpeXlFQbSmXR7e3tff38u - 19nU3CKJHPZtjKkUCyvTDxemH42MzlRiU9suPXk6fePm9f62Bso2N3X3p9sbZABAgCVICqmcLQkm - rBTX52eeLq/kUw0d59/+YPjA4bb2NtbapQ9Dyd7ern17+rq6WuZ2d2cXllbz5Y5MtiULPL/kjplN - XrrvLISQQrDRgPWUJCk8KVmQ8AmAYXhe0vkQASRI1kFSQJAACQYsWxfR3dnZNbxvb39PbnVna+bp - 1PpGobW1MZ1104+JYIAYNowq5cnJpwvz69aKAwcP7d0z6DhdwPzOCNl/6iB6pkiz1jozsbW2XC5v - bW0tLizm8xu727uCkOtoGejras91ZVq7ZcZ35Ggi0I6jaq26sLCg2c/1DAgZVEqFlYXpzY3VmNId - PXsH9x7KttXnhNW19/XiCORCfqEFsSBhLJXLWFxeXJ8bWVla8VXQ1d3bN3ygqbuHUzLJ6wCsMRLA - bm1zZW0xLoSte/fs73Bv6jkCyjBgi1sbs1tra+tbpY0QVS/X0tqYhe/FodahbESqvb2j1WvjrG9J - KaWUILC17pHcKZXmlzbyM0ulzaLwuaO7tW/vYLprr8lmmFz2L6DjZNKZNYiiYkXPrORXF2cKGytk - a9lMamiwr7uzI9vRI7O+Fc7Q4PBls7u9WVxfWi+UevccTDV1GB1PTY6vLi/ubG8fOHBgaGiop6fn - WZaFo9b+sfFl/3L8t3b8v5F1+2LrlmjNBJFwaZWAZctxpE3IiEGQSgrhbWzY2fzM2J3vvvz0L9c3 - Ftra06+9fvaNcx++ce4DT6bctBZrhLGRReR5IqzZjXzxyfjTe/dvXvru67X1JaXUsWPHDh8+cvDI - 6SPHX2nP9asMWNtqubqxtPTdV1/cu/L13cdruzvYXVz4+tK3q1MtbarGoAsffHLs5OlsruXu3btP - R24VqvSzf9+1r73FIxgD6wyEOlxenPnNr/42TLd3HTz1wUetmeYsFGCM48diLR4/fnLx+h3B9uPX - TvTmXoYJQQJabm3tLC0vzcxMj42N3rt37+HDhw0NDYcOHXrjjTdOnjw5PDzc29vrFjUhRBRFTrVe - rVbX19fHx8cfPLg/8nD0ycQTY0xnV9vbb587/fLJQ4df6uoeUp6QFh5BSSjYmYlH09MT27vF9z56 - P9OYXV5Z+u1nn965c2t2dn5wcN/pl868+c5HR46dyXW1pTzAusGWpFTi2YljwOpMyoOO11aWv/r8 - s9En9yamR6MQiwsL333//cTcakNTurml4czJo95Lx7uaGwFm1tZEnoIUIo5tPl+cm5q4+MVnNy// - EJZ2h4cGLrz77tHX3ho8eirb1uh7MAynb0FcAdnIhqubpTuPno7euTVy51Z+cd5YHDt+4rXXz718 - 5pXu3t6GpuZUKhVIP3GcMUQy7tTdXRZIxBozk5NPpyaL5fIb77/XOTiws125fvPWle+v375+tbOt - 4eiBoVfPnz/56usDh9JBkBYi4eBtHKNalSrY3i3NLa5fvXHz1r3rY+MjcVxta2wa6u0/derUgVOn - 9h4/1qQaheezgSIUNhZvXPlicXY66N//VnOu0W8IPCEQkNBgRlx99ODy5Xv3tO+/8vZbr5zuoAxB - wnNR6xzv7pZu3Lz15Oma4FpHR1NTQ3eiOTdxGJq5+fzNO2M/fPfF7NMHld1lIQPIbHtu8PDBQ+9f - ePfUyROd3d0uOMgx07VqeX1t7frtqfwWnT93Puvp/OLkb37zxaOpTU1tP/npTz+4cKYrtxeQ2phI - J9NFLMNq45OGx6hWV5aLV0bnr9x5PPV4YnVuubOt/djB4bfOvfr62dN9fo8lQ9LGpfL6/My1Hy7N - bXsn3g56D59JdScSGGZLMChvLczufHXxfiXoObp/oOn1lxvaG6AIVhc2V0dGZu9O5nuGXxns7N1a - Wpt/9PjOg+vfXvlieXOuqan9/OvvvfrKO6dffrk915Zp8AV5VtdgIl/Qk9EHT8Zmhg/s2X+0ryUX - LCwuXLty//q1B7MzS6lUsHdoz4cff3TspRN9ewZTad+ru8CJOVHdApyMAU3Wpo2NjWtXLu+s7rT0 - 97zflfNl1vOsIAspBItKLVpa3ZqZHL9388bDe3em5mbhqVxvz4nh4fdfP3f64KH2PUPGkwY+E8HE - iOLQmImZpzceP354++7ju/dqW9vZ5qZTZ199/Z132jo6sin1uyky/7WrKT9LH4M1Ua1a3NoqFzYe - 3bp96dPfzo2P+xb7+gY/+dGPj587P5A5ZgNIiLTfANSMiZXWMBJGVcr66q37P9z7fmLp8ezadLxd - yWWaXzt55qVzbxw+c7a1r7+1IeVaKB1VZidHJ+9df7JROfnezwbPvNXak7GAjWPBBkTF/Pz1K1dm - 1vLNvf0X3nuvt6fH9yTDuioDxkzPzNy9P/HoyeSFD97PNjVnU55UViGGMavL65NTcw/HHl25ef3+ - 6ENj4mMH9p9/5eWXXz6758ip3NBez4dHrOPS4tzE7YfTj6bWzr/70fHTarAhB4JQArGDVTRsNDn5 - 8NrtJ4+erl348Gdt2WPNvk8qBqtaLZqcnh25NznyYGri0fzy2iPll44e73n91XffPEd7Bg43tzTW - 00mYoQub+ZGR6dGHCwP9J3oHfvR0du7K9Uufffqljr2DB07+/u/93rGjBzPpFt/zGIbZxaM5o6d0 - oLrRALMkkgRjtK7VbFweHXlw8evfTs4tzsyt2Url4dg4h/HK7LzQ4dBA1ysvH28I9re1SXhCkiVm - IlkL9WYh2iytfvXFF99d+X5ieqqzp/uloyfef/v908df6hkatIJIkE9QYIJmUtrE+c3y3Nzyg4eP - bly/8/DeFRsX+7oaDh85eu78m8ePnxzcc1CR7wIH0lKYuJZf2/z2m++EaunI9RS3t56MP7546ZuR - hw+EwPvvvfuTn3zS1tqqtRGCrDWFzeLIyMMb129cvXptdXlVx7q3t+/o0eNnXj7z6rm3OnoGZAr1 - wL7n5r7nPAUDVsNUF5dm7o7OXL4Xnr/Q1ZZtagrqY9oFb2+sPp5eu/to9vq9J+Pj4zs7O0ePHn39 - tVdPnz598OCBnp6u2I1bIWILEsKXfgI9uVxJyzqKFhcWFhbmF+bnHty7MzX5ZGllpSPXNTA4dOjw - 0dffeOvIseMtrS1CPkM8nvMnnESsJUrWSqm4MD/z9dffdPQNvXz+7VDTg3sPv/7q24nHTwb6uz75 - 0fvvX3i7oTEAyHAUVnW5HN25fe/mrZsPHj7Mb6wrXw0f2H/85ImjR186eOBYV3d/NosogiUoAWQ8 - 1EpxqbJU3L76cOTqD3fu3RqJa9Tb2frjjy+ce+XkvoHhxyMjs5Nza7s7n/yb/64v12QAaeOdwsrY - yMy10WVqGHr7/Xf2+LnmrMcvOBYLhY3Rxw++uXaz9+DZwy+nW5ubkjElzLMzs3e+G5lbWzvy0dlX - hi+4OT7WRltb+Xv37t66e/PHP/tprttuFcsXL169dePh+KPZTz7+2ccfXRjoP200Yh1L8NbWztzC - 4sjo40uXLo+NzlfKsr/v4KEj+86cOXHipUNyeCjbmIoBMiIQIKBW2r7+w8XyTrmzt0OdfyVG+OTJ - xF//zd+Nj09EtfiVM6+9/daF7HvvNLY1aMTF4vbE46lbN+5+89WvK+W13u6Gt9766LXzPz5wqL25 - 2YOFhHAhuoJiz+NStbq6Xhp5+OD+7e9GH1xbWpqXfqZ3YO+77/3o9Mvn93snmxod/hMHzi9VKz+4 - dX2qpBv2Hx88Kpqs3pqZ+vpXv7x+//b8+vLw4f17cp1Nnnfm5EvDZ94aOHkmOXUkFufn7966/mj0 - /qOxER1rIP340cRf//XfBX7cmKXuvo5PPvlgT9AXpFPOgMkEa7Ugi8AXxl9cePrXf/U3W0tjS1P3 - SGKnVBp/NPY3f/VXtlbe29/T9JMLfqvKZAxEGlG8U7WbpdrV2/e+v3rz0ciDnfV5pSv79g6+896H - b154v6Wju6GtM93cQAKKoKQA2/W1tZs3b1Z2t/cNH2hv6xgZGbn4/dXPv/mOVXD2tdc7+wa6urpT - PnTkcixQp1U4eVjZsInXVtcmpqYfPBwZGXv0ZGJhc6PS1b3nxImTb7711tFjRwf8LlDksZWeJ8Da - 6lol2i5UlhbWnkxMjo0/fjT+XBzwBwAAIABJREFUeOLxg7Ymf3io66Uzr589/9HeQy83ZjOB0iS1 - L6SLc9bGVEO7XqiMPxp5cPu7h7e/ezQ20tDaffDIy+ff+eDQyZf6h/fnmgNHoBpj4yjmOEr5Xqzj - 2fm58Ts3vr9y7ctvLnbkuoaH95x+6cT5N94YPHzKa0xHgAJ8AFa7aU1KCBLQtUhJIiVEECAKa+XK - 9NzCjdt3frh68+a9ERlk9u7bd/To0TOvvJI681KupQEE6Liysz31ePTT729za3//ifO5znO+RApQ - 0mNIDdYmfjwyOnF1ebNceef3Pzw8eLAaV5UXkalsrK1du/bk6ULtJ59kw7ZWvbtz8/qNqzeu3B95 - QAL9A70nTx3/6KOPh/f5jQ2NXuJUsjo283Pzn//2Yl/PwMFDg21tDXOzMw/vj125fH1y4mm5tN3Z - 2fbxJx+//I7MDZ+QDcgIaE1eHeeTsC5b0SQrrp16MvbZZ5e9oPPM2Q86Os+Tl5QezJYI8D2Uq3Oz - M5999cOWCXoOnhro+dC6hBQpFan60BwUt7a+++7SwuMHC09Gyrs7NUsLi8v/5Ve/6WpvbcmmWhpS - b58/05M7a4UbfcZam9W11adTTx89fvzgwcMHDx5Gcdzc1Dy0Z+9bb7994uTJwaGhhoYGz/dduAoR - LLNwPBIE4NVD9WBNWNxav3Pr6sjs1ul3fu53SLO1ePmz347f+HauUL7we//23MepvtxRy1rYWhih - qvXCQv7Bvdu3rv0w8ejB0tJiR0fu6NFj71y4cPjIscGhoVQ6E4ahNRwEyhiEYVgu7c7NzIw/Hrtz - +9bTyYnV5cWwWjl65PCb58+9+c6HvfsymbaGyMBX8HzJ0NYajjmqRgsL+dHRqRu37oyM3326MJ7r - 6Thx/NSFdz88dvTEYFevUlJqw8ypVOAGW2pjNgtbUaH05NalO5d+Oz42Eihx/rWz597/ycnXM6m2 - LqngAg9eUN3Wa78XQCitTXmnuLK0NPro8dijx48eP5lbXAojnevqfvOtt8+8cnZ4//5cZ1djY6Ye - JvwcC+ZngoGEezZKEgmKjTHMJKQvIZ5pf3Uch6W1Uunm7du3bn//cPRGYXOpUXnH9+0/dezkgWOv - 7zkk2rq6mhthGFs7u0/Gxy9+/U1rc8uR40eaW9/ONGWJlMtQIUCwrpW35+fzX377nVJNJ08cPJ2S - ufYsk4oju7q+OTnxeHz0wejI3XsPx6DSTW2dewYH3z7/2pmXTuwb3g/ls5u+WF+4GADECxQsP9vO - LVs2RpEg5xmv74BhGBaLxfX1/I0bN69dv379+g1jbUcud/jIkfNvnD9x4uTevXsbGhr9wGfLcRQv - Ly9/+fkXE6N3bjwtlmshCoU7Dx80B3qwJdXSs+fVtz8cSB2jAGQl2IOQkqQBgBi2ViqszE1P7ZZq - bcMnzr31YWtHlyAoT7kxL4CBQltLZv/w0Pzj7bWNwvJ6fl9nFtnnFX9ywfjZjIyEanXD9HQcVyqV - tPSl5/vSOS6hDbz6Y04CQgjpAGxHldRFCtaysICQfnP70EDfsYNDO3cfrSzNzS2utffs6cmStVYJ - TU5yq8uV0u7Yo6fzK6V0tu3o4cP7BjsFYCzHIE/IF2qof/xghnMhI3FHOdy2VCrNz8+Pj4/fvHFz - YmJqdXlVChwY7n/51NFjJ04OHny5a+gAS7ggXEFcjcN8fu3ixYsxB6+/8YGBXJ5/cvPqN2Oj98hv - ffP9nwbZjp7Wzr9/Ep8fdRGPBltj7FZx96nZeXTnyp0ffnPn1h1fBS+dOP3mRx+fPPdGc2+/kvDF - sw+vkV+88sPt0Q2R3vdqd/+PAwlISAnEMaq7pdL2xMzkjYmxe/fH5sYX9I4+dfx4c6MnqVaqhqFq - zHbu+fCj91vTrTbNQiqllBAUx2G1VitVqrPziw8u37r82bebSyt+BvsO9r/z0fvDZ7ymgYMqg0DA - jRmFZaTSqFXzxdrj+fVrDx4/vH1l7P4Njna7Otvee+eNl04cHTxyOrc3LTIpj5LgeNZ6Y21l7Pa1 - u2NT7/7o91p6dWln+1e//NXIg7vFrcIHH3zw3nvv9fT0uFMk6uZvrfUzF/i/HP8tH//sqtt/eNCz - PxLjtjW+70lJxkZSYWdn++HDkbnP7yxO3VuYuKdreW2Lhe3dpZWp61fvfnb4uz/8d3/88umXsg2Q - Ukrlg+xueevenbH/9L/+2fzc6s5ugYQNa3o3Ll25cvnGzWu5rn3vvv+zty/85OypIxlP7ezsPhob - ++2nv1kcn97UALWiuHXn3ubsw3ILSplsQ0tHZ2Nre2taLi0u3LtzZ3R6rf/4W+m+EwM9TiosAV0t - bk6MP/z00/+yK1sPnN05euJ0S6CyWQUTgyiOo8XVzR8uX/3lp9/u3zNw9kCfm6wMq7eKlW8v/nDp - 0rc3blyLdRzHMYAoisbGxsZGx7q6u959990/+ZM/aWpqSqVSAFKpFFtrtL527do333xz8eLFne3t - KI6ttYHvryzN/+f/c/ziV61HT735h3/0p4P7j7dlIA0EQ9dKt65cHB+9VwO15Dpm1/O//vUv11YX - d4qbUbWys7GxOPH42y+//YM/+o/nLvz88IG2RiWFELAcxSYk6fvwAwgEBC7k86MjD7784vPp+fGt - UhTWUCvtlmfnJufzJG1zS9ZG1X2d7acODTu9khJMbLYKW/cfjH5+6fr045HtjZXazhbi2uba/NOn - 4wfuPLzwsz947d2P9vRmd7ZLrRmV8glpv7L49If7U//b33w2OrdeLuQDNiKqxcZeuXz53r37/YND - n/z0p2++/c6xE0cNJ8b8ejysg23rM3K0jiuVp08mbly7OreyEoE5FXz51aWF+dXN/E5U2tlcDZcm - x65fv/ruT//Vuz/9+Suvn8v6gauWAt/nSnnq0aNPv/7+s28vL66ulavbxlYF4uLawsLUgzu3v+sc - fungmXc/+PEvThza09EYMCIdFsrFmbs3PgsnDjcdOncw29uSg+dS6nTJljZH73/1299cLFFj5Hf0 - dO8/sK8BBLaWhLbl4tJC/suvv5mYLfZ2NvyryoeJBVabyYnxSz/c+eLbG0+mV2ul1RTt+LwbmTI8 - U9h+Ojr6+Mrly2+ee+2P/sN/6B/ak2lqCMMwpWwchwsLc5cuXZpfjQYHh8buXrl+6bO19a18UVDQ - 03Pv4aED3adODErJzhmhPBYESLiJeQgrD65c/NvvHv7y8uhW5OtyVZcqtd3y5srinWs//A//7t+8 - +9GF/Uf2S6XiOFqcm755+duHyzZs2Pta1/H+7gBJSWWJY727OTsz//XX39Yye23t1NmTh7kjAxhw - vF1cH388dumH8e6FqLe999d/8eePb99Y31ismJ2K3l6YW8ovb06MPJmafPftjz4+cOxINgBBSVu1 - pjozPnr56++mJ/rHpwdsyn7+9deLM2vFzWpcCX1h58cfPrh9+b2f/Pxnf/CHB44cac2IF4J2mdx0 - A4J1iZlgC7O5sXHz+o25x7ODxw6//cmPSJuY44AiICps79wenfr84pW7169uri6ZsBKRYC9Y2tx+ - cu/e9a8//9m7b174xX8/dOosB0EY2UBwZX3u6sj4//JXv7w5OWcqpWZJaRsVNvJffPHF06XVarX6 - 9huv7Rvo/X9cHp+LK15YJ+sbZ72Ms5atYWs2N9Zv37rxq6+/nhwZLSwu691yyui1p9NTY6On3v3g - F3/0x32HDudyDb5TpQuGIuTXrt2d+D/+4tcjU4srO7ORKhmv4kVmd2Vhc27q2xs3ew6ePnvhZ++9 - eXb/QHdLRgqEkncXpm5/eW2y6OfOtp/Y25NxibawIWx1bWHk4te/ejC9mtt3si03lM60ZDMBWw6E - Adeimrl9+/ZvPrv6dGZ+74GDZ1496wVKIqptb08+mfzVr778+tsr2+VyaENLcayrj8Yezo6P/eqX - v3nt/Z/+6F//+yPHjvQ0skAc13amJid//ZurVW6UDeneoRwDvhvSZAGOoHfu3Lr8289vzq3Fh06+ - Q+QRMUxtY6346aUrv/32yv07T8IySc4oX8emcvfOrdH7T7769NJPP/nXv/jFL1pamv3AJ2Wt0Vtb - +UePxi5dutvTnW9ua/zzv/xPN+98t5EvwgS1qjh29Fhnrq2lpSEVyMSm4KaykAAQxUYb+J4URG6U - llQKSq6ubjy4f+/rr75Y2yothwGoobKev727Ozs+IaLaoUNDzGFvR6Yt0wZhPAki6DAeH5+srs99 - 9+l/LudnN3eKhd1ivpBfmpm/dunyv/39P/j45z/fd+SIFLCwJip7tlTT4Xoh/Otffv3Xf/vrjc3d - 2Mi00D7M0tzU9MT4D99dOv3yq+9//PNzb3+Y680pToGj/5u99w6O7MjvPH+Zz5T3qELBe48G0EAD - 7dC+m83upmvOcDic4ZBjpZ3Rrna1q7iI+2Mj9m5P2ru4kE661WhXutGMxnKGHHJINtuiDdANdMN7 - XzDlYKpQDij/3svM+6MAkqPV6XYv9iJ2I5R/4A+govDq5avM/Jnv96NkUom92MjwUDSBrfaCqamJ - mZmpFddSNBrWaERRFFpaW5qbm3iRT+ztLS7M//znP5ubndve2lJkReBFgRfca2tet/vZwMDJydlT - F1/oOnWC1+73S8E+9fPzOz8DDMBzHu/K4yf+929tGPOO1Vd25RmA8gSkdGYv+OEHv/7N7Sdr2/G4 - rCJEkbLZickpn883MTn1yisvX71yRRD43EKFDoJSYKAoClFklSiGdoIzMzPvvPPOzPRUOp0UBSxJ - 6UgkthMOrbrXx6emRiYnT50+97W3vq7Tq9FBTzA7UENjBIwyAJpzTkomY5715YcP7uaVVGYQP7Ow - Oj/rWppf3Y3GwuEQwuRQS11pWb5C5Ug0NPh04uc//bXHvZlIxtUaVTqdkfYyO+HA2PhIUVF198mL - 1659oa29jFOBTECR0jyXhb3g9OLKn/7tz8bWfbvhbCpOiSRs+rzelcXBxsqOlnaQsHvFO+f1tJ05 - 5agvZ4BAQOl4aH5m7NHDWc5aX15bmefUGXWmAxUpAIJoNDK/OHfrzs2WPaQraKyvbaBirvADWxtb - YyNjc2truMLcLJ9EIlBgDGUVknS5lm58fFNnsHKCbnxy3uXybG0G93aT/U8f5efrLl44zAuQlZRY - KPbrX//mUe+T1TU3oZgSUZLw0vLs2trUs/47bW2NR8+cef7ll/Rmm4oDhRCUzeyGg1PDA5l40llZ - FkvFZ1aX+3p7U/FEYm83E09Etrddk6OPe29f/+pXeKNhdGT8UU/v8rwrsbuh4nZjwaxr2fX46ezR - 7ldff+3Lzjy1TsyZvCrAMpKs9D0Zu90zODo8nI5t8iTOYZpK707HpudX3KWlfa2HT77+2uv11fkG - lYCoDBkJoqHhgccTwUReKLW8E0/43P03Ptzb8sVSsSyT05k9N8Z8Njv5bKD2+MoLX9cVNdbrDACA - /D7f0OCzoWf9wa1QIsnJTO31bqQSoUwmxON0camzobHaUWhVayz8gZMo22eKkb1weGF+4f79h9k9 - X3YvmSWQCoWD0vTqmgeI0tHacvFoS43FDmoOkOJd9z54NvXezfsr/mAonuWYrGeSnqSWZyc87vWb - t2/WHeq4cPXls889B7nAjIGUyWxubs1MTafiu4HATjS6e/POvdHped92iGBBZ7Ksr3u1OqNWraV0 - n+KCAAHOWehkAWElm/H412/c/OjOvfv+rW3AvCDoADOPd23dvfq4v7fjSOfFS2dOnTrmyDdTRjHi - 0smk1+3/6Y9/MTo8sROOyIQqlAKlsVh4Nr6xsLza0zt25OTV73zrG/k2Dc9TomQ4jgeMOSw8eTJw - 407f1MRodHtJSW3qtOpIONTX1zc0Plvf2nb87JmXnz9vdnA5p7DcRh0MbPbcC/rmRrbXFvzbwZ3I - 7mZgZ211aeRpn3t16fjlVzsvXkFqEGG/W0xEmABlDHMIBFEAIoMiA4d96+v9g8O/uXFz3buxm8pm - FAAFLy2vrrt9Xt9mMhY+d6KrvDAPMAiIBv3eu7du8gX1bbzj3NnjCgBDkLPCVYCCLM1PzvTeGN6O - 7Tobi8uO1goaQQQsJ1Jry0v9AwPTyzFHQVU8EJgdHlp3LQVDW/HkLuZh3b08PT06OzN97coXXn31 - y4KQs9HFAi8Gt0O3b96rrqr1+4t8Xtf9nk/m55YySTkSiqQzcZ+HW1tfLn86eeWN7zQdO2fIB2Wf - SABACKYkR7jdPwoTKRELrbkWPf6xeJKvqCorLnPqjDkwMOEZAQ7vxqIz09O/+tWv9EW1nVq7Qg6O - 0whzmMMAFECSpXAkPD01tTz+LOR270UhxSeTbCcy8FTNIZ3A5Vl0NrO6+3gbL2LMYSkrj4yOPnjw - oKfnfjKZTKZS6XQGEAqHw67V1dHxsYrKqo6OjmvXXmhqblKpVLmVXBA4RaYSpSK3T6vPkXYIy6ZT - u5MTQzf75xVdcTCz5Jl+5psbTG4sxShWeu7pispPdTZmsnEVScoy98FvbvT0jk5NjJJsnAdJo9FG - ItEn/QNDI6O1dQ1nzp5782tfU6s1OeqsLMmL8ws//JsfuJYXwzvBdDLOc0jACKgyPzO9sjj/ya2e - c1ffuPzK2wUlRo0GEHAIEGWQTCTv3nr46NGz4aHp2F6ScGmVAbtWXGtr3v6B4aNHjl8+d+GLL7wI - KgFzXDqdJESWJBYIBJ4tvr+1OBZwTWRD3mxyD6gS2t4Ymlk+dsF18rlXuo42f14Igj47EgIcyFkA - YNPnfXzv5o//9kepTEZWCAMunZVkQnfjCa/P3/PgYUNj00svvXzs+HGDQcN9VpmEzwGcDk6VjDCq - UErY/p6K9//GcSCKie2t+4OzP/713WVfIJUJabQsk0ll0tmn24HJ4VFn2Uhn99XLL77e1uZACCji - Y9HIs74HPCWbW0fr21rsBrMO44OUM8GgbPvX+vrGPr7Vk1/cWFxaxIiMUAYRbm5utadv+M6tG7Hw - VjYZkykCPhuMJubn5sYGB460Hfri6683trbnOQuykqThCOTQ2PvHevT51GHuAeY4DIgHpjCaK8gS - hEFRlPm5uQcPH9y8eSsajUmywguClFH8fr/X5xsaHq6srOzqOnrx4sWmpmadXpPJZr0e3707PVvu - 5fWMBrAZAAI7Wz0PfFacdpbWWx1FJmshM1gQRsBEoGjfrJZJAFJ6L+hzr6XSilW0ao35FAkKEA6w - rCg8RZhnwDF7nrGr8/BcZD5CaTi6m87IDIT/JCA4SFMDAICiEFmW9DqdRq2GAw4GYygHGN1/7YFV - Pf3MzwAdhEGMMYYRAswDoyDoHHnWY+0Nm75VbzgyObNkLW2z5+t4jHnIAGQB0iDvxmLRucX1SEIo - q2ysqaootGHEgMMAWMWAQ/8Z3SgKIYxSQRAAIYwQz/OpVMrr9fb09Aw+ezY3Px+PJxDDHOYz6UQo - 6J6e6Ncbje0nrl188c3Wji5dHgAAZXI2k4yEdx4/7pOoprj80OOB4YXpAb97JhbZEg0FhDdVVLfV - lThENXy+ef1zbew5TBkhirSzE1q6dce3PLq1OprYWU7FU4zA9mZgeHbu2MW5k5evnDtzPFfpEAQR - CNmNeEeHngz7kCNqeuHlq6JuH6kHStq3Mv/TX/7q6fziUiCUVYgGOIGwhw9vIipzjEgMpTmd3llu - tBhsupbCvEJAWFGURGJPUGGPx/3RRx/fvv/J2uRicjOCszLw6ZX16dGp4Y6Lq1/7Z//GUqBVeEgm - EjpMQSUAEPfC3K0nY7+4+WhzT4JsDLIJLZZDga133/nZvRvqosYjX/nuH5YeOmo1IJ6AGgPPSDQU - mJ8au//gqclZkZhY7n30wLO6GAttE1l2Op0VFRVdXV2CIOT6bf8xXfvf1/j/o+v27xn7ZOT99nkM - HAZECc0yBn6/V3ryNBxXWTX4+PFuu5kDfjee9I2OPVtfXwlspmuqDtsstsamYoyBIUyYMjM73nP/ - UW9fb769tKamrqqqXBQ5wrKZTHzZtejb3Lv/4KGodRbabRXFDp1OX1xcdObUSY+NH99WIr60nG/v - qC2ptglOVVal1tXX11msZp7njAY9BvB4NubmFpxNvqKCUpSzXmF0J7i1vr7k9fjDNERNFW7PRrHV - kKczAWaAQJayXq/X5VrzbwRamw8Z9AZABDiSDIWm59337t2fX5hlDNpaW50FBXq9njEWiUTW19eX - lpYeP35cUVFx8uTJ0tJSWZY5josnk6urqz09PQMDA8lksr6hoby8XKPRCAIvZ6KetTn32vzAwJP8 - yrYuzn6kPp/PiVOIFN70bq7OhySWwkKS13A60/FT1QatwCnZve0tv2t5bGq891Evb6murOpWGOI/ - resyYAB8TpugEFHgiwoKLpw/W7RiXfHMjy8EVTabs6apoKJerRXUGqGpttJqteX87AEIYxIhWY/b - c+/eQ+/2rsVqP9Z+SCcAySb3woGxsfGRkZE4GPJKG4sddTyvQpgByIDI9OTIjU/6njwZNpc0HO06 - Xu7M0/NIUkgkFltcdnl8nns9PVglmvNs1rw8gePogc3ZgW3up48b4hGKR6Mbbo9rbZXynGg1p9Lp - 2oYG0zGHQeCyka3g6uLQ2OjDBw/SgspeUlpSUqLjBQogpzNhn//+zVv3Hg1Mr3jqmg51lrU7HCbM - MiwTS+1uT88trq17VkLPmLZKwOKpzgoMslYt59uQlPCtx/DohEtfdbLCDhoEwDBk47HQasA/4V5b - jFLb3MJGa8t2aWmRWgU5z5r0XiS4tTm/uBxKqstL8wVBQJiBIkdCoemJ8Zs3by66QxpzybmuQ4UW - auB20zKigjWW5oKBnanxkWeDgw6n89LzV2obm/gcupPK6VQyENiemdu6dfvOjm8xkYgfbmsV9GW8 - rrS4pNRitjIKwAHGmOd5lAN5MeA5DrJZsrPZ/6inf2BpK0hbu69WFhRqGBMplRKxSHDTbDbxvIB5 - kSJGCCGZZDISCAWUnVA4mVFyTNJcgRdAwZCVpczGxnZKYwhF9hRCAVFgEiAlm94LBLbc6561oMAp - aGPZpdNpTtYfUxtFwmWT8dTkyPTC9HhkN662OXlbaVWZTsPxHMWY0tRuaGNlcXvTs+TP4x1GUOlb - jlTa9HaB0mRkY8e7PDE19eihFgy26warqqJALwB8Zg+Yq9ejfUU0UAY0k0nv7AQ3Nza0+XaMOY7n - OEQBEE0k3eveW7dv9w5OxMPbxztaC+1W0WBReHUono55lpZHHg/296R48UV7iVVTpcYY5KxnZfrO - 7Tvj45PMXHz+0ulSi9aMpZRENiIJtclO2T476B/YGD/rHDjQxn3OLSGXC6CMkhXXcvbWJ+F4vLyi - 8mTncQ0FJRyKedYXZ2eHBvoFR+EL5jybXb8/I0CAKRNDAx/f7L//6KnOUd7Q3FpSZ1MZFS0hsBff - WHJNeVMDg1NhWqLiNXkmo1GlFiCbZ+JUEN3a8E/PLhtbosePFPIcaJEAKEETwcDGzMLM4MqWElYs - Y1NLNbUNhQXmfXNhkklnsqur60tLazIFzImiWs3xnJTM+L3rn3z8Yf/jsXBg91D7YUeJTWdVMSZL - e8noZmBoeOrZ4Iiic6oMJnOV0cARq1nNYfBvBCamFkvqyo9dPMoD0SIAwoAyYNlkIry+Pr++voq0 - lSq1RaMxANtNb3rGpxZv3Ljh2ooaTJaujsMOa4nBmAEcDgRm1le2lhfn+3W9Bfn2EydO2Bx2AIqA - pFPxQGDT7fH6fBkkoMXlFXOe/ey5y1IaFeVXVFZUGozGHC97X0wKiCGgLIecQVyOyPJpnwRGnMDr - dNq6uppzZ8+sbWwPrUVXt9LG8oqmioqGklJOzhYWWGrrqgwmEyAARUJAct6xY2OTISvPCG1raTHl - WRVMt4IB/5p3eWax//ETQ57dUlSsFw0YURFRRNLhYOT2vWcPHj5dc/tq6lvKKxsqC7QqEiJxXzAU - npxdmp2d3ktTrbWoVXvGaQGmUKZkmSKFQqGZpYCo/iAQ2GaMtB7uMBp1ep1Gp1NbbbYcvmNufq7n - 3t2HD+7rdfqWQ81FhUUmo1kliKlEyrWysrKy+uRxL9ZY7KX1RZVWtTrndcs+fZo/q0RgBsCSqd1Q - eGdnJ5ROSYwAAqCEJGJR1/zUyNBTl2upsLq9tfKQ3W4HSiVJUhRZ4HmVSoMwR/ZpNgAAOd/33EmT - YQzAMul0JBzOZtJ5NmtZWavVahREnE5nJYVuB0NTM/NTU1MM8V3HTlZV1RhNakL3q8jsIPhglACm - gChgikBRlNT2ttcd2AmnFbc/ZNDkdZ+6KHCCTkfteRqj2cCwosjJmZnRvr7e/v7+0uKa1pbD9Q11 - hMpZOZXOJuaX5oPb4fv3eu22Gqvd6ShWMR6YQkFKumfG7t1//OzZQEJrqqhsKi9u1KryBGUvEfam - wt57t27p1abIdsy7G9tLprIUFI6qlCzJ7KX2woHtDU6xJjMZhRAKjH7urCVJ2d29qG8jbA9sR/f2 - lE/jdcpSqVQkFN7e2o5GY1lFEkWBgkJYSlYSu7tRt9vX1/sM87qNrXBhUVlDfYtOp9VoVM5CGwXG - mLy1tdn/5FHPvb6V1fV8Z1FNbUN+fhEDIR5PhwL+1eXZhenxPSmbX11X0dxWbNJyiBOQhORMwLsa - 8Ho9m15h2ZUSNPml1RXFRTxT9oJbq3PTOz7XxpZXEQVksa2ueDQqfefRboteEVggGpgemViZnJqQ - +dJjx88ZNWW6HJCMU5Lx0Pj0wv2HfU8G51W82NbWXl9sVatwClA4lVpY9W9u72zdf1haXmPQtDdU - 5QORgUoAciYeXVvzziWQyrVhQ8TmcB6ur1HpRQWyG5ueZDCI0snQlj82NGiu6zxqKnHW6TSMFRYU - dB45YtZrRkeeJefC2bRQXlZ1pKOO51Icl7bYdM6Cgpy3Fdq/2WwfwQNMEPjy8vIr115IRDzry6Nb - w+sGo9FcXdXY2iHwfEURAlnCAAAgAElEQVRZuS3PrhJ4SO9CPPHsyeMbt/qGxhfyq5qPdXUU2IxO - Pm7MBnd3NsbnlhbmZ4ORPZXBaiusrKyuFvUADBDCmVR6c2Njy+9zraytrrl3IrGqyqruc5dTMi0p - r9DpDJgXAQGXw55RwPv5WwqIgJLdDmzcunnzfs/DtTV3bX1DeWW1I79YITiZkkLhyOTk1OTUeDqz - W1Bg12qbjAYdACIEZbNyKBTiONzV1akzmNRavVZFMYmGtl0T067lZVeaDnW0dx5tr9YX6imlACST - TLjWNnt7+/r6njGSqayqqq9oc9htyawYiMierUgikZibnbt4qgvABAijHJZGzk6MjW7qENsLFBeX - 1ja3qbT6ZCKx6XHNTQwN9fcp2rzC5uOmYrOOB0IVgRFAHGaMfEp5QgCUgaIsLy0/ePBwbGzCUVR6 - 7thpvcWOBC0hSiQSync4dFq9wHM5j3+OKpl4zLu+ziuG4t0kFvbly0CBYkaB8piPhsKbK55tKb4b - iUlEFgHxAAqVs6lkKLQz73J/creH7e2lQzsNDY2dxnZOYJTJq2uuuYWZocFnRr29tqalprreYMKE - yhijbEbe2gwm9ySf17Uwp5ekverKqtKiMkWmiUQkFN6cnJ4YeDagmEuJuazUXKnCPKBcx52MGeEw - whzOpW55jlnNuoL8vLGJ9YW5hampabPVoDca950IKANJ2vD7XcvLrmVXV3mzNc8uiAAAQAggxICy - A0tKs9l8tKuzQM97bdonQ/OEM1iKShsPH7cadFoBm7R8TU0tz3GYQ/HdvXWP+/atW/39/evray0t - rSWlpRaLBQBlZSWRTA0NDc/MzGxtb9sd+QaTsbKyEjO8j5beryXssykVIlEBcSADSLuxgGt5sa/3 - 8Y5kkXbWmyvriltrqcGU0RgcThsC4HgW3PJPzbrv3u1ZXA2ZTXkNtYeLnRaDXhdPJLe3Aytray6X - iwGura1raGx05OfnULeJRMLjdqsEobXlkM1iMug0GlEASvw+76praX5hUWsdyq9oN+adNBqAARCg - kUh0ZW69p+fB5MRiOsM6jnQVVeTprWI0HgqH9gKByMbm1rJrVVJAJQICRAlhTIlG9x73P47jIp0i - NzY2Fuoa1SKX3Istzs0sLy/HlHsaR3ltQ6PZgvnPQpnPx9D7TlXAIBKJhkI7yUSiprausKhYbzIz - xCmUZSRlZGzc4/UHd0KVVdXVNbUabTHmD3ZgBAgQ+i1gGQNgiiITShDGmOcBoRwGCRACSmemp/oe - PXn69JmjvLat7XB9YylV4iidYYnk8uziRjB45949c0G1Ne9cYZFaozdZTCYVZmG/e3le7/FvIXux - oBP5nMYNFAB507c2ODS4vO7VFrRZHYUaFQ+ZaCSc6nv08O6jkdmFpaMdzdVlXUazjXLqeIZGdoKz - 48PjYyOIw0jUdJhtOgF/euUHtwV97qjx+RuGABDCOaAK2tvb9fl8d+7cevSod2Fhob2jo6KyKi/P - wRCkUulQODw7O7ewuBgM7mi1Wo1W19TcKAiCM7/gwrmLAW/58Fb64YwfTOZiZ0F3XUGhmDXZKqpK - SyxaA5/7r1RkiDAulx+XgWWyiZ2tDV9WYkiwCmor5lUMFIUqGHL8WwYcqEWk1YqYx1lZCUWi6WwW - fit1Sz87TR4QMFjOUZGQzY2NocFBjcHMOJWCeLXOaDCZzRarTq/ic4YBCBgFSSYczsECcA4ARynl - cvxnJgCHzEb94caKkafCkmd7dHK+qCXedMhqQIBBAkgDy0AiFNgK+DbCMrZW1bQUFuRbdMABcBgx - EJRcNPn/1nib86zI6fEBAGPs9/mePn16+/btDb+f4/nuk90Oe75WrZelZCzi3dpYnJqZ7e17tKcY - ebVV3VruMHMIKKWKJGdCoaDbH9d+eHNx2Y3JXnt7m0Z1SNQXG/KqrTbHp9D4v/tI5PSXQBEoySQb - Gh5O65O8nKysqso/XKbX6BK7ydWltQnXWurhI86S19babtGreBEAc6BkpUwoEtrY3OCQM6nI+0dH - ANnvWxsd7n/Yc0fS2WqqW0rKyvL0osiykcDW3NTs6qJLQULloaqW7nPVNdVGiwVhDhRFJgqlSjy+ - O78wv4fje8lkXV1D5bFCXlbCez63f27Zu/J0YMBZ/+jEhWv2EthHtmXT6eBOf+/Duw8G1zyBosYj - rbXH7Dpk4LMkE/etLa4uzY6NDms++vg0Mp0/0cA4oACUKHImuRfaXne5Hjy4n+StXq+/rq4hr6uD - w6iystLpdOYytmj/iwP7M8XY353Ifxz/7Y3/6qlb+E+ytwwAsQO4J4ch52vOqEKppBDwbGyvR4Yr - 606dP3/xG2+8aDMC48Lh2PJffP9Pe+6Mry1tjY6MlxSXNDQWIwaEklQ28fjxg3s99/b2Yi+/+Nr1 - 6680H2o0mQwqNQKQ7vTc+OW7997/8JElb7i+qsxpM+Y58vNspzo6ar1DPT/qmZj+4Im9ru7b33nj - 8pH6CgsHwEkUE6RSkWxNdfVySQkh4y7Xaqlr/eSJUgSAMIBMNjd9Pu8qUSCdyeyEoisr603lTigw - Ao+AISmbdrvdOzshnlc3H2orLi4GqgCTfT7P474nfb2PDUbd81euvP76a/V1dWqNRpKkzc3Nubm5 - P/mTP3G5XL/4xS+KCosKCwsVRVGpVDvB4N27dx88eBAKhS5fvvzGG290d3djjAFYem/LNT/ykx/+ - xa8fTH1y9z61NdaW52vVwGMQmMIpaSUe2grGp33B+lOX33zzG1cudJfmW3Fmd26w/+6vf7W08v7E - xKS+bPr69W6ZgJpRECBXaYeDdU9WFL3VWn/yRP2Jdu/Us5v3Plzf/omzsuL8iy9ef+PNfBsQAEUC - PaWMkH0dBpGJTNY3N9x7feevvvbKlXMvPX/GqIb0XsS3vvzHf/xHn/RO3b5zr/vSq0fb6hwmQWQA - cgLS8f7+R3du384Q48WLl7/z5uu1JYWiAEDoXjzxm48//sW77w0ODQlaTXF5eefRo0aVnlIQMLBP - MQ25vYFSwBiJKiYrqd14dCc0PDRYcajp2iuvnbt4ta6h2cRD1O0ZuvuJf2NjYWEhhnDHqW6tQa/K - c4gA0UhkYWbmo/ffn/MHrSVVX337rXOnj1WWORFNkUw0FvL+5Ge/fPfO9ODkwkPDg0ILd+ZoBQJs - Mhlra6tMZv3e2t7E+GTTaYnUiggDUJZKp30b/nA0kk5DlmS9Hv/y8uqJox1GHSBAVMokY6Gd4HZg - J6SxN1bV1esNRsCIptOe9dWxkaGnT5+W1XddfOHl333r5VKLInBRkLHCW2NZdTgc+V//7b959rj3 - 3XffKygpLa6otFnEnOk/xgAIooHAr959t6ky/8zRo6+//rWSmmOi0bS7B0ZNDsTEeI5HHGKAKANK - QcCIZNLbfs9gf+/aWrK46fzXvvHtSycaTByIBOKRjH9t2Wo26PMsHC9kiUQJUQlYK2KVwFHKZIZz - WUIO9g+ZWEQatYoRkGVQCEM5tAlRgGOMZJOJeDKZ3lpb3FxzXzvb9fKla89fPS/qOYJlOS39u//p - j299ePNp/5OChg5TdbuzpFaNgRM4IExgcnY3tO3flXdMhYcPvfWt3zl3/FxjRZFIwL8wP/jgw0jA - N7cwH5A/qD181Jln1lk1nwqsDkrRwPZZvYzCvi8+AuAwxwuiwAOPBQBlb3d3cWHh9q1bwYTU0Vz3 - r/7VHzRWlwtGWxYgkgH/7Nj3/5ewa/jB+ofv1554vrGgKs8Mcjy1sjT54N6tNFjPnrn8b//17zvU - oIUMMH4zmg7EpVRyz2iy/Bcumuy3fh7YJqy4XKvx2Be+9tbLV146c6JbCxB2uaYe9fzgL//DiGf7 - o49uHD5/ubm5PIdHpEThEnsP7t688XFvXNKfO3H6lTcuHDvboOKyGiAc0KG7PT/+sP+nHw9MTY5b - NNKpzgan2a7GuLCw0O5wcBjcnvVit3tvr8liBMwjYCgSjfo3Nr3+WEbmdmPRyYmJS+fPElbIY8yA - ESWdSMQDwWAika1taMrPL9DoBAC6uxtdXJx7/71fZTKqtsOnfu/3fr+6qURr49UiR1OSb2n9j/7d - n/ZNr35445P61tYqe53BJhYXFBYWOEUVt+ZZXVpZje7KJpMAAMDSwCTIJCI7oeBWOCspTW21zpJC - vQFBWllZXOh71NvX11fdfvLlq1/+wktfKbSLag1gnHV7hgb6Rv/yz3+4ND9/99bNhtpqm92W43UQ - IqfSqVQ6tbW5shXaaj5c/cL1i9/7nX+6F80gRWU2cjwPOZ9btC/tZIwxQikhlONUOegWpQeQNkAg - 8OYC5+WXXrhwunNgbEp+78Hq3ZHOYyfefOWVVy+1iwxy3hQ8pEDagrgEVGGMAmGuuXljc+mXv/jF - y6faqhvqRJ16YPjZ3Y9u7Xi3lhbmtX22I2fOIb1BraZY4CCV3fR7fvGLn69tJiuq6r7x7d/tPNZd - WwRiJo7l7b3Y3n/8wY9v3L5/5+7diqYug7PaYSmilGFGeAwIYNu/8fHGh8WV5efOnv7mN96uq6vW - 6dQ7wS2L2ciApVOpZ8+efvLJjWAweP71c2+/9VZ9Xb1OZwDEgUL6HvW+++67N24/GB4ZqWw+arCf - 5tWggMJ9PpZCB9FgLvgFhec5g8GoVmsFHngOgNBIODQ8+GxtZVmn1bzxxhsdp69VVxVhBJkMRKMR - r8dd4HQKAkqlJMZznIoDBoQQoigqlcjzHM9jSghGSK/Tdp844XTmP/fcBbVWwDwCQBTQ6Njkn/35 - 958MDC0sLE5MTBpMVr2xSFGAw4AOwCCMMUqUA8cPohI5nUZETFl2uRc8AVNeyRdeufi93/mXeVYt - xxEpu5NnVzFQ0pl4/0Dv4OBTRtnF85euvXi1s7ODIUJBokj6zUcffPDrB5/c6C8pHsovKTptb1dr - APMIYrGRp703b3yYTpOmY6devvaVs90vFDi0GgYbrpUPfvaXP/yPP2JZpGQYNZoUQiUGMlWolAKS - VosoZwSNMM/wQRVq3+2W5brAKYOsLGUkiX46EZQABWCIEaYQIimKCAIAISyjKClGCVFg8Om4yVpQ - Ulrz6vUvnzp1tKzMvrW9zWOFQlaRUy7X8o9//PNV10pBYclX3vj6sWMnK6uqRLUoyYrbNf/+L392 - 5+ZHg4NDJa1Hs6Yim6FMiwFEXi2gbDziX10Lr64xW+HJ62986+2vHjnUqOUg7HX9+kd/1X/7oydz - vnfe+TmxFlhM9v/hn//h5bOXivKQkliaGf1QYe/3T0RXPf4172ZZgcNu1uTMa0M7m7duf9z7eGJn - V/j6177+2nPdXS1VwDIgqiLZzP0nQz/52Y3bd5/1PRkodegaKuwgZYASUPFGrTqxt7e0NgyOwOlj - R37vu793sqUhz2FMZGK9vfd8C/NcNv3JBx9NbGw8fjKQ13CsrbxOwbS+/XD94WZIJ/6vf/9n7sAn - qSDX3X36D/7FWwVOUKsBUA68KH1qq8cYQ9w+xE9jMp06e+LU2eMR7/zH7/+od+JPCksrTr/w0u// - wVsGHjgAtQS6TBB2wi7X6t179x72DukLql6+/oXrb369xAl2AD69k93x//SX7/3op++s+j3PBvp1 - 1qLX8pxWvR5jEEQVQjiRSK6vr+0l0pZ198XLVy+/9OqVl65HElJGUijmdHoeQ47mBJQy9ukeiGk2 - s7eysvzOO+/4N7ZKKyq//a1/0n6kK7+gUKGAMB8Kh//iL/7y9p27Pfd7OjuP5DucZqMBAXBYrdEY - ampqj3R0fPG1LxtMNkGdK/nvrc0N/PjnH75/Y8Tj3ejr6y/O15YWVOfY8eHQTk/PvSdPBra2Qpef - O/eVL3RfOd+k0qgINezE0PDkQv/woHd7EyEAjAFjjhMwxiBlV4eeZauKrpzqfO2l5w+1ddgcjq3t - 4OO7H38/5Pd5VsnQ0JGr3iqr2WEERSEAMnA8YhQYoVThgOZQCiSdXl5eHhkZVQg9e/b8P/n9PzDl - WTkeZAoe944iS1Ytb7MaACmgSIgSpMiypBBJURgvcIApgAKUMoIpA+AFHmTCJJkDBpQQRgAAAeWB - aUQeY5yJxh7cvVdeWHT2SPsf/rPv1dZXCGqUzSZu3Pjwr3/wVxMT0xPjE73lvVazQ6vNy8qSqOIR - 4nlOdK/71tZ2rTbh619//cWrLx853AWCOhXdnpoe+fO/+LP3H8/evf/Q0XTiaG2hw6EG4HP0NMQo - jxGH9wXZInBVZcXHuo70Pp7d8PtHR0bbOpqdRUYA4DgemJTNpFfXVt3r65IsNTQ0tLS2qdU52AEB - BAQEioBDIPBCWXl52be+pWyuTTy+t+b733nIqzjS+Qf/47+ur9ToBOAZ8AAcpYCU7e2tgf6Bj2/c - 2NnZqa6qevOrXz11+nRJWRkhVCE0K8l/9Ed//OFHH01MTNTWDjgcjsLCIkEQMMchHvE8cAjv47oo - yIqMBMQjynEUYxKPk77HT7C5ub3S/vZbb54/XCM685eCQcVkBqACj9bdK7/85Xsjw0uO4pavfOVL - z184UV3hxBjJsuLxePufPv2bH/7tzMzsJ5/cFESVyWzGKhWjTKNWV1VUdLS3nTl1srK8NGeNDBh5 - F+YfPuj58+//0Ov1jo6Ntx077gRMgBKmeL3e3t6+wWeDjKnPnn3+W9/53cbD5ZSXgSebmzsjw5NP - ep/KCslKiornUS5ZilgoBNv37tWe/+oLF86+eLq9udRq0KpD21s//tEP/voXH87MzFTPzp7e2tbo - CzlhP/466Lr9reZBBiATxWG3X7ny/Esvv3KopVU0GAE4xpCk0L/+wQ9+9d77Y2Pj6+vr24FtZ0EB - z3H4wFHuwPEVcpqh3BGGKBKlFGMOczwwkGWgAuUohXTq6cDA6NgowujSpUvXXjzXeaQRQVJUiIqQ - j9794Be/efTB7adFlU8LihwWe4fBqCkvK21tqBkPrAe3N13rHrG8yaYT1RQwBcAElPSWb21yajIl - 8+aCiur6ZqMuDuGVtUXPvbu3xxe2bRbb1956++qFkwZLngJiUoZ4LPJ//G9/dPfjD3793nuV9c2V - 9c3GAgsgDtg+piwH1gD4VHXy2yfnT029AYLB4MDAwAcf/Ma/4S8rK//Wt755/PhJrU6vN5oURQmF - wn/zox/evHlrdHTU6SzIsztq62o1Wu2hw22HDtek1xd/0jf3cPk/QH7J6XPt//P3vlKVxwMyAKgU - BPtoiH2ZUS4xjoGybDyxsx1QiA6rdLxGx4kIAGQlq+XVCDhgOWamnErtSVI2A3IktpvJZgH0n5vt - gw/1uU+GEEYIpdOp6Zlp/N67otZIsUiw4Cwqraisqq6tKygoNJsMOWQgpUzKZkWBFzgO8RylOdJ5 - jmmHgeOAMY1WU19ZVmYzEWllfGq21rMVS5VpdYBAASIByNloyOf1hGJx0VZd09BsM1v0/H4jDAHM - KNmngvyDg+M4hvH+f2eMUjo/v9DT0zM6OlpeXn7p0qVvffPbJSVlAsdjDNv+ubGRB//++385OOV3 - f/BhcXljvk3IN5cixHJoCo7DbrdndeWn9tKaKxfb/vl3XysqMCBN0W5aqzPrVCr4NNT7zLwL4LNG - baDJFDx5/LjyWNHLz524eq6ltdZmt9h2tkK/ee9D/1//wLWyYp+c8vn9Ykm5ludyNiVMkTEwqhBF - IZRCznyfMba4sPB0oH9l2XPlq6df/vp3j3QdNakRJyelZPzHP/jRr372TlJm11+9/rV/+l2eBx0o - CMKKlCGEYIxisWhIDkdo7Pprr7xy9srpw12QyLpWR3oHbvz4lz+Z97h/8c679rL2tuICtVoDNEl2 - ozOT4w/u3RmfWCtvP3v9K2+9duVUoQlElGGJsHt59lc/+5u/+bjvV+/9GjsbzpxoUO034SmIKaBk - k0m433NfX3qoraXpO99++0hLvcBz2WxWrVaLorjvdUtztFJKKWUc9w/O6j+O/ybGf8XU7W9tOX9n - IJQ7R+Vex0CRFCLnCopGo6qooenNb/7umaP1VgsIGBAv5OVZnrt8Ph7jF2c+mZ9fqK6qvnjxot4A - qWxyzbs8vziTSOyeOtV95syZtrY2g8GAEBCS4ThWXV159FjXk2fzHu/GkycDh+orTRoHxyMQeA4j - xggDhnlOFEVADJhCGSWEY1jACBU4C4qLigw61e5uPBAMpVIgqkGFADhuw+/1ete0WhCokEik52YX - TrbVACoBSoDQvfju/PxsNLprszqqq+rMZguk4iAlVldWxsfHAVBra9v169dLS0sFUSSE8DzvcDh4 - nm9ra4vFYjMzMz6/L5lMGo1GAAju7Dx58iQej9fU1Fy/fr2urg4AksmkKApatVhVXtxcX/F0Iehy - exe9gXAc8gRQAcNMtupELVJAIecvXzr84pc7T54yWC0KECzL1WXFwYbKqtKipd2s2x9c92XrCrDB - wAEAzwPHQFYAeOABRFEEIoMsg5wliswoVRRFFEWDwchxoAAoACoRVAwjCkARh5EocBwH+fmWqiPd - 11997eSRRpVKJcsJjkclJQVtbS1Lm6mhSZ/b4193b9kOFVDGEpHw6syz1dUljUZ96eqXTnWfyXcU - UMogIzOMdXpda1tbMBp1+/074cjs3HxNQ4POpOfwZ0/YvtcOpbDfgcSorJBsVpbY0e72U9eef+7a - 1fzCCp4HBuDIs7e3tHzh5Zff6+3bSCaHRkbtjvy8PLsI4PN6picmoqFwfX39yReut3d22vPtlFEO - YU6tteQ5Lz1/NapYvTs9vtXpmSnT5mZ3oVXUaE0FJTW2/FLkDnrWlyPb7kyiFusBMMQTmfEply+Y - VhhgjtsNbXvWV0KhoF7nUKsRUqv2IqEV17IkK2WFxQ1Nh7Q6LTCaSSeHBp/OTE8BgrPnL5w6e8Ga - pxdwEhgDkecRJ6oEi8Xa0tK65XGPjI35/RvRaNRqduwnKHOxI4e1Ou2ZM2fffPX56poGlcmkCMCL - oMIYAaVEoRwA4glQQFyu0C9nM4ndCKZKns1a09hkttlzxVuEwGhQ11RXCxyjahUDIIAopUTKKplk - NsMUQgjgz6VoEFAFqESpwgAxJMC+aUiONU4RUIyQwKuMBUUdTbWvfen62c4Gm80MIs3SDMfg0oWz - JJ7463durHs3Zld9HV21eg3ogAKRBFA0SMbAujo7j3/pSy1dJyyOQgJAZCh22s90HZ443rE7vOyJ - xZfXvA1VpUXWEoADf/pPk7j7ra0IA8IY5fi2hJDd3V0i2gQV5QVwr6/NTE+HQqHj55//8vVrpaXF - KhUvKURGnCBCYUHh2dMnuOjiiCfl9W9aAkqRhmeZJJF2EVJsNrs1r0StBSA5RSoYTQakB0myarX/ - WVKUzzpz2ed/B59qw5oaGzquXD51+bnaurqcOt1qtrS3tjRUVy6E9lY2tzz+jUA04bDoRcCxWNT3 - 7Jln1aUSVedPP3fu0pWW1ibMSRRkChwHQuOhzpeodS2QHF30L86OLC+ddpgPm+wGwVpSUNJQVLIw - vxvZDXtIliGGgMkgE/9m1B/MKgxEUS1n95YXpoOBzVSqUaUDAJZI7i4tL0XCUaPBeuLEaWdBkSyD - oqQWl+bHxkZSqVTLofYrz1+rrqy2WLRMTCMggop35tvPnT3rT0LvzOrK+nqgJq/Y6tRoLWWlxW2H - 62fcAd/Gltsbra50YB2AKIEUiYc3hwfHtjcSep318JH2PKdZoUATe4tzc/Mzc2aztfPo8TNnz1lt - ImWQSoNORwsKnO2H2xrqa6dGlybHx/1eb1GBU2PmQGQ6ncZmtQqiKKr4PLvjxZdevnDpJAJsMGiR - AoyBIgNBjOMIRhRhwoAh4HiOxxgrClUUThT2vzJAcx0hAIgBz/MqMefoBwDAcQxhhQJ/sA/mHkfg - OWCEMQqCUNXUfOFi14svXiw0YY7DDFhNTc3u0aNDfU89LvfGxkYkGrPIBGs4IEostO1ZX90JBp3O - 6rPnLzS1tFodwBBggQfMG436zo72dc/momdndc295vV3tRbxAk84RImCMUIqFSdozpw9d+2Fq7X1 - 9QajhufA7nAIHMpmM6FQyOv1xWKx5ubmjo6O+ro6nU4LjAGRAfOVFRWnTp6cmF6MRKJDwyM1La06 - i4ny9ICufSDy/OwEyGRFymYzmUw2kUimUgAMeF5glGxu+DLppNFYUlVdZbPZAIFMAHNgsVq1Wq1a - JQLap5bnYjWe53ku10TEcmgdh91+tKuzualR4HmOxweNShwAsjscF5+7tO7dDIX3dvfiyWRaVkAQ - 9k8jB5fGCFEwIzwCYBKjMgIFQMEYTDbLV9/+xuUL14uKtBwCjDmtzioI2b290OrKwvzCdCaTPtV9 - qqvreHVVLccDII4hnmF6qLlxw5sYeLzgdW9OTsy0HW/nNJDJpsOe1Q3vaiKeKimrPHr8+Omz5/Ns - WoQBCJQUl1y9fFnNxA/f+8S3vskJIuYFzAOHBawWtWoscIAx0P3cW66mzB+YQyK2354PmMMcz3+2 - juzD3HEu9gOMGDAElOMJwgrCCCEhm4Gqivo3v/ado8dOFBUaBBHynWZgWcrSiWRkc2tjfm6xrKTq - 7JmL588+b7HaADClhBdoSZHj1ZevbLsXV/snx2cW8g+f62osy8k3BUQNIjbxgA2G7i9+6cgrX25o - PazRgYpBUYHz0pmTyubS4JxPIqS6ofnNN75+tOuk3WHQqqigMlVXFh0/1r68Mb2TldfcGx0NNcA0 - gHAmvufzro2ODkkKamrtvPjc87W1RYAoyBR4olKrqmtrqmtr9YOLI2OTbbWFL1/oxHzurilEziiK - Arx48tpLX7p8rr2z06jhADO9TttxuKW5tEhDZNf0zNjm3JJrJRiOKRSwwO0rN9QixyFKCABWqdQ6 - XU6B+qnYZL8t4XMrNgVKSDrFmIpXQzaTTqdSWRmwqMU6K8rlbQH0PGAeR8KRjz7+ZGF51V5Y8pXv - fPfU+fPOPOABFP+JgroAACAASURBVAo8Vqms+RcvXBR47v/8qx9u+dxDg4PdZy8V2fWCCihlgiCa - zWaeF8xmsbm5+dq1a0eOHlMUptOKolpMyzlJPnwWyx5oBgDo5qZncXEuFArX1jZcuny15dBhh93J - 8wIGJhOF46Gjs82/6Y1Gw3OzC1UVddWV5YgDtUpXWFD8pS+9xmHQaFQ5L0JKgaNyXp75uUsXJxei - s8uJZDKTyWQIUTgBiJQNBLcGBwc3N7ccjtKXXrre3l6i0iIAwvGcyWxsb+8oqiiPZ5IlxcXAEpCV - FUIRQiAKuuKi8+fPfu933nZaDWqtNpWWTSZzXV3tmVMnH9yLJxLxNbfXVtMCRuAFHijKrb08IABE - ZRlTYIoSjcZ2d3cVhRQXl5aUllksVgqgAHAYCgrtjIIGEVXOgEDgEc9pRE6nETOYkwjKAuhyHfrs - IIJBSOR5LRJVTOIQBsQIUAYEMYVIkqIoIAj2soqXrl396osvlpWXCwIPoIgCX99Qd/Xqlc2NrZ1g - cHh4uPPICbvDpDPoAAgGjBGvEtXFJfnXrnU/f/lcY0MDCAIA0+q1bW2HThw/OrejzK7GVta9K+ue - aku1RshNJfos07evCKEWk76irMRmNa/5EvNzc5FwVJJKcM6fAQFDsLS4uL6+ZtDrS8vKioqK9nNg - OLfOcgeOp/sHJl7gRYFXZEmBHOedpxSyWUBc7g0RULqxsTE+NpZKJg41N7/++uudXZ12uz2njeAR - ogyef/55SZa3f/S3k1NT+fnOU6fPmEwixkiWGc8hPlcPBQAOVIJIQCY0m0zuyVKaMZAk+eSRo9/5 - 4uW21lrRIgIv2PMdKY2agLwTCS4tzo2ODOt0RUc6jl5+7kqBQ0+IFN9LaLT6goLCM2fO9j3u39oK - DA+PdJ86xSGO40DghYryiu9973tGg9ZmNgLHAWIAGIhcUlTY0dam02o2YjG31xeN7WaJRcMhWZLm - FxY/vnEjkUx2nzzx9be/UVlRLghAOEFiisFoOtzRUVvTZBA0Wg0PDAilHEYqUTCb07yz6uzZs5ef - P1NdoNfxGWAsz25rP9x2wh3YujewvR1cWlouLC7UCH9f5HxAmkII6usaqpzWdLLbbLFxB7mVnENL - a2ubx7cxPj4RjcZCoQihNFeZzHFBPq0qAaUH+wXL7ahsf+/IJXVZMhbzLS5OTU2mU6nu7u7Oo12V - VZUc5MxaEWDUcuiQy5948HR+3e0Zn5xqOdzM2VVGg77r8KHw/PD2VnxhecXcmmwuNu+TD7LJSNC/ - 4V0Ph8OlVadL61qMFh4UGnAvPevr3/D7Kqub337z9da2w1qdliiKgjDGvNls6TxyJORzb96+GwwE - /f7NfItGFMnn78vnGWW/NRhTpCxCiBMEwHjD7+t/0h8Jh1sOtXzjm99sa22zWC0qlQbzPMdxdof9 - 4oWL6XRmcXFxfnGheGT4/IULdptF5BmQLMIS4jAgFRYsKo2V43RACDAFMI/27z8FLGMk7JPKqQoU - Tkkr6WQGYaPaoDNaOV4FGBAnChgQKATkLLCMSuQrysustp2tPUEhhP6WyxT7rNUWHcwOAMfzoigy - xtzr7lAszqv1BAkS4zhRrdHpTRZrc/Oh7pMnLp4/Z9RrRR5xWIMRfL7Xel8O/6lFsCA4zdaG0oqy - osDETmTe617x1VurjUaeAiFA5IB/Y25uLq3IZcVFDS2HDDotR4DjQAagwACjz67x/3nkMrY5NFk2 - mw0Gg+MT4zMzM/n5+efOnXvxxRcdDocg8Lklx5ZnbW8/9MorL0j8+OPhjWXXmtdX1t5cwoASqsiy - JMsyICzoTa+88sXrL3ZVV5fqNIqE9EilweJBkwD8PdeE9pt4qMhDaWnZye5TL750uaZYbdCmFUWx - Wq2HDh06f+589N7DUDgyNzefb7HazRYABhIBBWtEjcBLCCuEAaE5GB7ejcZj4V2Bh+KigrKyAsxR - YBzmNGoNV1JcWlZa/Gx8cnV1ednlKa0o06gZAOZFFUJIliWOx/XNDZdeu3r6fHdTaT1gAI2qorwM - C6d7nz1YCG4HAjuxWDKTBVADKEokFBwdHgwHtgsLC1770ustncf0JsAcgKwgDldUlh1pbx3zRO9O - ete9GzOLgbqSfKsWBIwYUXhE1DyAWt3Sdvg73/5GU2ONxWLgMciKAgCSJH1qmAAAHMZYFP/ROeG/ - i/H/jZ7zXzrYQRkMKAVFkQEYL3CiSkAYiosLu7tPHemoLy8DlQg8BxzPaUSxrr6qtq5ap9cHAzv+ - jU0pSwmBZDLpdq9tbfkRYu3tbZWVlRaLWRQ5hDhGgQJx5OdVVVXp9YZodHdtzZ1KpQml+5sYI5KU - pTmSKUYMMQYUEEN4f0XLdziKCgtNRlMkEtvcCqTSoMhACFAp6/N7w+FATU1RZWWlRqNfXl4NBneY - ogAjjEiJ+O7a2mo6nbHbnQUFxSaTCYCSdHJjw7e87NLrjTXVNQ0NjXqdDgByVolqtdpqtdbUVDsc - jmAwGAgEYrEYAkgmEtvb2ysrKzzPV1RUNDU1mU0mQsi+GYnAGSyGiuL8wkJneC/piyTD8YxMAKiC - lKzAZDVIeg0+euJEx4kzzjIHEgSFgsjzBpu5ushRW17Cc/xeWolnFJIzzJFlxgBjyCETKQDKySAQ - O9gfKaWAAHE5L/2DLkuUE6PlghtEMYaS4pJz5y40N7Xm5+t5nud4rFIJWrOhrKzI6XQCZclkNpnM - EAIAKJFIzM5OBgJ+jUZsbWktL6/U63ke8/vvLwiFBQU1NbWiShWNRr0+XyqToQeVgX27SzgIRXLz - y5CAsIg5EcHhttbukyerqqp0el3ulVitLsov6DzcUVxYlJVl19pqOBalgBRKvB7v7OR0OpGsLK/o - Pn26sLhYrREh9+acgLXGypr6xsa6qjI7y4Z2tj1b25uJ9P/N3pv+WJamd0K/513Ocpe4se97ZGRE - bpVVmZVVlVXV1VXd7XEbyxoQAo+REAKJD0hIfEPiI/wDgwZLtoGxDQKPNVg2XtrMmPa47elut+3q - rr1yz4zI2DP2u55z3vd9Hj6cG9ndGATSII2QfBVSRkqZN26c95xn/S25iiq10ZmpudWBgcGDveet - 052iC3aAc2fnnU/vPculNre4dGnlEoVsd2uz024XHhCBwsHO8y8++5QFk7MLq2tX0rSC4Lqd9v17 - X7442BsZGb72ys2ly5MmAiAQX3p3GItK1S4uLE1NTbfb7RcvXhweHTvv8TJDk6T1+q1bt958880b - N24MjYxGMUghimEN+syIH3eb/YtptKolUTU2HPyLw6Mnm9t7hxenbJEMVHQltdYIQNpqrY0ChQIc - bBTBmJfwLiIp2akEUUorFZEygZlLDUAEIjZGGWvn5pY++OAbN2/enJiZUrFRWhtrK0lybe3yjbVL - sTW7B0dPd44zAStABD43XNSMjFWiV27efOu9DyYXlpMq9Uu5NBqbGn7z5pX5melu7p7tHBwen16s - Wy+kkEvQav/uVQpKK2W05hC8D5DSyBRwfuPZs/v37+VFvryyfPv2rfpAFebClVuhUq1evbo6Pdno - dZsPHz3d2T8nhTjSlSREFr1esbt/9vBR1mxlYAclcYxKBQMDZP5fLMgELy2UfnoFdpFGFWhpcfFn - fubr165eHRoecQATkkplYnLi0uL8+MiI62WtTq+bFwwE8OnJyacf/+hwb6dWrb51991L69cHRwxB - CZihBLY+Ore2tv7qjcujdT493Hq++fT4rAVTQTo8OnlpaWVd2J0dbR7vP/NZF+KEsbF9uHNUJPWR - tfX1uanhw/3nB/u7J6c9LwCk1T79/ItPj46PKtWB69dfHRubVBqkaWdn6/79L3vdzuLi0huvvzU0 - OGwioyGCAiSVavXK+vrk1GSR9Z49f35wcASJYKpzM9Ovv3E9rdHB0dGXX26fnIIZ0D2o47PT/R/9 - 9cfNUz8yMnntxrXB8bpn1+u2t55tbm0+Hx4eXVxeWVhaiFOQLqWpkETJ+NjY8uJiLU2PDg/293Zb - zTNAwEHYC5hITc/MfeNnfvaNt+7OLy0BsAZRhBLP2O+LVclA7PMQFZEiRVRatV/oizHD+/JJh+r/ - PxBpGysTCfULScYFCkajH8+0fu326++999WlxfF6o6G1FuHBwcbs7OzC/HwlTbO86OW5Zyldk/e3 - N+9/8XmW9SYmp65cuzE6XrfRBVpDCWJ7aXlpdnYGQrv7B/svTvrTVGJwEJGBgcb1G6/cffvt127d - HhpOrQER0iQx1vay7OnTpzs7O8x88+Yrq5dWqgP1vsMJEYCJ8bEr61eGh4aardb9h4+a7U7oKyP8 - xOi2/HncV/wom50sywnK6H7VH1nTGKhHkem0W/fu3dve2e32wAJtkMQYbCRxrHyANlppEvRl3ZRS - IQT2vnxI4iQeGRmdn5+fmpq01gAiCOUlrVTTpaXl+sCAZ251us6H/i/RDw/l92S0Nrr80IHgy6+R - kfTGK6+885WvXL22VqkisrARkiQCpNk8fbbxeHf/ORHfuH5jcWF5eLB+gQcigszOTq0sr1TS2tGL - s2cbW728KIAs7x4f75+d7HmHhcX55dXVqclRayEKSqFWi6+tr/29r39tdmoqtbEixQxXRgQNAx9c - 78JyRIEuOrCXvwn6KVtppYyBQgmigCKCAiN4JlI2ihjM8EQO5JiDomhl+eqbd977yrs/MzlRjyIQ - IY5VnJA2fmd349nG01arNzOzcu3qrenJ2Xol1cowOyJXrUVry/OzEyMAvny0sXPaDQqFA1yh2VUM - D1cwMzH29lfeu3br9YF6GXmRVpLLS7OXZkZqCmOjI5ev3vjqN745uzCapAARIj0xUru6fmlgcKDV - zZ9ubp83O+Wv2mqe7e4+39p+llbTtavXFpZWGgMJBCBVhvqJqcnZhYXRicmjk7Pdvf3m+TnYAwxf - sMujyKZT07fffPvW62+OjleiJCZSpGl8dHhpcX7y0srS3EytWj04OGx1M1EXqjUigLAwcwDIaGsN - 6OKS98e3FxAwdRECIKJKlrIIhyAcQPAqKlRaZkwjsPAIxdnpyY8+/qTZ7k3MLr7+5t2lpfmqQXkT - Q0VIa4tLS3fvvLYyOyEu39x4dnh41O321UlLHJPWanFh4ef/jZ+/+corE+PjWpG1iGMkCdSFj015 - Z/a5kBJAYW9/89GjB512d35u+c6du+NjU3EcE0ERKcNJalZXFyenRwuXbz7f2t87Lp0ytUKlUlte - Xllcmo3TSOnyCgkkVCrx0tL8YGOQmc7OW0XhFQHgbqd9+GL/6ZPHIphfWL58eX1sdBS6tBqCMTQ6 - Gq+uTt+4sVqrpOVeNbAws7LmxrWrr9++vbq6OjIyGicptI7TaGJ8/NUb18eGG975w+OzTg4BFOn+ - UUgABKo8CyZIEkdJkhpjO53u7t7BxuZON0PpnZAmqFQQWU2l9mppOuoLBTEmgrJZUS5EyqhPDHG+ - 0KCYtAE0EWklZXnDfTYDKtVXb91+4627169fqpX4aghpmp2ZvvP67ampyazXe3D/YbPZgpDWCkzB - c/Bcqw6sra5985vfvLq+XhkaRMnmsCZtDKytra6tr0GpvcPj5zt7zof+9EppBeEQ+nxkgMC2ls7N - TF1aWUmTZHNzc293t9lsCRCYszw/Pz9/+vTJ+dnpysrK7Nzc4FB5bwDlpKekHpRnepGhtIKicnzi - Wcor0ddYg0i33d56/vzevS+9c0tLi1/96lfnZueSJAkhlLHaGHPl6pWbN2+Oj4+fnZ5uPt88OzvL - C3dxT0JK1HoA+/KxExBrA6U4jrGysnrnjbt3796dnBiBjcGSpqmFcshPTl7s7W2/eHEwNTm1fvnq - /FwtTQGUAli6Wq3MzMzOzsylaWVzY/Pk+LQoCg6wxowMDV+9dnV2di6tVPuVV0m0r1RGR4YbA3Wl - VC/LS4ktj9DqdHb39h4/eZImleXllWtXLw8MoC+bTpRWKlNT02vry0vLUyYCVNniESCNRvLW3bdu - vX57ZWWqXq+pkr+TJnOzM5dXV9M0zbLs9Pzc+Z+s+H5qhCsXiXSg0RifnVlYX2sMDWpjysZHWJTS - Y2OjExMTpKjb67Y7bVwkuFLkuv8+F6T1kr9ljFKauD9ZgzYgovPz88ePHu3t7mqjr12/vrCwODg4 - yGDq186Ym5tdXlpOkvTFi6PN59u5Zw/Ua9XbN64sTgwWvc4XDx4/3T/p9u+NgKK3tfH4YHfTh7Cy - dm1q+SpFgELncOfBZx+1m82R8ck7b70zNj6hjemjgxW0pqWlpeWlJeHw/PnWs40N5nJI+NKYsz90 - /r/EivUvPnOn2dx6vvXgwX3n3Pz8/LvvvDs9PZ2mFRvZ8p/FcXR5be369RuTU1OtVntra/u82SyK - AvAgF0LXeQeVMNUDVyAJJAII8BoeVIByKI+L9Rg4QrDkVCg4sLAiikoNKabSQk0YClBirarVUm10 - 3/T2J0/p/3T2F6/x8fHbd17/B7/0S7/4D37x5775c1//+tc/+OCD9977yvr6FWb567/+mz/5k//9 - X/yLP/3kk0+OT06YYXSZvuTigvRnc3IBYIHWqNWvzC1eXl4B8+bRwbPtrbwoSh1XFO5wZ+fhgwdi - 9NjC3KW11VqlX9QFCQ78EzZx/w8vupgal6Pbra2t4+Pj6enpa9euXblyJa2kL0dDNo0nJ8Zeu3Vz - eWkpMDY3t7d3dnOXiwQiIRJSNDg0fP36zTfefOfGjZsDjYaOjDYmSVFmopdX7W+hCPuG1dUKbt++ - defOG6uXRwYHq1FktVI6SaYmJ69cuVIfGCgKd3Jy4oNXfdM3bRFZZQkslJPqVwQKttfNu+3MaFWr - pfVGqk1ZlmtQPFAdGB5qeJ93uu1ut+NDmYEVynPQ1GjUL6+vvf/BB6uX14eGKiDAwAwMLC/NT0+N - V9L0/Lzdy3woW2dfnB4fffbxR+3W+eTE5O07b07PW/sSFaEJ9er8zOTi4gIp/eLkbGNrJ3O+zIbE - XrGLLW7cuHH3na+8/sZbY+OjURyRUnEcx3H8t06L/k709v8vr/9vULflVPbiW/XTT3V/3a8BCLxn - Cd6mJkpSW6mKxuLy8te/9sHIICjgYogCgQyNDM5MTs6MTextZ82zJhMc0Ol19rae987P4iiemZ9l - Q8dnzVhHYA7oeOooK2laNbCu3W2dN5mZiaEKaN+lTsd1mIWCgVMqKAIRWaOMFwuEaHRwYnp0ZHTg - weGL/a3NvBU40qx6rdbps+0XR5l972sfjB7Lg+ftJ8/uvTjYbxZcjSPv8k7zfO/5pnB1fGa2PjxS - qSnkOrje4eHh1vbeK29cHhwZZcHpeVMpSuIEgA+u1+0NDg6OjAw7V5yenJyenMxMT5+enr54cXB6 - erK8vDw+Pk5EzVabmdNKGkJgdGMJSVofHBoF77RbzfPzEz89BZ354PI8EKpDjfSV669eujSaomzS - 2aQRrB0erS0uzVafbWgYqyNNGsx5VkjaIAWr+j72UGWcMYhjpTWBlYA5eM/M0IC58KMJHDSJF3FB - oDC3MP/+B+8PDsUs0BqkLSRAeGioMThYhxJtlTbKB0Ajz7PHj582z9tRNFBJUzD3uhI4NyHzAipy - H4K11nvf6vWOj46987iYvgGQchZ/ceeVLXhkbZomaSVev3b98vXrRscerEVFBAirOJmfX2wMj3vZ - 3Htx2u11Ijjxne3N5/c+f+xyPTW9sH79elxTDGilLjBjVKmY2bGhtbnG88e5y863Dl6MTU2N1Kqm - NrK0vDY1efrDe/d6p4dF+8RXosDNw7OTT55sm6G5W8vjlaj62Uefne49zXvtPIgLsKI2NnY//uRL - E81Mz80uLg/FWtDLO+327vZB3pWp+Znh4boyOD0txDcHQoGo6Klux1gSValUGvUBQB2fnu8fH2d+ - sWKD0V6TB6gxOvkz3/z7127cTmrDUFYEQUAaUOV4VytogdJQcmE7a6q10ZnFxthM58mTx9/9s+Hp - lQnKpt95izRsnyeuggKAGKAoiqNYK6u0JGmijf6JNScjBAiRNjAW1kLrEBghQANBYlGpiY22M3ML - 7339G42xRoAo9iJstFBip8YGJ8cGKrE+PTl58eJE61KHIcDlIXAcVWaHh9cuX11dXSnDVll+gAMs - 1q+sTn+2x/dO9l6cHp+1fir2CEQJk0if1EQG2pDSipzLvHdxmsQVaBj0st2NZxtPn5BCUk1tErXa - 7YoKhVY51doBqtWt1kd0OtTsuIf3H1ze307sSNRo1IfH643G/c2dTz/87rfnq8m71wYXBmw1ZYLS - MD8mFgl+uuJ5WV7IS1wwXQg8XEwDIJqgiQyUmZicffXV15PBEQMVCq+NgQ2o0Ozc2MTUJJ4cMlLA - WADIz0+OHnx5r3naHJhZvPbqtYEx7QENq5FoWLCCigZqyfqlqZlRdbDd3N3ZPTlzUBZI6pMza+tX - v/PxZr6/s/3wi6uTEeq1QuHB1outkzAytfjeO++cnOzf/+xH+3tbO4eHkxPzFa2yk86XP/ri+Kg5 - NLM+e2m1MVq3ClFkz49Odp48L9poNIZGpiebeU+ddyhqB9+NQ6JznaT1SqUGlr3t7YPDYyiLKJma - Gn33ztXvfv8vXpwcfvqjL9ZXb/lxxMYBncOz07/69OFZkV6ZXLxy+fJk3Wjf7madg6MXh8cnqyuv - pnHdBzQ7UAKj4HxPUd7tuOGhscGBxs7e7sHJ8XG3PR6Noci4fZY3m8K0cu2Vf+/f/4+WL41UQApS - +neoUtOLAOj+KZGUUVIE2pAShP56BQDgPHyBWEq3IiiAFEgbG0fWWoK+wFWEELQAZIQ0SINw6/br - r9+ZURogq1VgBEVUTezs+NDzRlxYEg5BSk0v7Gw9//LzzzQwNDDQqFfzHNIFO2HXrEkXpOO0GqWV - Ivjj09OzZhMAJFDwEPYsA6Pj77z/wSu3bs3MDZajKCXQShS46J4/vP/5i/09o6NLl9Yrtcb52Xlw - 3ujI6og9a0L9YuS6u7uVFz2tYBFrcJnlEV7GhQLiQRTbijGx5C5KqtUahIFQ1GrVa9df+eiHf3nv - 46f/9Lf/SScktcROTEzqxLIBEXIBe6RRaXAK9p4UoJUrMq0o0jEgYC8+kLVQmoPPuhmL09b4gG63 - E9iJSAjc6+UCpQ28B0okXSj52VBxDCjAIQQFVWqzzc4tvPXOBwvLa/VGucXrS7sxc6fZPNje7J2f - GDU9Oj5OxrQzF9qZ0kE0BzjSSZJWlULz/OjkeM/7HvvI5d128zRrNxVhfuny0MRiOS22BGMAQTQ2 - tLq2Pj4xXUm2C9ahYC4gqQeCC3mn1wnMigypvpDgTzjQsAIrKhVgyGhNAIuwD0rHiqhErSil4ih2 - 6Ai8FqYQQhBR0Rvvvv/OBx8sXlKtNnoF6hFYvBFnld9+/Pnmk4c2ikYmpgeGRk6b3SSJReUutIwN - ETvOMzEaSh1sbrVPWxZweQbuBBHoJKkPVmaWVq9cGx+HlPL0AnCo1+LBgSitYmRpZWXt5txUnJQr - D5dbCjZO5mdm0rTS7LWf7WyfdrtQAKN5fn6yv987y6YWqnPT4871zs6p7lpGcyvPz8FUGRyo18dH - hw+2tzvt1vHxyWAyCE0I7IJUqgNL86urq2uTUwkIZACIsAcRrIE1k5PjYyPDj+6fhoI19UV2wAxf - hJCzBKGyFS6H5yXSEYBV6O8RVSnFLxpQlKbEQGBDHKsQGQSWjJUHvEAKQHvk+cl5+/nuC4qGJmcX - RsYmKiksoAGlEERrKFSSqdGhSwsz93bPD5pnL85O2t3u5FBdC3EhWSvXEq1dvvbv/OIvpfUGKyqp - UQqo2H5q8TkiC6PAHgQhCkBxdPB8c+NJUfjG4MT42HSvl4ey9lNSsmuqtRoZc95qHR4etVqtl8hI - FgdogSGiIofzALe1tEPR7TnKgrScHJ21fWDSGiHrNk9ODndOjg/qg2vzly5HtaGgBEHDKAkIgUmp - iPoeBlAEa1nEB1bavHrr9uX1K728sImOjC6Tfr2aXlqaH27UNg6l1+u5UI4UCKT756UYpGBjeAdj - aqPVmenZ4cHBj7+8//1/+Z2xyan3vvFzI1NTkUESgQAJgFaABhNnWa/X885HJo504nJwDPTnLyLg - PMtZBFp5llLbQZcQBubIRlrbam3w7ttfWV29rM3FJSMFosFG/crS7MLE8L3Pnz3f3esxiTUMeA6h - 8JK50cHxS8srr995I6rwRVtFEEbenZscvXxpBZV7Z61s//C4CNJfJ1vSCOKdhKBEERAQozI0Olm5 - /er65rOdDz/bePpsZ+VFGB2FeM5bB/u7mxvb2z2J7t55e2p6phqj71FF0cstcfBeNAGqVJYXQFsT - AmVeHItSiA2UhxJIyM7Pjra2tx8/27I2npiYvXTpMhQ4sAsMA0VEGiMjQ7PTk/NT40877W6rfXB4 - Wm2MxZXEGgjDMywhBBScm0gpiFKqUa2mUVyvR3e/8pVX7twZmwEEyISdp8QokLiieXzcPTtVjKmp - 8cGRwVYHKuSWOtqYbi9nUT5ItT5Yqw8+evykeX7a7ZxXEx1Hph/9wRK4yDKSYEgU2BedVuusUkvS - ulVxYis1peFd0To7a56d57kbHZ8cmZhQCcRABOJdZIiUEYXCwzOsASkoQ0Tgwjdq9a998PXLq6uJ - RfCANiAFKYar8eTYSJykbGKGAvVJhAFQFAEO4gEP8URRf/kbHIKD0gAVgUInK5wTEW1t1m27rE3g - woeuY6/6OFBmGIhS/TKTSQG6HG1Za5XSIeQsohQiAw3VaZ1tbT7Oeufp0NDY2LDWqtPNQmhb7RP2 - sStiUkmaKEVnzdPT0xOlFSlU0nh5bXVuapz48ycP71/e3Gid3xivwih2zt17tLG137RpY/3qtbnZ - BgVA+dA+2t184p3RUVWZtNMtYvZQxinJ2Ia8m9hooFbxzm1sPn+ysSU6hpay9SxBwlqYcPGYoN8Y - BgIp1omGAB0uxQAAIABJREFUALk72z/Yfb63t3tmbGN4ZHp0bDJNY20Uw7N4FhKoxtDA/NzU6uLc - g/v3e+1Oq9XrFhhMFEh5nxdFAWMgKJxnBkrGBAlKNhZRv7nqQ4qk1DUAxLm8cF3vgQBlHCDOQyGK - bAWokI68F5/lkve0BFUGkJKCVf5JGqqfosobY2FhfnHy3/y3fvHfhYoADS9ZkHbuHj/d+IM//IPf - +PVf39t89Fff1yPD47X6SGNgWKcIAYCQIio/1cvVNxGgoA0qlfnlpavr5+aHz3pH2webT8KtWVQM - VIKi/fSgdX9jJ66tzi7MLiyh5F1CEIockRiKVR9ApXChYwFVbs0BqBIPdsEKYPF53js7Od47b54z - zMT0wsT0/MDQUPAcpGwzBUQURbNTM2Oj4yR0sHewv7vf6XaiOmuNyEhsMTU9+c777y+srtUHUoiH - N0KiTGm5c8EeuOin+qMoBokWaE86rdPdd9+8duNSJQU8CClZQLhe1VMTI5VK2tMRE6my94SHjYyt - ikQhKA5kdJ/TpRU4iPdEZBkI4pSS/g/2IcDAVKDTKEoraWpICB4IkKCIKlGlUhtbXlhZX1uPqxZ8 - 4d6jidJkYmS4Ua9tN7seXgjCgZw7O2s+fPAk7/m5xtBgY0AE5114ztLQijgzUgiiWnXIUJS1emeH - J5qDhQHnIo650BbvffDBO+99MDxIMSCeizxLkkRrpZUhUn3ckDBINOmfxi3/3yCZ/+71r/v1rzq6 - pXLnfIGDBMxPv+eP12SKIYyIFEURkPd8OMtdoQFtlATL0AESgAglnlNpM1gbWJ6ayV/ssStenB9R - Yzywz1ttW/hHm8f/6Fd/uTb8+4NxzXhErILJcnQoCt3z6On951IMuHbmfO6US4yDP23HLVVVxkaR - r6giMiGBCMQosRBikEIxMl3/4BtvHf/xR62DrSef/s3ozfl6LT842N5v+ma0PHvlvdGz0yR8vPHp - 1s7Wxv2d05WlufbRzvPHj86P9idW777y5uumrpmC4sL12t653Mvn95/86n/3m3/8z74VfA8Eo7VS - Sji4wp2fnx0c7ANwLne+UAoAh+Cdc48fP242Wx99/LEAIXC5DInQsdw5P9q+f0To6Mh3OT8D6jBd - sUbMYCFThXcaOgEigLQYFvEZURFijmo2jkwkhNyBFTTFaeoMfJk2CJZAYJR7WDGioSloQIMUDMIF - Ox4gAlkD+KBMIBtgAgiK+zK0CBAPCWBfq6WNwSoSIuOYinKk4T1n3SIU2Nrd/W/+4X8dj/xBLdFa - cs05A6S0D3ze7uzt7FZr9azTyVptzjlJ+rAW6gdo6Q8kSeA8FIHICTtlchtHQIQQi9MMBA9rBkcn - TTLcC5VORnnWZhwYX/her9dSgevaDkSViif0WHLnatZoKHgCqcGIZup+gI5azYMHW/vz1+8umqrx - 7ZXF1bXFoz/i+5v37j+9N3pt6npwL140D+8dnKzfunbllfXFmi02PvlsZ/P+vY9r0zPD6dDZ/tnz - nVarG1aur0/PTkKBKATfy7o5+bh7pg6aD/+r//K/sOND9cADvayRF07bjo06UayEuqdnxzt7IUjm - uRMQFAE+oiwxTMqwrkf1KZsMgyol0s1SP4cJfInTUND9qSCgKMBWouGFv/dv/4fNyp//zh9/54ff - +q3tv/rT35ucfv/9r9+88/bKlStxFSnBABbehcCBmCKG72VZCLlC3PcGBkMb2ApFeQHpiffCxmgL - BScQXeUoFutdyMQ7Y7xFYclwbImBAsHFiRpuJGNDtWaWd0+avgtOABVglBeTh9SqOiHRjL7KUlkk - 6IBEp4N1k6YI1O65Xu68IOpbrqqSLqwiFcgHmOAKraACu6IbpSqqmG6RRaFW04zgjMu46GV557d+ - 57c//MF3KghxcF5VKR7qBoPukc5P9p99EVQ1MqoqTcWAMss37/7Sf2Cz3/n2g51Pfusff/m9Pxy4 - ubrw2hvv3rzz1anFhVqtnLI4JiFo6u+w+sHxZZFRojjLT9xHOAqBFZxnVkrFxqTaVshWBNpCUu0i - 3wa3EWUmcSo20DUnNQ7aABEKyXp7m7t5jwfiSKdK0vLQtUFNgygwQrBSjNTRSLvi2js7+4cnISdE - pOpzk6/efnXqj77rNna//N733n11vphWR3nxycbOXhZfe/Xu7Veu7T3upuAnT+9/ubFx+9X50EF3 - q7Xx2UYUDU6uXK5OjugKBI5Dx7d64dRrxj/9X37vOx8/DoZj2410h11P+YRC3OrKg90jFA6uKITF - WNJ2aKh6c2F0pSZ7m3t/8xff/dpXf8GvDQIFTo6eHhzeP2w3Zu+sXrs9OzQ6pgCfnbksQzjv4ONP - vtj+h7/y2//kd0un8ojyVHsV2q5dHO0cnuwdh1i5yPbSCCTQPESupsiYxOmGpwQBEXINDcSBURQc - RUqbMitxkOC81ybSFDFRyRl9WdJBPBQQGVBR1pHMDFLQUa+XFVnXABbwAS70rAGgUHCgWHQCZD7A - B7AGGAwibRRCpELN5BU+C8EosGc45lgZRVpC6LVaf/Htb99/+DgaGApxUvXtqmvWQjso6ok8291t - 51mn1yuKvLzntLBwEG1CbEMUi+3vWTXBsJB4UK58K2sd+zzf3z3+x7/+P//e7/9RUomC9yRWI9Ig - gsu7rc8fPGrmZIfHirzNHiaChtIMuH4XAuVF52UEUpIoSgBbBPGh9Cn2Q8PD73z1Gw+fPH1+/M8f - P7z3m7/2j/7iT75185VXX3vt9bWbt8YXpkRDxcgDBIgIRimDAHBslZCAC4ggBGJ2hWwdHH766Sef - fPzD/b1tBp81T89aZ2fN7PGT7drAuLFR2VuULG9Bad/kAwcloRyEgIMEJQxAK1u1lRFEdVbgAHMx - XTIqjoV0lkeueLL17Ff+21/97d/9drWixHe0DqxswSRkz4/bu7ubhiJfzIhvGlUhzg/3dtrNc2Mx - OnkpGVgsR2ymZARRRso7UlmhvK9EcWREx4IYAEQ0wRqGJkTapCKK+yBwgAHFmsSQaECJKBYK0IaU - 0ghEArAopXTfaw8GMEFVVGx07FSUGZNrcQaqAk0QwFJspAPXivITyVtZnn/r23/64aefDA/WtRaC - FymMZgXPIdvceHp6XkBb3WqGNqoR4F0eJNeDHTurzKBXutzcW8B6wGeQnLWDRSAbpNJvARXiiCCE - YGPEShkximPtLPU0YkGRF9zpRQUefvzh3qH75//r7w6okHJGCD2iHpFElb2D5sbWUdHLSEkQBUSl - PkSgOIj1jkhsWS+AMsAzRLTp4zS1jm1EcRIzOEcgWDLQGiwMx+RtZIJwN0OlAqXKokkLNP9EJAc0 - xIIikC5V8SLyCfcoh1FEJvZlxDAAVC9zJ638PAuhFkVpLUriSPVHt6VAR9n3GsWV1JBBK+udZJ1u - URCDGJbjiNOUakZVGBHbCFqFsg28+FICmIvdoTVMpKUH7vn8rMi7nulb/+zPv7z/xBhYK0rpEIII - CpKM/ZOdLRdCL+9lecd7F6sA3YuV8T7aPTh/8ODxRx99srO9VxSH7fZmr/v8uBt/9CDvYJEqDYaB - C6AQes2seeiKZhppOziMuuYYggZJTmSsZoEq9U3Y9cAZiKPIKhN5VnlQoqKkkpTTjfIBjLXUUqvY - IyCNrSI4oMeIYUkZVgBIsfjMGVWKauPN199stjvnv/Zrz558/pv//S//wR/+/vort964c/fmjdem - J8eqlTIZR4AFGWilSGvW1hvNEIA1SDFBDJQiQ8Z4q73TTFpYFX2umvGeQ1CE2JqKtUbbl6ldgxWC - q0s2N5gMN6qnXh0W/jR3Uc2SkljrQZuYzLte4ZUSTRFIee4zGSI1Uo0blRRF6PRcu+eKPsjCg4JW - XiMoz8qTADl0hIZEZzevLzz6yHz44emHH90fudy7em0wVlScPvnyk78+bjYr05ff/sYvjIxPljeJ - METiEjNj4EmzJgPWYO1BQSEoEhNJVGFtyzljrEBwkA5xL8uLZobp0am0NoIAyfNgtIljVprBIh7C - qaWpweqeFN1ma2//ZHzBjmgEKW9Y7QJUhEhHCh4olwtMXpTSSb2GSpxpVAQ2Vops8F4ba4Wk0+V2 - 23XwL//82x89PPjN/3HQSjtCV6lIVMVL5Dw/39w8ODhgRpF3XXYWmSqUhzAc7+wePnq0+aMffXS4 - v99unvui02sfnhxuffpo09VXB0id9rLM1apA0WlnvVxg6sPjlcHBQkM0IoVIWCP0N5UBAXAKGnDI - XZ6ZgEgZo21gOCA1BE4gHYS8YVw9jbwgp4RsXIYMAjwgiCMCpIBkEK0pVtCmrNXzbtHJvni298MH - zz766Ee+6Lms22k3T0+P9/d3Xd4RrZyJc42ifPwNFHv4DCKkEtIRxIA0SPsQREQbLZASnEsQCbkv - zkm6jx9++Wu/8sv/0+/+b0lqPRdGcxKyyHciDrsnfv9gV6KhouiIFCHEQECtsnJp5crqxl/uvTje - fHS0+2x2fiw27qSb/+DTZ08OUB+ev7S8sjiBqgLyFronvfNu3ov/4nsf/qf/2X/e0N0atYIoSuoF - xb7b1NlZa3+TXdDGik4LNmALRKSsBil2JhSaAyDwIWgWUgwIvFAegTUI7GIfXCecn8r0wkJ9YEYQ - BwbEEQXSLgTkTuIoTWMaqZqUs6LTOT3vjbuIoZWnJK7UqpUyW2kt0IB4FAGlPBa0iOK+Oksp5t+D - FhMpYyCc571m+7QtqY2MgxiYpBASZ2ITtzPa2z10nU6VqzViQ32/b82BOIAUKILVJchYBRgNsUZ0 - PUBUmdDJJJFSxl5anP37P/v+VEP/xm/8D1u723/2nb98692fv8LIc1hFylD/nQOXiIE+PLWE0okM - zM2urzfHIx82P9/9NOq9d43rIwh280X3s4Nir0u337i5urTQtxJkdq4XWRWTUqWzLliCJbEIEAHb - ILoHaIYRsRERMVB43zs3iRg0T0+3O70OTK02NGMrg76E9Jd9GudEATCVqJboRAo5PTw+Pz8HQUiU - ClZ5+A6Z6sD4sB6oiUbIjKZGFEW+jN8KXtiUVIuX4ykPeLBTBeuMIq8JkWd17riRKBBHYECaFdsd - qGsBOxhlI+iSulGAuWDl2GpVs6ouDpYQa4jPJiemZuZWfnjv4dbe/tOtZ0mjFmsoSC/rPn6+89G9 - 7VZmk3RoeKBhxENyUAErmhR5bUJsEBltcUHbgRcoD2MqOoqtgvGwjhWyIku9MJsQovPT7ve/94Mn - /8l/3KsPpdyMuZlyK2KOPGdnZ09O5eygN7MqkXNRKGJIQM7IJSJbIa+Uk/IZgSaVJjERQ5ioP6wj - Ih+8wCuV9Bc8/WktU1nV4CKd/W1C6N+9/nW8/tVRt0wIgEfpnggjPylx9xPHSwxxIINSiMex6kHn - CrnzeadtBTHBXqBIPAJDIq0Ho0oNBiF0im5POc+uaLds4RVQsOuqkLIPPW/EhJiDJucktpV3Xn+n - QmOzl4drtUowwaEQk3XTjos8SFufWp8qb+EZOlKlZAJpUKiPxjduXvrT735+drz/8OMf3JwnprDx - 9OFRl/zgtcnLb1dbz5KTx3/Gp3t7W59tHo0szO0fnDx7+ICz9uTU+Mq1q7oGJlZSEOciEmDyoPOA - 3LOwEIRFFBGJkMLY2OjIyPDKyvL6+trI8JDq69GRVuS9z4o8LwrSmllKKFBgeNjq4Nza6MiMHrp1 - dWVsOLG2gGoXEhwN5DLl/AkxWXZaGwuvlQAByrvIs2WlYIUMQ5cR3OqXkhmGoCUAZfKwEBdEwIWS - kpunSvahVf3hk5MQCedMToyoGEoF8egzlD0QShKUNdpYAnKhDOTIAOAQOM+CBKPB4gO7whvtuVDk - ASWBRVBJ0zfvvDE8PLJ86dJQvWFAdIG1vbApk/6eTVASgYTEieREPWVSqBoKw73SpwVGJ7WG6JoU - aavLRd6lcKyDD3lRZLFCQ5m6aDhAAKtMgNECiEXIEs4aOG2oTkZ5O6hzVcsIqUrnpucXZ3drNj7Y - eLb5sEFfnW6dP9s+3t3vFpfHV5auXH0lzZ4PhvtPDh98+cn4jTvzY42traP9F5lQurp+dXp2SikQ - WMSFIhQ9kqIWV71wN0gunIBTKYa84swXGXesNvVqZWRldWF17cqNm42JSUQW8IrbwXVIGYrrbBpM - dQAQpYg1PPctaoVKNM+PYZ8B7GASDMxce+tnMxqIiJ49ffZ87/H3vvzo/Pz0i+cHCzf21l9948Z8 - bbYB+Jy8d44dmz6BVLxCrABdnrUyQOxY5UChhBU0KQKBFVinzkSsFSmvpCeSaWSERJMVDQ4IOVSo - RFyNle0FyZzvgQNgAiLNKnZStVSXYOFg4n5TytxTcIhgagnFMUR3er7EDwEkjJJKKEAgz+QJxkDD - exVYUwA5IRZNXJLWg0PWZte1sXbku0WuieCZqdDSUWwYGvHo5Orbc2n1tTt31mYGDABRjdn1974+ - 1BH74UefP3n09Onje/tbm4+fnzx8dvTKq3dffe3q2FhSqwEXzkIhQBl9wa2FXPhWXYTMPjkMQoAC - WVAkZIUiURFTHAANrqiCQgvUgWmL6bEGVBowQGItguWu9Hrd8w6CsVEkETuLAEQCEyKSEmtUWCoq - upeqUy0956Rw1QJgZe1oY25hbm5oeP/B6dann56fvH+S0c65f3p03jLDq9dfX12erDc/n25gf2/z - 3vPNTgE57DSfnZ49Pxu+enVm/aodAhQYPfIZd3NqSxVVwLbYMSQUBSQzXI5X4qiSrl+eWE+ra9ev - jk1Pd6AjMnHNXpqo3poaePSo92Rjc3f74OxENSZld3/73tbuTocnF64vrd8cjrXxDJd518spBAWr - Ygm28F50EVQevARHxicRormZgaWJJTVSG19a5MEBDzEqNEIvDh5ic1VzSJSQYQflIaTFkOQECxEX - HGkqBWI8S1BBk/3xpr+PvAjQ8uO/U6lMQhDlvUjwBlACQ56J+9q4nhwiJgvy5RSj7wUhqkSTRCoM - WFdFsxdSTX0HcEAFH1xWwHnDwcCB2+x7Pngh66WWB+eNTMzPDy9enZq/try8DAbYQTi2Fto6ozmO - gyF+CUxnBhfQuZWu5p4WAZtuN6S1QDEHATFp0UYpjWDi+MYr1zhtDM0sj40OGHUBc/AvIbeOqevR - ixAgRCECW8AGKJbS3aNAmgzOLLz91W8WVPv4088fPnr2+Q9/8OL55tajRyuPnq698fb89deWRlUp - 5kMEU8LQWFTp6Rw8APQ6p2etH3z25YdfPnxw//7h/r7r9eLUnLWPW92TTs93e91ag7S2pEw5ABLg - Yt8cggTVx7sQREgMQUEUVCK2zjoGQBqqFMAQICjJXGg14yCxBrTqCZMr4LsRmMUUnDiOKzV79+07 - A6ldvblWr1CsPKPIu00EsVFqklGnhx2QAhF1FIThlGKnVMHWhwpYxxQlDIQMKgQlrFQgDdE+kIgS - UEAAqz4HJjj2BQmIAzj0OSOkwCKBRYQA5hDgNchCIVDkNcQ4HeXGFoYLgKKXmpMaIgjdOD9F0XbB - FaS9RqGchtMsSsR5Zgqi1fjKytjqCEUT12dmKiIJeWjH2nZp4JzYUCNoU7a3RoBQwk+KoHLWEB0x - VV+qayjF8IA3mi2goOENckMZQRkKHFTmEodARRQ6cB1nFESYpQB5pQAeHR4cGRlNouj6jSuVgUHo - UlEhZp0wrCuIRGsqBSd6AEETyAIC8SBYpSvKpqJNf7emIQwl2nAJoiyh+KSg0BVEL5sceSniBAOJ - AQtoJigEDZdIVmI3GboE2WgFsOSeO066DiKKtY3ixKq+AVSJqiYGSCIlRrFoLjR12GUusINm2BAZ - F5mQaErJpk7ZEr5TTkINLobK9GPoTIDWxEBO3GZfgEweol7BKZikIDHsoSnSsTHGzi4sL8yvrC6u - XFpdMroAdRCOey36/t88/dGnuw8fPtrd3sq7nbjimu3Nbr577gfO8wrSpFCxkIEQwKHbLNqHJAUs - +TjJLQpCIbUYWkQghYZQXzTfAwxhERFSMHFQsShLChBFZX1HQcNbYg2voYxSZfXnFXKJVL+zZCWA - Mijp2RLmZubf/8q7J2cvPvnikyfP97749K/29rdfbG8//Oz+zRtvvPHqtbmxurYKhZAyZCyRJq+s - t4mCUvCq3D8HA2Vt7Fja4h10zihyiWIjUMLiPANGUZJnwTuvLgC9Um5Z2FnfGY1CNbHB6qOCT4ti - hGysyRJZJxWQIR2UcmACWUXKM8RDcdVIrBWCuKC8EJe7axJQ0OQMCQVRnjzQARiNapxdXhm/Pq8H - 4vDw0e7kY/cLHVS17xzc/+TDP+95Pz69Nrv2WnWgYdFX53D9VlA0CkVQMAKQikhbGBUUea29igqB - DwBA5IAuuF10T3tZnksEOwSVcsGKRJFmpX0fSS3EzsLVtNQQDJPzOpPEAUTQCKKIoUTBXGgOIRAV - rDwISoxxkS4IPcBqgdKaJRLYIKbwtnCGwSH30mNKA+eeM/bsRTvRgWlqdnl24ZKlbGVlYaCqSecQ - aR2++MFffXzvwfNHT/Y3NneKPOMQXN4Ud1J0D1rdTCquUNopA4JmyVvtvJuxGCYbjAkRSMMCRhjB - E1grZQhBlV4gWSCnCYZJMSGAGb6kDCoLD4jTyGItAtVD5ISAYGEE8EABKFhDAskhWiHRSDVYWqe7 - n3/0p9//8MFB+/5Ba3d3O9WBXKfTOs17rW7zVDhnokLFuUIBWJTrxgDJwAIVC8GLMX17OWGRvrd8 - 2aRzYN/z7lwBRkMp9t5nuXZBWxspCRSUhLzeqL/77l1TGX3ltWtpohUJKUFkFhcXrq6u/PXm3xxv - Ptx68uXVqdtBit2T8y83js/DyNzK+uz07HgdCQf4lsnPuAcReEqcWOepYPbCRN4pQ4zYmMbU+PDE - 9Mrr76ysXYPVoBiIfFCKJWIfsVMc+kpVZSIHGIHhFbxmQZ5HzitngquCxpRqMFvAg1iQAwVpDaig - PEkeSZaEHpxrt10vWFZWhUihVFFy4AxwTAGKXvadgApkyqmH9MMsQ0sUm2otSTOjKfisZUJNBxcY - bMkRRKexxD1vel1Pha8jjKQmMSoAEDZBEASKRJmuBilEAuMLeO+tCSZhiPFiA0p9Q0MYHqhU1xfG - kuw73/qdJ89ePH68fdJCERDp/octr0xfBkFQKjgIgZSBsfH46Pz85Op4fe/F1tF9fbK91RqpQUc/ - evzik/28reorV24szc0S4ADWjoQNEQWAPIiZQonogitVi9ijKzBACooEIM9w3kgAOcXn56fbWdYT - U9HJMGytdHgkiMD3gcysElOp2EpiInhm7wXCxAreUEHcFcQcGR9BNFQBICYpky8zJBAbUOhLz5Eu - s3IAwQZEuYoLJZ56gc5YGv3yGwB8rNqxLaDYiXYingtBGyig4WGdN0CquCIOSmDgSdzU9NTswqrH - t3/4yaf+D2vPdjZGk7ruuYPt4z/73g93jvLppZsLi2sjA4OxLTQ5IAd7CUEFYyW1FP8Y3Srob21A - qTLWAMqxKUSDgwTPef5/sPdesZZk2ZXY2vuYiLj+Pm/yvfSuKstldVVPezZN003PkBxCH5wZCUNA - EASJX4IAjfQhC8yHBEgQZP5mAGn+hhqSTXU3m2y2YbNddVdVV1VXupf+pXneXxNxzNbHufdlVg8h - CRKBmQ8GEpl46d6NiHPO3nvttdcSX2mSOpOuyp7PyaEv/khk4IP2XmXFzHK9O7ncvvDS1VPTsw0l - RgbMjtg78iUkEAc2Y5p0+pYRJCAVqhgicwICKfhYMRU0Bu9oxGZWzwDbnwX2/ub613P9/4dux/tj - NA/3jFM2/tNnf0v86ICDj8KKbeEEvX7/YH9PCexxm1qCpzJEF5z3pUcgAoFJOIbohv0egp+f7X7u - 17949uqnzkzM0v6gISrmCJn04lCXrYlsMQtd1RrOznVI+YhoYMTABUdBjCgDjUBIdqppGIw0wLVW - ffnkQrtZrD/Zufbuj48+fW5g+fqN6/1SmtMnF09fmhlq9Xi2XcPG+uObd25//BOvrT5Zu3nzjmI7 - N79w8uRSnqf7FcWKmFjx/OLCL/7Sp7/46z9PUhJBMYtERaQVM4l3fjgcvPzyS/Pz80mVRmtlM9tt - NF+7+vrv/M7fL+p1ECulQwgUqlwhUzTQrR1n2ksXzy8VeXYU3WDonBftUY9yJBEUhjA61WnECoBD - cNGLBAUxSfkmQZ4AjuM3xiakYIB9jN47CCTGGGLwoAjDYCAEqaoykCt98BFKZ8TKBUd8rFcliBEx - +Vt6uGGMFVFMw6EhxOAjw8zPTf7ab/zGyRffnJnphFgqFZmUr7x3PkZkWV6vN9rd7slTp40l79L9 - pI86VjJNd5CE3GIMkCrGUoBRxBJEScUWKRWE4dDrO1dVFCuKLnoXIZmpM5vKwStYTVk6ydKids6X - ZSiHmYKuNVqdyZgccXXRnplfXJiZaJndtZXVe/mg/+kna1urT7cQdXP6xPLpM0tq48R0nit388YH - px8/3l9euHPv8dbuIK+1Ll26sHRi2qT1LizC/d7QmvzsxRO/9g+/sPDSdCs0J/1ku9/qSbkZ9ntZ - VS9qukQeVSyKfH4un5/TJEAMbtDvHRCzzRulIx/GVFsEQklI9YMaHcXHr1y8SAApylszpxq/OjPz - y59+81tf/9pXvvm9P/nWD9566wd/9tY1THzt7/+7v5f/2qcWX5pH8NH7qvKVlyhQSimWMQs7AIAy - EqlfuiACLUon0ZzkfQ4VhQVGazANnXPj0Rok4bQYIEEkEILVnHEKOBFaoLUoGzkXzoOXUEqWkQYU - ww8GVlcgImNIaQjK0nnvEwARYqycD4Ig0buKIBaoGY2hIyDLrPNl5cu8lmsNOIHEajjwrmq3O5/8 - uc/+yqc+M99s1WIMooUMVEaknY/OR63p3NmTM7OTiuCDZM2Jc52p37t06f6dO9/73o9////447ff - /vDfpW05AAAgAElEQVRrf/r1b3z7rTfefOd3f/d3Pv2Z11qNKUB8DM670sWM6jrJd8pYUJGhIJKk - 9YSPnw2sUUrHSD6SDxTiaJ8SUQIAEEqPgY8eokCFIsuIsRz6csgEY4wyKlJ00QUYRaBU3GuCgiIR - X8E7RdxsdGze9ICCVrWi3WkuzU0d3lxbu397e2M938werbvtw0rVJs5dvjI/y2q6dWa5e29v8+6D - +/uHcffh2pMHG8PD6uyJ5fMvXDS1tNAC0kCol7ptfObzP//mb/8mFyqr9vJqr5nlpNtCLVG1wCYq - k7dqk3ON1EW3VqPbfuXiubfvqZWf9B7fv/PkcTx5Ir/94PG12/dRxdmTZ86evWCSPXQMzjkXY1FX - Fy5e/swv/b2rn7hiG+JVnwbwB1UhecvahlZUlvvady6czKcmIkowTPRSVcGLEx2hOOkexgDyxGw1 - M0sIfjgc2Dwz2pA2A+eCc0VujuMcHU8UH7+58ckTReC9ImiSdCIxU6asxEBREOEiRyiMN8xxxIwx - MosiqeXaqkDimKA0FDO8lKUrh6Vmfv3VV375t/7uxNKcFCbzmLL1rIpHrjdkV7IL1OjOnOlOL8cI - OAdIvVYnpQIY2oztJjxGeKoHecUht8oaM9Gd/NQnP/v633r19IUlIVKSKxSGWFPJMihd6bNm1p05 - deG01agcDD8PFXmPysNbCCKC5xgJpEAUBd6FjD2UQcTHP/fzr37sE3fv3P3DP/yjP/nKV+7eunHz - vXfl69+8+oXf+OK/8x+c+MILhkakV1CEeERAmwhSMgB8PNxYub7yP/z3/+O33r6mtX7j1dcuX7wy - vzjpMeiVe9s7R9/6ix+LQGutlCZCHJ+NgEQJhEhsIQlBsopNkjSOoqJoYfBoaHEI0YD2lR/2+r29 - fRVx+uSJz//Gby2e/9h015I/qGUketJJswy5jYOaqpo5NaZas9NdDSohRGSMtlnNeRpWcEAbyFCO - pgoRgwigYzC+ilZbRRj0e3ktBHAQElD0cdAfShQCnCtFVNKmd86VZSURMcToQ+qigxhxZO8cYqhc - VZbDWsYmzYZXIQSAdVAqsHjAHk94p7xAQfky+jJKfO2NN37h85948fJpo7wKwpH8sCxRqoIdBcS2 - MVOnTr7UbQA+QImyeRl1LxZNGLAatTK8R4xQDIo+SbCyBmfPRfHEcuQxcyYGkkDikUIXcRQlOH9q - +eov/OIbn/58q16nGEMULxygyFhSirRSoKWZ6ZnZuSRdCp1B2Qh2LtCoT5bYBlqRJsWQAFcFCAGa - OVPaaiiNWAqCY0PaamtNiE4pLgqolE9BJa1IeS4XARQoTZ5RADiGNI6dW0BiCCERkBlACEEQQF4Q - nHc+ZNYqBvlIHCMQRIwIsVJaheCFhK0OFH3wwcMIWJR4Ek8EZWxRjSNGCu/PlevjFOm4VmUwApEo - ZV599Y0v/vrPLS20rSqjZ466yJrUyAdKtspDNygvnT23NDufZQK4cLT14MHe//w//S9f/4tbrnQv - vXjx8oWzZy/MluGUx26fp//gz+8+3jbDEEAMpSHsykE5ONIMIVQxVBHVaLjVxliGUCkFCEtEZjSC - BlA5FwUqy5WxYJXkARAl+kopSfIfTFBMqUvBgAYi6SBeE3sJHLnI8pHMfgRl+dkLF/7j/+w/vfHh - O1/7xl/8iz/48u37j7765T/+/aM/+txnfiX+o3/Y/OwnJrsNDEpio4wVIQlBidTzxM0PAV7gGcS2 - GFZuf9AT5sq7siwnKCOhylUhBKW0YrO3e9Dv94GJGLwwkWZKZLfgdAxKMVl7WFV9VxHqSjFJdP1+ - bWKilhVC7CAGsKwQKgQHm75/gECT1nqkYwUFUEz265AYg7i0a4m6tp4vzp5enp6Zbt1ee3Lv/sOd - neVOrb/25PFbb/0I6tzkwql6Z9JamPG0royrehrpbQgY0JkylrUWpijkopQuVhUHLdAOHBD94cFB - VQ4MK4nRuTBwUq/VlFVhlBmmGZIQY/AuaJPVa/VWo6lTgFVpQQqNqhGBxBES44MEEUHpnYNEoBR4 - CYq0UYoBCmKILHNucOXKpdd+8Tc//9lPUrXP1aELplfaKjZY2XqtMIoRDq5cnJucq4MqVOXtlWv/ - 3X/7T35641Hp8lNnLp4/f25hfl5Cr9uIsdzY+cMvb7GKTLbIrQaXUvb71bCMEYe9/qAqWT9X1oYA - xGQOSpwYK15YrLUMDi64yoXwbPcxKPljI0Zi5YTKskKMagzeeGA0upio9QgEIPr1J4+/9pUv/+N/ - 8r9Ks9s++/Krr7403621Mojvl4PDB/duPf7m9yMksEoI8mj6MWnbjQ50xFHrKXXfIBIJkQiKEGP0 - 3rmqZMbp07Of/83fWDj/ZrMzXQXKs7zJ/TwesD+qqB51W9cmOpPNTqtmlKSO1uyJk5cuXap968fb - D27f/OlPPv/m5d3h4P6TtUeb+7o+d/nF1+amp5oGqPqIlUQRwGjz0ksv/3u/+29PZ8MWDr3AceZ1 - UbDLyl1b7vainjj3evPkOWshUCTsXJAYDYkmIYkiQjr5N4zyDpX6aN5jWElkrWymbax8VfoQIawF - IUIA0aC60i6W1bBXDociBOKy9ClAwWQhSFVWEI9YxlBGidA6kVURgwDEECAERAejgEzDk86zTrfT - 8bqWa4RKSUSM3rmoIQTWCE5XXhFbBRQK0+1mkZkARO+L1KCOUon0iYyCJQDBDY8CapLZ1JACASlm - RGdzLhq1kwvTk+26Zto9GvQG3gdonaoujJ8MjSb5ji/WsAU329PTk1fOnxxsvbtxf+XBndtLSwu6 - MfGj92/efrxj6p1zFy4sLtQBBEljMZaCR1KCSDVlegoYIUkeXhA1ioRLinMUAuo1mMMQBocHu64q - FRuQTkRpHufOxJTQd61snheNWp3zmjV2bNkWSUISYumVAwcwgzQS00rgBZWACSomfkxa5WNQQ5GG - MpFNAKowjLEPevZoQMIoJToiikKDsqpcGdJ/rRSUckFiZIk6OlCEQgn2MzOzCwunWRXf/f4Pvnv7 - 3bPnT0/nLeqVtz58UIXGzPylz33+l1577fVOiwm5Rh8Iw8GgLCsSNmwUaxpbCCIpUTJDoIkVEcRF - cmBo0s6HwbAqq9hsdpdeee1X/tE/MIszhSqpOjAyyEKWeWtDNuTmAM1i8uTyvG7kEXKoFFiRi+Fo - gAhKxAUCRKKvKpPppPc0LIfeK5spkymwLktvDPSzWuYYxf2b69+s669H6zZdNFZyPE4fn10pq7UA - EUKAURJRVZ6AWq3e6bTTULtSgIfoaIiF0R8OH6w+LR01Gp2F2dnM6IGm9kSbjFJi52aWLpx+8dLC - PB0d5CHETFFhSxLtmjlaOkBy2AYIPQVNYCo1VVAxaikZjhVDMwgDj2GAQdmwVLQmLlxsnl4+tXr3 - 1p1bK1ubu4b0++/fkIiF6W67obqNie7CXHduZnN3/e6Nd4eHv/r48ZPbDzeyxvRkZ3aihjwdBaKH - gXyMikO3nZ1ann/l5SsEjxFFSySGGLzihKZJo14PPgjEuaqqquFgODe/cOrUqZdefrlWb4QQysoZ - YwrNBkEjHnF91xtqNWo5GMza2CwqrZLlmlJMoBijsBqjsiJCzDz61tHLSEXnZySp6fmfbZYX9QYx - tNZ5nuUZtEIUhAAJgZiNtlqbNDccYwzei8RnzuLEUFopbY1VtZpWI8JTjFEAYzNWutFovnD5hTNX - XpqeaYM8kSewREgUCDErpYw2OstY8MwvdfTzRxtBEkWiSIhasdEMYFBWjb4b9QTK/vrGTn9whMye - WFic7M4UppVlVV5YrfzQ7Q36PVfC1pDwGFf1o/eZCOkYSB8O7GFP1VGfaU80VGKJKLS7CycmX3px - 5u7Dh08f1/cPwp371aNHfSomT8zMLi0sdBDnTy50p+/eXL2/8fTx7s7Za9dvbGzt1eu1Ewszk52c - AOcDglU60xmTqYj98tLSuXPnaz6bxkS7apQcj1TVM14Tm0DWU8k6tBtVgYE7bJtobNHtTgLUPzpM - DIKf3ZegsdnW87/NxEqEQgiKAKW40fzkpz6zfPGVX/3N3/nO29f+7Ec3vvfD62//6K3L0/rj5349 - s8SjxIG01q1mI9PqucKQAR4OQ7/f15q0khhdVQ7hamACSRBXueFwOCBBq9HkcRnpytJ4D2vR297e - O9g7OCxqi5PdVr2AVhwhXDkfJYAGVcXMRUYKiBHBhyLLEBR65drmzsHhEYw6e2p5PgkrJuoioIzR - SlOMSoTG6y+IkFJBYvA+Oi8BYEKR542GybJhWc5Nz7z6yitzzWbmQ4iKdQ62Eex9FLBSKAprDDnv - jGICJxmpubn5z33u506dvrSy8uCDn97+06//xd17d/75P//nU1PFzPTrWW6YyVpS2iZSsFCalYYk - FaokACJqDPyN1M0ivA8BYKWS+rQIEWAhGYQQPTgQj6zOk888k6nVazPzk/tP14/6h0eH/dpQfIEw - EnAFyEGqyvuDI+eqPLOtie5knmvvYTUs8k6n+eKV09u37rz78OHG+rY8aN1/2BsOwvxM59y5c5MT - +5iffenlK/ffX9vdfHq0v7fx8OHd1Sf94BdPzF04t5jUQxWM5rzebBat2tbmTlbLTp863Z7u1OWo - cPu5Vi4Ulc9hGkFZzwoaeT0dTAGRoPIzl66cvBHc9956dPuD+/fjJz720k+uP7x56x61GhdPLpxa - nmINRIbWeVGzxmpWeWbnZmcunDtnGpGss8HwkGy0BSEHuKr2dYhTDccZUAEE1kobVkx07OWRMl0C - kdIKBKW4KApSI41Ro41WLBGRRsFu5G+bVGsRQIRIIlBaZ0bDsGWR4IYDqZMTw1BMrGFz1JpsLBFB - IgUvIfH/oBhBoiCCmW0e2fhRBQwfY6iczYpOt8u82Wy2Tp08eeLiGapnugy1QLkTp0K05Ez0VFPZ - BDQkAkojYn//oCwrINPqGCsmYgILZAT2GWu11kWRLy2duHTp4sUr5wTEkiHYUFUKQ0MVaS5V4UyR - Z1BAZkDPHCQBZs3aYRgRmVQCEKEVkzBgrRrVPUqDKCtqy6fO/b1/6x986m99/OnD23/5zT/96tsP - f/zj95vnPnjh9NkrJ7NMoap6uYpABeQh2opRMHD0ZOXaW1/6g6+urz996dXXf/u3fvvKxXOz3U7E - MKur3nDv3oPHt24/efxkj4DgnffQOvVqFeCJfvZUTCxpSY4pTDiWkx0nN0opY63NCgGstXNzc5cv - XlpebCs50uQ9tQN1XGQTg5WBpRK5ssZGxBgBNh6qct5XpRZkgJdYitckisiFst8buKrSpEyR+RA8 - 0G40QEdgq01mtFaGc6OCKz1001gKyY+MWBtjc21ArBKSGDESpCdFJtNaK4LE4DGKbwhRAGiljFKA - VPFZvi4Cch7Dvs2LRqOplOq028tLSy9cvqzJcRRDjBA9B9GxEhdCw+ipWi0VWRESvfcxRmt0o163 - WiewMsaIGBOHOgojiUeR+OdWzdjgjlILOX3qMSeXWWkiFLXazMzM5UuX280GQmClI+kA5QVgJq2Y - 0LRZEiVAVDCGFTOxUmlXj1uYo9Y1IQp8GntnQGL0ISAGGK2gMpDzPg6Gw7LkEJwIyrLiLGimUZP6 - Z8oaGvdHBYjRR4kgVpAYJXp+1iYXo1WeZXmW9ySWw/6gfxRDWykGYWSbxQGeSyf90pVVBbH1Iq+n - XmNIL0pccCEGVsT0rMT6vy20CKSUtokN0G43z545feHsfC2PCIpES1ADjiHXi0oQYs1mNrPpqHzy - ZO3b335rbX393Nkzv/Dzv/DxN15bXpxVpjRFVcXdx3v0w2t/9Hj3yBpmJkSB8+1Wd2Z6Pkq6X9Ia - BmCCc4GJjDEgIrBSDHg4j/4wCgkoeB+DT2IhwMhsBxQlig+BiAmoqmGMkY/pcAIPDyF1zEyIMtKn - oAiS5eWTv/Zrv/biK2/cefjk+rV7f/ylrz9+8ugrf/KVF84tT3YvoFYLJXyMYMToJZTJREs4qjQs - gQCwMkYZ7VxZFEWnnZQ1o9LcajW0ohBcu93Msjx4GDaBqPJgX9oIqGz3oHdweCRRT3ZbnXYDQK9/ - MBj0mJVSmoiqypfWGRhhTcaALaLr9V2/PwRRp8hatRqltgMRQCGKizHJBBsgIxQAQ8M05pbOv/b6 - 2sMf7G4/fef2rWU1uXn30dHdVXfhE6cvXbo4OaHzfLQUn7ONGEW/46zOez8YlP3BMERvNbfqXK/B - SlIWZrCdW1yam55saU+DXVcNHWcVEwMEIZQMryBaURDeOKo2+9IUmmrnXesyGAIiLD1briJRiAQi - PkQQs1IxhLRrNCOJfY22rgiIBRQFeV5MT0+dPXs2k4GVQZS8inUnDYyiN8QfdjsEcgDduXHrhz94 - a21t/fLlF66+8fnPfu4Xp6en8jxTKAvd33hy49tvvXe4Y6KrqmHpQkbA4sLi9PRUYuwrlTSFEUQM - CKwQyTuIQRD0w9DoKMJl5X2UNHejVVKiS/LZSQgr9WWZRGIIH7FYGu/RZzcKlFX5jW984/s/+EEt - wxd+6zdf/fm/c/nyhboOBTvN/umj+9/9Tu2bP3zPaK2Y6Ge3Px2fSMyUkFaBIiKiGKOTGAEmUkpZ - Y2sxwtpsbn720gsXZueWg2gGF+jV5EiHQUlFxTXPuc0oSyZ8rEAmWzxz7uLhZKf19Mm999+pbX3x - F3sHOz/+yftbO/vnX3r56tVXJ1pZFpEaadyYqrUR1tFpFFdfvjKZlQ05rEKMtuZ1kUmVlbu5P3C6 - qBoLZQEfEElYEEJkIqOUUUokOues1Ri3zRiBk9q+zpBbbuXaKlR70l/j0C/q8IQARcg8nJIqo5AR - jNI9zz2qdYrGwvxMuzbaCEEoxgiIRkhlc0oIAYFIEnChNERloAiJVp3VW5Ozc+7J062NtdUH9y/P - XUDDZtYOCF4QS2RE/X558+bKwf5Bc+HkqdPLrWaLAWMsgkcIINGKjDoeDxKT52xMCfFJg8oLmKG1 - Tfh+VR0c9pQ2WZariiT4GJPQ7LMVNWZ3phoBkhyrRYFrnc7k1auvPrh3f+Xeg5Vbt85cuNidsyu3 - bg+H5amTy6dOLkx0R20AhqbkaAIPrcbCviqxSZhB2mhkYdRPhniw0VAErjCsfKAzp89NdG7fvb82 - 2N8p+0fHDYYAcWWZSSBw5Xyv1z86OlyYmu90O3meQ46cD86FJCkXgyf5yAqnkZbOs5VPxxiUAIKQ - rOnHDSLFbJNoUvqhKArFEJmJIdFHJq1gUzMliHehipEkSkyoPwYU3ds/fufttz84dfLCaxc/wbN6 - 5c6tfq9XC+aVl1698MIbr7z+2Zdeubp8al5GdSgzNFiz0qyVl+BcVVbRZgwCjicwnROQQFBVwTsI - tDFirDZGG2217U50X3jhsl2YyVWZ0dDK0ARrnTHODqlZqiLmaOXIrICPAAKxEBMhz3PNPHAoNIhI - a50I61CUFzZGJiZmgKy142g6uvSzp/yRR/4317/m668TusVH0du/4lLAyKI0+TkwM3q9/tra0+pS - UnsBIkSEEUvf29/fX9/czdRis9lp1HIhbzRPTk/q3LrDWPZ9zbSmJydMM7dhELSlrPDQyRZURYhG - VPAggRBM3bQm6l1LD7U4xTGKhyghhKTGKRLBbBrtqWxp8US7vvpo9d6DB4/7PXP79oPGzOXTS3NF - hsIUrdnZpXOn1+5uP753bW319qPVR5t7g5OnLs7NnmjnyBOngTO2tSzPikIFfwRx9XpR5JYZMYhS - hBi8qxQzMzFRjEGiACNL1rzIY4wxxnar1elORKAsK611rkiJB2KhCisoGTrpIoKtsaw4SHTeE5FS - zzsFjmcktBlbyMafzRPwr3xFsFmW1+rE8CG4qmIajQLTqMrRBM/MSpkQJZWCI7YMhDHywKVk/isi - IYQQkp9snhezM3MredH33odQq9emp1ujBo88Y7Ad66qnWvSYjIjncdtRPgSIiEQROTw8ODzcn2zl - gAYsRAOVGx4+fHz/8HAPmV1aWJxoT1rUjTXT05OLS5O3729u72w+fby11JhSWepXe+JKxFHldvZ7 - j9eH/bI5nU0uTM60s+RzQJTlU7PNq68ubKy/t7W5ev/B9rVbh2tr1fz0yfnJmU67jlDMLs3NnZh7 - 60f31p8+erT6cOXWnUEV5ubnZmcmWvWU4yrWzbzWbHdbyq7uH24zuFOf7NpWS2qoOAfyvNYyIIEJ - gIcHhjmOkLA+FmIRCt6H4KxWo7rlr3y3H/k9AigKfIismFjB5vnc/LmFM+e46Cyc3afW93588+GD - e7dXpoeDPlS01nQnJrK8cNXu1sbGoH/EKAgY6UA66Q+qo14PEhAr78uRFZpEUIzkgngCykG5ubEx - 21mItQQnJy4eDg57W7v7h71+c7o2M9WujwyyRaJEYifoHRwdHh4OBy5XZtRdZ0agQenuPXi8vbUD - pacnOp1mY9Q6ICaloTUzKyEVxi53RBHwMbLSSilIpNT/1bo1MdGZmLizukGCeq02PTWVR4miSOuY - tE7jyKPGeUCEEFPES3p3eVHMzdfn5k+eP//i2fMPH6yuf/8H77777k/W1zaqocsygxiIo2aV/qvk - IweKrOI40aLRHhJCDIgllIkSg4BIMbNWBCYSBVEIFYiByDqyAkSOdZ60ztvt9umzy6u3bu4dHTx+ - vNY879SERTp6KUAcZNgfDp882TnYF62bc3OLnU6DR4cF1wp7/uLSrRO14cr+6sPHu2xXVo8QzeTE - 5Oxsu5aHODP10itXvn5z+2B74+GDuw9Xbq+ub9miPr8wuzjXMaOhXQuWZrvVnGy6zbUoIc+y6YlW - WzeNr4EIIXM+gyav4Bk+iTxCJAQEQBdL5y+fOr1v+S9Xb39w7YOw9smFa3fXdvd7l8+9fn5pdmpi - PABPXNTq9bymWB3s7xvFM1MdXYegMjAFyEZwACog1rMcRwYHgEewEcTa2Gw8ehufbZIYgACV5LNI - 82gAS0SSE/vxyfpsWwmPYV+GEKCyrMiMAYJCOCYThihegtZkWMNaL/DBA5HFJ14p1DMNjQgW1oF1 - EI5AjBJCJOJWpzs9M0d8x3kfRbqdrmnUuapyjzyQGAkGTgWHIsnPsht9PB+CxMgQPRY/RQKzGIgM - IaV0u9WxmQ3h0DmX5/nU5DRAJCwewdUUvFWOjK7YDI6n3RMEwM+OF4KidB+sRsQqRIleJKGEY4OL - KCBTa3TPX5q+cHax3Dldp+37R/rO25u37+/du79+YW5Z1RyjggTAgQsXUREyMhyrrbVbb//o266q - XTh3+Qu/+sVTC9OtTPWH+zqTw/6uMrVWs/UEezLSzcH4M44aWjxyHxPE5z85M5FiTiQwGic2EoWV - qtcbUzMz2vCgcv2jXp5n01PdjJuQoUMjCUiZqHRoKGl4FSPIw2uddSamVVbr9ftPHz9a2t82mGQQ - iQIFQJwb9ntH5WAYfTSsgsRASMNApIt2e9JqW1XDo4Od4OYYdZUaPAFQcnjU3zs48hGCpP+EMMat - SCltdJotZwIdV3OULuExrCDjcDq+Z253JzqdLoHK4TCEMDk5YVWgIEqgiUVJQKjggjSYRuIg6fiK - IsQkiDGGJNcweuaE5EgymqXmZNr8fC5CI0kCAJAERaQ/zYui0Wwqg+GwHAyGrVZranKSJbLSkeAl - NcZHaYMdf4NkMhiiCMRolaCNcXFHAAlGWo9gRcwSo8QoozVNyb3K2rxer+dHVjNihA8+Rox8CekY - ik11ndDxikm3A4rgECESSUYKFwyAKM9ss9mcnprs77mDvb3dne3hRL3R0KlhEAkKjGG5tbu/ub1b - Od/q1KcmOs1GXSWqJAkrkoTQS2A2eO78+qsiPo7vvd5otrtdY9aIoJWamJwoGoyoEQGHGhBzOIXk - AzpSh3b+ydr6O+++u7W1de7SC3/7b//6i5fPT3TysjrMamFQ7Zin/Ua9DnVYK4zRiRnARa3ZbHWU - UkeHh9tb68M+Qiu1R5jSUpVYOV8GNDKlQCClba5YIQSIPN9aScA6iJgViEQkBi+hEuQ0UgihZBXE - ccwFgyCmkSAFCrVO+1x78uT5F86t787P37px/f6HP73z7k/eXdtYf/HCKVW3Ksu1zZVWg2Gv39+P - HtAgCIMFqvRhf3Oj1xukMoU47Z2oCJEQo/PeVeVgb3e3d9Tzfkrr5IwOIaDyg/3e0/Xto16/0V6c - mGg3m4YQCAEQJvR6/d3d/f39fZ1lsJlgDPNBrW/uPX28Bucn67WZiW6SsBzFKEEUYaVIMwMWsClK - UTa1ePa1j/W+de3P9zffe/fHrcGc3Lq/d9DHieVz586dbTZgeIx00DPM9rmFDIgQsTI2zwtdKUh4 - Vjck7EoXre7UZLvRsiH2tg72d3d6Lha2DihEFYaMSIzB4eHG9u7DzYMjqtVa7YXJ1lQWDBmPZ/zQ - n6lBRFKNkTQWoxx/zucWQ1EUeVFTClVVEjA52clRtzIEFVXUSaMg0UUoNjP2iBW8e7i6evPWrd3d - vatvLn32c5/99Gc+3WxaSoSRsKtx2G63syNhCEWPmDFxrVar1WvGqP2Dvb29XRfT+ZQ2+SiExiRM - lqIeK1JaSAsIEMUjmoykMzlBKgAkKoIeH0l/1aYlCCKJOH/t+vX7Dx5MTHQ/9sYbn/m5nztxom0E - RirmUGRq5caHSul0bqbj9Rl0NT7yRw3myBKJWLNSzIihiiEk6DbP653uNGtUzvf6R3lupqaylF5l - sVFQHTIEshJcEmg0PRABgmi0ZxaXTp09tbT10+urd1cePXq0tvH0wxsrZZTZhRMvXL7UrqWV6UGK - G9PduSW1fcTBZQoTnVrbFi6IGHYME631BrEGUx9I7uk4R0mIYzIzTyXl+BbHu4HhCSJcSFGYTmx1 - 2pMNlv764d7a3kFl2tZAA1FgjZRKnBwdHezuru/2e1TPmxPT0xPNLL0nCGvFigmGYpqXkiS0I1Lk - VSQAACAASURBVACYmEVSiIFKyUGIiFRvT548c07/dHN3e+Pundv7F2eXJiZhzWiRE0KQ3d2ja9dv - lpU/NdGZm53O85GO08iMdVQGP7cKtEpWUqMdkCDKMVA5HAw3NrZ6vYExZrYzneeGnmH140g+CqLp - UImSUq9AgG022ldeevGHP/juhys3b63cPH3r0nyJ+/ceWJ299OILszMTtWzU3aGoRAKJAGHEUYgU - fYykhNOqI4YlkpHnARKgGyFBBDavnzixPNnuwD1YW72/t7OdtkI6eARExAjydH1jY2vTBz8zNzM7 - M51Zy2AIfJRR95bGAyXjYQEeb8LjVPbZdGlSbRQh4tEPkKIRo20M3Y669RKFFIxSigzBAkMAkYJw - BCkaM9+8HJELt1ZWbt64325Pf/ITn5x9afq999+V/dBEbaa7eO7SK2cvvTIz3zL58VvgCFYmVzYj - xUGCCz4EL9Aj55Y4BtZTG807hEAAM1OW12r1RrMx7IeyHBij2+1W2yJHpdHX0ahg4W2DqGSUya9o - BL4SsSbSx5uEUmNdwhgZGqVIxEJEIoiiRljRR06hf/Vc+pvrX//F/89/5f/TJT/z9TEal+TTQcza - 6FwxVh+ufucv/+KoVxEj+rS2RBB3t7fXNzd3j3pZo9lotcUDzlljZubnTL121Bus3Lyzt7GHAJtZ - FEZZPW6KoQqoZKSk7b2vhh6wM63FMydOF1pplEbFyg9L7wKBNZQBGR2hgQyczc3MTrZb+wc7N2/c - fv/D24+ebM5OT186e1IT2Krm9PTlV16u183mk9u3Pnzn4erqMJqzl15dOnGqYZClpF0XRWuiMznR - ahU7m48frd5dX39aVmUIsapKiSOKh9KKiLz3zEpba2yW50Wr2Zqdndvf27939+7+/r7z3ihu1HKl - lHPOOYcoRLA80kRLv8Nag8iFalgOBKKVNmQYfNwiVMqOEAqKhDgSin3u/XzkVwCANjbLa2D0+/29 - 3Z3oRy0iq5EZNsaGEETIZpn3IYRgtGYiAULqJBOnHMW7EPr9cjj0aayMVbvdOX/xYr3Z3NnZef/9 - 9zc2NjAiCYUQvPchAVvOxRhGcYgIRo2It8dV17jcHDVeE0vi0erqwwcPAiTPaqi3oC1Ah0e9O3fv - 7O5tZ5aXFhc67S4jB+enTp98+bUXTCaPHz28/tPrw95oQjbXKss1Z9g92L738Mn120/L0Jjozp+a - X5ioQQHDIBBqdWpvXD03N4HDva2fvH/r3Z8+2doanj11YW5iwipA8fTS4skzp0Lw608erdy6dff+ - A2Vr589fmOw2a0oUYLTV+USrMzm3OKvy8OjxvY219fIo1GwDiiGuHByGKCl8HiN7ADTQ0HWCdS7u - 7u2XZWkUt5v1PDMffav0XHX5/JakEMWHGKIICKygNEqH0sGYK1euXH3t1dpkd9A72trcGA4H4pzO - 8tOnz7bbnYODg3fe/tHm0ydJU280gTpwB4fDw8Oec6WvjlzZN0aDLUKEhEiBlGS53d/dffutt3Z3 - 9lN5rLMCWQ1Q23tH6zv7/VLarcbcVKeWQREiBMSkjRPa2Np+/OTJ+pPH/cNDSMiSPzF4WMm1GytP - nz7VmmtGZVol7XtWSueZsCKQJlYxjh6BUkI0qEqd2VqtpoiUjNK1ibnZ+cUT3vntza2NtXXvPJhZ - KSTxjwClwDxamYAYY0bPlhWIQwj9Xh/A9Mz0G2+8/uKLL87MTg+Hg7KsvItMHELlXD+EcrRcA8ph - HA4HY7xrXBiNGVuQCuSFohCR0kppxWyAEXssTRYza2O0VkhgmIABpfPJ6akXr7zQ6jb3D/ZvXL/R - O+jnybUlQbcUEN3+UX/l9ur6ek/rYnl5aXZWG40YYoikFJ85u7iw1BLg9u17P3n75oc/XclsPjMz - VasDWje6k1defrXTbh7ubH7w7js/vX796e7+5Pzc7NzkRPvYJ8eCs2an05mdIENHg8Pd7e3oUt+F - AILWJiM2YJXETJFm0mLpohNwlp86f+r0yU5DPbrz/o9/9MN3PrixsrrDuvjsJz9xdnGqMElQMQqo - Vm+0mm1FfO/O7f39HWtggQhXDvsJmYHADSp4n3pJEVIFhyBQxuYFMUHiGCcigMT56NwxIyaEIElw - PETvvPeBCQlMGEleHMc5SSQARWxrtaY1Gm7IsbKKajmTtTHKUX84dGlEW/VLNxxWgCiKLMn7OoBE - syJwBDlhTxZsiBkSIMJ5PjMzd2JpiZXa2t56+OD+cDgURIlirUFuyJgQQ1lVw7JMcxCZGWEBxubM - zIBm/mjVSGACsc2Kmbm5PM8Pjw7u3r29tbkZvE8zFYm1aq0mY0Ejz6sUc+MY6Xo+p1DQBAtYVgZE - CC74MgQIAKWhFEKI3nvnS+d9BIzJZqauvvLipz7xt6amZsoqbG5vOwer2OR2hGcrFSKqVCorVQ56 - Tx7t1op8fn5hZnY2y7U21Gq1YohV6Yy2illEJAat2OhjpCBhhIppTHKXmKhcSeNFKaWZo5cwWhAs - It57ELXbnTNnz2dFfXd378MPP9xcXx8OKoxah8+OXQHASBMwDC6KxtKps7XO1MFh+dP339t89MAA - BVGuCkOGIFU1ODrc7x8dlRh65yMkECqId1GZ+tz8UmZt/2D34d2VUA0LGIJ47+AcQlh9/OT23QeD - IaIQEUtqz0aB0qw1aZUQukwbGqEyrLQhouA9JHCKreljj6KLRb05PbcwMTUdJa6uPnhw/14MUUEp - 5rQXjl+xTiXtSPhFgTURK60qV+7t7VTlcJT3KZUc0omUkJJU7JF8JDKRSutcACAalWzMIUCr1Z6c - njUW6xsbKysrvd4REbTWEqP3EgISRAKgLKOrxjr4RAhxWFUhBmu0SYNJAMbaxTEKBFBGKU1MPvgY - XTL0HK1soVa7u7i4NDM9lWc2+FEL4JijcNywSO3jEVyStoPWrE0AD0qIjKzkRqGcyRb55ET39Kll - zdhaf/r08aPDg70QQgjBh+B9qHzc3j24t/rkwaOnPsSFhfnFhblOJyNO2hJRW6UMCyQET2OE6P9F - aUXdyekTJ5YbjcbR4cGTp09SDjnCnxSyAlqNbsoqGAWBDIbD9fWtWyt3dnd3m83GKy+/1OnkbFA0 - 6qysRI5eSKLV0moU1iiwgs2tLayt51mxsb5249r725uHgx5igNaalYGI837/4GBjc7NyHjZDo1Wr - N7W1SWRGUQJpUiuBE25rbQ5wjJEliq/i6BWQIW3IKIyA3RFYUpUIfox3KGKt2UxMTpw9e/bchXP1 - eu3J0ydbO1tHgz6Y0Wo12h2TZfv727vbT9Pxn5AZgjo8GLz3kw+erq0774iorIaDfhWTASzF3b2d - weBoODj64IP3Hj58WFXRuSiAUtDW9gblnQeP7z14Mijd/Pzs5ESrrkAI9cLW8kwET7fW7t+7/+TJ - mvfBUgagdJWUDmzvPnx6/dpN9Puz7cbSwowxOoyXmAdHsM6MMsRJYtkjDCOC6s6evPqxqwuztf7O - te9++4+//Z2//PD2FhfNU2cunT51MuNx03O8IJ9De56RIVSWtzvdubn5Rq0WquHRweGgB+fgXYAw - dG6KeqNetDKuDrY21tcerW8eulEXjCUo8UB4+ujRzdt37qyuUdGeXzxxYm6qVuOUOfmRRMA4pecx - DpO6fURaJbGJtDxHZw4D2th2p9vuTmQFtra2d3d2dLoBkRijc6gckkALEZQCiBFi2R9sbm5trG/0 - B2FicvLE0pKkfjwQY+gf9fb3D7QyRWYzo6xmq6FZOeeYqFYrVlfv37t35+jQIULTGERj1gYhQCJq - OtMwrE1ea7KxQkQEHldaEjH6QKxB7J1TJHlmj6PwuHk2otqM8CWR4MP2zk5/OJyZm11cWJiaaitO - uSin7liIadAhQCKNLQpHJF+Mn0v6GBE+MKtca6NYIE6iT4Bgo9ldPHEqL2q7ewfXrn24ufm0LGWE - OCcyQdpZydSUgNRtT+wn0+xMzVx95eWFifrBzuadO7d/emPlzoPVvNY8cfLkmdOtZo4sRkhAIG5O - zS2fy4pab3/n7u0bvcMBAK05zfR7DzAnVRrvRQIsQzGBSBsDIIYAiUyslMJYbDY10iV6gQgZZCbv - ZnOLc6eXZ+Jw+/HD2ysrt/rDIEAlUChyzkG08/Tx3Tv3762ulZy3Jia73WbNAqkJqDNjjaGYwRsS - pViIgsAHEVKsGIAiGJXkJgDnEak1NffClVcajcb+7vatG9c2Nzb8sEoLxRJqGarKra1vffjhfWaa - X5judJqK4f049EoAQlWV5RDP8FzEEEKIXoG05lHLJQRfVYhyeNR7sPpobX1DKb586cJkt22eI0qO - wsGzqDAWURBEBwRla40Lly6cWJ7XmlZurbz77nvvv//Bk0ePJ7sTH3/zY51WocaKNCyILgICHrN3 - K/T6pTCiwcDDCxhWI1Op2TeKi8FVJdm83Z2emZ6faHc4VLc+/GDj6ePj44bAWVbAZsGFa9dvPny4 - qow+ffrUiaUTGlrDMCuAhVixyozWKYnx43/83P3RcxhuFCR7HgGIFSujWB+DvONWCiAQVswcvGeJ - tbww2hI0YurZKaUVa9HaZxYSq6ocVlX59OmTx08eE/H5cxe++Et/9z/5j/7xf/Nf/df/+X/xX/77 - v/cffu5zn56eaik1yrQARKgANqZmsxxMQUIU/wy/SNsSgGJiRUSII+oHhJDX2p3OxPRkf9h/uPpw - 9eGDQe8oyUZ6hKqqxkcKvENVwTl475NohFKWlBXBcFhK8DUDifDeAQBrsIFwCD6EEhSdD+UwDIcu - hI8Q+8aXPKtP/+b6N+D6a2Td/mzS+Fw37Plfjr9gico7bGxsvvvOj//3/+2ffeHnP/eZT1wqcvSG - 5ZOd+3/85S/9+TdWKsSLL7/4yquvNOuIpigmp7PXrp65cOnDe+9899vfWVp6Zb6lX7tyWhtGlEE1 - JF34wBsbvWEJY6uFhVZmjKIahoM4NIYyjbj+9M47b3/v3JlfgS6GIZbMjlCQGZE0oS9fvLRy+dEP - v/+dH/7obbZxe+dgemrq7NK8URAi22yfuXSp/cN3r9/78Gtf+v37K2ui6xdeuHpiYbkg6JGNX6a7 - 0xcuXfr4m69970c/+eC9t7/65f/zl37pCyeXl6216f6V0mU53N/de/r06YnFxW63q7Xudjqnz5x5 - /fXXv/+DH9y4ceOf/tN/9oVf/uXXXrtab9SRxPK06R8erK3trfdCNrmwNMk1YrAWRB+DyUyr0+Lk - bM6M0aQ8g3QU5XwK8I5Inleo/2jf+/g9ESuts6LWyHq9/qOHD/Z2htPdXFn0h1ErySxpk4Q4EaIw - cZbZlGqP8CwhgCoXnHMA2q1mu9USkRilXq9fuvzC4tLyBw+2/vybf16fPdluF6fOLGVWg0GC4OJg - MNxY3zDatNrtolbTllkdH9DPLSR5BlCmMvArX/7qncFg8Jv7n7v04nJ3GiH01jffee/DP/7yV2/f - ftycv/jmm6+eWDwhUHEYJqemL75w7pvf/eGdO7e+9pWvzi4t1F5enmmrCC+hHBzufePb3/rmX35/ - df3ghSsff/mlqxMNmzM0wNYCWaNVv3T2xFzb3Lqz+aWv/Om1B163Op994cpctysCkOrOLy6dPqMV - X3//3d7axv0HDz919c2rr1/ttmoZKoYhYRAXteabn3zj0drK/W9+50//5E+Fa/YXfmF+spvlOrOZ - JDchgXfY29i5fvfe9NkzrcVuzgJIhM6LhjWWoh/2D50rgdrP7MqfLecIIhSiHB31tnZ2t9bW5trF - 2UtnUNRBFj5sbe9ubmz0e4fdueX5uZlWs0mZR9Qms/VmUyu1ev/enVvXH129sDRdMPnezt61H/7g - L7/6pd//7nu7G7umPkVJvItUkovTuWq0at5VK9dv/MtBL6NB+ZnXXzu/TDro/tH+zvq//NKX/+TP - vimM02dOXTp/qrAJFaIIclHKEL3g61//sy2383d+69df+b/Ye68YO7L0TPA/LiKu997nTe8tTTLJ - pHdFlu3qVndLWAkzI2mxO9AO9nUxEBYzLwssZhdYN1KPXFe31JK6y7K6WPQsFskiWWSx6F0myXRM - nzevj4hzzj7ETbK6Zx4WmFlgHnSQD8mbibyXcSLOOf/3f6az3REJI4zn5xeuX/vm4uWv51+UosGO - bSOD7c1NDQwCY0FIXQhDmJgLJhvGX4CRxMgQwhSCCy4MAwkAigFItrW1u2/m5I17l7/80o2w7w/+ - oDWdtjk9pikBI0JASJidXV9ceBGLh11OmxSisLqwujxXXC+0t7d5PG6HQ8GUGrqxslKaezG7urpM - CSGEEEwxQoyRFwuL167duXzpQbmobN022jfYms6FNviYwvIla0wYYwAEsOBIcCl1w9QNQ3COKd2Y - TQyYAjCTI9PkYOpImhsyK3A4XW1dnfFU8uqLx58f/8yV6056dzdFvJSYGJsIiVv37p85+cWlKzcQ - dvT1DMSTEafLqnYJQQ5MuT/oDcVcTje6det2Ac2uGbSlZ1MyFZEIAGFw+tJZEvD6rk9M/vqTj2pT - 0yFJOgcGorGQHTeicogA4Li5rX1k+5ZrD+5evX7FeO+n3//h7/S3pUJeFaTghlHngDX6YqU2s7AU - CgeDbsWtgqLasKSATWAiFA2Pbem7dffJzPSzn/39h4+nVwPB/HBfb8RlAxMkASAIKYqN+Xq6+wb6 - F764+ujiF+cCYdum7QOBqMetuSQHwwRkgG7ohaXCylzNlY0hu00hKgii88YaxbkODbodAeCIMSQZ - AIAQQphCiC/Onb/41Ve379w7eOi1HeO7Q6GIqlCCNmIRXj1xBIAAUoDZXG6fQ9OgVnn+6N7zydZS - cdClKZgyBSHMEIg6GFK1u2x2O5jLRJqWrytIEwRBBAEAl6hqygpHBsJMUVVGCRUA2BcIZbI5f8D/ - 7NnksWPHvLFw99BAJhzFEsCUYJpc8HK1vFpcQ8yjOb02p5U5TQ0upJQgueSm5FwCWN5zDUYmJg6n - J59vzTe33Lz9/MrVK8lsPJIItbV32FUGVqkkQej68uryStWoShJNpTWlAW+8Ii6jl6CWtb1QQghQ - SxliVqrCqZnF1cLlq9cyuXw628JUDVmiHI6nppdu37m7urqQdEAyEVYVeAWISwqAKAOCLQEhVRS3 - 3U7mV1afP5uYnnqKIkHmsSsqFkJOTEz+/O/+4d69e1Kweq3GuSkBDBMoBfoKekONEDSMAUkhwRRC - SBBcSGEyguhLDoJs2Pg4XO5sU0tTc9vT5Sfnz5/Ltg1HQ7beriaEMEgwDZAI6hVYfjFLoa44bb5I - AIhkTEnnW+O5Fu2rZw/u3k5dvnCnJdSeDig2yYU+PfPkxMmPjr335aMHyxI8CBBlClYBgOmCKDZ/ - Lt/udJ5+8Gz+8pfnBvrTqaQj6vJTQhfmZj8/8fFn5z+7e/+xKUAiIhq1CmCqgMCGEOVapVQrG6ZO - 0AbNA2FEKEJIclOvVYVpsI2pIo0GOAYgwXAsk8tns7mZqalzZ8+2taR6u9uS0ZhCKULYgvpWiquF - NV6vK35/NO4DS0nNheDCpBTZbJoU3KpCMcFgCuASMBNAanXQ6zXJdcWqDK3ZwBgA64aQllG+qWMp - LETSHwjlW9r6BvqvPpi+devWZ58dHxvd2tbcrGk2ShEC4BIqVb5eKhVWV30OhxYOUUNH3AAARAhG - iAuTG3XOQZKXR1SEMQHKQIApZL2um0YdgyBkgwUgJHCBmcoUZW1tdnrq6fNn021tftMU5UpBYZwS - B7BGAYk3WG6vDlIII6JIrHIADIhu/Frj3RmNhIObNw1PLhbvz0z/7c/+RhHfi+3boaoKwZQL0Ou1 - y1evf/KLf5xdWM60dI/s2hmLBBkBYQAWYEqDS1OCFJIbhqEIFQj+jw5Iv3HmbuwaQOKJVFv7utN5 - 8+bNG8CLPg8d7G8PhhPQODeCBFhZqy4vzjtUze92Bb1E0+xurz8QDNlslfVC4dbtb9taMgGfU0Id - YXPyyfTf/OLjiUePzBpafDG1troM1RSoiHoCzfn27WPj5etTM88nPvjlL9i+HbsHWkEFafJyufjk - 6eNvbt+fmV/+4bvv5BJekLhU1Wt1HRAwRgjBpgTWWE8kSIkwpooiJJJCKBRrFLPGliesXxIN3b1F - wDbXS8WZ588K5bWuvk6X1ykplQgZJi+Wis+ePSuUCv6gPxAOqjZNlkuICl8oMjQyXPpmZm7uybFP - Tu8e725OegDQzPyLK5cv/8Nfvv/42+mqrOoACEtKEZc1iQxEQNUUSjGU1q9cvuSGKqwvHjm0xxfx - GBKKxeKt6zdP/+qT2YVCJte949CBgN9lAFBRUiUnBCkKK4P+zTc3//X/9K9/9N//7r4d4wlfiKpK - obD85MrNcxeuTjyedHl97dl4Pp1QCDQ0P5LokpkWwMd1DiA5MAGYaYBN7AmnMjifCUzNXr97c3L+ - aYAAC8RaoolM0O/AjU6DsBS1Gxrkl+DhBskPU0xUich6oVCbmVpbXDATLmYHxIkEgSRIwK2trW8c - OfTBR5/du3fnpz/72dHv/WC4Kxl1AyZY1OrFpbUzp89c+PJizTBHBwZHNo2oCgWzLgBAUawdYiNO - b8OnCJBE2BTINDkGSZGkAMyKCQFZ1+uAKSUklki2tXe2d7Q+mF+58MUX+UxybFNfJurjktOGkSOU - SlAoVNZXZrIJT8BPVbvD5XI7XR67nS0uLk1OTGQyzda5Tq/rd+/eO3/q9KOHj5Z0jy1eUogEAbqu - R8KRrs7OwcH+67ce3rp54+fv/XTf/r2dmRjFAgBWlxZv3n169ZvbDr/t3d99R6XAOVTrhiFAAOKm - IYVoWLVjAGIZTVBCGEJAQFLcIGOg73x9d+tEEgAhVbPVDf54YnJ2bm51pQDS41RBcr1UWPns+PGP - Pv6kUqly0xSmARvtw43nvQEPNfBhwjDVTIENwzD1GpIGQQ01o9vtyzd3trR2z918eP782XRHv98f - 7mzLW1blwuRYymK9NLW0xAlx2lgk6CEEACEgKgCxOT2D/b3f3rj0eOLJZ58dn1ktzs5XugfG8/kW - jQGTgKEOjABo3kRz3+ba+YflZxMP//3/8b+Tf/5j56Yet9/POQgECgUQYKys3p+cscWalVBUR8Cx - wAhjQgABN416tSKFoIQBssw7AAAoUIJVBEjnSOfAABKp2M6dW1aXn09O3n//g384ov2os7tFUxQE - oq4XzaXFz44dP3HiSs2UW8fHxnfvttsVgsEKORGYIUCIG0gvSaOKZONsLjGSiKCXNiMSpAAkBSAM - WIFgLN/OmpqaJm89v3Th/FhPNhu0ZZq9UlFrBiwtr1387OPzn55ACIaGhsa2bXbaVVUBhICbcPWr - Szcvnrp+81ZT/2j/+KHe3k6bAma5ND319PniatGUXT19UbfPYXktI4QJWVyYP3vui5//9U+eTD4P - ZQfHd4ylkjGb9mrNx69WfrnBjEUISZAIIQoCAcJenzeXT6ezqccTc5e+vOx9+Hx9bT0RjfX3dLmd - GgBwK5wcAaYMwABhilL953/34c1bj0t1+cb3f9w9tMnrtW4wFYNsaAlAAjYlktSmSVmXkvl94VQi - EQ/6Zhbmb1z7Kn+uqX+oP+ByCACQsDS38PDWjV+9/+G9+3OJZKJ/oC+bzYpGWYQQoVwANzkIjq0k - Hdng1AvgGyjAd6jJ1hGIASBAOrFsHrkpuGFahNbG1UEbqxxlGCGCJEUIS4QAg2SAVYltkhBTFASs - qCpgJJFQKAany4Gp8ejxnT//9z85cfV8U1Pay1QnYIoVIHabO9Dc3uP0+pmGNBsAYCmQgkndNEu1 - MmEuwrCmWa5hDZ4OIADCBGCrBCEWpxswEBaNxw+9dvj96kcP52aOHft4BwP7pt6gS1OAUoWBoFCq - LZXE3Grd5vMlooAkEpzrNb1WNwzDIukhK2iXUWCYAoBR1wEosymU4Om56StXbly8+LUw2b4De7r7 - 2hKxUKOQedmghpchZ/8fWsP/NP7/H//50C367U3nt5H5DSjv5WjIqLGUBIBomk1V6cVLX1RKK6vL - W5xOKJuPp+avHj9x8tmkjKWyfSNDnd3dGgMDAKs2ezzZMzT84Gnl8lcTVy9cZOb688d5j5sCVksV - U0hWNeTcwprTG8ylQ15vq+phBGsgVDv1+p1+r9P+Ynn6whcnvT6IJ3w6wsFkOpTO5gJeARSAgSTZ - dCafTSmMTE4+N7EEpIQDwXjYrxAADNjhjGUyPr+LV6vffn2xXnemUgOppo5gwKkCECsFCChozqZ8 - fsfY5um5mZXlFydPfFatVnLZnNvlAgCQQgizVCyWS6VqpbJr1y63x0MBVM2WSqV27NjxYn7+zp27 - Z06f0nV9amrK5/MjjBA3kDCLqyvzJaOKbM39m6PODFYxADa5qZsGl4JQIi189GVPCxEAzCUxucQY - kYaeUn63IYflb00ZACCbw+n1B90e39RK6c7tW2fPnHp836My02knuWwqn0/jDTUiwoRQyihpMLte - tr42DGIAwPJbsKRQNrs929TU1dN7+/nS/amZc+fO1vVyc0vO7bYrTEUSysXS6ura8tJyKpXu7u5J - pVKUaly+ElOi3/7AjTUYASoWSw8fPPrkk2OFR5OdgRAVYmrq2fWb12/duedwRzp62zva8j6PV8gq - kTQSi/b0d3Z0tVx9PHvtypVgLDL1JB6PqgqpGrXS6uLsmVPn7j58YfNEBzaN9/cPeWxALMICAh2o - Ztfy6XA26rkIhRs37yxUI/lAvLOtI+KzW5WbFgjHU2mfz/tsfq4wt1hcL/vD8c6uLrdDY1BDEkCo - IEHR7P1DfU+mbnxz786923cld9bXK6lEwOtSgDBdYEMQ4FAvlgtLK5OzszsOH24P+ZAGAAgQVVQ7 - IQQkN42atILXN67Mb7ncbhi+Wj8h5Urt+fPpi1+ct4Ex3N3iUJmJ1bKBJmaXv/r6LtRr9BijWQAA - IABJREFUuUyyraXZZtOA1IApmtOdzTWl09NXnq5c++pi0CaaEgEVqtXFubtXLr549MQwOABXqKlQ - EKYJlrBUAsecS1MIEwyzXq1cunhhfeXpVGsubFdIpbgwP3XizPnJ6dlsPtfX293RklUpEJAIEAdk - CDABM5ttdXX19s0bdjuamXiUjIYpmFOTD25ev3TnwROnNz+8eVN3az7it25mJBHiGBsghZQEgL2M - UEQgMQJCBEZSSMlNbJkDE5zI5vqH1ruv3b777MW5M2fjPl9HrsnjC5gCS0ylRLW6MTP3olxa37d/ - TzaTxCoplUp3bt+9fuPr1rvNkXDI4fByQYrF2uyLlXt37xCCh4aHYtGoptoAMAJZKq/evXv7xOfn - CqvM6w025WMURxoW/SAsJL5xbxMMGIEwJJaIUoGwhSi9WmAxASAgiSmQlAgoJoi/ZNJQuyOdzXV0 - d12ZKV18OnnuzClaW2lJhnwaYMLreunmt9e+/urbhaVif/fI9vFdyWTEbgcEQBAQaQdcc3idsaQv - ngzferY0W6oLmyeRiGcyUUxBSII1TzDkTMRiGpm88+0NpWoGsi0dff2hiF8BkwOnUgUBIGk8ne4e - 7mu70nHryYtzZ087HPbptkwq5CIYapxWOdaBzS0tL62t79w57swnfYodIQszBSAQjoZ2bO1/Mf30 - ztSzs1+uFzlpjyTbmppCTgUDCARAMcUKINnd1Tc6Xb4/ufL48f0PP6jMLk4ksuGgM6SCKmtgliu1 - YtEolw0H2+Ta5bEnCFhhrkjnUkqBgSMQYPm1SGEZdoBpvHx6JiYmz507f/78hXA43tXVF/CHrLVH - biy1UgIGiy9DAUmgmsvl9Xu9Nrs6/3zi6sUvE067jVHF43aGgs0tObuDIUSBKIQyjBGRJpGgEGi4 - 4BAriYYYQA2kckS5EEgKy87O6w82t7YNDA5+9fWDb7654f0kNDE91ZJMOwmlulkxaxVeX6msrxX1 - XEtPrrkn7vQBxoCpkAghRJCF3nIAwMhqekkQAghCmj0aS/T3Dzx4PH/+wsXLly9RFXV1P/Z7QozY - TEM36qVapbC8sowdrmAi6w2G7YrW2OQFgNlQL1t1jXUrW0AcYIkxR0hIkMDF/OLi6TNng3fupzJN - Lk8AYaaYJVxbu3Lu8uNHE26XPZsJZdJRVYUNQJyB4NIwJFMwAGAJVPH54y2tXQv3Z588efj58V+3 - ZJJBjwOQuby6cOfet9eufl1YK3h8ESGsFMRX25tsVDOWwr3hT8GlNLhEmCKMJOcEAXm1XiKCEUhg - mj2WSA0MbZpYkKcuPbxw4QturE08ytltFLDH4PaaTirri0tzz+JhT7Yl7/Z7KKaUaa5wvKm9p7l1 - +sK9F99e+fIjm/kknwg6mYTi5LM7V78+93TyOQiXAtTUDQkgMZiAKVbtrmAqHcqmM/ceFR7fv33u - 9OeVykwyFMVlNPNw+viJjwv6stPlg8VVAGpFKwMAEAoScZCm5JYNtuQc04bRB2BCCKEEAzck52Tj - VN6QAksEAoE3kG9u3b597OzVRw8fPvzwg4+eTrTnUimXZkdS1Hm9VC+vlddNQ/N6k11dtpjXY9m/ - Gtw0TEOCoBQjueFT8fK6YwJYsULUkDBpI17m5SwTk0uMsIWb4w2bC2R3JFLpbdt3zFW+vP104cTx - z5fm5zvaWj0eL2aqCaimG+vF4nqpZFPV7ra2eDDYEP8hDJgAAslNJM1XQDwCy28cMAEQXIIESSkm - WCIJ3PLeQggw8fpD0VhcyJl79+589mvb1PMYIQXJ6eDg5mAwTrCG6EugBTUEhxs0fIkIR5QDMEpV - 2nAaByFAmIBRKODfNDL0zaOpJy+uXbn8ZdijmuUVl9sFRNEFqhTXLp488dXXN6nq6OzuGRsbDQa8 - DdgZAyAhkUAUIQSmqTMh0G/1tl9u+xuHpZeociAUbW+X/f19l689u/HN9WPH/NNTj5LxNAhMiMIp - KdRrM8tLxcJqX3dXR0uL3xPATAmEIm3tnfcerExPP//4ww+e97bHIj5MxHqxcO/+7csXrywvrGHq - E0ZVChMkgECg2mOx9Ni28UerF6bvzF04d8pWXV1/1uW3UwnVYmnx4eMHz+YWJFGL5TIID0ioGdw0 - OSCrayJlI93p5X8FWXc4AskItsRn1lnTCixClhwAEAhpGnq5Wrl5+9tvbt14MPnQH/KrDreJ6Mp6 - ZXJi5vGTR5pdHejrCYaDVGXCrBGM/aHI4PDQt8+Ls7MTH33096XCo9Z8gBH59Mnk3du3nz+bkQg5 - 7S6jViIUEwKWiA0hSYnlhS4YxRNPJj6pF816JRAPcSrX1xbuXjh37curwOwdnd3btm0N+F0YDJAG - Ag6SA0gbcdR48dHDR6dOnS4ur6YjEQ3h9fn5W1evXL95n5tieKC7ryUbC6oIwATgmEhETawKTAEB - RiYGwAKwAKAEBAXF7Q/wrtbE4wdXLjycX10phWNd7T290UTG42qIjqU0EWIbV2xjUXx1+yKgis3h - CodjdGJiYWbq8hfn6guPYx4CRimTS7e25xVCstns7p3b79y++/XT1ROnTgnNNfMkmvJjO6pUy6XF - +bnPj5+cmFyKpTKDm7f29vcBQ2CaSL6qPdF3Op8bL2CLdYtAUhC0EaCBLB85hCRQDB5PU0vb1tFt - c6euTE5M/OpXv1qamWhORxXVLam7LtRyuba+XtDrNQXrLnUgEIgDUyPhWCqVcTrdT58+PXPmjADq - 83qEEIWVF4/uXvn2+vX19SJSXBQEFgYSgBFSna6Wlpbt27fNLqzNzc589OGH5XLxST4VcjCzWp6a - K9y6//TpzEJrd7NpcEqRYYqqbnKw/IsBA0cbnSnABAS2ZGCEEIwkCL5hwP2KB904hDRWFEQIyeaa - HsXiT76dvHTpUl31JpNxFZtGZW15cfbipUvPnk8RQiglGKTkG0/6y1UAf2eGEQWkmiYSAgiWDAuC - JJIAAlSbK5lsGhzeMrFSP/P1rQtfnKnXjMcdA5risCNBjZqoVZbKxeeLC8F4tL05E/F3EUIAMSAK - SKLYnB1tLc3J8BkJ3968uagjZgt3dPemszmCAesAogIEAFRnrKl7yNV5Y/bF5QeXL5zNRl0rcxOh - WEKX1MCKAgaurlQWpx48mxnefTTvCNvc2BK0IIwpIQQjzk2Q0hI9bZiYwkZ4HwagHAAhiCYiY2Mj - D+58dePZi9OnTwqH4/Fkuz/gFXoFF16Yi1MnPzvxeGI5EksNbd42ODykaZQgE6QJCFk+MUSaiqwT - aTbAWQsg3LAfaLSTLI0ppYAYuPzxtLN/oP/hUu3+resXvvgCykvp5sfYGVrT8dLM08uffzx970Eq - lRoZGR4Y6LbZgGArohQmJibOnDt18syFgeWyEky3tbZJhnVDX15Zvn79xu0nTx8+fBz3h7yaXaPU - EEa5Ul5cnPvqwtlrX38TCocHBga3bNoUC2uMbvA90W9uBAgAZGMtRRhhBhwBMsGupbKJlpbm+w+n - Jp5MagtrTrsjk0xl00mbBtCwirWkjI2nkJvm11euHz9xabWqdwxtznZ3e7GdSwCOsGVIJ6BhMYUl - QhgExdTmcjp6Oru2DD/79ckzd2998/4vlYlnE7GQ365SZNTnn03ev3n96tffSCW6adNIT09XLBYF - MFHjySAIUwAkTQMJEyNKNiJ1QHC0kZgN32lSINjAtxDiXAqx8STIDS452miOIIIxoQQTsILnJAIA - xEAqHFSJsUR1hAsIC4IlI3YG0N7W+rDr8fnLVy9fvPLt5NOu7lY3FYpZBS6x4nJ4I9m795O51lS2 - pbkt5bBRkMwEZAppSg4UYYYxQQi4BNSw4UDWvoYwJqCqBKAR5I2pPxgaHRu7f//xxOULFy99WWJo - eW4yGnA6FFCEiuu4ulqbL+jzBb1raCzkb6FMIoSlRLohOG94uFjOVBuUY7ThYgWAUalUuH372xOf - nzRNJZlKpTLRRMwHDfnxyyEbOYv/NP7rGP9lvW6/gxD9J18FC9aUgJEUWArKqK29vWN4YOdHH555 - 72fXfvF3f+2wS0Hma2ahXIFkOLJtx+jmHZtb2kJIgtSlAYKpbOv2ndW6Z272lzeuXL5w9phmk9Go - 1+XyVatmsVgtVPSSbu7cd/Ddtw43ZcMum5cpDDSv35tKxiEZi05MPzhx4s6Xlz8nDKjDfvitd/a/ - /np65w4BGEABiULhcDQaZIyslMtCUfyRaCgY8LsdCgUAjFWbNxQOBPwOBZbnCx6/O5bIhKNJhx0I - BwIgObfc6dOZzJ7dO6dmZs6ev3Tu7JkTJ047HPaAP8AYNQ2jXCoWCmsej6ers6u9vaO1tc16qsLh - 8KGDh6amphYXlyYnJ+/de0Ao8Xq9iqoiYZbWVo1aVdq8mc7+tzTXSGcM2yyiilGt1Sr1StWsmqYp - OBcSS8vdDlEAwgXiHDRNtWmUc13IlyqlhqIH/UfT5fAF4umMNxi683T20cULk0/nDL0gRXnrloEf - /fDd5nxWSjA5N7igTFWYYrF4pKQYEwAOHMAQmFBKGUhZLhZLxaLlIYg1myMeH92+/UVFzrx//Ny5 - s8c/P6ZqLBTy+70+KeTi/MLi4hKj7MhrR5wOZywaBanpuqGoDL8EI3/jwIcQWNEyeGhguOL1/OqX - v/q89nO/adqpsrq2Xqsb4PTsPjS6+50jiXiQUSlMhFWHJ+UawLYDh2aXPj7164vf/N//7n/VnEbA - i7weWlpfeTFblhySqezI5gM79x8YGOh2qiAQCAAOYEqkMBVFom25pkikdP/2KmgRn9/X2pwL+yxJ - EQNXIBRNZDKZmZUnq4uLjDmDsVgul7NrDENZmESCigQQRts620aXNz18PHXm9I1PP/z1qc+PewOK - 040V1V6piLqOgMu1pRUqUTAeT7e3t/X3IQAAgohCmIoRQsIkIBASL3fsDTrFb6C3L39KKavr+rNn - zz/48KPHt6+7FZSMhrDqWq/x6aXCusHAHhjs6x0ZHqSEAAJQVQgGRzZvubVgXH362VfHPv7qzKcR - nx3q62J9DVdLh8fH3nxz99yvPkI24rCzul4z6ya1I0CyoldWCisAMtfUtH3H1mMf/+35X//CrtGk - 123jxurKwnqlksz49+w7sH1stKPVxRBYDrICsClBUuYNuOP5IHGJv33vp9LUbSqTXK9V1qtlYQg4 - Ot7/+z/+YTJia+CzUgoAjhBHGGOiEqLIjRhPLiVCTFORpWnhAnGrh4tsycSgVI/OrlY/On7j8uV/ - 8z//m4DL6fYGmGbXTVGp1NYKRYlwOp1MZxKRcMDr9iOE7927/+d/9pN6Tfd6HPFEpq6L1dXS3Itl - gZSto+N/9Ef/vK+3z+7UAJmCm3q9Vq2U9XqdG1hwaZqmyU1GNprGFnwrXlrFSzANgRDTVKooGBPJ - G3kJAA1FLghqckoI1Zx2ik0QBracHjUbCkW3jO54VGbfLp64+PnHF3/9Dx47C7s1TOX84mylWleI - kku3je3YffT1I4Fk0CqmKAIsEEgKTncyHW/vaLs3c18YQvUozc3ZXC7GAAAxYE5MUEu+JRefuDox - RZnDGQq19fX4/R4KdSQ4kQwAAwa3P5Dv6th35NDK+5+fu3Tz//k/J90a9tqow2GXVKtwNLu4XDW5 - PxKLxcPN8QDyOkAg4GAIwRQWjobGx0Y+//Sj5ZVFc3092N6ZTudjoZDXThQrohpjwBSE2dLZu9d0 - XLv19NKNB78+9vGnx39p92ohdyjoCulFvbC0XF0rhHze1uH+3ECXNxUDQCCQITCXQChlFG3YRFvh - cRJMs16rqTYNM0IASSG5yUGiUrFUWCtYwNdLVeJ35E8YEAGQQFSH05OIxnLJ2OOJqY/e/8cLxz+t - lavRptzI+I4//ON/Ee3IgmKvm8C5cNhUIgzggDQAU4AQ1kETCAWmgeqUJi2Vyka9hsAGgMAX7Opy - vf22XjE+ev/Ysb/6i79ENi3gcEZcbmqYhWqpKvRivcqR8i/++H/w+KK0yQcSS0wxoZRSRiSWAkmJ - ASiiCEkwTTA5IARUoU77trGxqs7uP3p87dq1S1cuOp2ucDDu8/grpfLy0tzq8gsuxZbxXW//8Pe6 - +/qJR7NqJikAmYYVPgaATRBcSIKZbki9roMwkDQIAbtdBQNevJi/cOHLh48n6roZjiUwobheMdZX - RKVa88b7dxzZPNjWlCG2xpwwIAD1WrFewk6GVYViAGZLZNp37Tn0cPmTG/du/2//brI1l3EodHHp - xczsFGCebWqx2+12m41gbBF3VfpdGOg7ocsIQIIphMklUzVGKZKcIPnSvh9jghkC0wDKmD+4c8++ - par96u250ydPnDz+vk0ViXjY6Y5xYV9YKpcLi9IoH9i74+hbr3f2dmmaDSk2wLy9b3j3Ap9Y+fWd - a5fvXDzpdWoeGyGsura+1Nru27f38OPLq/N3zYVKUTf1ugAdCydzMm804FSHBoeePC18cfHce3/1 - l7/8Rwi6faWFsrnOmQpHv7+/N9J1/y9/jjChlMmNTwxSSoywQlWHhjGY9RpSbRKs5A5MGbPbNIYR - bpg0w8tVBwSAIcDmbGvv/NGP/EXzw/PnT/z8Zz/74FfU43T6XW5uGOuV9fmVBdWupTMde/e+GQqm - zayHUgAu67pRq9d0syaFiTdcAho7EiABhDDN4VQYxRj4S2K29aEBiCkRpcyuKTaF4g0mPCCkBsL7 - D702XZSTS6dOnjxx8sRxp93u8/mJopoS1kvl9WJJIrRzfNz+7rtbBgcwVcDkQKjEREopucEwYgQQ - IJBISMlBUEytmGwBmFDmdjkVikwTdOCKwhFjQG3pbFNXd+HEuXvXrlz69ptT4RBDqBAMRv/tv/1f - nC6fSgilG26GVnn46hZDBgdDYAmgaZpd2YiCExwMA4gEj3tkeOjmo6l7z15c+frGX/yHn/zip38R - CASQYtMFKqwuE70YsOOBTcNbtoxuGRohoFp8IlCAMAxYUoViigzTsEnx3Tf+jfGdVyUgZAri9nV0 - Bb73Ljbl6TNnPnvvvff+nnFNc9htDkbVuhTPX8xX6nWP1/Ov/uRfRoN+I+FRFRSPp7dv33n2/J3L - X91+cO9OS3MqGvbZbOrtO3cq1XIgmTf1uqIJn9fucTlA08AoAcKaNzg2tuP6s8L1yaVnd2/+5MqX - f2OaAZdKaN3kxUKp1NzZtWv/YQGIm4JwMCybJGqZq3L83bOLBCElF1IigjFmGCjwDdcmKRuNYkys - brFpVOvVWr12687tv3nvvUqt4vZ7fKFIzYTV9fLKaombeOeufW+89UYwHMSMYJsToBqIxgeGhz4+ - d+Pmwzsn3r915uQvPE5w2W3LC8t+j3/ftoN8Da9Ole49fcQYpgRU2FBtSw4gmMexZ/f4wsSjkyfP - HPv4A8Wtal6tUlzF6wWnyTcNDw8Ob+rp6VLcRANQCcbc4KZRq9dDoXQik9WaXKdPnv77v/4bp91u - p5TovLpasFG1qWvT9944OtLd5tZAByEAJFE40ThzIKoiDAoSKgDDG+uawRHFiqoO9rU/uRe5dHGq - XtcVd6RnaFs4mrApgMHyghCNOM4GVLvBArNaWRIBZW5voLun7/pEceLas7/4s//LRQ0VKtIo/cE/ - +/1/9T/+id/tCMbiA0PDA0PXHq189c2db/9i8unfKnWfWnMrvF4tLy5UuYRYU37n3h3bx0bb21sB - m8AoouwlDEA3jN03DMcRlwgwo5QiKfFGuJ+QUnBBCEHEeoGkc/nDR45OrhjHL9364P33P33/FyGP - FkvkOHYsF/Tnk88BZCKV2rVjU29HqhWlQIqmpnxvb/8nn566c+fujVuPPz911utxA8Ds1ISDGR67 - dDgcdk/A7dTMWkVyl2azgaxns9lDBw/ceTBx6vwXX509/dUX5wJeey4amH02uVo0gTm3je+Nx+MI - YZPzus5rupBEUVRNZYwiC+hrQGaWIY/EWGGUgOCGDpar+Svo9uW/sNVXZUzZtn372vTTC99O/vzn - P//k/JWenq7S6vza4szii5lMOuEPBNd15HQ4FEqk2Xgv8vLtGjYU1iUmAphhIoyww6aoDFHrXU0A - oqnB2PbxfdMl/tX9x5+f+PTzTz8niisRywQdDsbNtYUXS+X1ijD2HN7H3nhtsLsVGAbAkhDOgTIt - nk7n04mA1/FgYaVOHP6or6enJ5n0SBOkUUNQA0IAMwglOxzp7TsW51f5yROP/sOf/9nf/RR5g2Gi - uQzEeLVYX53D9QJSHX/sjISauqMBP0jEhZQIMUWxqQpBL1t9r/x2pESSY0QoJVQlIAVEYmHf6Mj9 - e9umjp85fe3qt7dvK153NBopri3j4pJaK8kqeNLpLVu2jgwPtzSnVQWQ4FaihSGxEFLB0kGkjaJG - 39GCWREgAME5RRIwFtzAGANlIAWoTkeA7hgfnynymcd3j31y7PMP/oE6XK5wsgrK8tw0raw3RwM7 - d+/ZsmVzW2ueMTA5CBMIQKVaKRQLAmBmZvre3buHDx0Glx0QEEru37/3Vz/9WxDYbXeEPV6/27Na - WH0+/RykVAl4nWz33gO7D7/R39/O7A3LXAQb4DJsPNqvdncAhBqxpAgDgkQi0dnddfb81yury7og - fcObmptyHhdBABxMKQFJ0oCrpQAETFE4h1JJXy4UarrOkSkB6nVAOpcYNEqANNrhVr4XQxQzBSje - NjqqIvXB44fXHz64fus6/AS5A75QwCvrtfLyUnll3WbTduzb++bbb7Z3ZLweIIAJUIQIF4gyjVHK - dR1xg2BqGaIBSCkExtbTggBjDMh8CQ1Y/XghDJNLiSlhKlOswJ/vQrcCsATEKGUgua43TLKAgUSG - xIAxYRLjmmkUGVUUxQYC9uzdg0z69e07K6trpbklIgHV14zCi/VCnSMQRK1z2r9p+8Ej3/u+/91M - 2sWIzTB1DkBUptgZoYhzHVEJQADJxplBIoGIwhSn280AuCFAwwAYuV2tPV2bRzffX5779NKXdx7d - +8efYa9TcduoBrZaoV4v1At1ZGD7f/NHf9Lf/YdamCGmMtUmBDIFxpgwQgkCYQKXIBFHhCqq+jI7 - iAtT1+tcCKNuLi+v1Go1eFnNvGLd/pNVwn9dg/zpn/7pf95fkBupwBsnqO8My76/4Wn+sqELnBu1 - Sq1kcB0Q6+3eMjq6Z6B/OJdLESJ1XmaMRyOO7dv7Dh36wYGDb7e0d3g9VkQfIowAEcyhelzheDiZ - zzZFoyGmKCaHakUnmCTj8d7+/t379h547dDo5qFoOGhTGAYJugmY2B0+zeFxuN2EIaYyj9eTyeXG - dox39/QkAkEGQIQEQwBmyOQCo1S+qbmjo29wcPuO8VwuSRkAgCQCY1SvVZ02lkwm+wbHNm/dMzzc - 53UCs1ZCjIBywEAI1VTN4/ElEql4IqPZ7Iwya/10OhypVGrr1q2HDx1+++23O7u63G43JkQIYbnH - BoPB5uaWVCrl9/sZpZVqBUDaNTWZiA/09Y/t2rPnwKGBkc3pWEDFnEBd6qRSNJyaN5SMbNs1FowH - FUpJwzDJAF6rlgrFCkjiS+d6Bge6Aj7VpkmLufRqEWtMqPUZBYCuMhRP5ryBNGBXqVh22llrS273 - 7vHBwf54LCqEUVpfrRSLqqa2dPd1DwxrdqdGEbPauFKCEPVavWpATZKRzaPtLc2xSIhhhKQJpuG1 - aeFEJt/enUjnPF5X42xmcoJJJpMe3bL1tcOH9u/b3z/Q7/P7mNWoxg1ubWMjgQ2Hby6++eqrR/cf - TC0tH/3hj7bsPdCUSbsVhPWiXq/FE7nh4fHDb767+7VD3UO9Aa/NjkFFkgABSTBm4WA4mW9u7uj0 - h/x2DUyjJLjhdvna21oOHDzwxls/OHz0zbbONrebWpuXVSQhhBjSQZhkdd1QImowne4Z2LS5f9u2 - Ia8bbBgIcAAhuGII6vWG44lUX9/Azt17+/vaVCYIqmOpIKQ0ng9ct7lIU1Nba1N3Jt5isyu6qBT1 - QqWug8ROhzsRjff19O7ZvfuNd94e3rI5FPExLBhUuF5YWimvlok/0jy2dVs+EfE4iDWjVngtbnih - Nxg/FtjELfUvJk6XKxaNxsJBJEzOed2UmGntXb3b9x546wc/2rV7T0cu4bEhJE0QBhh1n011RzOe - aIo7vcisI27EwqGRvv7vv/X2wb37s51dXKHhbLItnRnp7gu63AAVkOur03e/uT/7cKoczQ4ePXKo - u6spnY0x4NgUVCKP17v/8L433/3e3gNHck3tqqJWK5zSGsMGocrFCzfv33nOVHXn3rEDh3bFYkGb - yuq1CkGQyWXHxra8+zs/OPza213dg247UhAQCYBMbtZrem2pUIgnm3v7Njdlm70uggFA8uL6+srK - stvt7ujs2bF9p6YpGBnAK0CEojqD4UQ629yay3ldTo0pQkpKFc1mD4ZCPb09u3fvOXr0yOjollAo - QAhiBDscWjKR8Pt9ClNqtToXyOcLdPf2vfnWO6+/+damkaGg36NQDFzHmM8vzH755dWpp4sOR2jX - rp2t7dlg0IMQh8YpgmIgjS4s5oDq0jRXlsvViun2BnuGB7sG+qkCGgIKApAOog5mfX21XBZe7Ihu - 2rqjOePzuRCDKkgEkgbs3mCmqam72xmP2FUCpq4SzBTN5fWP79r9g+//+MiR1zdv2hJOhBU7AysU - SQLmgCQArmtmUTIvKL5wsq29p3PHnu3ZlpRPBQUACwFYrVUNVbV5PN72ts7+4U1btm0Ohj2aKhHn - imSNti0BxUYD0Wginc3lm8PBgIqxXqnpOlfsjmAs2tTasmPXzjfffmvb6GjU76eGSSwbUkqE5BSk - S1NMo+6JxINNbcOj49s2bR3s7nYqAAQ4khwMwg1UrwMiLqcv1dTc1NqeysTsDg0TMKqGMITb7mrK - ZDZvGj586NCB11/Ld7apDqcNTAJ1XlxdrVFTCeY6N40MdvudYGccCSuKAVNKLcfwvtNwAAAgAElE - QVQP0zRPnT5z/fqNum7u2btv27Yxr9dvdf4twT1C0Eits8pisKaT2hyuRCJFMSJSSpPH44mewaGt - O8bbOjo8DkVUi4sLCwK73IHk2Niu5mRQUwDAsDjXAqBer68XSlzgUDK7aWw8HIu7VYT1CjKqCJjH - H0pn8h093ZF4XLPbeb3OEKIgbQ5770D/th3b9x04vG//wdaWdrcNE1FH9XLVxLMrZU8o2d/b29qU - DrgdljUeSAHAG4YIiKjM7g+E2zs6WttaQ+GQYZimYep1g1Ici4b7+3oPHjp08LUjW7aNRiJRShEX - QBEwa74JAsx1UUMEGJII+Nriao27NGdi89adrblQwI0RMhhTY/FkNpfzer3LS4uUEI/T7fEGewdG - dh5+/fCbb/UN9EacVG046DYwccoYVShBmIIJJteYLRDL+KNJbyjETd2oVbmh+3zeHePbX3/jjaNH - 3/D5gpFIrLu7O9fU5PN5rBrWWr/JBmEHWbPIDaNWrddrhWIlkWtv7R7M5TJum3VxeMN6SAhABhDh - sqn+cLqjeyiXbw8HvYRIk+vlsqEbkEykhwZ69+4aP3z4wMimTT6/34qEAcFdmuoNJ0PRlNsXVAlS - CHI5HIlk6MDBscNH9m7pG7/39eTSVFnY8b7vH4q2x1RABAyVcwDF4w7GoslgwEuIyXmVAGnLt+8Z - 3/vj3/vx6M7RSCIsqNLZuyXf3p9JRRwYGAAyeGF5zaxzb8jftbm3qbtZU5kKAhs618VKydCR2jsw - 1N6WT8aDDcqrtFQLOmADCFKYx+GOBiKpXDYdjQYVhZqGwQ0DI+T2uFvaWreNje3be3jPngPNze1u - O5JGBYMhQVsr1ELhZLYlO7x1yOtzqgCsYaahY7O6vr5U0EmqdTDfNdzRGqMAFIBJE0kDjErdQAtl - bg8ku7q6ezvaYmGPCkB4HYTutDt9wXiquS2Ryvh9XoyxaZiAkM1mTySTIyMjBw8d2rtnz0BvbyTo - R5wDN8Csv3jxgnnCidau4S1bkyGbhgAjAyECEiGEkZQgxMrci6pQfNH08Jbtzdmo04Yp4VbQiU1V - XJ6YwxnCxF6rrTEmU6nI4NDIli1bg8EwU1Qrdx4DYKvpBAQQBQlISqO8Viosr9X1jk07m3u3tOR8 - DgSaFCDqgCQQQEQJBsNNbZ1tba3RUFBlVEgJmGp2Zy6b2zW+/Z233zz0+uvdw8MudxABVgEUBCCh - vLpaKKx5fO62ns7eoX7FrhFMLboVBUThN6st1CCLETAAcxAmAbvHl0gmm1uam3w+NyFI1w3GGEKI - aVpnT8/47j1vvfHGnl07m3IZh52BMCgBrzcSjWRSqWanw2YaVcOsOhzOrq6eA/sPf/+HvxdLZ2Lp - dHtTeqC9ORHwWsnrgJDd4XaHE5nWjkg87mQK0g0CIpEI9w10j27bevjI6/sPvpbNZJ0aAym4wYuc - ccU5NLK1JZuMBhxYApKWlkuAXq1Xy0uLC45QsmNwazrfGnZTBYACb/D+hQTBMcIYYUaxpqmqwnwB - v81hx5TW6gYH5A+Eu3t6X3vt6JEjR7ZsHgkGvapCEBIgdIbB6Q0FYolQPMmxqTJBKbfb7UcOv/6j - H/zuwb1HfK6gQ3V5w/7+rf3xfFxThAJlo7wwNf3i69tTL1bNHTv2jQ4PjW3e5HG7sEp0obvdjs0D - Q99/4+2jb77dv23MlwhjBCpwFWoYyen7s1+evia5ku1p+v1/+c/C6Yjf5zP0usKY1+1rb2k/+tpb - b37vB1u2jMZjHkUDQxqAhIoQNuXy3HIB2TLdA239g+1xd8OxDYShlyXmmALj9fnnE1e/uYcd4dbB - 8aNvvdGUT3rs1mlfEsQJstTB1jZmADIBEAADTkStipCpqCQUidncYWz3cZPbGUSC3q1bRnbtGu/p - 7qAEA5cScDie7ugb7BwYYCohoiqMmt2uhSKxrp72Q68dfPPtdw8ePNzWnHfbKTINhAlgxQofoBsG - 6ajRAAXghlEtF9fXkCPU3DuSau1JBlUkgJgGIIQJRZgQaUK9Aki4feFoOp9sao2GQx6XnSGo1oy6 - IW0Od2t7+/jO8YMH9+/bM97Zlnc5VNDrjCoejyedaYrE03aXz+RCNwxNVTdtGty3Z8eeXdtz+ZZI - qiWczG/ZPBILqAoyAQRBhCq2ZDqTb2kJxmKG4KJek0Y9lUwMDG/es+/g0Tfe3DK6ORD0UUKo0JFZ - rVfKrlCmf/OuSDLntQORli2MCUKHanmlBksVkWju6m7Lt2aTLu0VwY0CYDBAmoAZICaAMgQORsN+ - byaXdQbCnCjr6wWKRDadPHBg3+uvvz48NASEtfcOtnT2pFJRO315VUWDK4eZFV+JhQl6ZWl51UCa - JxTfsnU0HY+77aQR1Yul22bzx+LtvT2ZfN4fCGFMhcHr5bKo6+lEum9oYOfenQde2795eMDvdlGM - AKkSYQmAEQJsoPKKtLm8sVRz3/CW0Z2jo+OxqNOrggISoGo5jwMimNpdnmA63dTR3hoOejWNGSYn - TFE0u9dt72rL79i29ehbb4/t3p/MNSkEqDCRWTW4WCpUVKe3r6+3ozkXDXoBQCIiGiRjhAEjRBEi - IEEaQJFONRaKRpL5lnS+2RcO2VVFr1YVjEM+f0u+9dCRw6+/+6P9Bw+3tOQ9LkYxWLmdAEKv1dYr - +nq51tbR0d/d2dnWatMUABCy4ZFKX+aAC4EtSangAAioZnd4/KFIcy6Tjkdddlu5piOmai5XLpV8 - 58jR33nnnV179za1tTm8LoywVc8yDNXSOvCyAD64efvW7XtzuSaHijQm7DbNFwxn8y3+QNCmqNzQ - uWliQkKh4OjolgP79r7++ut79u5v7exz+1zYcvOXDS9/a+BGsSwtFYN1Q2yQLgUggcHEWDM5jkaT - LW3tO3ftHhwajseDCAMCThBmyKpKOIAB9dLyYvHYZ2dn54vBWHz3gb3NbXmXXcESKGCGcYMPy3WL - Oo8RxkCQwABUocTtcmSbsvm21lAiSTUbJUhwrilKJpUa3TT8gx/86MCRd7r7RlweTCkQJAgYhqHX - qtW1taIvkm3pGGhubo+4MG30pwFRKQEoYAIYJJbIKnsRtZJ2OHCjViqvVmtFuye4aet4Ktvid7qw - BNKAtnVRXy9W5VJBDyeautvb23JNPqdCAIFZra0vLKwVNW+oqb13eLjXaZMEStW1tQ9+9cnHH55c - XC68/r13/vC/+2+PvHbwtb27jxzYf/jQvr379/cN9JVrtaXV9fmlYnNLayDgctoVDLC6WKmVeK4p - 39rXkmvPEIZUS6YkBIAJ0lycm6sgmyuUGhnd3pKIehSEpAAgQEjY70+15HPtLc5wSKUYSU5AKlj1 - urwdbV1jO3cfffOdXXsPtOQjBHOKdCR5qVio12rU5h8e3Zdp6gn7CQNgiAPCwhRCWHltYmr62Zkz - 52enFwKB8P79e1rbcj6f2+r+NMj7r+Jt/8kw4b+W8V+WdfufHNZMy+98AwDY7fI35zskaF5PU76p - hw25Ojqbkung08kH3Fzz+1hvb1dz20gq298AIBv6RspBcdiCLa3+oDOy0LU0MT3z4PHE3OJSrbxu - V1kyEU82NaWaWxJN+XjQjUESyUFSkAowryeEx7Zv84TD2XxybnERCA1Go0MDA/FwyMrukFJBAMBI - MpM4+ubRhUKpJrHd5c7lcqoCEoADAlAcLt/AwFDI41peqdlcLeFEv9cLjAB+6ZSIKAAHwpwe72Bf - fzyRaevobbv7YHZmZn19HaR0Op3hcLilpaW5OZ/P5zEmGGMAJCXg/5e9N4uxJEvPw77//8+JiHvz - 5r5X7ktlVmZtXV091T29zHB6ZpocLkNI8iKKtiDohZBoSbQAwbZk+cWSDT0YBv1kWRYNQgZsGrZB - WyQM04ZFC6Ih0jBnON01vVSv0/tS3V3VlZn33ohzfj+ccyLi3qyhDIGW+DCBRtfNe2M58Z9/X5lt - Zq9cubqxsbm/f/HV1159/fXX3377bYX2ut0LS0tbm+ur2wcrOxe7c7OFgMEgKTrZI4/eWJ3f+2x4 - f3l5yRoTJirVJeJT0/NXrlzLpyrp7M3NzWS5hinF0WlbB+jSpB6AwGZibvErX/v6xOyl+eXXb7/4 - WrcjO7sXHn/80Y2NDa+OCHPzC1evX19cXp5a3pzs9awNM0soSVKzsLh8/Zq4bHpz//Li/JwEbV4B - kF1cOp5bW9093j58+6WXD19+5aX7X3zuKldk2dbm1sH+xb3dvQsrF2bnZsLMkLqPZx0TauMYETEz - k2yubz/2+FPHezsvL0+/tzN//+MHKyvHe7uPXbrxyPzecj5tKOiLbBDmHhQTyweHz17Y2rl89fD2 - zuuvbX/w/uvDwenMzPzm5s7x5Ws7+4cX1lc8wA5UglSh5ACAoTncxOHeY9/oHi9dd6cTF9ZW1qan - QtUzgBwyNTNXPPP0j21uH9/97KSYmD26fDkviAB4JdVgU4WW6EtLyyuLu3vrj1y7+NEfvLR7573v - f3zyri9RmMmZ7uLywtLmxube9u7OwUE+3eM4WIZN1l1YWnnyybnj4cLezuZkrz2mjBqtuJ28Qghz - Znq93u7u3sz09MHW2h9srX/8wXsP+hXb7v7x9d0rj+4c3+jNmTmTPL5eoWRWLtyc2ZKF7eX91197 - 6YXT+5+tLc1d3d176saNC7Mzn4u/b+Uj118qZqamerH+VhWGsyJjpl6ns7W5fuPa5kePbH93Y+XT - d97ns+HEZOfJH3vy6NqllQtbRFlZgn2J0F5KicRQZiG8tbX5laee2lxf2N9ef+XOS/3+2erq2uHh - pes3Hl9Y2s47DA1+Ww/A5sXc8vJXn/166Xqb2/tTHRuQXIlnF+Yfe/yJg4PDhfkLExMTAUJeiYmL - 7sT+/uzc4saV/f3n93bfefONj+5+5sFZ0Z2antnY3NrZ29/d2VpYmDMCdeXk1OS1q9dWlpYPDw5f - f+21d9/7UFWmZxc2t/YeefTW5vbe7HTGYTwFdDgYfnb38zdee8MYs725sb+/OzszyzFNOohoCrzO - V5DYglNW1i586YnH948HKxd3s1SZC3ZwFZSAzvrm0S1xkxv+YH99smcRZzQK2GJ569ryxsLx8crR - 3iuHW++99iYNSjK2mJi48dhjN64/sjy/UGS5z5xiqCg9OlCBA9RCzcTi2pduzcvUwQcPCkx0Dw42 - piZDigYb7YLoYPfQZt297X1P2cLSxuL6haIDgz6rjwMCAIhkvendi1PTC6v7B5devv3ym3fe/Ojt - 9/vDYWd2anZ1aW51eXf/YPfg0uLsTFdBp0OoCQ05nfc2M8X85Nd+7MmlS1ffeoCZ9f3DtdVeJ3Yu - VnIK74PKJnlvYfaZp1Y39y4dX9n73vd3fvDeW/c+uU8VL82sbK1d2N3cPNzfX93fHhYyBHkoyMws - rFy+OoV50PzVuRlYC68ksS0LwRioDs7OPvn0sw/e/6DfH+zu7O7u7C4vLUu7nCgRnIJIk1pMBCP7 - O7vTM/O9onh5f//uB58sLq9uHR0fPvro1MycQ0UwFy9dNpO7lz6j3c21PHhcKCRyVUrS7fX2Lh3b - YrKvsrq62i3i1EaosM2XVuemF9b2jo8v3r79/MuvvPv6azwcZuqybufg8uX1ne3lC5urGztFRwDA - A1lnbiF75qmnPynz7Z2dmakpApz3EiwWCSNhBCpFr7u3N3thY+PoytEL37+9+73vffbp59XQdYp8 - dXlxe3N97+Lehe2d+ZVVH7rFhnEhBNgYHaqcFysECy03Nne+jK3lbdm9tNebkDDPamVl9ZvPPXd4 - dHzppe+vrixBtdud7uRTe3uX9q5c3jo+NN0sS80QQ/0IpBBTgR1wAkeAwcza5uz6N6YXl7e3dzYv - fPzhR/B+fn7u1hO3Di8dLC6tLCyuffzxZxfWN2ZnptBihcHYCv+PEWjvO93u+sbW1579hussLu/s - THQavqleCckkYpHpuaPjtfWdG4cvv33nzv4rd164e/fDkxMFTezuHh3sbe1uX9jdXp9fnE/jERlk - zMLK8dRaZ3p1bfPiSxd3Pvnww0x4caV44qmj7d05+8XSxMRvMWCMaBRO7GE9MiZ7cHAwM72yujK7 - u7f81rt3qr473rt6/ejm9ZvXqFN9dP+9oell0xvz6+thUEnYjQsb61/+ypOH944WjlY7WWZCBYbY - icmpo+PjQTa/srW/trpMbSGhABicgYC8s7Aw/eTjS7s7a8dHey+9/Pz7P3hncHKSG9ObnlzduLCz - v7u9c7y+vp/nKWZhzMxc95mvPvPpZ056dm52xrTzNtig6OzsHX7rW1OD3s70zmaTdRvaIeXduQX7 - xONPrDyQhaULK0vzDZ1Jhon88tVrC1tH+4dXXr3zyptvvP7J3bteUXR6K6urO3v7uxf3l5eWZnuT - XsEAMSEvHrl5c2rP9SeWVxbnkj0rBCJOs0pItvcvPpMtHfezvd1Na8Gp6QeUi6nZ/YPln6K5+cX9 - F76/7P399Y25o6PjpeUlmxtjUigZ8FBp9CcA1O1N7V268rN/otvZvjm5u2kpdRNhjvncVrYu7i9t - 7h8dXbp9af/lF29/+NHHA0em07uwunr9aO/a0f7y6nKVFyexB0HEp8WVxSeeeuLevXvz6yudThGq - ryl6MVoVSTRSn6SxrNiYrHNhbXZmZmN/f2Nvd/2VV26/8+67RFBVk3f3Lx3vXry0u7O5tDg30bFC - w6pEXkysrK0899zyzs71717cfe317w8HX8zPLR5dunrp8OrO8dHK7sW37t7tGV5cmAUAEngFZ9lk - 58YjC/O7h4f7F+9sbb/z4p3hg3vLF6b29i+sb61tXTxe29wlAHqGrFi+sPHYY12e39k93J+bnkrR - MSUlgExWTE/PffmpZ7YH2eLBxdnJovH6KYGIYnG1BxPnWTcz1x95pDczvXtw8c23f/DhJ596NrML - y1tbu1evPrK1tbO00JPQPiwkYnV7C5PFs8/OLm3srK/NfPjhW/2zzwpb/MQ3furmtceXZhYXehfW - FraOTz/f3tuOs9/hNQwxZ1hDszOTN28+uj47t7W59v3Xb7/9yQ86hXl0//Dpa4/tbG3T/PQpYQgQ - hoQK6lOWK83NL9z60s3e+tT+9vbvb24Mz057ZmJzce2Jm08cXLrcmyo8Y+DD+FZWykx3Zu/6rW9O - b5jV7aWVhZD9GyiNhBUeitnJqfmJydyAp6aXNjYPLl+anIoprkw+NU8JIPZN6YgqvI8z97q9raPL - 3zALk/O733v+xcHp5zOT2Y1rl65dO87yHM55MtydOX50ZftyeePjT9dXZl99Zf2zTz/KM5mfn9tc - X798+cr2zv7i0kpw/lQkhiIR2ICe2ppYEVN9527cvFVsDPILR/OzU4TYQp04NF3TIPuRdbrd3q1b - 64vbxwd7O2++8v2P3nnjk0+/qCifmFne3NrZ293Z3NzcvLAwVQBuACUpuhc2t35idnl9+2j/xdde - vvPG2dnp5GTv1s3rR3trSzPFex9+8vY9/4CmF2cnLIUyKIixs7PFk19+fGt3d/fgYGtr86O338Dg - 9OL29tbe4ebupd2Do5mFTgV4cNHtbe3sP/nM1z7udzY31ie7qVVXpEZBZ+LC2vo3v/H14eT6/vpi - p8hq3stpAxFaxRARwCKzy8uPZo8tb+2vf+fF77327ocfvj/Ty3c3V7/06CM7O5vVsJ9Nzk0uby5f - uFDYVlVzbDDXVv5Zst7u3pHvrh6cYmt9pZPbyB88w5PMLF6ZnVs9vLj/6p1XXnrzzktvffbJ/epB - P1c53NvfPNi6sL+2vru+PDvNqTObD7ksLFA+PDz4yWL24t2zwcTiwuL25sZKt9PqnwQCpIIVsdu7 - O4uLm5cv7X/vYOvVV198+70PKjJkO1OdbG998WBrdefguLe0yROx7MJk2dTU9KM3bsxvXNzY2Jhf - mK91rlQZIxrUBFXxHiiVPBX55tXrU+tbm4eHL9157Y033nrnnfeZZaY3tba0/NijN/cODuaXZn3s - 5ek8wMQEySemtra3f/pbzxWTcxvr67nNvEbjWJveOB7ex97gHoCAGGRm52YfudrbXVt+/ejiay9e - euGVO2dKdnJqY3nla7duXdvd681M+YzK0Nkt7DthZ2eHvvrM3NLS6u6N3cuPdIocqMTI7OL8rcdv - Xdi+eOnS7bfuvPrhu++U/UFmzdT01KVLh/u729sb67PzC6Yz6xhlsOW5lW/bIEOk9jBjLHZGIoLH - 1PTc4WH2rW/J3bsnKnb/8PjCxganUArXvBEOpGf3v7jz6luf3L03MTV3/dbNtfW1bjdjgASiaa/V - gzyaOQGCUNOX53Nri99c+NrulaOj19564aWXP/zw/ZPTBxNFtrm0fGl759FHb80s76KIgbggQ/O8 - mF9Yfurpr34+7MyuHsz2JOSGQQHyBuzDtowOnaiBa/N8dW39iSee3jw829/dn+n1YruY+ACyRXdh - Ub76la+cYHpzbX1yYgIKOALx7NL8rSdvbdynYn63W1iRctC/99777/3T3/2927fv7B8e//iPf+ub - 3/5mZiHOoxzAl+Xw7KU37rz94Qf/2z/6ziuv3Hn3nQ++OFpfnl8gpu3dvee+9VxmO0t78xJlR/0f - wLJ/8VK/t77ft7ubm4UNeygQBfP87tbTa0trxxd3Xnrx1Zde+PSj9/zwLEM+VUzvbextHVzZ3L88 - NT9V5IAnBZHJllfXH7v1xOza1Z1Ll+amM0OhOzDFyYPkoXx6evrxR5+88cab1prt7c1LR5dmZmYT - KzqHPT86/tgcVE8K/uc9HFACJWCAQpW0kf8gOAoDWNUg6Aneww9hFRgM/Wl/UIrMZvmUelQOlVOm - SmhoMBQhLxMV2UGJ3KFg4Ax9KV13AK4KWHGMIXkvAzWOSADR0pCvRCphtWwAX5aWYJjhPVTBCleW - cKXS0JGyFWtJpPK+6vcns6xgBjzKIXwFFsCWZD1IBMLwhKG6CsOMvGBA/qwsxWHBs7GCzNeuWwca - +PI0TsBQgopTrhTVsCzL0lprRIjDoG+oqojUn4Gof4YjdHcKahSrGiJhqqSoBEPAAgXOGCeoClRd - lAxGZVFlXkAMDzjyp+xPQGfAzIP+1BBZtwPDMDgDRJFFrYiCz8un6skKdAqcAaYc9vr9onIQC5tB - BF690zK3bLRCNUBVVdL1WdcDBjCooEOENl4KT/mZ5hWzjeXYlfElyKHsAznsxEC5qlxZDUnARL5y - UBWSTl4I0zgPCYePOTxwCu8wLH/1l3/5N3/9f/qd2y/+h3/vV77+c//qhAFOPyqGn0xkM76aV59r - jrKDAaAYdsBh1iPCf2wAKhVD54hK4UqhQAbKnYIsWFCpWq957NyKEqiAXv9zDO7BD5BP9fOpe9Il - wJUoDLqEDCDtQxmenEcJ6xhe0CWInqG6B9eFmao8KijbL4TuCyZRTeKMh+oG2ekgO2OIlNa63LBl - MBO8oCI4gYU3+IT1Lqgz8Mtn2iGPiRCQYUB0CKfwBiQgKIPY17JClWOXHXjnpBoaP4Srhp6GjqTo - +cwOBR7ohKln/S/gz2AVqCBTQ+rd84QKrD5j7YBMcNFa/YKrB/AFTDaUCcew96Fvv/Pd3/hv/uF3 - /v5/9521o5/5a//OLx1dujAzQxgMeOBzRyYXtfDGK0RgOVphZxXunZ74//Tv/Or/8Gu/zeBf+rf/ - /L/5Z39W9QzsibwCpYPzVHSmCeI9DIeMbA8uwRVQlqrqewTrHYghBgRP6uAqLStGBpNDAfGQM2Dg - 1J6cqrG9XBiuhHOV10HlSDJjLTFRqD+jWs920AreV2XpnfOeSCxgPFishYAVWnqjFeX04ftv/Ob/ - 8ut//W/8rYv7j/34c//6L/yFX5ieBSyAyvsS6qzkDKsOroTYAUsf8No3rm/ZFqXFwIIIBWDRR3UK - V0IrkB3q7CdDyxPoCXKU7D+T0gAF+ooMZcc8gLLClOBSKwiZrPQg+G7OhlBRNcRpX094MDFppswQ - VFXgj2HuQmahMyd+4oxQ5XBAF8g8uqWSIZQDr6WX/ExNxVRYZCgFX6Dy0B58AcBzNZBSWQUg7wYn - Q6tFRl0F9bkaSoVclETBFugqTBgdK/BSAiW7MwxPAYbM3ZNOX9AFJjy4ghN14jzKHEpDB5UwNbVi - KbU8GTwgoxa5H2jGeW5sVCszDBgnQFZ93uMB/Al09gs/+0DQMcgUBRzDo3JQhRiU5fsffvi9F27/ - 3f/i773y6uvPfv2b/9qf/rkvf/lLQZkJAxCEIQT1caY9fBVmWoQSM/XOe3jH6giSl5YrAw8U8IU+ - IF86PzVUS4wCgHroKQiVOpdZQaZK3nOpVAIQdAm5nsGfQcUNFVLA5v3Kl2CrzvjK+sozqRhH7EnI - sAIWsOUX8A9gJwY6ccLCIUlWUZ6ddjOTGQ5dF6EOCOEtC6L+cDgsKzGGQN5rNSytodyayjlYS9b0 - K0/EVgCPogKFq6k81RMpKEcF9OHV++UzbytGpuCyyu0ZmF1ZgYVJXVUOB/3SmaIzQ5KpgWP41LuO - Iz8L+noJqeD7bqhie0AGqPNVhQqAenVV2e8Pur2JLM+JZFjFZuyUHBW1kRxCNgQP7+AdXAVWkPqh - H5gplxkfoQBGBQdyYCZIH3QK14dOgmf6A5TuzOuADaCFq6wxYg0MQ71XgA0Tg7WCH4AqwJYD9cgI - VA0rZjH5qckelP6Tu6/6/+iv/t3f/60373b6f+O//FvX/+QTS2ImoBMYEgjewDN8WWm/1DNXaiE9 - g66Sq+zQmeEA9gwTA6AAJoACMENFRQgmdgd9WynKDobwJVSqMr9XZtIxYiJAbJMbewK9D67geif9 - nPOuWDgPp0OqKq1cGLLjoGwE1BmWJAYdA8YQegLfGfYzBfsc3qACMiCvPDsF96EPoA9AU/d09oE1 - HYaNmkwJfQD3AFScVhP3uGsswhAz432ufVQPcNZHPqXFVOlJvVPvVFFVzuluGz4AACAASURBVHnY - PAezEhkBeaB0uWGggh/g9OzMTA27ecnoAAUgOAtYMKxK60oyjLJU7Q0kHwoygJ3PeAjjgQquX5Zd - 4l7lwqBLzzxgYRahkDobwkheCX3DJVOBkGfmAT1Beb+sspPu/ANBBkwCHS3hz+CG0BIQSBfS8UpV - OXTVECSeM8eWCBlpzh6sD+BO4CyyDij3ypVP08IVhjXjvnfKwS0LG8RxUEdTukzKBz5FdZ+5Aqa0 - 7IAsMbyD95VXp6SAKhli60J+mZbGaG7VVSfMJfEEfMeVUg5L5pJo6D1bmWCSknHKGBjkwITzphpC - XXRNZnkl+QOgAswZ7BAZoXQnTh90eh3YCU+iQO4H0D5UB9r93GeUx81ir6TKoSGL78OdwVdqpk7t - RD+gFtRqPyY7g6HeVxUjuK0IVVlWFUSGrho6BRsymZiMQ6DKaWZI1MMPhT2oAoyDGcJUfqg6AIas - xvfZaNEtcvSBEsjgCgyNCu5n+LD/ySv/9Pf+4Jf/q9/6x9/9+C/9lb/501/7xvWDxXKIAaEyAxHt - OlN4A4bLcAIM4Arc7+gDU7r/9b/9nX/vF//j1YWLX/7ZZ37hb/5FmhWGDvun1kiBIvPWpio473Vg - nM9oCNcBFSoY6JClb3FKKBR2iAkobKjIOcPpvfvvv/0//oNf+ev/ya8W248++2f+yn/w7//ZHlAg - pA1WgoohBANH3g3ZlOABICgtfAFh+FPgDCZX3+07rhy8A3TYySUzADt4B7JKxitYldSV5cAplHlY - OQCGOcusDSOGK+fIwJCLKYBghnodDIfWGitM8FAHP4AfwA08TX2qvX5BgcsX6kBUls4rMh2IOJQn - viR054YmhwdVA3EDB1NRVrEJiE2ErgWGfeP7lAlUoR4qStlZxfcfnGWZKYrCsloaAgM4HfBk3xgi - 5Ao7vMfsIDnYKszAU79SgKwvja8sQSVTNp7hGR7oVz5z93u2hDurdOqBTrmcc4AdcoLQAP4U5QPQ - 5D2aOrHcIRRBhiFOPMgA8afQU1AGLkpkFhoAArXDik81YyZLlWVnGKoVvHOcnXgzYJtbEMAeBcOE - JF8AVDhiAIIK/hTeVcjONHOwmaIIaTGDsn/yaWcqR8eUcA8wcEPWyljqWC801MJYn6vLqiH64n1O - Qb3tDioBoYBH+TH0c5ipM5r4wkwCYdIqJhyK6gz+LiSDySs2rhLLYcoyvPfel5WiX6kj07GcoxQq - IVkl2QAQBIZ8AuKTIZ9oVhTUIVg4QBXikleSFEKAd3ADCNT7flVlRaHel8OhsDhPw6E3pmDJQkzL - MKwFoE7dwA3ZmizUK3rvlAalJ8lYMkXsOeEURMhCKmvZh3OwFs5XVWXyHESV8ydnAxjb6xR+OCRX - OeaTypUiEzbvhlRQhlJVSgUUZQl16Fiw75P7BMyVzJVSEEDOib9nrCiyoTdeiZyDc+oqBglzVZVG - xBR5CAx7wqACwoTjxlKGQCmE1kMDAfXkiSm0mRmiOoM4UI6KVY0nAyGkoTIeJXvlEEjQAWj41gv/ - z6//xv/5K//gN6YXDn7xl37xsS9fX1mbCpVb7IICBZADO7BXuKr0hjpEBgB0AD2DsHo7cFI6ePLK - KoRcKQOB84HDgwpZB7nAoGKcAR5w6jDQqSEZEuQOdqCEEhZqaYiKHGyY0yE0gHpwBjKhsyE54AT6 - wGt2ppnLejmxVjAKIwCdwn/ufXHqJ4diLQfNDBi6LHsAuge1qtMD6RqBweeffvzi7/3Od/7z/+zX - 3v/B4Bd+8S8/882v7FxeFwL1S1QlLMO4jz/8wd//r3/tv//1f/zaD+7/1b/27/74c48fHV3IAXHC - A6iiyuCtegyLgEiDIVDCAN6XNHmP8spgCugq4HwML/fPBlD0JvpEcAO4ocCJE3aSUQ6TOcHAAx5F - psJnQImyDz/0NN2nqVKQMywgfgjnwSZ0dXvzrdf+4W/+z3/7b/+di/vH3/rWz/6lv/xvdXuW4FJA - AamHy4/GlP3xOv6osm4pRXBSlufYr3WzTQ51ih5kM+4yA9QhgjKswIAI1kAopu4YAGwDOwYMMmuH - HCxLBjOE2dgOo2IYDZliyBiG4ELdqoiEdYUIBzmwNwSBsTCKOKvdM2tWWE7Vk0agChEQS0pKDS8p - JIQ8NJ5nNjY3Ro1qaEqEONOEPFjZGqiBmtBsSEgElBnrvWeuay0AVa/KdRP51gcCwCzt5C6NXnET - a99CQTIj9ISRWFQqErplKkBhnaAcpEDWKTIJ7d0BjU27kzmro1RJ6c4Qa62E23F8pAezGoKCGMaC - rWFbte8RElpidpPJwLUFzqmoGGJBBkyWIEasdmKLLQW8UhL8Y4cq1PvYe8h5VBUUYB5W1aCslEi9 - VwcYdDvdbjEN6kqVw8GZ8D5O4RjJJcwuBqCCy80IsYArAAqjisrHgdRCLm1FLEaxALiA8fAFbJEL - d6AK8jb291aAUMRNYTDHKAeA0HcRYkEggTARmWhUEmCRibDtEoQhJherpklcCilDEZ4GlAO5ZRNG - LEuTkkwN/x1luATUgzgBiIjhDCpQn4EzZS+moqhNRs+5MdAMVIUkEUPUkVCjwgKYYKY6AqsFF9AM - kjXdlKSEDCtfucqQTnQya8iCTJ4bQ+wAE5quubpTYGAlAu4UWeWqs/7Z9NS0MYaJOdAuK0Ci4ryE - qUuG0iSclOamMEIMsaEKXGO/LyJiGEMs8IkBpowAIlPkwszCAFsYIyAbrh0JSrVgSQwmk8VcljBj - PeRHKYEVZJiU4N3zz3//+edfnuhOPfXUU88++3SeB0YGr8wUOq1SoDKxgUwMoGQy08kgMALXzqcK - c9C9AdmM7aRFJUG0krCFGHiBIRhlUAYyxDYTNuQojnQh5ZhdCghMToXkuWiYa2hABbgbiDQnaIrR - MSCciNMYBlhMnhhJvFlIHiYFEYkhNoBjlMKVmbDsOyHNpiNG2Hj4EPaqE92SuhA5DUwWgJJRkgoU - +oAQRY8EQyycgARMwqFXQRcEA4uMxafbaoS5BazJoq1ENgfK4CgkxPz+lN4Kort3P/3tf/Tb9+8/ - ODg4/Pa3v723u1cXJxBBJCaXNw3lqJ5u5UEgUREWY6AGSRYhLIQMBCI2T4V49S+GjMb0DmFJCUqB - HolDB3OxBBZlyqwYgoVhCLwwUcAPR7FmkgCIAecgMSx5RFYQQfJCghUVhyxRGv6hIMqsFZNJ4mg+ - z0J1IHvvmRUIZB4cJbFGTwGRjAuNCp8Fg9lkCgKMQmCieLUxEmJMbmxHlchYJfgGC5pDKdk9sACL - CT2hHQBhZiqi/uGzPC9YDJMoYE0srasF3QiTCF8QgRlpzBMXnJMZtrkfiLlRYgCB5CGRJLewWUfJ - ECuQqY88lwBl1qachcEGBKjYjAEDoizkx5oCGBrORUqnlaOSjRIrqU/CyUjIwSUNQ/kMCBkDOTwR - wsgOssjqsp94BCLl6IpPZSsS+qGYLOtZ41NC9ghbIwFZEEFsnueQMEUDBhkby+qD8FD1mnqr15pL - yEq2BatGv23QVYIDG8QgCyogeQFTNT70mnVYkM2zvBsYTGQ4DM8Qi4JhLBEZIRJDMAC8wmskq9jC - n0FG4gsTo9MpJI8Cv3kWA2TEEBHIw2RE1jKUQvZQjc0Czo3NKCiGBDArOnUCE9VIFZTbOF6kRl+B - ya3t5IJhep3IOFigPrCswIuzzMIKSByl2ZkgQIIOksfWlUoMSCMqQzMR4TSe7ryw1+YjgUlyEEND - z1CAwBZMBgh9oEO+MtSHjENL5ADHJqOA+URiIGJjD00fpmVCCHlUXiBMYG4NRZVAtAawBTILAqyf - UDBZ9sSRQTGHrGXLdgJwqQUbU9KLAxaKhRiSzMREgcDHUsJi4Jhh+ni4yogVgYhokYFCfXc4PIFM - 1IRI6p7MzCALNSxAphCG0Y5hZ0LkL0xMDGVPDAIsc+Y9qVeGZoZFiBlZBjZwlDNgBSGCkviXGpAk - GyXr5AM3GFaDIs+VISDbFQZlYBPkrgICZp8JKpCDKMQRxMIwcgIBhiDBZUMxn0Ydbj//+isvfeJd - du2RG1euXzRJpyfWRAhRaLNI6paApK0DYoNuTMwdhprA0DOJSOxBomwqAFHzrGwGS1Y5MykHLjoA - tAI7JqlAFcEQWCHqlCi3hlhqcQsSsAWBJe8Q1ZZOmH5sjHiFqAUBJmMxYAljDCTLoGxIDDiwnZDq - R4AYS/UEWCLAEJvcyLSxIS+HAaiBdzCUsQkySAA2GVDFiVRQw1xkFDR01qA/sLboLTOccQdMYDXI - c+USccpCw+IkB+eFsItxwZZkD9YzEWBSwmw4GGTAkklGTEQQWIIBHHmCiOEsh1C6m9SPC5OJGhuA - QAYihrIC1imMIiR0kLWd3nRgqIDPYZFZzjKDzPjoXWZLgBgYZl/vl5GU4ig50IUpMs6LFhOScBFy - sICYwMEuT4jHjNwQjIcjWAJrFvh2LRoIQSRxnlsQSSsnkNLetPJMKfQBIGPIdCoyIi7PlYmgpmMZ - bDyhClOionIwFCJrjEJCxyhiL6AOI5XdRxE50no7aOBEEDJswaLERNrpEDEJQzILtYaJgIpgAY7O - RBCHsgu1oaE+gcTA5OEfH3UoNmzD+xgWgFkMwcNHNdE4G4dwhnmcgK2LPhLcKP4SmGGQ1EIcki6D - OpCDABLYIJPIeY3OXoBhmELExgFVdf/+K3de/yf/1++urm9ee+zpK9evz85MBdU0OIeHFYwFk3pX - CoiYLNvG0cQhuQZEXJAtDIUIPSHhncIQ8pht1CIZMIlYxD5AQiBDAEcBCcOMUO4WLANtC/mA88iZ - 8gK2DCMtJNl8xGDLnBVkpU4RUGhU8ywo08pDwfCqlas8kyjhdHD23gfvn5yeRLPNKyoPy3p68uFH - H7z26p3P7302MTG1urIyPT1LEAcnBMpAHsRwqLjWcCXwKQ8jlm0BDBs9IbHozGTMjjgDsxQsRuAY - Bo6hAgYTDKfGueHNTQYVpjxDHJ7qKrAqSUA9B9WXX7rz8ouvMZmnn3nq2a8/Y/Ok87SIKfIfoKVE - /+j4l3z8kbhukwY5vq1Bta0ZRpBOlNDCAGKYQolzS4kAQMFbpkRBnrHEbrpsQDAKp6hN5+bhLSmX - 8D4WM0Dj4N/gsKsAlbTYeIbUOTm1Ce4Bp8HyTDnmQghtYhTsYAgcXYD149mDPKBgiWUCwfMHAgWd - crTOlojph1DC+e8JtTZMTYsoir4SjvI/fKRkRQEGFFzhLNJseRpglfaqlqUNWDm2oiKq3doaxzaH - uhIfT2MCxXZvzeW1iyK1ZahXFaLtENNYy2haNUjw2o4Z3PWyFd57NqHaIIgxBrNX+ABOjTsgwf5U - AsVMYmpctybhD0PqZs1Rz0mvmrzVgEIFVfLpMCGp+VyACahAIDhBP+j6YeE+hNRa4EaD5AzNozHP - UU1OZkEjcnw00TipJxGaXJ8CAfJAyMnx1Dwj7W8dS1HUAmsUrVJTEoAEEIqwilsDAMJQk+b6EEFT - J+XWraj+qWIYCd3jwSDjIJVXJrKC3LCQp9hWU2raDyHzBDgH8gQSMQo4eDZCnPAqDjEFkbBYr2BN - HZBrhQWkYI/oEA07m/KQKDroUDvLArQZCHH3lvubwKlR4A85GuW4/kw1PhMUTt3QufL2Cy+++ca7 - x0dXH3/81pWrl7Ks3i9iNoQ0rIyIBYn6EFKF631vmGRMAEZwCNrkmAAAGLCBMoxAPKFWkDQFhQJW - 1dQe/AfWkCHUgtuCimDPB9VKk4FBQHKLSF251Dgegz2rAFVgAw5eXQEqQhU81MGODW/k4RmBKYww - IQqoELsWcLDOJUmSxPuCEp6BDbyJ4Ccw1JL46Gl5yJ4JILEjnA1GgmkER6DJkJ7jT09P33nnnd/9 - 3d+bnp174oknbt68OdGbdC6UVNfKcXydiDmUZBJCMV/S/FtUlz5y5Dy1FVHflKP31Lcgn7aYY7iO - OTLe+s4KxMZ1rS/jlgnUBqe7pBsy0lifGGlP2xdXrtzmPQRJU+xZGDGiGh/LNeYowlQvjyohTlxD - 4FEc6gKIITX/IHBIjHUKEEzgoW2rWKGBJ0AFMBANmfWBUqg+lcmwHVF9x2He/pAwJsiouNIwGbyG - NhDiPY0WawCK/UwZwvAQhQuGQNjHUI7XQr1gPyQ3cahAjAjDoDAxjjyc45IMrCHDTEELgVCqWopN - 5KPjr15+3E9JNcgNjbQEKIdIQiB3MEJE5eGTgxkBhmSMldolGvkrBX0o+suCZ6rho5AArSDyuI4x - M2IhMAWFRBIcayFCMXEyNCpNSBUN9eD7zmIf1/ZBhFpfiLsWcCwQIBPYUIxr128aRSgHJPQO5ANv - DPYfS9pCCIhJTIAkIU04ASk84jiHOOw8aIajCouALdhwy8+Y8IHTRiCKvYBAras1stcQziOkkqgY - mldEaQZIYhRtjBtRkusXJ5vEx6hUI2h0RjOlpMhI9iBKftTI7yjxLOaw90xx3gUDFCzIoHaDar0/ - Wt0BLQ2IsrrIP8IKYYpc3GOuhTmobiAe70QYZdpozg2rqTXIKNI4yFWPWg1oOYYiNdYvrALnU2dL - RBd/IpVal02MmcgG6g6hRCKvowhZE6NGlFKJ0g9hjoyD8+qYyccTxENddFmG9SnYibAPljpQAZ4R - Eq07SSg7ePLDkCRQDqsXvvfaq6/cFZ46Orq8d3EdqKlAW8iS+sBQDQlOhCSgLJ7jiRA5UZT3KZjm - AAUsKXQIVDGuGxWOpFtrBaqIMxdmYsUt8gQx0kQdkq0gQWlLRQ+1pQOOBpNAHcSCLGhkMmD7aBx8 - UX11CZ85eIJDfuKIToWYux5eNsiq5B50wbfVPEAbr2j4zwDCGRAdtiHJhlOyBTQ5pllq7E1AbxA+ - 5chIkuGU0kUIPJbRElgVAdTW0FpMJEqD1p9Sq27Reg2/CWCK5PcPcViO8atR+FLtiA5SjRIoxAJ5 - CCq3KUsCW0vBHgIz8fn9avS0xHPQfhdigITINuCi5vR6Q5DqR6kCWSCrQAxlJoQuXhw9Zg7RUwzv - oUOQNWQqcF14T9EDETtC+VGMClhVt/MOnmUPEFGW2ShXk9+89ja0NI+kKSSNM4mD5iyOjNC31QoF - IvA0+I6TGhrQL7U3biwhtDlVYMUUonGgMNEhgwZprVG7UE8kBHalJ2YIA0NggKr8+JOPX3/jBy/f - ef3pr//JR594Yn1jKjchbQ4AnKIKgVxRryoIbhcz8nAA8DXbl3OGFUlMTWtpBVSTY7RJKemdCXAc - Ve6IMz65fVKGUriUhaS2YBNkqMZYSfJbNYiNAF7y3ikNCSD1Vuz09MzM7KzDp999/g9mlmceDB/M - z05lrqLBoHL9Dz579/lXvvf9F28Ly6XDw42NzenpHgEhRNJEV6AhSACiqAqpSwAYHRsVts9yiG+l - /xJnSPI3yOsW4Sd0Iq5fDQpVJa+AOlcNS3/79ovvvfvx8fG1xx+/dfnKgchI04mapFpLqZXBh3Lc - Hx3/go4/wl63aSObjW5IUqFNnikAcEqBI2pZrK1bNbc4/6uOyTsaedLIMhKLczGDJmTRhm4fiW3W - LocWVw046mNHJU7pKyCNThwP8RBCrDlNaqDWTCO9pjwMMv9cR0u7QUPASFwpaZ4tuCQGGJSEJq0t - qbQjEcQWCOp3De+YIrgtYzOtiNL9qYZawzjQ/EHjC67XSu0l/WHv3uIeSVXzqkoSOkOoZJnJMhYR - ZkN19lw0Bup5eYHPcY00BIS+tSrQOgymADVYEmWCR7KTAq9NCcsWxAHFgl/Yt/V6SqeNvxFDg20c - 1OCAQFlU8AmJLBL+1OsYk8rRXIqmMWPsWcmibGA4joWjW1RryM0OtXAqpJQCyXX7UHRmqMALUo9H - YrD1nCuZosgLy1oN4CoP+NL7SjJk0qISr2CvgAN7D1dVpbFSFFlob9ZCMUULtKMrid8pRqkvNqui - lvXdQnaQj7KvDsU/7PXGDxpxL7VxPB39/mnZf1ANy5dfuvPF/f7P/Zl/48rly5OTUbHRgCVR5VRE - pNO0udSmOm7co7UaE1SXRsdtYjlUb2VQxEOzzodIZiT1IV5N9SNsVA0TPqRwUbJZavdBw/UopG8n - lyWnMHb4tUJLjw3PD5RFANe4NrY6NBZEzfrT5oQ6xTHe5JsuXWOo0cLtBChTex1HvCbBP+X03Xff - fenFl1566eVf+At/8Wd++tu93mRZOee10zU0vtWjAihCI5x0jiwj3+Pk4h37feQ2Da9qXkOiGyVd - Hn+NTWc5XkajV6WEFW55LkahU9O9tkyAMbbfcOwx1G/+ISR8aWh0REA0cZ/0UEIweAiVBlNX20uv - TRIz+sCWd1jHF1pzqPNrHgVm+i5kHKMJQ7bOqQ9OhNlqVpZypSLnHjNIkkGpkNaK6jURPLkKZeU8 - eS8VMeW56XIYjBpMN46lviO4EPEvmBnJ/dza1hZTRwPlhq9zul2jATV7lVx16TYPY4d12KKmyhhY - bBzp43cOFoWtgTy+QJjawNER051i7QOxjmzHQ7BxnJoIoGbb0rpa+57OQevbGoI+YWd9tEAR3ZNJ - uGAkIh7eNzh/k5xuFkA+dDEaX+/oSzVmb8NNaqIcUYza7zN+l7Ta1OsWY16I9CDVxI2bCymw95Ay - za0v0xnUoHPjFoxCgmsU4tHljq00gpBCF4MRWYNmMZQs0khRKf9Ox/etuUbrm6OFJzoCK49UI0Yg - JI88w7so2gjtiwk1c/IaE8mZMyZW74aDs6oaqqJ0AMWEKiA6sRUgeIbjxIA9ULqy6DJbHgz7pmuD - xHQAHGzjmQ4+NcMwdTzQEQwQqjNA6uCHfoiq37Eevjw76d9+4fW33rrf7S5sbm0urUyVkaaUG6/0 - D9mRCCDW6EiJAyoaSBBihk2jk4SUwiqMoUeL9SeQ+6DfNc9VrdXo1gJC2ock4hmTU8ld2uBIa+9T - +uFDDq1Z3zk0rEVliIwk04iap2gK0vi6W/UY8EYFSnS/0Pj6Of3E7Z/OLZiSzkOtCxst6NwCuD6p - 5pnp91E5hjpyEusix1+AxMchKIHhKmM0ggoANO7KjNssob4Lo5KIkQRK/PoPM0LaX9E46AjjsHr4 - VidFOrgna/qt0RhIyKoAyAevLjVaLSW91MfCCDRqcLNfsVd6owS3FC/fpAu1Lhx92Qbzf/g7Rhi0 - 8T/tR3NLXyeQNdQBQsuQaX2X7hMAIJFhR11WAYghQOG1HFbCmYSGGtXg7PTk7Xfeefe9j84G5aUr - V46vXc1ysAc5H0o/XKiAITBITOq4r82LKsjVMkK1pjVfb9pDDkpGhCBwxgZGUedKXGncDRxfa1Se - 1KQRra5IrZEeKbhuI0/jEAonIYEjAGymJ2e3t3j/4OCF2+/+7//Hb/3O//1PNne2vvLkE4vTk+if - vvrai3d+8MqbH7xx9/7Z40/9zLd/9tt7u5uTE8kbkhozM8OCK1RevVDy0zZYlfSEFl+rT0lkNfqR - mn9Tch2FIHqbGMUAFQ+Hfe+r/uD0/hdnL7xwe9B3f+7P/fnLl4/zQijEbBuEcVHlbrHstmbw0A37 - 0fEv4PgjHVM2JoIfZt21vmuqRilN5EhnKJFP9JaOhmX6KFA1+X9rUdY2m6klb6KzNZzCBNG2RNCW - UtJSjVtsPpqFgZbCMAgdqaZ0te7YkoVJ7owgfft9xoFyDlZjPzan0IhUo2jDt9TXc3JdUvS6eYTW - qx3ZpxQrD1F+GG5Sl8f2l6KeQEktHXluW+zSyB/NmtGs+A8/0ineq489thVEZAwUcA6DgS2KydnZ - mdmZTsdaCQFtAy2gRgGf1BkBAKF6mgh5UAkgdNtowdCnBJBwMCFvuQ9aCid7cKioMgIO7S7rsNSY - jtJCMU6KVq361vqBpsq1kE4U5VIbh6JUJgCssLWte971lZ5fGzDNPeofml2rswVbgYBGqwj6dNxM - rZ8V9r82HAmQOjkoXOhNpYXYzuzMbK9ry/4J+RkDS0aYDHk4j6GHY1gOTVJSHpzHsN+f6BSLi7NM - xliroVQ8GpONPTcG4iSxFHXq4UNOCqD2CbCc9qUNsPPX/jAI/9BTs9xm0vUd/bmf//n7904Oj46X - V1aCBhESe2jEC67tTR5zP7boyAKCUD1JLoE9/CpxVlRoOEUMCMOk1GFHMG16BJAcvzF/AgxgmFgm - 106WETsqnhpnkTXseYTwYyrpKDtqIJsQOyp352BX+2SjMlPrNPU1LdJo+xSS9yFodW32mlabwBpd - t9S4yUf3Uf3S8vLXv/HN+cXly1evX1hbEwFIUlnduc2mUcwJX8UsxbhiHqcsGrk8gHk8Cjgi6ABO - eWla29GNQ6slu1r7oskv1vjsaOSVE6hH9iHyurG9aUsMat1q9G0oucuaV2ghD438R/V7RAvZQVwa - hMxRwiYbiaCctOHEPxuTpc3SEisDkOB5PmA59k5cg+jhBwVD4uFd2Mdg17Ds9o/1t4mHgzKmjhi/ - uLq0un3/JKvIV34w4DwHYgC5RXQEcGO+xa9ibkUbztF0aVFmC/cJqMvr4hpbdniNKhK+oXqLmtdr - gnyjYi66ZtouFm5ePKB3YF8N0cU7UZhnEn8awavwa/uLc5DX87+N1NG0HSVtyqh1mDbz0qRHsk9Z - 2aPoFI5UstNYp8DISgIkW3l08V2CMMW4Yyottf2e1NKWuPkuvV0KG7Qvb/3Rxr/wRkLtO6UFB66h - NWdt3iGoXGGUQzJ9Vc+tuoaej5yfR8zp5qUaRjbyRYQuRaA0oGn4h0ZA6ghqj29o80IjED3Pteog - X+AM0QUaT/HUUuYbYASlPuErwehQ+6feO5mZnltbLfNMiBwxrEk7HG6XNqDO1A6IITYvJruzCzO9 - qYnhsM8+ZJhAQqqo1qWLvg2IGipUt7cgJXhmYsvO9U8+u/uDt+/2S1pc2d/ZXtzd2Vmc6RZQA03J - K+1tGduixhlSRcbiUlmGqY2pul4wxZB99FWmHaz3QAHixinfyj1PhIWLUgAAIABJREFU0GxoNLU+ - IQLOBzyQcn0CTciIYytIEH0ogyeAktIasOh8TkXDOLkhm3CJSw84r6U0EGyhYONT5vb3lABAjT+l - rQyky0dUrUQX5xlFm+dRDfARnvkQWDTfkD6EUDQSglIjHNogpdr92oQ04lMZlAGiTe503cCNEPNl - x5nV2LJa3L7FINBscgtcVCsNSORNEXNsyPwPmJTCJKhTqWhEL+HU+jMGjppXGz3a+5V+D/KxNiLa - R2NztcRKm2Gd300z8qrxmb5es7b2O2l0iYUpAA91RJbaK3mIUETSmvzolxQh6D1AILZioNDSkVUI - dya6excP/sSfmji++dXjm19ZXM5BgfG4kPjBhOCwdaj7aaDZK4IHe3CoFoirUIB0REsfuSyoPqIQ - X3cIisjmUjoanb+85pDJGc+xd2WKftSFF3XxBiW/cNgAESgZQgYosefY6ZDJzMwvTHzrp35ycXXr - 9797+9VX3/z83snzz7/YYSeuPxieTE5PP7Hz9Nb+0ZXrX7l87cuz06C0UAWcj9I3MUqKqK7NwkfR - LO15YkhBe2t5fMbhNmo/NvdMrIQzaxUsBsZ2fv7nf/7sFMdXLk3P5s6VIrbhpYRzGPKj44/L8Ufn - utXxj3VoKKmB7TNGfbLwBK8wSelXwIGg7eU1/C65bhvVM8RyzQjOtf6vKcBEgERPREv5CeNKQ111 - WqhGjqm1q679boH4Xb18LUPlqML46EalWsKNQ+kcG9Vzn+n8jyM6W/w04rqNtD3i5UlHbdM1rluN - etYfpgp4sIdou0AxKU31x8j7HsqnW9/RiMStT+B6SaMy74ceijAJD17DRyVmePLQje3tm7e+NLW2 - urq6lFy3Au2E0cS+FZoiENeOH/KxCVktGDWBB7a9Vmp5jSJ2hzuIAxzYAlYQS1ybN63fLd22bqKV - mHWYIyOaHpdCxUwwLY/oiB6QtE4CsYdBaE6m51G1/qNZx5huN6IbxXs220MjW5ZMzVbwvznSqdx6 - vSQ1THdqaXfv6Mv9uaXVw8mJzIS0Z421P6HZRR1DSaMkNIQAd3e2vvzEEMqrq6tgKAlQoVWXPALt - htUQQHX/27HdQPBHhfOpBoOMnf//4Rgj1vNmgxprIAqPZ77yYyAZq0/WkUTY8E/tyk8OxkTZdSBI - QaTBwRe6uvnUnCLcwoAqcCjasiGjlgGgCthOKUevXmwgWwIABypbhdTRdUstJhJXRK5twLfYUSpL - bRlHNAaaxqRDKqxN7n5qn6QJoQxaa0Ctz47gZ/1HHTo5x4FbHDP92ySJtAGSuDhNz8xcnZ2/euNR - 9dGiNBJFzsO14rb0aYNWm99T0uZYuUf9l7RKvhqCbS2vZvipc0ij1Lfxv4ZCuA+ldr4jd6ORZ9eM - seZ1AtQoGrhYWwxF9bjJChh5lQT/hMAtxkHNHZODn4GUK6NodXBoSWGNLJtSrUFIMSZNANAxZWP0 - DjVlPWzj2puT0kcTux/hIh7GQ82484saraf5cgy8D/saBM+keafL125en5xYfIDh/OyUcV5qNjdy - eV2HPr70WsjSQ76NuRg6qvSzNr6Oej8CXTgoj/UPHzuUanlRA0FHwXKOCBttpCa6xFKIEMr5GS3T - elQAjSJRCyIjy6+v0MTVUp3XiM8onpYqwKn9Q6RNrSevPAwKsZtzi8+ds/kplSa366Op5YxFKxOo - ecYoZx6j1pqltD36D3eIpNMb8lFIXUYG9ak/WFRrfHQFpK9aVK9N/ydtOrxQg86B1cSew+3OTASk - IMEoGM/BKvqMWogxvqOUIlttedeGUH3UsrL5Y/SpipBLNXpNlEiIqD2uVScLxEFVIbDqDVMxN7ty - /fqN3tKDzc0LExOFIhWyp9v6JvnMx1ZjagFaWFr+8tNPzs+v7h/usXDN1qm9o1rVrtu2GG2x/LAc - B4IQK7xzlUIOLl3fXplaOlrZ2drqgQXDOkxMKdG43dZ9RDIQfCqTiR0P4p6wJk90uJXEc6PHJ5Bj - 2MiWi8SAYj1IjNso2smMIzhAkbfQSNZqmzQoka2MfPnD+DqQnMmtjRw/Eq+qnYtRuHFbHI8suMEL - RdN0DInLndcrasL/Z2bdjmUb13caO7khP0pvSONPjJBMXCptd2PvNDfzCFsXUkaCgpy0qeadU3Bu - ZO0a+HNgmFSDoAGYAWlqR5CuePh2NX7q0RcZY4PNXajN5EAh89eDCGFeN1ocpXGpp0wZptBDBoHy - yTesDW0ARfxQRYiTBDCdk/gJNiPfj/M8RXDfj0osGaf7VMVSf5Fq8pr7NRFpDfTK1KjJo2AaWYm2 - lOj2eeqdYyaQNYbVpRUywWbzy6vzy9s3nuoMCP2mF0pSGgjGhIYqvtF2W2WfGjeF0qtQreI2EqcN - p8DwWm2IWopuqwKpjckt2RXeUEL6eEwoSjdPrMnHBKnWNQAILPAkHjmjAg0ZQ2iYLDJhJuTGzUdX - tzf3jg//4Dsvv/7qu8PTU5T3Lfq9qfWFC8vre9tXb9xavnAwMdkjjrVSgVF5hPHgoaqDOFalUBtb - qJWhMvp1zQVqjp1+af5WRFW/zTpiYkcshzCGnDfW2Dz/2teeVU8kGFZnSmfNVsVP5123NIayPzr+ - pRz/PzRMAJCU2VHOrCObPqKVRzdBsvS0JtmGcUecdai1quZOLja8G9GAW49t8YQ61o1YpV4/rlZP - NbVoQ0tgj3M4bYojEHvoxDsEGmkV3NQX1EbYD9exH3aM0ckoPBJbbUnW5qT0BbeuADUvTA2xjwuW - 8DW7pGjUWufYyS29dkyrqM1etF+4YTaj9To0hhEPeePAT2McuCrLqip9WfU6HRjDvYlnn/vmj331 - q3146k14TuX8PrZGSJmuoavmiGDzoUdhbYDFXdKEkwkPo26GtnQGBw3BB9dTbVOGrIqRd0vqUnLd - tkEYHLURgRKLHak5qh/YgF/DotnDtEIRPxy1lMbiEA/Bl5au0lbnAbSIq7aa/Kjq1siPmHKg6UIq - tveurK4/8hM/mTHPdSeNUlkOTqvSW6NWCrbIUhq79/BVBV+yVCLUm+g9941nn37yuTzvFZ3aqqpj - POmx7fdOK47OojYBaR3Lr7ekBmoyLcfU2X/2Ma5zjB6q6tVVg35Z5EzMw7IyxrKBpvauVQUI6ibY - D9nLJEcJLmT6pMcF7Ahl5mnMWbiAKKGWBZI2HU3IiqBcJ4bUSlYjrcsWrnGAYcDtFk+u2iydav4S - /m0Ye7skippKxNEL26z+HBJTbTxSbX41jC/tcg2lZkPPh6YaFE0cszGxpPm9Po9gpOyXCpflRpOv - tmZq6eR6RS1yaPAhEHGjJSdnmXKT8zW2SAFBU45/zV45MpYRwVjvFDWwa7hY2tbQ7KVOK2t50/4w - nnF+beNMepRaWsBrTkl+ubpspXkqJTEU7xKLaKIobrE7bT2CYiCWAdFURN/y8DwMpKnLUdtWSesZ - xbuG3QaXhn8IFDjJ+9r0ejjxa317bf8xBkLAY3DmRLKphdk/9af/leoMZ6jy2QlfkCatSOv71Ly6 - pqMR6huhpjFdIyH8eL54W1TX53pIHQAZFTzn3rdRsdLO/b/svdvTbclRJ/bLrFp7f985fVG3rkio - hRCCAXMRzAAeLp6LHTZ2zMRE2E8Oh+0HO/zkCL/71RF+cdj+A/zscBg7hokYx/gWMkMICGaMCUDC - 3BECAQIhtdStc/p8e6/K9ENmVmWttb7ulgdaPHwV57L32rWqsrKy8lZZWTF6yi14w9R3Ljq6EzBj - h3d2tKiv9VFng2lFR8c0x0mCbT19GTGckZt0QpPuXWBvznJpromxn7tZUc67hiuP+kZVH1GfVsyT - NtqK2okA6C1eSX5LDTIkk54eJ0wyMwMNtpPlpprxLKOXOXFHYuY8IX/CQviVbFcPQJnSP8yjDNV6 - RiS63uhDTk69NHVBspEcaaKB1JbO++zBQxQemco9Nj1NOkEggK5r02bXOD33+D3f/ImXPvgt3/U3 - v7out8+9dLucRAHtt/K4hROjaX7unggo3/OJH/gv/stPEJ/kEfgxX1kbcLmCACKcSte8tGfmyJjy - L6pQuV7v2olPRFzPL73/Ay89/65v+8i/wpcTvYT2Mp7iqeKOcOp2307l7lwlwoPH/pl6iEPca5Xx - ybCotAYiD2xMSI9Dir4Xw2gYrJ42EMQXj7k/2Isa1bgzGNq+jj7APWnlXzsGYmpiF0eRUvz1FxV9 - iU+UaUMs1JOkjiyyMkcDELqzZnvQZwOqu8gHxzjge9i83VuLKcPmrWBVxIihDHXJjZNu/9LmpfFo - tzpt2OTm0JQ7aPCJAr+cRHmeiP1g+lD2M0v3PAww7P8qjr2e0Iad+tIYDLoGMCojnBaR3H8PRvCV - FbRoLA1Onc/FWZDuG8KExmiapgSu3oTtgAzyi46GBpUZS95q2aP4aDlsahGgokJKRqdEAFXQFaq6 - tru7tTKX5daD8BtuCizTO0DkmQTtkFRQVY+Jd3LjkBXaF9TQCicaFmd6xNL9LQMHtssYkzKPYTcj - EcjfJU50aAENHDdyJNSCGLZJz2aYNuXGUMJSyvn8vg++713vfd8P/dCPr8+qXC5Fn57oWT3RlSGV - T49f5HKrwNpAiCy0BLCq39SicUPRdiKSZrBRP/uu23Z48VCTJ2pY8F28tdYYQoWePXtDsN6cKzGB - sK6otXI573OjPJS/muUvyHV773RrrIUsopNEGJ+a3Tia6jj/Shsl9k/y9nFeuDOzpfGMwkAvFjfY - FrAqabPtfbb7rQTcVzIoPLAaW2veGgHU5iREACwpkCtZiqoGWq+y5y5vv9zzXpLYlDTYjYHYC3dR - lXBD0wxsuzUGU9LE5dqjf93O6wzd/lP/dt+25P2liYDAxFxLZaBUv6Z5XXE68fnmUWVlXPJ+r4bU - 9si+CMyKYTY7EbaFhSgiGX3E6N7bIGdO6gkRwutfCNi4NSmTYiLg0Ve+28QDIict7/AUmCqIdch7 - mWyZjNhpfrsQo600T9CS7uen6z1m5XZLZwKQfKO4N8XQCn7u/Oj2dD6rVmI0JeZamJirql4uypVd - jjFQF1JhEnCD6vOPbh7f3JaTswll2NEWCs1sRH0P1c2F7zylGTGU9v9tOPedpO5I25c9K9u/okRE - ZTmdWSDQS1kqEdYVd3eynLgsKLVr9wZ4V7zMRPLD0TROBQC+VW0WQmAjaMzf6p7/oT9S/DblqNkM - SlHQrzVLB/F7jrqhZ3mbRDmzvnFQ90345gd7y8uYlq5Z5CvTukONEGx7cu8MPdgNqNKTY6bB9/mY - syWkjwlL2C52b0Eh7e5yZV5KWbodudmQNPvfQJ9YcW+G4KNIO4sFqljDMbhjnD52il0nUUejzBs/ - NDjL6GtLi2Y49+DBMIYn74zOtEOHTSmycyNwqLF80BnVZjR56zMHmPceNRETtEKBuPQytieHb0sn - sAr0xsnMO5ctQsZYItgNyRW85X8zB9BNjn5/Q7rzoltS48d9I6MOOYEPOW0Lezk9YihQyvNLeYRF - mlayG6NgBplo3FbZkZl4bDzNHfdjlDlwg5zv9fc06fgT2N0/nhWrNMbOgDf03rdnBlSY5yyd/LUw - mBz+zyl2bU9KR/SdO9/uindEdc2HsJ2eCVLEiu5TqRl8bf0MUoAhw8IcGDgos3eWghtknjN+n6Zy - wnCvY6ig/W+7okHv5r3lNJ8CdRdHiBad4ohprPp5XB0lNBqDZ7FKFaZwjYDV/As2G8V66bXmQItc - aHzIu1QTBBkBGhsJW2QkSaGRq20syTgvTZaxPbjKNBuKFSBm9j3UK2NlnG5efOF84hsLr5ImhWMz - dVpali0BdpUmUHHG6QYAUHCHBqCgnAqA2JPXi+3lm6TrewkFYI1DvswgLHVhFdFWuIBucL559J4T - VuAWK+MKhl8z2fk36UbznBnJcN36NjAA0dBLdEjoFqd/MKXcSYlWBAAKe55f6Tc0HM0yjY95M2db - c6Z8PaKa/Ox+p8RMoZqeJarrS+O4gciB65zA2SBtV8rgiNP3bbN0FIeUGPCRzMoY2fBsHR9Sogzd - Ii2O0HtOFVeefPXLBuc4npShIeygVROda9yolhGxU9P2jJrmCuN5H1uvuHrmOrVL9XyjfH61u24F - EPACEMSZ1rQlShNP1ga9gmrPasfuENS0coZ6cUAuFBpTB2nANRImxIvdAqXgw7qngC6MgBXj+CZy - 3dhY7O+a5ch9iARY3HQpJ7epsUBtq5pBJ6q0gAjnsfDHehVcISi8ANSot5smx4CMGJUQGIMKt6RF - sxSe4z+1X+lAGd/pdUxNk29W5p+DYWm6LRedzy1QMhWICAvjEVkSXG1+dQhuuOK21PIYLLckt1AF - 601pKzWx9CB283Xc62eMgSAirbWV63KQBWWQn50HjztjNorBAc56BGEfZwpEMOTbrXBrW5YzaOFS - rper6FIXSwLH12srJTtDDsK3NzLioXxDyl+E69YkYnKH2dMjIbfj09pVwwYU8rTZCMO1b9SPBRpM - YaKf6TAqmU0a+l3sIXpqMVdGSMkTsrCd8GMFIJ7fp7CfCfL7dtMQGsj2tWpi02bTs2lpAraEUH7E - 1wHT7fC/Pso/eIcGN+NUB4e9JA3ZNwvvUXVyl5EH1L5p3pLxadsNIj+j+3/a/Dr9NPHiDVtTUZNr - xKWwXfKu69qk1UghQ4TSte3QUXWcV0s6HNkYGVsWZRWEsQJVJ0yxJ+g3o3WXLCp8ZOZEYEWyS4ZG - lgjYzelBrt1XRd1xleZpaEYRe6L93I9pHigHiB8fsyqpSdlKepTOLw5q6kqMRjxgP3Pnu/jJnTCQ - LFJBt4yFuJBCVQuhcEVhBa+i6/W68IlDZDGDaHEDT6Xf49rlOTyKVEuOcxpzHeJvpMXYYYNAIEnn - j8YxyXuLxr9DYXkblaEgIi6V1tZUrwBARRXrqmVBpbw11N1/GnbThMxwuaqiE3jBbohed7g+8wqj - 6EjtDNFe7bEtNAnHYvDUvCpDqTLNg0auWO+KKDyDjMHGCVh8aoLueBg51MnLlykIfviLg4EOeHwY - PdfzAQpilSXusv+oKIClVIkbFKKKQFtr9XxTSm3i+aVEIQJFvxBZUlg/XEPOJAD4Cd8J0ZYmBUN8 - xJcIQuizJfCsi4ODdUIZ49wK32mIBNGkJjvh9PS7yP/nEhZ636lKjSdh1lVozf6dHVTIIkXTvzPQ - FVqhStRGMnu/8WM0OXiuLtZZ8rgpcr1J1ZVJm9gIl2ByseenIIuZmvY4NenIRONoYPI6zUyHtOfC - s38loQwAEZhrP6Cv9SKrFCzUqhasNuvatOc3m522eeK6nRd+qxz14+y1U0Ixz52DYC8MITlOEh3M - I5yeE17i2CNSilMdTDgBbB1aJ+wzMplgzh0CtgBwqDv3c16dFkYSWxFShu3myjy+MBdH6IqOfhVo - ptalBaaxlDY9zhMzGgc8ipPS71koHyyJGYEUzHDQGB0ut4kPbSDM34bYj+1MHb/4J5oezEZ1Z7S5 - 49EOjUdB/lcFbawPSrXDG97X0TRfiYmh76bpjDqdn3cAJkRR5ts7HJly4WRDqWUVrAQufHaZuBas - FbVSWSoVARR2wX0h9bA/Ds0krrpSP/+XhijA2q7EhVEsiUUBoA24g1py84II+S/9i7/OAEpZuN2t - TfgU2vDimCXgBCJ33dpA3PKZJ2EgXNP5BgBQE1jDdZsmJrnjuxZgYszWuQfiWTKUqKyHfoExEdHW - kWjarIlOO0JpgjFVOX5nX8i5Zqds52no8nkHjCC8foZWJRriQHu0+rTvSIldz//e54/qi+QYIVEG - 3P1ESx+uZSKbFI487nEsxWCQyLXcJ/wej8ERLDMbtj8scVEVgDBFMx8brIa2jeyB3jB6ZwwKan4D - F5WR6HY7ZbYMFaWFAViU4VmxerOU3yUF0KArVJTKClvDY+9x00dnvLFl2EmEPK7fX5hCNJBsV92M - 8R50JLa8Hh1y7yIqp6BNxuXANREKMel6ba0xE1nyLgVwAnNZCqhE8snOcxWAaoMKtLA2iuxMlo1H - UpYHvw9Z+zrVvmgRUBCAHrmr4zhLHlAoVemH3YLpK2ra0dX+t1uvnrEYqlDO8l7QFGBU6AJq4Kvf - L4LaUK6tgVdmeIiyn6ZgouLsg1DIQ25VAZJ+9502ISaUg0Ni5FMpOi6TDBa/m/J4wdDY0mMKAyox - jEJoJE1KPYEVQk2bKoGqCgQsImWSCZG26n6V66F8Q8q/uOuWpg9vxly6N41MKKTKArSwnLtKxqP1 - aV3zcLEwQCnloKlHkXXJWaoAqoVEoAXVfxOAqUEJWm3dkYpvcVRCgd9MQR7PpXABRivQFAqPFLPh - sQf/efAlWr/YpwtrncXo2yz3KBfxWzcACRFYgT07o8TCQjrrJBXEETfDp5lFjJs0aVZkx8RswN39 - NCkc6dfppzfHDjErmULhGgZDwUxLBZeetc1DM6NVDa48hMCQdSQHqyBYHuwCqJp0euoGaWDf8J9c - YApPKuMDmiLFqbuuKPc1jzv24jaa9TQjLlBZXDtpvquddcIjfKoPY1tlknM6v5BICOiBw1lHVKST - 90MLse/lrDgDMC8GaTNsKaAQJZRKXIhhGW6hhJq1IZN7jcyYktGLYszDKKlC0ltpPr01tGAV6CaS - 6ghnOn+g3FZG1OYtheWg07Vd6sIi69def/ro9t2npTz3XKFxcqzPXVZZzXWboyxBHv1RAiC7XYHm - 1w3QqtmRr9PA+1hSTsJ+A2BV1xsiD8OEDUl9OW0Tpg0EzpHXAI9ojmVKkuJN7NLLGCcHK0rpgcPB - vPyYoZOlKUk5dGhmNWlOtY9+cAaLKDATtW8cOsK58O3jR0BpouvaylItFuXurrXWHj8+0eCoOuCm - HSFt/MqK2AKkDWo1ovjcI+4UPu5IGfx4NK3j5cPVTh1tiUQ0ZPIM6My0sRuJ7p7k50MZPwznDiTz - fChiBnaMSyou7MFZdfPzsHv6C0O03wdel5jbQYTo7GSVeMPRnsCYcq+2F4lDYR5Icx40vIEM2HJp - VxSATlDWKy5grVTRFE0aiSy8lLiVjiZdf9+ZZQqm0bHJSsoiJjHqvj0zW4kb1y0m5O8Wlf3kW5U9 - YQJ1YZT2SrcvDa1v0EMwnE5UnOU2TS31avOST8Jn0gJilqcRxG9BGbHcYurTPO6pK3YL+iTvom7D - SlV3VVCZVnLw0BlH2XHZe6dOaeoqHLDZUjkSSv03AnJ+EjL4VRM+TLhg834f/EzSUyaBAYBDGP5s - SrACIFWsXSZkeKdZVImwqlCyZjC4L58dF50bSzSzTeEwLcSBFw1G7ElOJ8GkaOoUArb+yy2YwQwl - hZhSSgzFCi3moEy+A4ndjJSSwr6xH+7uFE4KyApqnqxe/YqwBpwQGWfZeJ9dJqzU7GjS0ghgLgQ0 - XK4oFUvxViJjirsXEzHQBpmJpWrcxiydkyZFc2Ls0zqn0VRMiQAtNmYOSp8BF/zaIoyH9nVo8xQH - X9SHdtzXPT9ohjwxz53kcEtDdvI0hXUqd5U+DQ0HoYS+QDNzCDhz2zok1Tzi0ULuLtrxVLOS+SsG - wWXFzlU4lYFsJ5bR+jHqtmgSh1ZVya8WcQXLxSvHvovGGBzyQNGQDkM0b0/DaDfsFaVvjiLHcEyD - bYSVIIqTKYHBLEboh7+9uYJBG3QFRFFadFniTXIc5d17G0d30+oAzQdpXgWebgCCZF49x+5kMTgJ - v+ANbSJf7X814zOwSgjgHFCFNlUBQFRcY5EGJQYxldKVbQJUIEWL7YGdiATACm0EP54isT/WRRV3 - jwq649QM8zFGGtxGJzIeo9V7NyFSpD8m5Gwe2UQkWdw7SEcgGxTQ6rl9yDJ2GhE0gGphaoSLgqhV - XCtsO5pZoJ5Rnp0m1uuViiwFgDDTwpWSajStd6MK994OJhcBSfNwJkLoZDMk3ljqkTqILWq4tWeX - u2W5UeLL5Q4ELnQ+3c6r+nCVv8m6fyjvUPkLzHW7L0NlGs/sY0TzpJri0bAgsjB+dYE5BIXS0KYh - oBYhPMXuLDHxZhu8XY8AAFUiWSCQ4j8XX5YEXYGFoJ6Fp7lDVgACc77FoEFXsABNfR++xhnb2uWO - nZeRrsraNYj5LMVfGDo3i532+cvzi1mJmuKI9VgP6PoZjwZ03/ebAJtBTl+8s4ntvHlDwYUU5kxX - gaoqUWjWRFxqNn+mpAT9JAhAMJYb3k4CwIob9fnW2P/jmEDpG6EDKSBEPAJABSffsiUomShosSO4 - wSrBs9s4lNFXHD0ZaHUdm7vD8V4d0+CiJJVHLMMO+flLlgBDrTymnjR6HUid2km/Ur/INesPoYK0 - UNVUdG0gBZdaemxbU0BROVNcpG8I5bLjaKbTpP31XmN8B/TtXjdzF89jzegZ33X7/kbLPihGswym - ShXUuMjNo1OJvLbrCiWpVSMZgo8pVEU7hFgjPsUMgBbOBY7jyUZRK0jiHlXXQRQnHyhJjL+6FO/T - 4+O4AlfgZCcG7CCmYOUpL/MKXMyGtIsgEBohBetTP3WGQiM4x+ATd92OnjFoiebHNjWsqBFwK6Bk - q2qvRoLKfermVbSZCaQZPiIIx2pMzVWx2o2rRESFLeR2bSBQKSMMZ14ZvG06EXLif9LtFgctMi90 - Ci+u8dui7t7b0WtC12YwukfCbl3LbGe+Cc7St4Oz4H1wY4nrXDeBmkOQtuA5IngcQOChcWrnuui5 - 3ExRLfdL1i3T26FFJwzuHh+98matBNeYbh6cG1HXvVu8XKBk5+kUIKxYr7grYKBBKxExFCrk/r4j - 3E3QZ9KiDlJmpbMy0F2EWxzqxq+wUSw2WNmYihPVb5dDrtM9qn15BvEQcgvh8v46Sw4D19jsTLrY - QYtbAbKZvyMhqfd+2VQLLGnyZJETvyNqJry9lDGOPZH8HqA3L9NwdnFdBmjq8QAhmXln720/Whbr - dmb2gwRFj/BEE55lh4dBVftlOdo+GCa2GOo/RJoEbLDgfwg4OF/bAAAgAElEQVTgHaiiOQGsC40z - AJW1aeMamoWHRHBCgPHzOMWQqZEBEu5eA9v6J5Bt8unSHcUh/hVYIQ1cQfUCnMGkAi1LKUr1asol - gxbUIkQcCRgksZ/NmqJBb4GD5K8XwAMwM750oLej4yCcdhZVaXdmNzO7FWeuzwMy3z3aCMH9w33Z - E87B8z7GgZqpYqLS9P88msGHx26AR9TNauR4fTueLYs9gHlaoPMS6JxWp5+77DooSYTlE5iJK2nf - uvcfG8W+7NS1CkgIRdwktp7djdhPbOwGq0eDPZqrGc+JOEnGLRK9aUF4sWOdd6VjputDqajmG827 - m+PsHyWLUX3JTxB1IzNNVOvNp+1dxcZOp+NvO+JL87pFVf+pDO9IalB9PgoXBlo4EBlUgEJupKo5 - ZFkgwCpNi5zgl8xCFeKMQkppEbCtY4fWWGLffJwp1EvXgjXDPerFtbRvrhkY/reIGwthv2DUnQNd - UKESRCwWW9UzdDFpgUKEWoMwqFgeCCMFC6QS4nTQCQpVId+vaux5IzaqGDCmzYkrwb+TUAfDnfY5 - ZjQIoLhcFaBaIYCWpTIzlFpd2I5gthVcD7XMjqevS9d4KH9Z5S/PdbsXnDMr1KkWQdTdpAycNOiE - ANulG0m0wr2huBKuipPtQHurNJQb3w1zaSVELW1KAbGjYjxMoA0iEF9/XU71WDJdQXYYQcLmFkId - OR4ViLP3feUVOxxExY9f/f8m+5m/DUYzy7dxwCBr9luTa1IjUuu6X5ZTHZ1mcMM9yHvamnA6NKBN - dNLEqg6POaXWt5K9h3maaKylpKN+5uBVdxZRDl018dTCC2ZvVAGABrqAEQfTEmYpeGxSDGQcJavQ - ijhpWQiQBpEIvM44w/BSJW5McVwj2S6RA27Ho6fp8NCJhPIsx8YK6m/SRj1Jvx3MfurFa8x7Ln0C - bY1Fx2qXZ45hdlFJokDzGBdVVRVVMArVOKCVoDElwO5v8wRD7tuyfiP+NLaRaUNCkxo9nk8S0jdv - FRPSxrCOEYUjXB1WtqpEXABtuADr7fk5G5Bf2YC136nn5sHoYR1Y12w7tMheek4wNsDstSUpjvET - XYACLZ5esCcBcfK5AHfmllVU7Samu3GtvQY8A54BJ2gx/VMJw88cnyTW+QgHo8ZQW2gJ0RqKe0JW - KjIuo3Ofix2F6yonebUpjDde2Qoe7Ok+/GhRS5yD2ShEBELs3iUuDIIIRMCF2ZJXDf9CDwXbbp9g - eLBHx9B8cDBOa0VDMlGfJmmUm5hHnDG6+Zp4gm5rb9uk+cNBswcTFWz4iH/MD3UKOYlC8Ufixr0T - 4GHfrJ399giXEi2vwBUoRvFTtobDEhLpWNwZmjakpPnNDUqOsJAIanoysRcdPMvbZvZTE6vKStfC - NbJyUAGINXDRYdtB5V/Eom57IKHmAe9CPOK5kmcwGEjRzTD38mGLhSEFaJBzDHhnd8Tw3RvfCT4h - PVqYNQrsAEk/a5J5+7kbY7ofk3m50RYJezGxIapta5vRS2aKvae+e0MTmnc+Qwf6bZhP+8naTabu - iPyg15lZjKqDnxxB4nx1SNWZijQOSqfGCMjuf/vmOxA7ItvOyJth44AjbNGA3gLpbqju1Zm0LO2q - 34Y3ajGRLWjAtQD9moX0olB33SI6Z5g7iSliz7WDZSsafnH78Lw26AV6gd4q6hVYgCIMMLgCdQWI - 1hV3S10ZC3TxW817jOOIyeqlk9bQHwITnQFP5+90enFgbUM5SO3GEo2Pm6U4vzU7WA6mcb/INw2k - oe1eHrAekpACx+lejitv3Y9dlkpCzt5ZRaFjT8PUGWP5ySGgiUdOdtaMXd0COs/idj1MozgQr8Nh - 2aFW2yTYL1LzeEKnI/0CpXQQXA9GaSAPLnoE48CQwvNKOUInbczf0x7PGBkRhXJ6z7H07B8aYPkx - /b3r1t/MeMvIckWTFG6zpOlxfuiEMKPieNJ1/rzF89FiiZodUdMxJkWzoCMD2p3xxMAFuAAn0Llb - 6AJVBZQs378dVmZQHazC7VAtY66NyXnwhXLcZrdbEOiYOFrSSTc5psgjVL1tFgHXX5zZl5h3U86u - UIIu0MVMU8VF0URZtIArmNwVxMnnSka8gHkcSAkqaASCHatCvr00AzR2LhMqQhXejSSWxoi168I0 - mKwCKtLAID5ZTvVSobgSt4VPqtwa2goqiBOBkR2YcuNvpXs8lHek3HOu8esr3fK699f7VW2vEzdf - +3noxJ4b4WppATd8XbEKrn6kV4dsPBb1dlpNZfMUoRl5eLoxTx2nYNNRL7seQEJ9EkY7Sns0j6yz - F6Lko5AtdHuY/Uus1UmnOi46uMRUaccbnQd6kxTGwK7l6SU6lNy7uU8sZMca1dUG3eQXGw28hW40 - kEKFKqMo0ERERQFxT6AmBDfQHWhNoAbrsYujQz5H91fQxfxEzvJ3ue0B9MwSQ7qGJqLA2BnAPJ3h - kBuI7uSAWT3sfzoG30pAjUKj9uGapDFF+rY6oO3P6bUueHvENyJ0tGTe0p3qrV3R7nxjhbhwKcyU - r/IllAo2LcDXGYVAvQ86ZOypL9RJGI7FlIfhOE6UvUHDrMBSWDuxbmYC36GQnKGpYaCJiDgm7C8z - TiecTjUC+TH0RG9RduRkAdoKXAnXxAytSGxajVErDOrmo/RDmRyR0Qr3gF2BSw8WOSKLFjVbb/p+ - W2Kj/LdtY9RiJ+yYsUUPHKsj8HZcbQA8wdUxR/v9ig01BEHEO8vpdDrdMJe1ret65VCslxOWE7ig - Ncm5ko+NnJRpZDoNi+7ZiO8UdQbUlIaRGUSGmzL/3FXurww+Rr33HcnujaHxNJjK/XM+Kh3O0T2w - JQmiUN9/iErmvY2z05NwlFFfDto+BDIJqy0UGv0fsML9WkjybpBbxFjspyP+PcZCJzw0BREzauEK - CFqDaillibO32glpO54NvLkH3WPlHuxsB3ooPlLPW0Vj/n6gHczNH0J71GpSVXCA3A0XHNN4j1TT - zWtvAtx9JY0t2Px99TbTbmEESbxvgkuPQNLBkd+kr4NB9E+MpIAm8GJtj8vLSFH2a3ziAePZoYpx - BM1b1bqnoczXx396OMTjJoneFpCYEPtmkgKTT4FybAeo0LIsDFrl+uzyDBhnb4P9dwkwr6B+QVIM - buhPQz0Zaqe/gX52u1sUbJ4oEfMP1Ys8W+VrwAUQrFjvVs8BBuDALqMJsM06HEtwv7a7iJkl8KzY - +imKngTjGME7XjBSwW3LmyxXuufzXOdtHYfU7aDfRvdzA3sC3v90+NrBk0xQB9XeEqaZyieWqpse - 4hvlX7GbfUXmSbrj0oMqaMbDPdDu1Ift9BwiLfOZyWzb6QWaVAYzfeVNOXiSQPfyaccEvYVwpqPX - E3nQ2yGqNyHYDbRTH3N3G/Ol5d+leRVAQE2hxJTesG8ohKWilgrwFU1khf0BQAwqFIm5pwXQqYwm - lrKTLplzpP+2gzpARZ+snSJxhI+pTodv1XbV4GtM7FLRMtw541wrwESe9yCabILWUIsfBpS2iggI - p1OtpQDa1lXWBkCvTVrLiNmBNzj/NKtvW08ZKxYKKJ8WXhaALLa2XRvilggLlV4WS0U81OooW5/V - Q/nGlr+IXLfEwDI0Df+jAFEc5l3lKrouZRmJF+1/gYpcy82KZYHYhSMCrHb2HKgeUoPIgl9MjgtZ - yhWq5uyIC2ZWKAMnUNOmwsLEBUCBvIH2FKKoL1jXDHkEtfNQgkL+JyIug4dWDVBL6aKsghuWhsVv - mEVf4p6M4BQPgDNwsqO+cH3rCii0QD1cd9x7DQCtdLaj8cECD/3kQV+OHB2Ps4USGcB4lhE9nKMH - 3nA/ggWk6w/jcEd44MYtsASwOVJcl4xLiEKvctOAesgzDaygb7qGTGBG6SegtacGTmQ1cS1VQIgo - Qt38zLRx1aJCEN8qtDAHAYgaLq08KbhpUGrnahOoC9BA1AgKZdDZLmzBBdwsyEJC0YhU67NIJMLG - PUlZSydQsZu2gsX3WNJqp3SYjMwtgLEOlpily07LM0UjEi+5Qk+WrBlQu6nJp8MTCJbeQErSwNNU - GyieQJER+pXPErWe9GmQtMHCdvg9Dtw2xspQJxniAb+xA8tIWhS4WK42v3tTUYXj+HnOESVUKvCc - B02TU3UdMUrKKIwFcg5SMewaulkwotR6BpVYFwoADVwKjQBJAXsIQL8QSm0nVQAhKkTjKqtGnp6b - nCXEYu3aCEHLCBFWBhNuoCeiG5sJ8t5WVcNb7W8G7ngIbOeqrDgxroZfReNIeht8kgORnk5EAcUy - Mm3pOlic5wIXHwIIJriD/802VgVuPfFoN/rE99yEsMbFsQWLb7AHTlq4A4b2waYeTjeMt1UVVEY8 - U6jCoVBZt00hb1zOZQFTzxahQ92oClqg0AYBqCrjGmMZsYhwo6CSxVBUv1WZCCpQIlqMZS6spI3o - wmAhBrFvKBTbMRQCayNqhFLAdr61gc4eKhm5WgRoBJDdc2S7E+xc1vL80MB59UwA5yDOkKurrLry - stAYwXmYFU2B1cMFtDkBMosu11X8TjwY/bPj3afbhY3vS/mXxT/Gkut3NOmgCnZK8WBAJ7y+CnTI - UsSlB4x96KCO/xUQS99MxbKwWpxCAY3dw0IKFOCmk6hflaeOluBWLu1dRbHtim3kb4fEZswzCmlP - qqyeDoQAkM1OiE7bFAGBfVGlu3dSklcOTYkQYcIFqP0UwRAnJ8ILQDA7UpBW57HOsjUgJkXXi2IM - BTh1C4mcUffBNuSMPQaSMxZVW2DF57AGHWYsMUA2427kWLgQd+bKUEIzqrecgzzhV0BMI7Wh018/ - jss9hzfUkuUl3SmIb/CeHFTVN4cSz0yTq4ZQ1xoi3n4mYoAUlfyMvPZ1EnyZQWeX/iPR8glY7MQk - CAw6DRZnrK9nvTGevUKVeWVaFLxG1y6QgJRliUUakyVRDbWP7Kwo5RXU9Y7ZttLIKmmcmNVvUQED - TbA2PTEDJ4igPSHWsixX1AtODFqoryuCFktO1ff6yOltlyLZATI5suhoIsNqq5aCL45ZSMMhC/4J - 0T+G2JWQeESYvmaNp4aKM8MwCscJFeso/RKdj6FG94xScSYUNiZTepwTwHaAjxZaSlW2VR56IYMq - mFEIp0E+IWKNYWWOFTGxC3ALqtG7Q8xg0GKJ7qkftGGgFHMn+FFEPjHgJMzgWvrq7+GOMysecsGa - vXEmwOBHUAUtZcDQ37VnXTKU0QglrXUwHwJFuvLtpPizbruASsiXbZ2JMjSLM8rHvsZ45r4o5U3r - 8xtvDNU+EGbX0CfzcNArT0pRUDDyYKOHiXoHtsmHEEwxQ9p7m1YaTb/GJw49aWIR8YLhPzCVp58A - P5CVVllHLpW80Ga1MI/Hl+0sIqeeKnATBnIk3SJMs7uZ6TERmyczgjKSqTPtPKUBxaDcAtUcZdLH - dlTI3qUFfGvugHN3fAyQDrAyR0XFvbtjbng0gwzuyHmUMcwunqhzjTqIcQFubXXy3FpcWZGdAhnC - NOYJkRUE01h7/bFTHS+Q3dlOiOwyBhsTqM6ugKMpGWPJrClUD1dRRgpto1/woLHNuh6kbSnckpFu - 1dRRyylNU4DT8VCMlBenCAJVpCR+DFqwGEMoHDfhiaNyoTFeZvbYJBehygxGBZhqKGAbugHB9TBm - IMToxF52nymLs+EniIU/7kenYAAMshQYBozB4ggom6bTTD2UvxLlL8B161ecT1IFQaqu4qroqmKX - m4au9wz0FLJCn19xe0FhYIEdkXYjnGFmXAWvoCughEcA4jxvQc9/ufo6Fk8MqfXa7oSuJ2qMk6JC - IM8gwOmk5abJtbR2w01Al0bCXJjHqT11FjUYHWtYVza+UrBoP3Tgz7DiolgLuPraqxpmiblwFiCU - +QXK0ILwNAIAGuMKmL+Cw7y3VWmZzBOLDTbmpq6jlvteidcMrzDRPCBIMbuTICVMJj+FwL31yUYF - QGSZ5oP9ivtkFUAFVRvbGjf+2LvqNVuENWsce62gKrmyivvOfIBeFQqgQZmIC5jihnsCinmoLLcs - p3wZBVe6XunJCVBhXs+ln0enupJeoQw5QVkFandHNtM8+j5huj4pMMfOaG1oxVGsGMpit7WA4QcV - N99G2okVeAoAdnWeW/mW6JUAKjTJWVPIphNukV60RhpEUCXqJ3oa/Ca9uPwC6BudsUlofmpuAI/M - kmbfEYj6eWSGFjTqtdxtOULOKlaGJUK9AaoQuI+bXUsHgYsAV9UmKA0Lg1mJJQkVGIYuAmJawGe3 - Wm1OiKrPxKowZ+jiqPX8Wk1AArYXOFbAGjH1bGsxIk+JaYTFYAWtoMUikNZA4iNbGqvLuJ4P1Y4C - jMVPZN8pxGKM39cPUSFdfCbRPTwrcDG7WHLILSE5mNxUEL+vylQlO3opFOd6Ghg4e7IWbUSVgo2t - AKFW/3gFCFRGxms1B/TiInw4MpAYiw36TDj7DNgtjxavULWhPUMjlBPqacQJrSBbWqyWLgvdpRu7 - uBoaFtBkVdTCfq4Mvr0CT43oTkdqrel1PaNCCWTE6ptAsTtUFgh0RVtRioKuwcoI1+GKMYajVzA3 - 1DtwBc7OTMIPDeLC0IsxBwZL3GtBQGTOKVgJl4IFqIpyB1kbkfJJIumD6Xbr4FrFtUpxdamR391T - ALYNPCXICazqF5cRKdaVm164XIlFUVSrEPNIGnaFNCUliMrqN+QArdW2rrWgMCAtvEMEeN61yE45 - XG0KCCr8xjmkSugDUifNLhaN8rVzqV0cW1cuo4m55JbdIcgEaINUCGlBsKo13HwcAjo5KJ0p9WPJ - 8T/HkhTQGlq9y9DQ6l0+CdkStvvizQlvrTKpcyFPckKeo79gOyIaFzqPPxSCtRr5+bGfYju5BJD5 - 6xmofidG7IPZbpQvHhc9eg0BX+CRH2OnwFHjmpTlbgJ0Gd5bKnDxqS59HUbzFeXLN31vy2UoiGyX - lgR6hi9Ya3cVLF3tmQ0ry9pJPLbHXIhIX5a6hqXB5vo0oqwa6LIz7GRHCZzkQhUxyaVQyuFPNk9x - a4iGwuAuCIpqAYl5j1s/25k8RG7EEvWo+VP3ixlmKggjRZfLEYJdvGmrr6EpWIqelbnvmSgkIjhN - kaOmXP0KyuTl9spkp80MHhOIRMugwLgQKIkUswaVQGiQtWGpwAJ9A+0J5I7LC8IvXEAFWN0ct8XA - thATHoYXJFF7/syRWXX/cyV33brc70xiqm0OOyOfuZnZ+eX0OsxKsqayc4n601HPNdwlV0D38upQ - tUd3zkcKcKbEFQedhcXOtJCTSkhZMlc0Eyp1z38yh23plwRJgG8Ms/Y3Ti7LGFhQi7luT51xVwYx - Uzfiz77fTYQCLqFrHQ0NwEj1E+6TwT/51vT8kqCLDyUhwrlKn9SkTiDGzk4jeYnOH9OUlG2lqUIC - N5W0QRW/bRuw/hPVTN3PlpaPMVlgU2vJuTwRLDs7SsyfMuQbl98gqUSk8WFw4n30/DRQys95qsbT - 9cG87YYTM0xtctR2iNPs7xFbep3kjOwPqO//E+DbM1sukqdiGssOJelH6yhyrpQNl9hgs3vVRo80 - QbpDY3wi8GIygWPbeFpRGCxmYkoJFcOmpGgzRB/NEG7hd+WBtIcVATSpX84rpp0HR0/estXeXUA4 - OU1TuFZ1+22Pd/LXGcQoBPaYLo0qROa6nTgupZENJMXXAW2Hx592YRDVmN3xH4FU82xRmpe533Bc - uo22oSLOw+uIdZraum4rAGdoNCaNqXudDfQMHANL4WCrS1DdZg35rComHkXHfGxwmxKUTDohNIY2 - XvHnzJ3cmdPv2vWiiXy3bOehfAPLv6jrNvln8pI0z1v4VYGl2oWodG0NV5wrQE/BXwET1ef6tTsW - y6ThNFnQzXFCMTX4Znh0O5eV4QcwrwxWgdK58BPBGwQoarELM0lpvUOrRfVypWdXkNZ60zzUbFhy - zk5TWcHc+9YCqkST0iZYG94gXH1XTf3CnxZBf+Q2WwOuUO4OguTgMW2bu32B8HOGd1rNarBFFOvT - nZ/djLXWAtSAMGYsZeEWQmnkIWlnAnvMorvdODs5/Fn4KQEKJ1GE03qHLSUzmte6uFdRu8ePyFA0 - +KpvKGYbPqAdgmE6mOrph7rwHqOuta6A4LowVYZcPMpNT371Q7GgJ2nQBmqWfVJiRih6ZycyTapX - F5kSuQVNKofH0k0HSq5b8RH59DXgDeDkw7YIQzS4R5Zj1MaszbFCEz+OrGjmK5HI4FsI4SKX8G8U - m6GaF22cFROPeAqC09g5YOqHlxf3+hV31fktf4gVb4pyg94BVaEr0UKRVEpjJ8L7bkSk7i/kziKM - AtSAx0VRFaehsfQb6dSiolcFCMUSwMWM2/nq0oOcnezSvgG6K8DuJvXovqBPupqnQ2MpGiWXQC7U - HNg2pja6IRGUNfxYNPCsjmNg5MAeaoQ5u6++uasJaO+ZNQnsxCgYWmLaV6LSfXfs9S0wlCkoT827 - DIVaIvoSi9ZIi4PS3dlHnbbBcWWZj6nAcklb7qdqGS2E1gsujNPiYazW3h2ggtOKYjMciaQ6d4tG - zVCsDKVV/T6AAmY0iOB6BVVUJsKq10Xx+PEjNGhrTVZUAk6d0waBE2mDXoCTpQIMjn7tw4S5QXAF - Tg18AQAsYNZxiCn40goVv6sBrDg5fxCIrLWcPXb74g0+uzxB5XI6UUkeLKBBG5RtcCjjEG1BJzHC - peAKPUEWJ3ny8LlivA8kciG+AI9A1TJCO36ZwCwi6/XZqT42Rq8AF9xwZQLWu+vl2XK6QbGA1i4f - eiaPsWfaU5FRzFmn0GSTUNK/EWxF8wIMZNLQyPPPs4TS8a+tenPXiYsGcV5sF+pBgdbABKU4duEt - ZR7eM4XAHBh6iazQNZiihj6r/V2DNbluAy4tnoO5oEEA8cM2qtkuhkNKseAng54BzwOhCmrI7vfA - M9mJICBlvhybYgDitmsGFVDJkqv4NiZm72sPdecOn4LdMR0XNlJ4zft2XuAxEDDIJsSfRgwtKbAy - lpaVQwqXo+/SFihIIX7ApW/N5RG533Y6uWcJwo2siXus7kjN7bz9wMroHCy2+COBTDZvJsbECuGN - 69ZrNWcjWiDVpteSiPpy9tn1eiE64FtwcT114VMN2UQqC5lpKAptCkWlpTjxiRIrs7EcWwlxr6lx - ZDSFKGJrkDq2He9ptSqBzhVEVVtoNFUgT9BurqTPiBbTNrtnTQtUmaQ7orKtvMV06IvTYp9+Mi56 - XCauclRpZ0HS9N8ofNzF9JRxH5CUK1H+icA5cnVTOeCeH8X/xRWEkmv3enQ4jl6fNhBzcjSEakfI - cVPbMY62eds5pp969Zqf080OhvzW/GyLk/u/7cpuft/GTM5PN+7A+144aJq2n1KFgnvLgT83yHcj - EXa17oFvD/9bYO2enzZvTZjZjX83rVaBNw/eFBI+eCG9VDNR0QalO+wfd3E4fJv44D73/B6fZpi2 - v9zXOgALRK3WxekYpMNR9MK7inTkh7mPrdGGM9JElzVzgg0sh/w0qSSHhd+M1Q+EUcZQLgdrZoue - gxdHQMAevpi6aeBf19L2FnZjTsyzP5gYIPKA7aDNcRf3YfNNmOTu23CXvx1WFpDxPb8fUfYgke1A - DmT3m/Gch/INKH9515RNRUSEGlMhZi4MEjRBW7HYpveWLqjvjFBfp058Gu6s2I7YCjwByHKlF1Q/ - zwY0xaqK9a6ul6oE5lKhyqhgh2FdQUCpiZi73TIlIdwpBmk9J6di1NHp6fSCbtXq6XXa/NrNy1yG - +UEejrLDyMwbU9++RhN7Hob5rvKm526nUhx98pOO6VRdeF3tMS2AZSSQkH9Vw0E8HF4x7ZNd5Zx2 - iC2aftohzboXARfFIji1Al3cuQFA4to4sfMOdjsdCQC2/GET8Bl503do+mkYZ5sX448m5jq3dg9j - nGU0zRVpAmlLYANje7bNUYcw/AWdiKlTeGpznswU/LuKbSsmOiIByrg0iAByz0chsEVbu3tI/Dxi - DCV6LEcbjAk8975xftdYAoUzljCBjk7sfUsS49fUz0h/NqPUF5g6BsMDYn9QlD0Ujt1ZYr3UyYW1 - m4nc/0RbsY4zFjJbIlrcElSFh252jxvbKeNw5vaVYyAtFoqVmBanQW/hOJqD48EMH0efkX6Gsa+J - 3QKKmlAoE4MsLzAgFiKkIIk4eyiIaGGOdJaqpXDzrZvJVxhcy5w/87FvzV5yCiVJeFj7uxmZUDF+ - YgYbuRk9xu7MstRGbCH0NS2W7SLFtoME0pZQRLHeoQK11sg0A1WBsO8GNWhrtDRQYy5EDIU0CKDU - HTFU6rKXEgmixFY7h5+nbcffNkV3T47rHdbhMXhjS3T/NTIbgBXzwHhXN+Y8dm58WUx7cvF0HOft - SSqdMSpStXsvynCixmCnu+IDvN+azj918a4YC43gVxxSH+CewGKoG0/lIchfb5nw1udpMP6UGtyd - 6Lp53cL8m6WGAzH43N/geC8skiUf0C8e1Kwc/ktgRJXRDM+MlgNFKY+nf+ufdcsRErfMjCHVo/mP - AmQnEqX7onWome55bz6zsqq0Ws9Obszdq9wjOwcg7peW8WBApphHhBDtvhlq/UsDgMLczzw9lIfy - UB7KQ3koD+WhPJS/YuWdc902NKpciGslKKGtuLvDuq7ni5we6axjJktpowa7qRWPZjU1dNUGP+1l - Ee2LAmvDRa5oF8gdNYWs18uyXkWV60KopYCuytS4VqUeANVL94Rg9qpgAODadPcwUnaJBYC6fXCw - OUvb8QwYprK3PHamwuZ3e9aR5ijnra/C3OPpVO3oWuOMRcKGW48cISCb01NhtHhSVgLMdWup0GZb - fTZidyPjPZqOMNagwNqeXd+4spxuFimLMnjpkWOrZTVWQFGUiFiggtZA6LHH87zsZmkLxYEpuLeV - Z0P/2Hocr2Tja+MiIHQbdfuWT+lkrtK+ViAtiFTHQL6CRGgAACAASURBVNI3Hq1MqfxjIiEruACF - h58H7rodsFhkfENhO5hhUaIqsong8bYpx+wQMLwOBgCnpvPkkznTelrVvr0zjSLSWpjLhrQfX0Rf - 5hTnmjcuNAUUq68CtdQsxVy2guG6dePcDHWa7Op5GmZWsJ2qLR+wEFwDcQURFU/yqCMAmmhp24Bo - MHqmaYsa4NTPxKx2mzQDAZlugiZjryriond7vpQmkDp+Z74KhYU1Sk95LO6Bjl4K8OyN69ouN4+o - lFJIrlK0gVG4iLYm4hfeTlvjHmytiRftsM3h8ZcyPGJ7p1/39UyLjoFCRTvBsa0nKcuiWi5NYZ7y - NNmB0C3TSK3v6Q4AVLGu11qXwiwg294Qy1ysxVbjpbXKjZZWlxNAWNEa7i6rgpaFCkst4OV0zGaN - 309r3E7PTMENnfvvUITE8N5KVN3j70zrYeOH3263JCg5NaeJhe261vB8uqMdMyfRLt0xv8J+D3Xf - 8dqMaz7IpptfD0YfVJ9HvH1LASLy7Ifzj3NtHjxt21NffRtIDuHKHfUf7neaezUGpljjeCH2grLg - 6qIGo6tYV8K4+smhebF47SFc+gcltDIS2JShHqj/e+wwH8Bvf85rMuEibTsNfHSeMK3nnvylS5AJ - /2Tx0dLzcxSgAZerXlWWQgvr4imOhaSRMrA4KkcOXG945qKRNHkQi49jN+UE+BGmQJeiNZMufbPr - vg2Jh/JQHspDeSgP5aE8lIfyjSrvkOu2VrvuK/kN17V97WtffPXV6wu0fOBddM6+jRTkgtDX3Z0S - nhUgTKZxPim0ZOKlQiAaB/JF9boSL6eb51Bvn1z1ta+8+tqffqG9/pro8vJ7PvTSuz/07peWhYmJ - oGiyroxKtfR8LJNRG/2pw5o0/mR7wl1g2R7wFvQgVD+PdjJbJsNrr1G7wbm9BWjf+tRRfyRxvwqS - MYnkm0F8pahh2RH7/egcwZtuCxSDUwU08qwJQFQCPwJU9RSrNs4++cMw2lmN/SaczZgwsGuG7qLS - nr76pVe/8rWvlFP94CsfVNAFgOBGseAKkjChiqULqMSsBcI9XbBurRfafeg1tpbwMWzJuJt/mqpq - OM2P2tqgJBbBAXDjJ4BGDPvwgFCCxWa2k8C08tLa2rqTfK5PIIUo5NoqmpYVRdjS+LfxvoEuatGN - kTVsdkqkwLaSwehmfode3Uk2Dd6ctBSnsLp7kiJvkO+skGzGWOaB5TC8zfkZxRV4BhRCgVYP1rZV - AUg/3Wvuoemg7YFHJ77wpp99pfyUIn+itRgpL2O7gWqzvA+5aV2hF1C1G3V2/lOeWMIMg86bBml9 - Ji4HorjJgpApmKOeTqvJyWy4ckQgbWVaiEkJXPoxB4YIrnd//NnfebWVR9/0kUfvee9NBVcLjgeA - QuUqeO3J0/Pto/N5UIt5NHZhkSnDRl8fAKGxxYAbNrbcpy/VLZsViK5a10KWb10FsrpgJb+foLvF - 5wW/xXhfvGrh6XMpjHq7sGBd27PLG8uZzkutHNdnKVBxPj0Gv+7zoARGYXz1K195+uxZKXj3Sy88 - fnyqlreAaQ9AR1tIgp7K5B7/1ZaQaAz1YFz3FNoujtjryRcgxYH7fVs2IaRjL2u4YCfemMAiv6Fk - rFDqDIO2lREZnfWQB2bCmAesY2dialnHr4Oapk+DjRN7zgQc+mcpuQf3uPHodAaQFaptod2sdjjf - fOp2PNLWcoyREGpBVx92c61ggnDkYRJwXLtmyaQk8iwVUFnTHLjaoFcAoJOgqHN+TegLUEZ3GfID - hFBse9q3oyUai2MTS7+rRzGQ0bW5bl0uAhC0dne3/sEX/vTVrz196aUX3//y8y8/viW0pTJYIdcr - WKjELWiY5nHoa3K0O+ijJ09SEbSqaCJNhIWWEtCVAqBdLq8+e/W180u1QN4hy+ChPJSH8lAeykN5 - KA/lobzd8g4paK21ldbCpeche+3VV3/v1371Z372526++Xu/68dPH/7Yx567tUgTAIhDrez5GgHA - 0o6NNhkg8MYksRPRLICACHaKmYvgXEGndZVf+cynf/HTv/1bn/mVu69+uVze4PNzr3z8u7/3b/zo - j//oJ2p1e0BVqPk1A6asRzI1j8LRoQpHHYfCA3M00ruZqs9DydcwDTjHkNJoqTtEZkPvwIrSZBx2 - U6GjML0zGaPZ1QxAOVyxs184O1xGlLNf/zJlYB0BktStfPXMokSOh3BwMVE/1t3RpikB77BOu93l - qKUp+CsNi9JNuQBW6NMvfOG3fvqf/tzn/vDLL73nlX/w77zy/LvPdAKZ104t2W4l1Bm2AtSwkxv5 - fbIDcTR9w+yM2iK6A69OFXufO6Vk+v5dY3ZiyNl8dot0ePSnUWevEO3CBjXl/8vDygCnIaSA8eSr - mBLidBPXjT8F8QJUIlao4qrSyNz7emtNcgFjAU5AYRTu+d2TmzyAK9lKzqBuKsaGzt6ehmdKJBBO - nlKaAu3d16Eg5HvQJ0oe13FQD+pqFVcGRZKPURgouFCn6+3Rbelg7f7fH89HOF3ns84zLgZs016D - 0uxxSD43srgqTF4MTt90emN2no0e/YszYPcY50spBw+yJALEkDm4mXzgnW8TiBkqomxt9YC2drnc - ffmLP/upn/7tLz554cPf/sN/+ycef/S9JwZILpfLV7/0tT/94pfuGt77Td98un1EE5AFbBdt6RIX - lkM3Ik+BFYCiaHezbBdrX1DDu9cXOAAuRHFMQ589+/Ov/snv/uHvP375lfe/8t23t+egKbsVy1xa - W3aBKdSWh8SgTS2LSKdloVIEENJCcYMNGIJGKKpnUiZqIvL61974vz75yc997k9ubpef+Df/7se/ - /SOFa3iUx0zrGE0eduvyL0qDpeZNHvEdbU7HAdJn3T7YVWL3G0tKaZGInfZv5C8ZIoLSvKu1eaGM - t7yar7UhQ8l+tHhGof1uk7e1m6RUNLyXUVl9R2GMrlh6kw5ZX5CxNdW9t32MaReZyoZKMkONMH1E - KthgTfup3g4h0TjtdzL6wLn3hKGRUNDjfpq2GYXI7/7oQ9uBptPHSVbEnVtDHLzJTKSfJl40/QLA - twQFOTVz3jjQMUsjqDhJoXFDjJZ0dEkBUWaqYIFYevmml8vT15986lOf+szv/cEPfP8nfvD7vvOF - b/kQoxUInj353B/80atPsS4vfvMr3/Li83zmniMpMfUNssbkdhHdhlQCgYiYGIUt8qEBKmBev/Bn - /+yzv/m///Jn9b3f9kPf8R0//B3f/vj2LdD4UB7KQ3koD+WhPJSH8lDeyfLOJUxYdaWFFKpKpPrq - q69++tOf+R9/8iff951/fP7g97znQx/DbQ/X0riaOentdpFOBN+w29MpOo/Cj6huYBLbTREKWpfT - Amlf/vLrP/3Jn/lH//iTv/Tzn3rfi49eul2WF17+/JeenN7zwR/80U/cMqqZWup3U2gcnO9ZLgUs - yMG4QDKk/KYKMECSTtjmP6FSTyeRN+b5ZPXcZ68GdOrW55z6NAAzMzTsir0DUeOoHQHUL+nu9Snu - FJPZX9HNJ03ujAiEaR4G6DdhMvkB+clgHQjRuAkmLNhhBQXGdBhOm7EY2Hk6GvTJn/zJb/6Tf/JT - n/7Mn330W3/kx/7Wv31+Hjcncw+taKvRF/Pw2wpQwNBqt6gRrRgheN71bBZmEzJP4IAkORKIulNi - KlOC342jIrW373QzWclUn38KEugV2EmFti3CrOrsQiALkVU7mp39efAVAQIZfTCB6skuOiaIoomu - RRpUoaXJSQoqddctEyrHHZoAVBpZYtORF9pg00OUJiMcG9pIbpIGXBVKYJLaLW5N9/YQxf047q5x - twkNLxsofBQghOs2XTPS3RVQxiUCe+NE9rDradQfeFSAFAWbO1W2jOHAWKaBu9mhcVzGgku40sAW - UWovWuNNozRRWiz3wau49LSdA95qjoNwfqUdr+R9UtitfKW1VUSoTFt018vl1a/8+c///M/8wm/8 - 8csf/cQ3fez7P/7h9+oJxPLaa1/9lV/59Gd+7TekLH/33/i3zo+fvz3XlLeBwRUExlqxxGILT+rg - JB6jHDfLT0tRx/QPNGZWqFDmgshp/OqrX/q1X//0//FPP/nNH/v+v/l3Xn7llY8+uhkskx3bMGIa - fY2DJvv46zErVpkLn+sCPAOax30zQFipSVsLCvQs2urSmj57/WuvffKTn/x//vmvv/Cu2+/93u/8 - tm//iELVbvuL4xJ5Xvtcw+P1OOesBlqkra5bAT3qdPztf8xM7miEBHZ5pAOOjUBMCyMnd0+ZqDPK - 3tLRRKFQ9EbQqUOdJ0pkTN4L5Vl6z3PaV9ycOV0jRpIjXweN2hvwB7Vslqc9pP2O2qYSzKXv2ztx - vTXtZm6LqLfEW6/Gfa5CmG6SZ6cB0fajhnuxcyPd9x2o2XD78TTOYw04EGQ9z1h0SnGuYhaAoxUD - ixIiFWkPm1Ai3zbSlbKZUNUEfyca8cvFCsi2lATS9PL0yVe//HM//3P/5y/8snD98Ife/60f/qZK - rej12Wtf+uX/+xd+9wtfa7fv+1v/6u3HXnnv+XGa62N+r4OxbOZk7EWCiLigdGyKoNIXvvCFn/u5 - X/zv/vv/5cWP/+Dp7/+97/7Qh3D7+LCPh/JQHspDeSgP5aE8lIfyDSnvXMKEGwJArTW5yrmglHI6 - LdIaoMtyojg2z24xedyNgvxOZdAUO+ghWzwuE/GH3Z4DSFe5u9M7yPpoWV57/fXf+r3f/2e/8It/ - +vk//dYPf+t/8h/+u//Sx155tpyfLI/e87G/RgV3a4M8W0otPIJDBb0bCQufhyMEw140rw35dcmk - 5o6IP3ZoMen3W1s0fdxGq+zqANBIVwYMOyQZK/3S5eF3kmSHdFtRgQasANulYRjxIxr31JNaEjo/ - et8NU7dLrcs4uL3G8e1uvwuICEXitmiJkMWEE29ThusiHqqCSr9LmsbwwiCJ69ECRSuWttSnbX2y - rsT88ssvvXyqWBsYF5IL40rlDCpx3r731M3gRmjsg116ZG7qoiMHm2DhPD/W+DicrzHcaMl9dtPc - TwFrGrFehPDQ+YyzpZuYEJJcgXOEFdJpSjKXXLbwD4r/LIQ1FliZaxtoGM8JUAtMVUUrQKkCFkgD - XZ+8oZflvPhh9gWRqXPY06QgC+hjTxzrwWEW8M698w68XXijlAbWyVG9QcVVIYQFWjttSdpCqH0l - KEB2UN0N7TiWS6l1MFrFahkVJncKwJCCK1uryZOQ0dpdSd3VoO5n9/2P7D2ge/hAnqWDn1W785u2 - dXN1IYjGnkoileweyX5eJEIiu+is48YcBgWMyCaaFnIBVvLduLhYXO1mNUkQaedpw6XQ7B4wqgWv - vvpnr7/+2kdeeOn20bvqCaLCJJ/97O/+t//1f/OHn/+Td73vA43q3/nXf+Kl7/wod28WMVBBRFhP - aIRqyc97+86ysNr5bJ18SxtM5wh3/x4OG20qDK/yq7/6yz/5D/+HX/zVX37Xp//g83++/vv/wX/8 - 4off1emBfX9gnpkgZA4f4gHpWKcMbdB15UWAK7QY/24itEBLI2KiikbAG6BWT+32Ua2lsBbSuixn - EH3tjaen29vC1Ve1cdm0jqyEQ2oDRgNaMATqS3IPbZrW/mzIpuNCRr0dlozsowhOdK9d7ysxCY3o - VUpe8lhaEqS744TUMRFPuuByV93GG9gXl04Pe7OkQwoAUE8CENlk5pjcgam+iGh6HCB5tod62Gke - UaguGvG/PHjaFp20mxudJm6DMmDsOERtiUTbZWQHzpiYSYOM7fCe0uZBILP2IY41rpubzmNRtDaY - WLA4Z7kpDwgNmhjd2bEij9rW4ZntbIO7MFYI/HCXhAgy7u673kSw2NumELuok6pvV2PF+sazJ689 - ffr0jau0cuLlXOqi12fy7Mmf/dHn/rd//FO/8P/+cXv+w7cvvu+52x941+P3B3gDk/OpM3Obj6va - YuNBQtb5RDdRaVjYtqcLCEREhMvl0qQV24Z7KA/loTyUh/JQHspDeSh/lco75LpV1QapVIntDL3d - jq0glFLP5zNvggMh4iZHHGyUEpa1jiN/OuXE9BK3q4C0Qh9BCKiqd195/Y8+9/nPfu7zer79nu/7 - rr/+4z/2PR9/5crn167Ej18+KXjVsygKpNAFaGiMQsOI9Xt+ktk/3JNhLbiFL8PaBwB2ZbqlOhGz - Fgp1pI6lYWv2Qfk3nT1CCjS78Un82KSGz9QrGtBl2C3RCQhkx3Ib+luEiMpE2PLdVdFNU9oOvbsV - AGgzR204NLvBY3kvJmsgvMnw+749y0UHVVI4IQPUE+OqV2jQBqqK0hIMBAXWBZeFVVEv9OgKqKLq - tfKlsAIFVKFk/j3mmAzLcgECWcykZm9BMva6CW8UqNhe3d2ja5MjMdNDzGBDJZTeC23mFh72ZsRG - RJ71jyxTcDGQwnWq8WsxXOkGXXZLFXH2zG1LdiEQAGGshKbgTWxXOJvSd4GIEKmyMkNwvTx57Q9/ - 5zf/4Ld+4/e/ePft3/+3P/Dxv/78uSoAYVElJkZYoH7qV32BaCSQLU6aGwA1meOdUGr3CvnM5I2K - 5h5gAOpBfX0pMsH3BdQ2bLrbhILPdN+1EErFWbAISrPI4YCJgYrqGVE4/JwDpcPSjk8eLG5R/Nnz - kLxI4THchYctPoOWErRHmhJoJBax+gIw1f44cTPbFKPkZqV0oLsMbPuvEts8g/wcGgCg4NTu19aR - 2ZJiF6mmkcRmhi96BZQJSiMMWhUqKMyPbpfTwgDuLu3uirXZ1exycz69773v+eKf/TlUHz16VJeK - 7lkBQHYv4gqsBStwq6iSBI2vHW3mcNZ8ez3c6RcjzMwgUvr6v6TJOXhze3rxheegcnNzfvnd7+G6 - uDBSgTObwyKMplDFkuXLZqWSuW7VFvfy7MmT3//dX//t3/ydP/niV77zEz/wysf+2gc/8BIgXC4W - ri6ySLtAhWkthhSqXAEu0s91ew/u1yNsTmlo94QBsG2tyB1NvRIylP41E2xn41FVcU+RtJXY5cj2 - DAqlHEQuNaceaeNS3ACnG37e29nxaBrV/eY/mbJdqAfox1bMrh815tIm8SR+NiV4dQewyz5CybdF - MXWUafqTAO2TQR0fGR7Hv+0SHTP/PKzRF9x1ma8s3ZS5G3H34YYAEGG/hINWekAoMWRB3weBr4Po - OYe+K4zV3dizRCWadA/KkstAEuyTfaexuGM9K5aqfWS+jzj0KwF5Zh5toNqz7wwmDyVS07TDv8ug - YoeTql6wPhNpjXhVFjCDKzMX3LA+Wvi0LJflfPPci8vpkToOFFgBAi0xyEJYxuWUA/tctsNzfVBV - VRVUnM+2FUCplQoT0YHf9sGR+1AeykN5KA/loTyUh/KNLu9grlusZSmValkAhbS2ro2Iaq21ViSL - 2vRvDsulOzIiNq3BnRkWPJPcn1CoCvQOWEFnbSfWYocKG9avPv3Sn37py1957fH7v+V7fviH3/ct - H3nxm94LunmXYlUsF1QL+wMBuINcoQu4jmC0nlXAvyftv3lMrrmSYyyTue8393KEivBGG54Oqx9Y - SZOnDAqEq1PBHmuxg0snb7EMY5wYxDoiRCSMnh4BFLEw7rkaDUbrIz9Dn7Iweyqo6jBp3PRlFJlC - YABtnumPRixkmKTqvkjzItn18Vsj0e/fkE4cNky5LHh2qoxyekNuXn+GFxoenS6VLbyuqo4Y0jD5 - GgjuqIdQBAWTt7iZ7sBEXHTTndzJyQW/9j3P8CgNIIHdRZLdehOWu4uBhovWbH5PCjKN2m908aC1 - yZ+i3XVbO7qyjT4Na9zII8BKuBJOFrWVXjAy0o4dBa6rEoGqEqvo5bXXv/TP/9mn/tef+p9//lc+ - 95/+5//Vj7/v2z74nneLojVWFYtu7a5bMFlYkvv8wkEi3bE0AeifKILGkS/F0842zPevHlmpi8Gr - 6RLtBQBW4AqLzIVNRg9ShudwcQ+umfQ3hKWBL/Dg4T4zzAXQiE8n97eM5ZF8S4Oxse4GF0556r53 - DYq3d6pRrIatbjXjziXyO2acQcTKomp+W11BPfx96S2nsNLe1SZMrrvVyF6U1H2/373nuLArA9X9 - NcaphVCCUXF22trIiJnZNx40KJcY53N97rnzclqePL17+my9rLAcHh/4wPv+wd//e+99+f13xN/9 - 3d/90ssvQ2MPiS0ynauD/QyohHObg+iDHAhpp2gfHQiUCMZUBM0mbyL1SfrIKx/+0R/5l7/46pc+ - 8l0/9CM/+mPPv/BYnFg1kXtkG0EMEgKsPEf3URBK70r8G0ELdHn6tT//pV/82X/0D/+nX/rM5/69 - /+g/+9duPvBNH3hJoER3ttuwCl+uTdrKWAubs6aeT8sIEUx0JyEOeOBn7N5pX5E9BFVHNpaOCBcX - 45nu/qVRtbc85kNidSLtcR74jfqy0+G3FW+u/67dhxk9xcx2x97QMSZWqL0NqMaQU/PpXdtK1ml4 - U5G0ckNwmKdPdfLqdp63guK6zLHHm+W7Bn/LAaQz8AcJlJK4eItC85Slx5vx9VoxBwo09xgqVAaw - pACaZbGO5tNCKyF5W8U1OEr4baOMHYMZJnj/Mv9oHfthjHTEB32TmAFSnT2VJkk5azaJj4qi2oI3 - Obu62teA1YJ/h3i1UasAQsSqLKHnsNppFiWsrFciEl7uhFZLqlAKlvredz33N77ve/DSx548/ui3 - fvy7Xnz5eQAAh8CyzyVW3BKU4rgWxKDRU+h30MY1DFBABOuqQKm11krM29ROB+vvoTyUh/JQHspD - eSgP5aG80+WdS5iQPYAAWmuXy0Xl/2PvvYLjurYswX3Mdekz4b2jNyIlkhIpiaRISqQoSqLse3r1 - 3lO5rq6ZqumaGBPzMzMxnxNjoqPaTUVXdVX1q3pO3tF77wl6EiAIgPBIA6TPa46bj5sAKXXN57zu - D6xgIABmInHvueece/faa6+tOOeO48hqgOvDJ2WlAvmEhwEAwH5ch0HhalOpH3R88QMCBBQYAOFS - VxK4B0KBQJoAg+oKa1wzpGFIQ3MxSMZ0rOkSCIdqHT+XnuI2JQR0OeeEOc9vqKeilbk/rhBwADVf - vve9gPZJFC7mHp3JDyKouahDzrEeT0U0c38AqpTa3Gdi9fQhyepbn1aqIpgLLdCTU5j/GDTHCswp - UeYuzlMxN0Lz7gg/fOl7oz4XqKhqGSBCfjk/8fmOalQrEACac0gE/5SfYiHnOYu5EGuOI1ByPsSF - J1GuHxYKQHI++p0LtRSA0IFrWClEHWVUGCAAihmAA2BKoFxiv9McAl9zqAC4AvyUMvHp031yGZ/8 - VGVb1Dzjg37wXlXtd/09IkbNn5fvqkHhByan8x8gq5Wu4smYPD0sZP6sv6+6fTKe89eN+BMDOACA - 0tQcyfEDYc2TQA7PR7YSAQNgPu/2/WAO/EXq63UwAkxA04mUhgQHQGKkNAqapjDyGHdLpULFcRQA - QoAxUpKg6nxRqtoCSqq5kQFQc7W2yh/op6VDP7gqCvmTXtGnL8ETTsN/vwTFQaGnpU9ibjQBcQAX - FAag/ukrBPCUU4U/D6vHpAhICoQIAA+AAOj4ycGAooCUzxMT3w30CbXxNEs2TxU+acBOqn8Iz1vx - KoD5BnfqKfJgbrkxkAx850QggKp5EfKEGKIYUTV37Z+kN3xLkzmCdG6uVb/OraCnR2+eVFJPKSK/ - l2vxOe85X1ilQKrvF/6jeep2Pmui5pjepzWdgEApiapCYExAceAeq1SKjlPinGOqG1ZY08HjjCIv - kYhue2XrurUbXEzjzS0kYD4hv5QmEGIAGDCuUrcGzAnnnmi5q1uLv1TR99ZxdUv1jxdjXzusoHoB - 5/JrCsBhruDCFDrSoKmpfmv4pRVrVqNQR6BukW49NU9hjv6da8I1Z+zgH4NvCly9KlVCSVWnjv8t - 87iGCSUEAIEkSgjBy4JlhXSDoZhu1vqck5AVz5OGEcHY0DVTMCaYTUkMYwwIcVU9kOrEqg4FkoD9 - IoT5u/D8y3PfqbkE5LwycW4zeWrE/hM8fc/84ev/6a4C/gJC4KtZn+rAOfcHEMBcIYv84fYITxjE - eeEtevoAABSe/0X51N7yve396TGoLigJSD19P1S+6YKay3Qh9MPTe8r9Y16o/NRSFvP7FHp6ial5 - QW6Vun2KZFdP/s2x3d8bQDR/64Gn3MmfnM6TN/9TG/r3gZ78YlV4O3+Y3x/vp05bVXcWVD3Tp0sm - qtvK96/m/Aeo+f/2d1bsd7OsPkqp6uMJAoFBzWuH59bi088M84c3v7Go7+fGFPyQTH/6RX/6CX8f - nX+qVE8umUTzl3GueuNJdnBuv30yRtXtRQDCaC5FzQGoL8BXEoBpSCAEXCFXKF7dCyWAQPHwj97b - u5ElMlpbTUtYr9oNYQAFiAMoAE0CmVt9ZG67Yv7Woqq3EoV8nzGYvyciBZgQjBDI+XSNriOMGOee - x7gQT8bk+481C1jAAhawgAUsYAEL+M+I3xF1ixHGgCUoqSQITCRHGOu6DggJIRhnSgFXwB0QdomL - PEAxEDQMg1Ciq/nAwX/8RxyDADD9QJJ7XrFSdF1bgQxYZjAYpIGgBiAkIJ8dIBIEZ45b8spcCqQA - M6Qc6RZsN6yD0mhAQxRAAUEAkvrMCZaSgzubKzCXB6iKGhAxFDVCCL5XUw0ASHJQLkJYcSW5IrrG - FVQkL5bLusRBYoYMDWsCMAfFQOmANBAghWJc5PJ5h1cQkeFo0ApYGtEkKKEUQb6jxBzho+bjTwm+ - XsOnXBBXgJiCMhclu8JyOV1w3bCC4Qg1Akqv2svOReMSFIDDgSMgVOqG1BACBA4T5XyuUMZWhATj - ZiBESLXrM1IEFAepOPcciRQxsPbEYcBXs8xHlcL1HMc2TRPrRFUZFISA4CehlCKKg1Q6phhACGlX - 3JJdrLhcMwJmIGAGNTzfAUpwEL5rBeMgbRrx0mEl+QAAIABJREFUdGzMn4uUoARIDwRyANtE10g1 - TsQIAWACBCkkJZfgIQqIAEjpOeWiXawwTFA4HKgP6QDgazH9AlyBwQMQCAgA4Z4olwvlog1Yo4Gw - GQ76AuynOhn5UlYiQUmEPCaK+ZzwXCS5jlEkFKSBmKLYb+A8X1ENkoNifsm5DUrYNq9wYAgjFokZ - hq6QIsA5eIoBFpbBSHWosZKIM4QFCMdlrrQMjrFQgJEvHVXV2FJhT4iyIkDAwGAACCmoEqA4cA5U - U9TwJAhXMdupVIoCCWoRyzKDJGgCQQAKgSS+8IlRYCCKjFGmTISx4YuEmWd7pUIlrygYWiIUiFa5 - VgoSNKU8ACUEd22bebbkjpLgek7FsSsMhABTAcWA/WlR9V1U85NbCUYkAUnBYy7jrg4aNf3poCQU - y5WybWNAETMYMQ2s+0QzekIS+Cyrr6GW4IAslgq0wi0PlCtVMGrVN0r6JBAXAKBcUGUQwB1FdBPp - wEACUdR3MFQAioNiilVcrhl6DIB4GHg1XePH9RyEAiUdUBxx3dIwQgiUEgJJAgQk5xW3XCiVPQGA - 9UjQDFuapoHPzvB5/kgJEMyV1ANNNwAkSM6k4GXbq7hct0K6ZVFj/s1P2EefJfEJG5ASuAcgbcdO - ZQsS61ogHImH5mT56IkbrVuucAl6XJAnPeMUKCk8ggQg3WOYScAIDH/VKwnSA2UDgKs0hg3fIkEA - cC68Ql5VykLwQDhoBi3NCEmFxBP6QygQWFHgmnQkRgAEA8HKc/KsVCwXdcBh3dB0U5oBRfUq/YH8 - M5PVVA0CTDSFiAJAGEupKNVidTWxUNxBBIdMb35kJAcJWDN8Tkd5HFy36M7OlnKgJ0LBWCBQldFi - TAEsAMAgDXAJKFAUOPI8XrTLrmBAwAxauqFpBOtPGVPjOSmbAqRwNbcGSIGhhWkkGIt6ehPTwJtj - OgkokAqYAM8TlKIAVQAeE16p6FaKCrtWkGimjmgQCBUSSNUTQAKAVFgiNDfLfet3LkXFdUp20ea2 - BKm4dCoyX/LClHs6GJj4Xs+OkBWCkYYspHTwy/ClLDuVildRFachEAlTCwhSFvEHVgBICWR+zxYK - MEgFtgu2nfa8KY0og0RMLWZZMUXxfI/Lp7KR88rUJ8k8EAJAAUZSMMdl5ZLtehxhHAxFTNPUNX+H - nKvbUAIAAcJSYa6Ew1Su7LqFfJDiqGUEgmGkaf589rlk5K8dxaracwnMdVnFEw7zBNNDphYyTUMH - hYBz5khhBIQx5wOqOEbYcYnjgUbBokAImssXIimA+Cp15oF0K8pEFlDi3wEFVggUBiFBAVDkcNct - ueVCRUNE08A0kBUwlGHOJ4oAADgH6QKW4NlCKWFZHCMMwDm4paJbymrKi5hEj9ZLnYo5XhT7DyfV - 5Fw1nasU5MvFkiOklBSBpWuxSAj5a0ZKQIABSSnQnCtQxXELZcd1PQrK1GjQsjTTxJRyoQChp708 - FPjNZP0ffL9yDIBAKsAUQEkFxXLFLdnCZVRhzTJiiRjS5m9CWIKUILGfj/M8QAh0TWnEAyWZW8mW - CAfdMK1gkOoYz7PESgBRSgnHcfL5WcaQYYZ1PaAbum4AwiBAceYRohGM1dxkIfPGQEoqhRQo7BuL - SAYImOBFV5YqDnDbwDIWjaJAXKLqcxGWUO1fiUBKwIKD9IA5gCSYIf9BwxVevjxj20WNolDIDBqa - BkY1twogQDJgOnDwbM4Y14mnm4ZvQuwy1y6UKwXAQg/GcCCGNI37OWOCsKTAJUiGQQIoKYEJVZXV - +2NOMWls6MQtJgkIfW4j9UcJXMAKQFegOwzsQqWUmw3oxAzgQIhoOgFkKdA5KCyAAgGFAHMAqZBS - QCTIUsVxyzZ3mI5pxPTMsG8TBVJJpZ50KljAAhawgAUsYAELWMB/Ofhded2CkqAkyGr0IQUhxLIs - hEAppaRybJZhUEhNl7PTjpOSMtfQkKip7a5JdFJq+JInQAAglfQIliCwW+GlPJvNpiaT4/nCrJKy - tqamsaEhUddsBuKEACFYIRCI2255ampydGpkZnZGeIKXeS45O/ZohNoRPRCsa2o3QhbV5jrvKFkq - lWftUqFcGX48WiyWowHaFLea4mYs1qgHKNHDuMrrAAAgyYRTcsq242ClAuF4bZ7Z0/mZiclJXUJd - KNbT1hqN6YAFcAYKA4hc3i6WSqVyeXjkcbE0SzTZ2t7c0NAYi8cpNSilQEFKgLlOZ0gBRgpAKamQ - 9OVGAhBXrJxzVKbMZirMnZ2dHRsxGQtFoo0tbfGaOi1eq0IGf0LdKlCqMDPrFl1BtWBdvbIs1y6W - M1O5qZHR8UkrWhetb6lrbI3GawJBrAGAQsCVcJ30bGG2ZJuhWLimLhj2a/RAASDpB0FS2nZyJj+R - mmloaYvHNMuqVg4KRTACUq0oFEgy6jqAwGFopmBPpnOp9MxsNheJxmvq6+oaGyPxWNxEGpIgmHQq - wq3YxVyGaSjaiuO11AQCfnMlCUqAZ9uOPVlUZRKNRkIhgwQ1pBEEQLAkIJWQXCAPa+C4kMmn08mR - qUyxYIuAVddS6zXVtFoBIAG/LRYiwBHYUjHmELeC8rnK9NTU9PQUpnoo0VDb0hGJJ2IBSo05WaoS - IIVUzBaq6Ir8TGZ89HGlVEDcC+q0pbEh1thhxeqVRnTic6IASoJioFyQqszYVLGcS86WMnlpc0JE - e3t9IhGMmEHMkJu3k+VKuLUNYhZWQBFgKYB7WDhuaXY0XbQaAMXqkQRdB4UB+QMiGQiZzpYmy1i3 - QvVRiwYBuKDAQXngulzotjBTuUoxO1uYnU1NTwrMQtFAbU1NfaShNlgXNA1lgQBgIIniFHl2PpPJ - l0tuJVbTEbFAuW5xdio1Mz2RGscaikWa21uXhoIJ3QINgwIMCnvczczMjo8Mp5NTdjmvJOSLuYnk - dHw4bEoR07V4JBQKWVQHjEEpJZX0NWsCFDBOEAXXy2ezGVb2LK2ppVXYrlNynLIzPjWVnskQwM01 - 9W0NTbHaOEQ0iZEEJH3+WAiQAkAAF3mvPF2cGZ8cU9mCxRgr2UZNc9uKNTIQJcGgbgGvUrcesLyd - tyemWCTaEUgYyhDIlIAQAUWQAu64pWx+ZrpQ1hP1S/VAWGDg1JeyugAcnJKosOmyV0GGbtHaOmoa - GAMIwaXHOeez+Zl0JjWZTBZtj+hmc0NtS128PhY0AxFOqCA+0QtIMOZ66Zydd6Gmts5AQjmlXHZ2 - Op2ZyZfiNXWNLa31zfVP+RfOUdY+/a2UlODalXIuI7mXnMnfHxgCakZrGzoXLwtH41EDNArINy/l - bjo5mcyjQKLHjMbDwbkskRTc8wBzibTp6VzZ1Q2qGusjIQsBkiA85ZVKzM2UCpzWRBNhBWC7pdJM - OjsxUZmZYa7T0Fzf0NRQU9+KjQgQUFUKkivFvLJghZJdcMPBYDgccbmdKqQnZienkhMWJvXReDQa - D9Y2WnWNCj9xYiUY6VTzW0ciTLgEoYBiChK45wHzMtOzZSB1nT1gEgQASgJnQklENMZUqZwtZycr - +WQ6545NF8xQfXNzV0N9RyRsBE0wdQLYVJIhLDVwAGSlpIoz3szsbDIzXXHKWKOJutpYbSIRiUSD - lqnTeVaj2gsTMCEa0TDiCEACc+zibDJXwFHNrI9wBISAgRSAkhW7ki2XCjaJhGMt9UWHFTKp9MRo - NjMN2KmtDcZrE6GYFojUCwUE+RSh9EWPSiEAIIRiBCCF4OXZ2YmJsZH0dNItMiVkMjP5eKI/YArs - uHWBcF1jgBpcQJ6LikaojoPAieCqbFcKTnFiejI1m3ZmcsubWttjNdQy9foaCIYUgFSAJBi+EbUA - YKLCKjmbpmZT6WRfPj9k6Thk1cbDjQ2NHVa0hli6woCf0iArCQhD1Xjdv40RrITggknp5XKF9Mzs - 9HS6UCxjQpuaW2pq6xLxaDgcJFjh6j7GAYBLlXcqhZKXLznjk+mZyYl40GiqiTU0NCVq661gBOl+ - QgAIgJQCSw6YSs6yJTc9lSrO5O1cqeKW442JRFNtY31dkGiiYo9MpI2adqsuqht+1QVXgDIz+WTG - DQVC9XEtEaWgpMJKIcS5IIoBeDKdmirnsyJa194eDgNGEoCDJCAxMMWkV5TudHZmZjqTmkjqWAtY - WjRiNTTWBuoIDgf5HHurBAPPQcDcmekpG4dbTWaFlQf5zHRmcnR2akRXblM8VNux1KjrVGFLBwAJ - GCTxszIgABHhsYrtlSru2GQqnS0wjxsajUUjnW2t0XDANHQ8J3lXnHEEUspSxZ5KZyam07lcXkMq - FrTq6+piNXXhaEwhQjVd1+cMomFO9A1+FkQqzhHBAMjzOBO2y3jZ8aaTqWwyUymUdUTCsUjX4u5Q - NAQhQ+m6ACQBSSGAKxAyl04DlypoaTXRnGDZZGZyaAQ5IhqNtXd2xWtqTYOABODC447Ly8VKdmYm - NzaWqlR4LFobiSRisbqaurgVpFgTtucYOsZYU6q60/sGXAopoZSUEiRohAjXdisFz/Nmy14qX5lO - z0inGNCgq6M91NCJI/XUAgyAhaR+E1aFpFTCdUQ5W8nPchSobV7kKlL2irPF6ZGJgZnMtGXSpsba - lnoVD7VbhPo6VwYKcQ+IlPnsaKqIY6042hILELtoVzLJdHI8MzMNiMfqmhJNnVa8UdMNQweEQEME - lATBCEilJCgQ8+Y5/sOy50GpPM3IFO0I1hHTmPMGVxKUA1I4DLK2O5P3kqMT48PD8XAwUavXN1qx - mqAVbqBGjccwEpJSba6wQXLMXcZyBWcqOZNNzTglO2hYjXHS0xZ1XAf5rcrg6bzgAhawgAUsYAEL - WMAC/kvB74i6ZYy5ytV1gxBKEEJS44wXiwXOOSFESnn6zJmHt3qvnz9LeFnIWSlnoxFrxYpN2155 - d/36rc3N0WrtIwKiJABLTs+eOdl79sz1+323SpWcJypIgUE1yzA7O5d99NEfrFq9vqE9IABN5tL3 - b97+zS9+OfTgYSpLZtJczmhfzH5x8ch3EdM1IuE//vN/sWbjpkQsKkAxu5JKpz/57NPL165MZ2Zs - xjwpqXKDxKsPk5bmnm2v/mjDxj3NbVFJgCugSFhITU2O/5//+/+hcGTJsg3PrHvh6t2b+08eycxk - iMtaEnX/43/737ywYUUwYQJFXsmdms78+rdf9d7oTaXTZbskla3pStNRY2PLM2ue3b37rWXL1zJG - AAEhoM3VMEPV6BcBRrLCXC8vZeXevasnLt88feX2VN7WXFd3KrrrKEC6FVyyfOVz23Zs3LUn0hBV - AAYokBxcdvjgwbu994oef+ujj2gscfTQvv5bVzKjQ4wLSS0jnGho7njn/R9v2bYjHgaCwC3Zt25e - 33fo2I3b91c9t2Hb7r0bt6zxJGgCdAUWUQASKoXTx44dOnv10p2Btz74aPPGDcuXdFk6VQQpBA6A - JpGJMQIOwIGy7ODD09f6frvv5FjWrZTLjm0TQoLhcEtH++t73ti9dVNzPEAoFp5z4eypcyeP3RyZ - 3fWTP122aafRHpEKLCQ1hABUeXri7I3+L45fzZPoxueff3XLxiUdjQAIBCCBNaJRKiRiFRcOHj54 - 5/xvH9y7ni0xR1INR+sjHasWb/jgwx+1LW0J1CKgSscMQ8XjlSuX+44dvHL31sN0Jul5FYWJMkJG - tHbXG3u2v7R+wzPLTCpBCXBt0Eg+V7o9MPrJV/tu9V7nri2Zi4VngAwYWlPX8u173l+7ccvi7oQC - 4AIoZ6AYgOsWK6eu3tp38drNS9e8fAm5HCMeCtE1a5dt37S1KVb/8HbfNydO/ewv/mLF5s3xEHU5 - Q5Jbhna/9/qFU0c/P3Bi1eY9z21/Z8vLzyIMUgrkOphKsEvDo8m/+eLY0WsPFy9f9cFbu17fvEbT - NLDz4JTANCql0s3hx7/87NtHffdL2axrlwR4RIdg0Fq9aO2OTa9u3by9tjPCAQAwQQiA3b5x6fCp - R2cuT/yzP/3f1qzoOH/ywJXzxweG+hQWTLhIBULBunff+ckbb++ub45jHTxPDg3279v36ZFvfuvM - pkopKFfgq6+++PpsX8wMBpR8bunSna9ue3HjhqamOiDgMU8ipeuUg1SgTEMDDuXk5OFjx07cuCYj - wX/+Z38+MTTWe+n6hfMXZ3M5lzGiIBEML+nofH3P7uUvPtfY2Y4Ac8Ecm5lUB42Ay+4/uH/0/Jn9 - J4/P5mZoqRDkrq4UM2MQqulavWHDll2vv7vN50CFdGYmBs6fHfz7vz/c3f3C89vXv/7eazqiVVkV - gmJ+5uzR/VfPHh8c5y+88uNnN7276LkIp8CBY+AAdunRrYNne789e7N+ybqff/zjaCwcMEAKjhAe - HB46dPjQ1atXxifGBKAK4xyQqeGmeHjdqmXv/+injV3LjViICUDco17Ftu1vvz1w5Ny1des3LGlv - MRT77JPfDI2Ne0IFY/F33n3/D/74n2lPPJjnSpAxAoQxQqlU6t6t6ycO7R8eHJhIzRZsD2mWHogE - YzWv7Nj56ubn161aYhLF7PL0xNAnv/3FiYsPl6zdsX3Px9s2dzAJinPEbR0rz62MTqb+8t/+4+Px - YmtTzT//o5+sWdmjWwoozqfSV24PffrdORrp+NnHH09Oj9y923v++DF7ZhZKZaR4MGR1L+7Y+uqe - 5zbubO1pBgRMcYVcC+FTp06dPniRVdQr27evWbv2s68/v3r70uDkoOeVdQURzWhtaX/mpa0v7dzT - 2r00bmGlQCNACOGgQEkpJRMCEY3ganHz1PTUtXOXL5695FDzx3/8p21LVxhh3bErJhZE0yXnl89f - PH5k351bl4u5lMtxxQOih0PB2li0/pUtu955b3dDY8S0AgAVKcqCl+wyHDl08dzZ2zdu3HRZRUhX - YUxNq3vJkt07X3tpw7pFHa3ITzYAQXrV1hwAYVKtSp4cG7125dD+YyfqF2/ZuOv3l65aHgiBpzhR - 4kZv78HP99klb+mG9dv3vvX5vm+vnj8z3HdPemWEbV3nXT2da9a9vf31P6xvSpghkAIwFgAEIYSh - So9igFKpeO/upQMHPjl75HQpUyxlCiWhPv/qky/OnYxrMd2jL6zYsPON9Zu2Lo4nlBXASgpWUbbJ - p6ZT6VMnDxw7+Gh4KD2b0RyvhtDuWE3n0qWv/ujDZS9u0gnFCIj/UCAlMKlcceLkie+OXXrw8L7r - TGCYVdzFygrqie5Fq17asXPra29EEkGNgpSgYcAAGCMppZQcpKAEAcagAGGUmU5f771x+PCxO3fv - l22XCwWI6LrZ2t7xwvPrP/7492pr4lgqqJSACCAwnUp/dej4/oPHcnmnYkvEKgZwi8hoNPHmm++8 - vHnr8rUrGAdGQCO+s4IEpAaHh4+dufzprz9RNkMud5itR4zm7pYtL25a1bPIyxX+9h8+Xbtl98ad - 73b2NCYCgCVLZ2a+/PLEgSNXEvHE26+/9O6eLaZFEcYIgBICTEI6+f/863915s5UWWv8r/6H/2XT - xuWhQFUQDkKCx+/3P/jNvi9v99/PTKexAGAKASNYdnW2r3jhlZ3v/SxQVxOnYBqAKBEV7+Gd3tNH - 9l19lNrz8z+T0dap0aGj332ZHBvCbkHj5QBmek372x//+TNb9ixpD3MJpGoS4HNq8ubNW+cuXjl9 - 9kIqky2WXaWUZZqhYDAWCb/95u4d27Y0NzZgSkBJQnEmM3P3ft8vf/PbweGRfLnCmEek0LGyTGPZ - itUvbX5l67btTc2NCqBSURhB0PK9aJEUHIMEBEij3LUdhzmud+zEyXMXLj3of+RxgbgUtqcBxhqi - prZu47oXXn1l7eYXdSOqACGEAUlWKh349ruHD/rKBHb+6INH6el9X3ydn07Jsq1r5s8+/oOXN2/t - 6e7WCPYcdyo19cU3n168em5yKikFlYJKgSnRG+pbNryw/pXXXlq1dplhWQRrfhkWJYAAlAShALBC - GFOMpVTlcmmw/27vpfOnz5weTWYd0B0mECtT4ViW0bzk2bVbdm3aunNlZ8KkGASXXEjACOFsdvbS - icPXL55lOPzRH/z5yHT++u0LV2+dm8qMOOUcJTIcNLqa13z4zp+sW729vluTFDAQneoA9vXLF/7q - H7+s6Vm7bNPOl9dv+PqX//HWhTNT4489VkaIISNY09y17qXtP/v4D8PRsK4DVUARBoooAYwxaLoV - DJFqV0MEGEE288Vvvj720E5ZS/70v/ufYz1zOSMkQXr5manTlwe+OnR1aGS2OJOTNtOxpEZRN8tr - 1i97ftPrW3a8awXqglQDBcAUIKGoTM8kr924+4t//HRiKuOVHaKQBqQ2As+tau9sDns8hDEmBP8T - bcrm8P/5wgIWsIAFLGABC1jAAv5/xu+IukUIYYQRICml4miefaCEptPpS5cujSSLpVQSpEokapSC - fKEwMNCfnrYreWygKCXr65qDAkCTEiuefDx48XL/518eHhmdVeB0dXcFAkRJVcznhwaHJy9fplai - xOnLkWeCYUkUCWlGczRatox8lkmkPENXoYBeE7KCPBQK6ESZ3AmIcLlY6bvbd+jEkSNnToylJ2Lx - RENzUzAUIaKSGe8fGx/ufzBcLlnlfODVN94M11EUAAVIce4W8gP37uZtbTrlDk3OPBwdHHw03NzS - BNip2BXPcwRnIIgoF+/dHTl28uqRI6dz+VxTa3NjS5NpKkLZ48cDw0OPRkfHg4EIIoHunpVEA79S - da7fr5oX2SGMAVS5VLh759bJk8enC0yLNXY0NtZqBBfyyUym/9FwemZ2NF+ERN2yF7d0JYyqYYKU - mVSq/37f4+kUDkdQOHLh/GkTeCieMDRjZHxqvL+vr/9RIBzXArGXN66rDQJGWMM0PTXRe/lCvlhq - XbzimRfXAAYN+z3mBQhPlvP3b9+8cPnq5YHpl97CxLA0JKm0GQn49eAKQDAewgq8CmQnbp89fPTk - zcvXBiNdazp7lloEgDlFxx0fnxibmMrlC3UhSjQlBRsffXzn1vWrA6lntu1td7lvyYmQ30JEMruU - mp68fqM3T2paWlpt1wMEwCVwJCXFioLwkuP9X37225G+0zNjD8LBSEPYcrlMT+YGHtxMD00aOtr8 - 5q6VL67QdU0D7PFc/51bZ05cPna01zQS9XXNiZogpiRdYtP5yujY+ORUK1qzDAAAFCDITk5cuv3w - q8OnL9+455SLyxb3BAxqIkmFN9j/oPf69TwjLrbCwa31CZNi3yBTQmryxqPxb/cfPn6jLz0+sbil - vbml3jLQxMTQ3dt3yqlcU7R+rH/44rXel/e+0/acnQiFMSZIIZCyXCyMjwxfungdEp2NK1+u+oVW - 2+RIEMyulAcePbp29bqggdlcQQIghABjkMxL5S7eHPrqVO/J89c1kN1tLUGzBQh3WXl0ZOT2jRvF - qSIFc7VaV9NVh4jPSvFCNvlooP/8ubtt3SdHh5rOnTzI7ZlQOBKOWhPTY+Oj45nU/XAwFImbW197 - NZKISIkptULBeCJWm6tkPcooAdOytEg4GooElQpHw5Zl0mpJNiCEgPiOlhiAAviODNmp8eG7d26n - hKxrap8cHhsffMwZr6utBUyy6dTYyNDkoz7XK9m6ermxPmCaBkYaEiDdQiY7MDh84MiRU5cv3ux7 - 0NHR1tbe0Rq27Hz2cTr/YODeeDafKrguCj/7/PqVrYAwwgTKpcKDOzdnpj0jRl7cuTkciiKQBKRU - bjGXvX3t+tWzFx5OKRlYHO18uYdGAIAApyBAyamxsRMnTp251r860ERMC3GOHEEA3b4/cOzMxa++ - 21cu5kNBa8mSJQyg5HnlfHZkZLCQmiB6YPMuWPLshoAOGGMMUnBvZGT44uXLLpMj/VHiVR486Eea - HqurK9mOY5eR5EhSSQAjvcpb+OaN0gMmHt2/dfb0yf2Hj8bjiUR9c3dtHWBaqjiTU9OZieHUVAtf - vlhRjQlZrhQHH/VdvXaNG63PbCoo8DsXYkwIIZI7PJ/P3blz9+FwttTTVqnYUgrlOoh4zC3nspmb - t24WxUhdU/OjR/emkyOuY8eiUTMUccv54eGH44/7Z2fLoNUa0eZwAghBvr33TDr14Obt9HS+XHH6 - h0dOnjuXK2eCwWBLc72Ty81MTF6bnJ4sOLMM794bsrrbghpgDEKISrkihQLfRgaDBHCFCgBhLhsf - G7l987qrB98oFDyPCakRAoDBLRdu3X5w4sDBk6dPcslq65o6GhoxwqVyZTZTun/nGq84gN3X3ny9 - tbshSIJU2fnpsZu3h44ePn67b1opaO/siEYCHEFyJlu23b6Hj1YsWewbsGLl+2GAoqD8y6AkSATA - 7Uppenry+rWrXaJu6Qt5UXX3VAAql53tv3c/mylMFQuzjB09f2Z2aiwUDgf1cLmUHB29l8lMzuSD - ZmTFps27Y0EAoXQkAaSUoDBFAH4hOCgIWuF4pC4ejXr5HEEcYzCtsB5tTQQj1GbhiGaYRAjJAYNC - GiUg3dxs5cSJE64lbty9GY6FGxsbDdebefjw7vDg4PBguLWV1jV1LV4UmHNoAcYLU5nLV3oPHD17 - /vp9atHmhtqm+iYMPD/jzCSLV65cqQgMZmTDphcb6sIamfMRUn4pOUJoTpkMqpjN3b9794vPP+97 - +MhjsmfRUtMKSsClciVfKA4ODxfLlWgsWqV6y7nHqbEDpy8cOHnuzr3+np4VTU3NEYuAV0qODQ4N - Pjp0cH+lVGEI13d0GDFLAiAloVKaKVQOHz329ZEz56/0ruxe3NXQHAgbqUJybGJ03/5UX2OzKpXP - nzkZbu5ZsakA0IgxICWEYCOjY5cuX6urbVi/ZjHCtHqzRaD8snzBhh729V59WDbbc4WyQuCbnyoJ - yOE3Ll0/cO7EwUMHhUFqI/GOplYdiGMXM6nJgYGHo1nXs2qf2/zausX1PvUmOMtnZx4+uHf20gOz - ZVlBr0+ODuaSacswa+IWyyfTIw8H7o/UMMrdAAAgAElEQVRCrK2o1zc1bjckaFW5pWKF3OjE9KEj - R4+fudj/8FFzU3NbS2MkEq3YbmZm9sq13kQiXldTk4hGAgETuFMoFM6fv3zg6MmzFy7rptna1haN - RChwt1wcGx25detWeiZLNXPDC5s6OpvmNZdCAJaSPLEBAYKRx5zpZPLatavXrl0FotU3NNdF4hpg - u1CaSI5fv34lW8yUiYx2tDYuXhQACyMCANzl44/Hbt+8PVkqesHg40K299atjrr6gKlzzj3PYcwj - oFi5NNzXf+TUsQNHjw5Njzc2NrU3tkatiFfx0sl0dmr8yIEpDxyHqNVrVgcxAQANzXVWlIAwMIQA - aRiASzYzO3vr1q3Dhw9lszOaGa2pbwGqGcolrHzz5o3HFy+O5DgNNyTM5yItUVBKSikBEQqubY8M - D968fiVdwtGGnqGpwtDYfYbsWE2c1IRKufTkxOOR/lnq1ahi9OX4RpIARRABBJ6bmhy/0XvdTDp9 - M7LvXt/NM6dZNt3Y1IQRz+WSA4/HRifTxYpXU1v34pZt7d2NTGCKfMNb4bvdUEoBlJAcJAelwPWG - BoeuXZtKmoUPs3nOo0oHAADXLcymTp068d2JGyfOP4jVdbc1t7TWNnrlwmz+0VQ6ffnSpdkCJlbz - 2me3xBti4HtvCVF2KhcuXN5/6Ni5c+etYLS5vqmptt4t25XC+Lnz5x/FaTHQVCqXY0oJIX7YqWyB - tF3AAhawgAUsYAEL+M+N31mbMs0vqWScS1dpBiGE6LphmMbA0NDA7NdlbmxYtfL9H/9kSVeThMzj - kd7PPv2H/ruTh77d1xDvCsVj8eY1TAGSQufenWtXDnx3+sChkyueeWnna9s/+vHe+vqIZPzx8OMv - v/hy/5GzX3z3LQRrmjrji7prooHImiXLW3/6s/6lV767NDh+7LZV3/z81ue2vbSysyUQ0/W22voa - nWgAuYnU2ePn/u//6y9pzOxZs3T3njfWrV3X3tJmIPfymQNnj351eP+FE0eO55J6W/uSpeuXxIIU - A1aSI+YmAsHUTP7Ovb5Ldx4GoqHFi5e9//67yLUz4+P19XWUIOBucnri9KmT/+7f/iOTxgsvvvCH - f/xHnV2t0ZgOqnz8+IFDh47v23fkcPQI0aPtnSt0CyECjAMlfjsYpZREUgECZBATzEzanpocT05P - bXhl95qXXnt51YqWoMVTyfv9/b/65LNL13qPHj0W7OgRdU3dL6wCAEAKEFiGiRWaSWW+/eY7Hgwg - EB+9+9aL69aYun769NnTp89eu3X/1MlTQossW7osZgY101q8qKetqUnHcmRoYGx0LF+CcAwogI7A - dy1witmJkeFUJgtWvLF7ZUtHm2UI8MqKU0F1vy+Q7TDdAL1cmBl+cOHwl+cvDOk1q3a89eF7u15p - iQXAzvc/Hv30231WIOQ4rmAciALJmVPmrl0twaU6QaDNjQYoToBjpFzPczWBNQMRIhVwJqhAUmgg - kWLORP/Nf/Uv+xJh+dyq1p/87EfNzY22Xb56/vrpA2cf3uz75Fe/oHWJ1nUrogEIAHKKmQunD50+ - 2TvyuPwnf/LRqzs2rVjeRU3aP5o8f+P+RDLteYxSWu2mpZH79+5+9+2h//DLz3tWPLt9+/Yfv/9u - Q008omPC7E9//ctP9504fOiwHm1qamwIrV8bCQJoBIQcHu4/euzcd/v3J5mxrKvrg/fe37RmTTxq - HT924OzZY6dOnjC5Jgqup6BYKpUdFyCsYUyBCLesUWxoREkQUjFA1c53CCENg+KApKlTQigQTbOC - iOpVj0WNAoZH/fe//urA33xxPNLQ8fbrr/38xx+2NtUSTeUK6W+++urMoQsH9x80adgxYEf765iA - Xy9NEcegOBNHj52+fhnNTj/68N3Xtu3YHK8J3bx19dzpS2dOnb9x4yI28JJVK4kV1Clpbel84/W3 - VnQ0Xjr+Te+5Q9lRePnllxe9uHdZZ09QycZQqKWhNh4L+6W5mq6hKk9CAUBJDwkPKZtgBkqOjU79 - 3d/9Utp2Z2PTxz//uGfxEkzpvVs3j3339dWzpw7u/9pqqutYs7azuzNKKDEI2OWHA31f7Tv0yVff - pnO5ps6Od/a+//pLG57taX3cf//0tRvfHDt5/cHQsaNHbg9k/vv/6X/tqV0Ut2iiJtbSXF8Xj9j5 - 2dGRkWy+iGqiVCMUhGR2IZd9PDAwOzVTLpoDw6PPZIuS+K0GBQYOgs9MTd26davMqBaviSRqiSyA - XQZMjx058tsDx27evLFz+7bdr766a89u0LRsuTTxeOizX/zd9XOn/uEX/0DCTYn2VW3NFqUUaxgU - F1JUbOfxyNjgvTuqVFiyuHv9pk3LVq968PDhou4uAgIkkRgBIhIZRHkIBCgBjHvl0u2rF86fPfNw - cPjP/sXet/a+u2LlKi7kyMjwqePHNEqE50gFHBOFKcKIYIFAAcISqATQAAjFGjUISA+kEBwhTKih - 6aZpWhhjxy5buoOA6zpRSg2NjH32xZeTE4NtrTW/9967q7p6olRPT459+ptfXDp34eC+g3Vtq2s6 - 1i2xmqJhTIECcJ1gTaH05PSBA4etK9cC8dCGjWu3bHt+cU/b1NDwhRMnDuw/fPXqtUfpUvuSNS11 - TcEEBQSMucVCQQpFCMUESwwcQDpeQKca1TAowWyONE3TALBQMmAaIFgqOfn1Z5+cPH42mcu98d77 - 23btfmH9Gp3IiZHBq1dv/eZXX9y7c3VwYqS+e5HZ2GCGsY5JdnTo6DdfnD97S48v+vFPPnpt2+bu - rhYmVe+d+3ceDk6OPOZcIUwBADACqSRnklb713PBEVMaYRgrjSDX4UIIqhl+nyUMGJCiGOmYVAql - KxevnLtzRwXM9c+seO/NXbURc3z0/uFDn1671nvn9m0teLK5Y2N3a7zajl5KxgXSiMIIAQipDN1Y - sXx1LBxc2dV9+NtPblw8ky/glzdvW7p576qudihmWyOBlo44NT3HrTCGDE1DwDLp1Lfffqc3hBpa - G996a+/S5Ut0u3zuqy9uHz9269HghXPn9aauusYWI2oBAgDObHt4YPhv//rvewceq1B899vvbH15 - 1erlLQGTjgxOXzrX+7f/8TeXLl/OM5VoaIqEV+th39MHpJCEYEyrruS+3e34xNjlK5e//OKLFStX - 79q58yc//TieqBMKppLpI0ePFwpZT0gmpaVhMM382MzlS1f++j/8zUTB6e5e8Ud//CerV2+oi1rS - yV06c/TLz768cun6THLWA/zmRx81JyyhAJQoZmfu3Hv46Rdfnu3ti8Zqt+7YueulLR1dzdfvXz11 - 8djh776+de4MtaHAQAqOMdYNIBiQlIahIYQcTzieBKwZgYBkFSWlwlhwQQFApwbBlBCMqWEENR04 - cAJCcOHkyt9+/e2Xxw88zk69/sHeN3bs3PjshqgVLBVmb9+8/utf//LUzfsT5V+ReOtzS+sBAKSQ - glOMQLDZTOH4ydPTMoLc0o/f2vn8qsUdtcHsxKOLx74b3n/u2PETPLFoy+aX6kNawEBKCaREanri - xPET33z33b3Bifb2jj1vvrl96+aOjq5Hw2PnLl757NNPxsYnb9+5+/y6NQGDiHJhuP/BN199/euv - DzS3d736yra33nxzUU+njtVMaurQgQOHj548cuQo0SxCzY6OJr+QXyngDDBISv2OWAKUQjoFkMnk - dCqVNE1z95631zy7blF7Z8S0pkbHzl06O5kaHR0dYmfPLHlhA66pbUgEMCJVVbIE7rBkMv31N/vc - kBVL1OzctbMtHisWSosXdYfDQUzwTCbde+n8X//VX6Vcu3XVip98/Psb1zzXXtcgHffWtd6TR4/9 - w6efVhAvabi+q6c5YZkIqJpzyPVTGgj7nceYELPZ7OCjR/1993e//vraTa90rXyWGlaICMrLf/mX - //LrUzcunD7btOiZ7ob44ua13+skIITnVJxycXQk+/nnX+a5Ga3X9374+qJlTWGLjg72Hd7/9elD - d77+4psY6e5e3VNr1uIwUqC4bQunIhgbn5i8PHJsXz63pL1pz+ZNv/ejdygRAwN3v9l3oPdOX9+9 - 23/z7/8qWtfU2NVIAVmAQEnOmRTcd6lQUgjOFPcQCABACAshbNu1bYexqNIBFBQLhcGBgc8++eRM - 73AZ17z/6qtvv/bG86uXZ1O5/kcXLl8/+NlXvzx37gKDunhiUU9djCgAjJQnksnUgf2Hv/5uv26G - Njy/YfuWbc8sXzWTTN/rPb3/q19cuJgcdQaFYQopuODqn/JMQE99XcACFrCABSxgAQtYwO8Yvyuv - WyUlkgiwpmkYY0BCCOF5nm07xaIwwuK9997b+fLLL6xeFbUQ4MyKlXVKFo8aV88dv3P72o3Gzs5V - G9eAAUQIZzZz7cK5O7dutrS2bd+1e/cb25pbWw2NKaGWLV+2+403wIz/4rff3hkYOHvhclvLzppw - EIVUuKnR6GwbSAkjOCDi8YZF3YuefaarxQoxVoupDggq9pXT56+evc492LVz9+a3t23atKkmHAvr - AR3sXTtf62gwLRK9fnliamTy8L7DKELWNi7FAJSSIKXKdQrZXF5BtKl9x2s733znza7ONioZL5fa - GmKBIADL3rh25fat25yJV3bseG33zvXPv2AFNI06ILXXXt0WsMKZdH58YuLcuQvbd+5t1OotE1wG - UDU99E0qq0CU1NYmPvjg3XVbd8bbllkNnc2mEfIck+JINMolGIFQ8sTpZCYznclUmylLIZnHXM+t - OOVSOVZbu2b9+g8/fH9Zd0djIqIhaGxoam1qSqb/fSqbHRgcLtmex4IaxqFYbMmi7tVLF93sHx4f - Hx8YzixdURvQwHVcAzkiNzM1MjQ9MYo1c9nyjdGWHt0CkBxYSSldUJ0B6AjMUBCkw+xiOT3mzYxG - Le2l1/c888LW5va6oIRQAJmRaLSh2Ygm2mJGwOAANkEqYGqmjjECRIgnoMgAE9ARgBTgOpK5GsW6 - rhPdQlTjQgkJVDeBBzAyPYeB9MxQsL2n/b13du145YVFnc0Uc+6UeprbomAcceW9oakHDx9dvTO1 - YnWTEXWFkxsbvFvKZ+vrO9eseX7Z0lWJuMGk197eEahtc4SsDWCEQLkekg4o7+rlS3fu3mlqbnl9 - z5t7du5YvmJ5UCcmcI3be17fpczE5N/+pv/hwOkz51atWBEK6op7qjh79861c+dOMyE2bdn2k71v - v7hmdVM4jJT79ttvdXc3X27tPnvwZDpTDISjwUBIM00FwAE0JamuMc8RzAmFIBAKEd10OHANFCjw - +6t4DmeeAgBMXQEVl1cYWBoQUFDKX710/tHAw3Ak+tOPf/+N7VtXrVoWMDDGrKYm+N47e0Momh0v - Dg4MNd69//LenUSXAhgFFwOjGFHNyOXtRZ1df/Ff/8HaVe0tbfVWgHR0Nnd1dFVKxYd9A6NjQ/lC - vllhXTeZ49XXNodXrEkN3hm8FQZVbGhsXLx06TPLVwaECIGyCAIlwLE5UkIjUiMEESYFgDQwBqJM - KoIWBSU9JgFpH37w9hvbty1futQMhiSgRR3tMR0ihF3u7b1/997hw8c/+PCDuvowSJeX83fv3d5/ - +LBA5I239v7k5x93trd2xC0tgBcvWxpsqO95ZsW/+7tfnboyMjoy3t8//HiJkVgMRsCor4t3tDQ+ - vJfOpFKFkhOUfgMxUcrPTE2MTo6OyQromjk8Otk3MjGRWltfDwa4UlV4MplLZ4SQnYuXti1dEYyi - kBeA9OTY+NS1q1emMpk1G57f+977O15+qb6xwQNlJaKNdXGWn4lp8OV3RwcHhweHRuLRZYbuGq4t - BUMIuBAjo+PttbF1z2/8vY8+7F62NBCNrHnuuWg4aGlEKSEUlQgUwQQboFxQDLyKnUv33b6eTk4/ - u+755zZtWbpyjRGwdCUXd3c1xt4hSGhWBFPKABTCUgpKQNc1IZXLlMvB5yQl94iwpWKaRgFhKbEQ - inNBMNaDAcBMKa9SKQopedkZGh756U8+2LF949qlS2rMQACwXLooREVHXfg3Xx+5fq032HK6c8VH - EpADjhSeYlxTCAsIRcPdy1e9/aN3Vj/T09wSC5m4u7Gxp6nRsd3Dl26PJtP3+wYWd3Qmwj0aBoqx - aVgEEYQENTSJlAIwLYMgBQBKcp0iMDRCCKE6pUQByyQnb9/svXT2jAmwY+uOPW++37FyTSBiBqkX - XtKRiIQ1QAf2HT/V23f9Tr/Rtia6MmQhcDPTj+7eMHVt2Zpndr/1VmtD3DKxctmylSubu5e6lXJ3 - Yx2A76fsJ0QIB8VBUsBUoxoAKKHr1DQ1QkDTdcO0mPAtlWWpXPQcR8Okkis4SEabG9/7+KdbNq7v - aW20KF+yqH5xT+Lf/Ou/PHUpffHSlc07xp9fGw9GCRCklBKCIyJp1eRYKqU4k3WJJrJi+Uhfx9hD - /LgiW9o62rue6eiuj0AlQV0zgIB6CunY4MVCvpDLKMUXLVqy7rUte955s7GpNhQJhIXThHiHpsb+ - 7lePB4fv3H7w6utvAACAJ6Q3OT7Rd6d/6OFwZ0fX86/t2r13d3d7LBaWVEl9Ucgg4cnp3IlL127c - ut0/8Ki1rSUarvG7VH2P2REClASlHg8Njz5+rOv6hg3rt23b1tbejrDGJSwKxyKxBONuU3OLZlLg - ZVXM37l149Spk9PJ1KpNW370wc/Xb9hYW1NjYtAj+iuv/L/svdePZFl+Jvb9jrkuXHpXWZXlsrzr - rurqrvZuuoec4XDIabKHQ9AtX7QkBUmrhQQI+ycIELTSYiUIgrASIJEQOUMzPdTMkN3sMe172lWX - z8xK7zMjIyPimmN+erhZPfOwC70I5D7khwQSmciMwI17zrn3fuczz4wMDrv83yzNLb/91luPvvDC - 4NFR5T17uzA381ff+c7cwtKRU+f+8Pf+8IkLD50+cCipqfpI9dCpsQunj/70tb+98c77HQP21jnH - QGGt77SyrENSxkldqMiDrHVlSyczpBAwDnkKW7BzzvFuJ+1mqFfAcM3mzr3P7t69dUcp/Ruvvvri - 13/50YsPD9Z6A4h6JazGj22sr+5Q7Sefz35649btC8fqx0cCKbQQcIa8NQazc/OXvvytV3/l5Stn - jo7Ugyp3g8mxHurMNu3rn68sra5/fvNO7cLkYC0Ce9Npz0zd+d73XltZWz9x9vwf//M/unzu1MTo - oA7iEydONAZGz1+45E3aX6+GYQTibmvrzdd/OD19b3Bk7NXf/t3nnnny3JmTlShQ5OqV4KWXvtRO - i7mFlXv3pm7fvpOlv6yDvXo6rQEvTZFrBYiyyy0PAj154vgf/fE/LwyPjU/UGn31KA4hGkkSJqqT - 7Xz3+39zb33t3vT08Jlzrm+sbAMgBy2UK+xOs8VaXXnqyd9/9TdOHxzt08IUrrd3KIprRWf30w8/ - +Pjdd9ZWVp7+9Veufe1rzzz77Ei12iOldi65/FDizfTczPXV1Z9++PHTv7RWTRphrLiMVfYWABAw - oQAUoIPo0MTEN7/5zRefunpgdLQyOK57hlhobTuUbn/tK1/Zoca/+z/+5ta96TtT0y89fikQQglF - JLwHs4/DoBZHWsqNze2Xv/H7L3/tqaMnh+OGD8icPn5Q+tTt1D59Z3luauHtH7/7/MhztVqF4VUU - JVo5UzS7rdr44StPPv2bX37+qQunJsYG2aejI/2TJ0//t//dv379Rz+9d+fWp59dP3Tm8vnDNTgB - ZiGIBOBsmnaZfRQEJAMUKTwrqSpJtSfqDaNYKRCQp8XM1NRbP/7J/OzssWPHnvnq7zz1wldPHj6h - FAYHepLqQwcPJ6sbs2/89M5bb7937YnpC4cPj/YkMGZ5aen73//B7dv3qpX6Cy//0pe+/MtXH7pS - jSpHDh0+Ozn8yKUjf/1//2//+/ff2ymglE4qFSEl9rGPfexjH/vYxz728R8T/pGo2y8e6ETZZWyd - INJaM/uBgYHJyw+/8MIL1y49dGiAhAEpHhj0Tz75+MZc/v4bN+anZqbu3evk0CE4TzcX5+fv3e7s - ti499tSlR65Onj6VxJDoEoSs6TPnzq5tF9/+y7/fXFy/e2eqWzgjwiA26Kv191d7e6uh1k6HtaTW - 39s/WIljpKEt0N7d3dx+56Of3Zhd6Bsef/TRx5+59uSRA4ckIAEF9IyORLiQNXlz4QefvLv26Yef - nnv64qQ/qYQHOICPSMCziqJHrj3xzLPPP/LIhShEwJB+SJMDtbmbX//sk+mpe2EYXXnk0atXHx0c - jByDWBHU+PjYuXNnrz5y9bvf+/uFhcX79+fi+mAYE0mUFd5yz3jKcBakIURcSU6emjx4pmaigRxR - FYgkIFGNk7NnTn98/XOlVbvb3e2mBvBgCBaCnDG2MPB89szp559/5ulnn24kYSAg2TVqSd7ePnF8 - Yuv6/bXNjY3tre5QpVIhCHF0YuyhcyduTU0tLsx/cuPm6JGnBgIIFIBp7mzfnppZWNuKqwcuXbky - 0FeRAMhDkhJ7RmkBaAIJ6Uk6oTNHxiMKg0oUJCEqDomXIg4r/ZUcqADkSs+gI2bBHmASgqUqjbh7 - lWvwEo7YA4CQJNVexYcQpT2QnRcQQ0MjL7zw0vPPvXj5odOBNPBdwXE0Orz68JmVu/fuzM7Nz89c - v3HryIlRIHROp11X5JaIkzhIkliEFLqgEcloAEyIAO+gAFcUaWvz3t3b62tr4+MnLl68dO78hb6e - SAPC5PD58WNHr7T9kdffnV5tfvrp9a3mTk/PoHSu02rOzk5NTd9Leo6cuXDpyWeeneirVjyc7Q4P - NaqJalC0cnvObXS2pCaQ81wAupxA3hN7Yle65FlI/qIW/EHJFHvnmcFUOHZl2gYDWbq9tnr9k4+3 - t7YOHjz62GPXzp4716grLUAkwxCnTkyuntt49+iHM1OLs7OzzVarFkUsPLiQ5KQgkDh67OQTT157 - 8aUvj/TrMCaSpqe3avL85KkjiwtTzeb6yurywW7W14hJVYIwjH23mjTCIFJyt1ar9fb19tYQQ8Ye - yoOsh2dBcAQmYhBIsif2KcGAu0W2Y0xRq/U9+uiTzz73/BOPX6vXIsdwjGCwnl55qLs2feP29Y31 - 9Xt3ZzudAp5h0oW5e7fu3J6aW5w8f/ni5atPPvVUqFHxFi5Drx7r6ekbG/joxmfz6/a9dxem783c - v1+5dOwwgqSnp3Fy8uDyzEprZ3NheS04dKx3UCZgu9vc3NhYb7XRkwz1HP58qbO9stBc3xjsqyrl - nPULC+uzK7uplUcnDo8fHAkEoAy2Fz/76P35uXkV1x9/8vmLl64cPHjIehtoKYXQSXTx4vnt+Zm/ - fu3vFxaX7k3dv3j+FIUE8tYWzjsmElKdOHnqyy+/dO3Ra70jA0aAJARAzNa6skSI9kzpZQIme+/S - bjdN08g7KWUQBFoTs4x1fbCvDnaOVc5gBoOJmNh576x1jqkUh5YJkiQgACKSSjGEsc45TwCUBBG8 - ybPUeR/09J45e/rpZ55+6okrQ/Va6CEcw9UevfxwtrHwxo8+WF1e/uzGza0dU6tzRCABCVaelafD - R449/cKL15597uCBRqQLjVxWkoaWj1595N5a5957n8/MzM0vLFw8dYwDL6QIg4hYeZd77xieACUA - r5x1psi9z703eZ57z2V10Oba/N27t5dWN05PPvTIY0+dPX2uZ6gnlBwqRpAMC33h0vnPb9xxH1y/ - ffvOobPz8txpkDaWdttpnjshfaORRHEQanIkBmphj4DgwQTYK/wpVXJBCHgP5rKXSwKemJ21BTO8 - 99Z5prL0zygBMLvCeOMOTIw/8eVfeva558+fPJpIrzjrrfmhPjoxefTD6+ntudWtrVaaAj17M1tJ - hbL/3QMkpBQAyUA36tV6pZqElSDIk0pU64t7+9GDpOYSL1Im61GAHHsvEVQr8bVrTzz78suPPnqp - HDx15APnz9r7twd66wubWwtzK86WLWNGwM3dv//ZR5+3ttoXnpx4/PFrkyeO9VWEQhuwDakPH44v - X7584/7iZ1PvzS0sbG5uH5/ox974KRcd7HVdMYHgvbPWeucECa11GASQQUCkAtQao/6BNd87n3a6 - szMzt2/fDsLw9NlzTzz59PBQf6ShGQGFydhIpIIzp09urWzcuXVrcWFh/PTppK58btZXFt97/520 - 4BNHjz/x7IvHBof7E1IalYHx6mA42ltJlxab0/e2WtvEe35wAhN5sBNCSB1CqMJyWpgkFAB5hi4L - Ur3XBC0kkXQezjOBGH5tbe3tt95aXlxp9NafefqZc+fOjw2PBSByPtCUJMH58+c/nW/+3Qe35xeX - 5+YXLxzsqyUsBMplPApx9NTpxx5/8qlnn5sY0FV4nW1JWTl9cvLSheX357q73XR+afniyQkgAvPW - 1sbs1L3rn34ik8HJU+effOb5sZ64rryHVHGt0t9/6PDhvLMrXBFFIWy3tbn60XtvbW5ujo6fvPzY - EydOnmnUq1p4RT4J5YmTJ86dvX/kyM9m7i/Oz8+vrzf7B3pk/CCgae+bAzOIiRBF4dDQ4MjIGGRA - MiQhlQeMC+vVicPjj1175KPr73+2uLixudXuZnt3e57gyRnvrdc6PHH+4hNPP/vEM0/3K1R97j0J - EXovbLt768aNO7fuSJIXLlx87NrjB0aHEkZgGZIbIyOnTpy6cvnyvTfeWFpeXlheOjI2MhD3+nKA - sQcz4FC2zAJCoNFo9J8+icmDEARdc6pqAW1ZKHPm9KnJu6vQQavd3Wnt4sGQFQT2ECBJEOxr1dqJ - R64+8fRzj167WqmxR0sjDxuNhx+6tDHjFz7/h42lzesff/7wiw8nBxIJASkUGM5LqSaOTv7y177+ - +NVLk6O9WgMurdaT4dHRx65eWZiff/P9zxYWFhZW1s8crpWRHGWqMsAELwkKewlZ8GwdO++990oK - EvAMJfzK8soH737U3OxeOHPoxQZkxwsAACAASURBVC996dDk8d4KyQIyRJD09vafunjhkVtT+af/ - 8Nn0zOLq6upozzhEttPcevvt99ZXW/39I1evXjt//vzBQwdhWTjIXnlwJNlem/p4ufPjWytEJOg/ - GHa793v+xR/2sY997GMf+9jHPvbxjwHx//0n/3+AqCQEwIBzbAsjlarVqlLIU6dOvfKNV65cfnh4 - iMjDZLBFQRCnT504d/pUf73RXN9cWlzuFiZntDud+emp1vpaNY6uPnZt/OhRHamsyL2zgLfWVGu1 - kZHRSpAUrWxtZWM3Nx0ICIFYBqGXwktBggU7Js8SpAEoh2x7dnHms9u3Vlrdo6fOnzl9/siBQxpQ - ADGctaYoGvXaC889e/jAIZea5bnFjfWNdpZbeDgjjKkGQRLHgyOjv/Ybv/nY448lIVTZNiEBwWBn - 8vTu7ZtLi4u1Wn1y8tSBAyNZhk7HdrqdPMtMkVWS5OzZs4P9g3lu7k3PbDdbTAgDkIBzrow0hfcm - z2EdCNAKcRhHMYNNSSkIAe+QpaHWURgAsN7nzuWAhwcJRKF3zlsXaPX8c8++9NKLSTWEgAUcswj1 - 8GDj7Kljvb31bpYtr693sxRSwJlDY4NXLpxsVPTi0tz7H33c7IAIWgHSb25tfXrjzspGq9E78OjV - qz318oQztFaBLLnvPZ8dSRUl1d5BGdfbaX7n9u2lufudHQgGm9wWBaEsmoYxBsawNc7k7AzYM0jq - MJIQe/l2BCWVADtrnbOeIRSE2HsnY721kkhKNT4+8corr05OngIjzwspRRyGFIjDh0fOnT1Sq6m1 - 9eXbd+8xQYkqUVyr9kuhd3aaK6uLW1vrKByEkApl8qtnWGOhpDXF0uL86uqKMcXYgfHB4eFKNTIG - ReGKNN1tbpOgocGhY8eOOc8zs/Nr65tpDs9+d3dnc2Nlp2WGRkYmjh4fG6xqCaWRxJGQcnRk5LFH - Hn3owsWDYwckUVHYbpalppyogotCSyEJ3TbyonAgKfcoPCgJLSEFEXtmMCyT0EGgQIS8vbuyOD91 - 57Yz5tjxyaHhUa1Vp2PyrMizNM+7SoqB/r7jR48559fW1lfX1zNTEBhslfCC4Bw/8+yLv/7KqyOj - cVRRJCQznHdai4mJ0b7e2JjO4tLC9naLCFEklQrhlbMMT1pBKV02LlmGZ5AEtESgRBhorQUkA4q0 - EEGRF3CFs7vN7dUiz0ZGxl999bcvXXw4TiIiSAmlwB4TB0cvP3S2UYusKdq7mSkA75G3b9/8ZGZm - 2kAeOXH20JHjKoASACmwBmsoFdWCa1fPPXzpLIyZvT8/O7sECoGgVq1cOHdseCBMs9bdqfuL6+28 - gGZwu9XaaXYs1w8dO3nxktIq3VnfWV+GyTS8czw1uzy12OxaMTp+cGSknwD4dGdt9sN3f9Jud4ZG - j1x9/NmevqEsL7aazTzPLJs06/b0NA6MjVar1bXV9amZWRCCIBBSWJtba6TSI6NjTz359K9+7Vf7 - BwaExBcnuoyj/AXj6gNbqxBRGPb390kp79y+fe/u3aXlJevgPdiXebh7ocfMYO+VJPZFkefGeQhd - 6voFoKUOdCgVefZSKhLSWvae2XPpfCe21ubO+0OHj/z27/zuuXPnG/W6hCCxF4vZN9g/eWj84Miw - NXZxZXV5fSMtcgkVktZCKOsV08ULl776a98YGm8IDeMcgcCOwOfPnTl58mSg48WllaXlJecNsxVE - WgZgYazNityT39um8GStM0Vm8m6eddvttjGWAMBsrc/Pz896qOFDJ0+dulhL6gFA3hqTp1mnk7WT - alzvqelAz92fXVteiwJABFpX6/Xe1m5rfn763tSt5vaGdzYMQ1W2b+1RWoCQ7L23Fuy/4C72oiGJ - izzvdtreIc/zdjclCQZyU0RxqJTMO6ki+dClh//oj/74xOmjSsNa560BsU7CifGxsdFROGS5y3M4 - CzBDiCiMtCQAzjGIZKBkrCGtNbktrGQV6MCzYWk0AMBZGAMPY9E2phMGQaPWN9Q/8uKLL117/BHe - O1Fg73Rv49Do4FBvjy1sa6frvWAwwQrB96dmPv3oOjk5Ojx6aGKciAtXGGdtYb3zWunx8fGhoSES - YnVtfWt7uxyQAlCCCLRHqxFBCUgxMNDf19ebF8XU9NTNmze3m9veuT1hX8lTAR5wnvMs21hb21hf - Hx4dPXz06PDoqHXIM1iHPDdFnjG7ifHxnlptbXl5bnZ2Y3NTEtkia26uT0/Ph0llaPxwz9CIisgT - IAFQEiYnJk+cPXPyyMSBQEAQl6NVK5XEWitBQjCEhyys62Y5g0DkGUICUkAiUiLQWutQCF3OQYZb - W1358Zs/2tlu9vb2TU6eSCqV3BWFza3JvTVgHhwYGDswDpJbzdbq2lpe5PCOwARP7Hp64m+88srT - zz7f06ulhJBCBhpSDPTWJyeP1XsaltFs7WZF4TyY/frK8sLs9Npqt39w+OjJswNDfUoptrmQCBSF - GkmCwcHa0Ei/jiLk6fbK4p0bnxVZPjo+MTh6UAXh7u6uzXNrCmdNHEWjo6OTk5Pe+7W19YWFxW7H - sS8JdABQgQZKKh/QWsVRlCRBHCmlrLHWsrO2yDrIU0E8NNhfryckkBXGeux1GbCAJ5MZOOrvHfi1 - X//Gcy9+qRYkWmhSJCXAltkpKWamZ5YWFgf6BsYPjPf1D+QOeeHz3CDLYX21Uj154mR//0BhzdLK - 8s7u7p6RCH5vM9uXIdh7C6OUEoFGqPdGOXvrwcyQopJEtWoN1ZrUIUgK8fN1VAoIIdi5Ikv7+vp+ - 7/f+4PLVq0EMRrlwCgImDo0/8vCVvkbf7nZ7+u5Mc2PHWCsh4DysJUa93nP63IUvf+W5noHewjEA - KAWlAFy7+shT1x7RAtvN5vrmtimbCzzv0aTEoVZKCsDDOzADVBjbbnd3W7vwHgzPCEK1vdX89KPP - 8y76+4YmDh9WoSwsjEWe5UWWek+Hxo8fOHAUqV9aWl9ZXgYXUCZL2zc+u5V2/PDQwZMnz/T19xOg - FJXxCEGj8dBDl5577vme3l5mLgrj/32BCfvYxz72sY997GMf+/gnxD+S6tY6a1AoqQkgQSIMAOR5 - 7tknlWRwcBCALcCMMACCAGCtZRKF9ShJtzJTFJmx8Np2dudnZ4p2q92SP/v4o8U8fm8gCrld00ZI - 7Twbywv3m6ZbZE2ztLi20dztRX8dLMkWdrfbbTpTeMuucHAMOI8CpgOkOpJOKxdWonpfGFQCBjsW - kgRBSC1lAFIg0VOt90S1ze12nhdOwMDCGSryYnc3DqLa6FhcbUi192zsHXLnEuVsu7WyvOCt8c5t - bW2/+eaP1zbXREhZ3gpkp17zebq9uNi8/tn00uKyrPTttNqF81Tq4AApJZVyLyl0GIAFvIVk2Dzj - rtfxVjtdXV6opW2xvryysbmwunbj88+yLM2NsQwBOHi4AgWHWkdByM6HoY7joGRLGZBCgL0WNg5F - EMpcB1GlorQq2dSB4b6Lp48dHO2/P7/57ocffm156/RAX490aO/cm7r7o7fe61o6f3Di4sVTjcaD - LFprnEtzKB8qBoyHJB9FlcGDhy9ceezjnRuffPLJ/P/yP999+8iLV04/eubIwLEzeXm8AlorGICd - lqQlCaIsL9LCGAQa8Aw4C1uQt1oJZjaOjWOG8AybZ4p9FOhGva5kk6Ru9PQlCYUBBCpKpIyCUNTr - wdBQRSlTFGk3zY0HQ1SrA88++/LSavLa//Ppv/23/+PbP/n+1ctnrzx6dfjEhXggKGWJQgiAup3O - /NxcnnWdc61W662331mZnyvaOwFcjCJBQSa7u9K5efP29nYrDINOmuXGF96srS/vtrd0gPGJiZ6B - obLGzTEkcampC7TWJNi4rJNqpeOkGum93nYKgjgMo0CWA8OBLFAAxpqQLNiXyZIegFBBXCGhTEn+ - gCWxLbL2rl9aWv7+D35442cN5dJ6JKSyjNTlZvHuxvzsbJHmxtj1jY1kOBwdyEIBuMJZw0yOpbUw - FoGEkCAIqVRSicYPDA0MVlaamdIKJJ0rBwDYit2dbtrJrEWn082LXACSQALeO2ELwEGE5bF47Ck9 - gyACduPQj470VCpxLkOlYqVCKWCNJymY4EwhhY8jSiK5Pbd1+9ZUZze3hRFZu7O72c1S0tGBIyeG - DxwUtFfjBhHCA74D7vb3Bf29FXjXaXdbO9284BhcrVauPXrpvTf+4cMPZ9786ds955+JzjSEx+rM - 3RvXP0eUHDz/8NnzT/zko5mdtYWbH39w9lTSG6s8K2bmVmdX2wbhyTNnjx+fUGCYnaK91m6ud9qd - 1cWNH/3k/fk7twcr5OFkJbQStrur0vbs9U+b29tZ2NxutoyFc06Qb9SrURRJpXv6+vv6BqqVis0t - k6LogSoWEESevlA/ccnOQqtKb8+TTzyxtJ3+5Q/e/Itvf3t6evqRRx65dOni5JFDUaNKYA9QyUAI - 4Z2Fd0JSFMVChx4oPIRA2X1mTdHtdDrd1LOoVKphEDIz0i4iE2iq1ypKSSt1FMdgeGtIaWZPZWVX - EvdVkoAIzMYj997CO9iMu7bIlUcsg2qtUemJrYAHQhkIpJBCJ9Hw8GClUs3aneXltZ2dVhAqRmpM - XmQFQUmhHDtPzgG5RcQyjpLBgb5GPQbpINBCSMAB2ebq7MzM1E4nvz298sabb0/PzkvFxm6T6gid - goPWdnr95vXddqva7WbdPE9Rcf7QxPFvfut3tv/0r27eu/mv/tV//fiVK48/cuXyY0/Vhw/JcG/n - Bg4+y4QQpBWo3BVkC2eYlQOxA7ySIgoRhKFUuhTjah0Yu8vex0EI64kRxwnKGBwiIRRIwmN4qH90 - dCSIc2ZVWLYOwZ7HolwXQYqcYZhcBRbSa0Vsnc1cnmZZ0TXc9ejjkoJXkQc7dK3jQOskrPkwEiS5 - FAcDBChBgIs1hvoa/e2wmvRICth7IzqUu/bubreVw4m7t+++9tp3k74oli6gXDIrhK6gmbmV23fu - dtNseWV1c2vbAeJB+qhnL9hTqfn3HsZMHp98+OGHD46P3759p939s4Wl1fOXLp86c+7w0eNBVEqK - UXi4PPfemzxvtztps/nehz+Lgj+XPvG5Em6nrwafr2+vbt397HZra0sKYu+Louim7e7aytbGSlGg - v7evf+xgtQ8BIByYkFtrZR6QrVXjgd56qCEJJbdM8IKYBFtrC+t6okoQVaQKHLMgSAlvWdgCprB5 - ZrLcwFoHx3DwAch7v9Ns2cKura794Ic/jD5u1IMwAmnPEhwILC4t/ezzORRmc7u5tLLKzBDEzhZ5 - 6k0hABUEQZzoAIVFABcIgrWhVn09DQZy4z0JEkoICE/Enrxlj96+gZ6BES+gpSYQbGFEUEghCJ4h - HbRAu7m9vbYobJHbbGF5/fU337rRCETeCsmALfuCmaemF+7fn9ltt4vC5HnBvCf3lPLBlr4geMAY - EENICMHOOc+AWFxc3N1YV962tzcXV+dv3r1+48Z1BgdhWK4n7EGeFKlapR7q0HV2h4bH6r39KXyA - ImILIcE+z/PORjNLu844J/w777y34Hw1inpIVIxFmmrv2pubH9y+dX/2/i5heXWl3W2jdNcQHty/ - +PIi4soV0jtV1vkJtHaaM2sLLAO3s9Jamro/M/3m+/fQ6UodhnHsPeCtY7hS2g4QcxToWMVKBzKA - UMjhgIJgFVwlSfp7+xR03inazY4SWkntfSa7Xel9vVpNg4h0KAIEAuqLLTYhEehAUgAv4Tc3NhZW - 1na7GAyEDAIh92S3SpAkMJzvdgU5BGGjp7dWa25zCPYlf9ztNLe3tpubmQ5o9v7it7/zHR8mFabE - Q9nCI/Ocfvr557dvzUJVSIbWWdvZVnartbPlHClZq9f6Dxw4SEJst1rVMAmkDGQEvyOkjOLYe++c - +/cG3X6Bfa3tPvaxj33sYx/72Mc/Cf6RqFvCnqe7ZB8ghHMuSzOApJBCiNKfR7yn0mQYKUU1qQz2 - 9e+sb1jr21kGF9uiaG1vc1FkXb51587UrhqscMy7gWt7EIRyHrtNst6HcRLFidIhQRNSOKtdIdkx - 2AnBWlkJA0TwcIXttHa3ttm4KKr19A6S1NZBEoEgAFmqrZTUURCpQLHMTbvbSbt55iINIRRgs0yr - pN7oqdTrSsP5vUcLIoDYOZvnGdix92mWfvjhzxaW50VA1nck7UZB1+Y7Ozt2dWW3KPKBwUoYRlIq - D1iG/oKmKSvGlYQhOA9yu+trny/cu7nUvruW2eWFRt6RG6sb282NVuvu7Lwx1v7ibfjeU5lSQrFn - pYQOBAALMKBKsg0mjmSShLkKPbwrtVBskegDo33nTh37uDk7s7Yyuzi/fUiN9Rbp9sbM/OKdueXa - 0OEjR44dGgKXvc8kIDSBFJwoo3rLpN6wgv6D5554+XF/YP1H12enP399/tPdmU/mzxwbP39laPJ8 - ffxIXC/lyiSFCAKlJQEspGQI+8V4Yg+wlhRoTSSs59wYBkQp7RasYGyRO1Ze1mWotUJIEOQ9nIXX - 4KgS1XsrSrM13azT9Dk8EMTDZy488exavNuu3Lo1+/67P11ZuDs9e3/8zNTw5IXjZ04f7EMsBYCi - KJrbW9aYIs+Wlpffffe9uzcSl3UCtlVh68oVu835Hd7ezsMo6untFVIyyHnX7rSLIpMKjZ6euFIt - rZ7MzAQqa6allEIIhncO2JP8Anv8unPWWUMCUikh9V58BD34TNgJApWmdxKlRNkzXFHk3a4rTJra - Ym31nXfevZuokLOAjJCFoMIVJt3k5lqmta5Uq0wEQYIIgCAPMHtoFepAWVc2yAMkPDORjxIVhhDC - Mztf+vFLASJ0qCNJunR5g8Qv9MqUDe4e3nkiT+KLQ2RmIi+kiyOllSIoZkkkSkH5F2rTKFT1Shgo - csZ0O7kpvHfO27y1s9VJO1BBXO+Nq1Xae81SAhaACcJGIUehAPmsm3U7mbUSOhCxHz08On6grj72 - n12/8dDSpikOArw5Ozs1NV0bOzD60OXjZy+OjXy/2Fq+98kHnZdP25Hebmpvzywtt3zP0MEDo2Mj - 9ShABso9dQrXNc5tbnY+/vjWXGh6g0KFlJPPyVGRVVzRXV0SQlSrtaRSsw7Oe02QgsrPr1qtVSpV - GcD7vfod90Bhy1KKBzkkgAMACMgAcXD+4Uc2Um6m5s703I/f+OHSwtzdO7dOnTx5/NjRI4cPDw6P - BKFQgCNHcIK8EIQ9vSEkwTM8ecALAa2V9wwIpQPsOWjLBdqDmKQQSkmlpZRCEMOVJTtUZCCqR3Ff - va5XmoWxhXWWfTlKJQlFQjKByROc2ONdHHvJHoKqlUoUxXDodvM8L6QgweW/CimUVEopQaK0boAF - BAkpS3qJpZAkBMHBZb5opVmaOnV3pRt98NnsvVsRdrvczkXGsVMiTrtufnElSaLeatyrISxAuj5y - /NGnqrfWO8Vb79y6ddO2d1bmZ29Pzw8fPnXg+Lkzk8d1jBDwzgmpIWVJhhOIwQ8MxgxAUPlFROS5 - vOQJIkgSWkolJO0dVjmaib0jchAUhToMAmbyDGaivXNctn09IKlKFSQzSCgpg0AKcs5ZkGDSX7wq - sy8/NxJsTEHwtWpFiL335Z/zL04LrkRhoD1Bl3GfgPPeFFmWtjPj/NzcfPbOW07bWLqILBtDXpFX - 7dRtN5v1RiMMozIZk7+Ybsx7WybYWw76BvovnD/3q7/6Kx9+9Ony6sb3/vZv70zdn7x+4+ixk8dP - njp0ZKJ/qFqmdhhjijzvdtm3Wp/fvJm1IVxMJlTcqseGi4202elstbMs7anXoyhUWhHBmsLmuTMI - ojiu1pUAAFOgMHDKQQHwgqAES4IoA0IIe2EtYGNtmhUeJLXWYUjCMcMBwrMoz6h33jnvWSoty4sl - bJ5nnXa7yIvNjc0PPvjQRIhIVIQSxpC3Epxn2fwOUKlWag0dhJ4Z3hNBSaGVEIKsdZ4hy6Itx/AW - zkHLeq0WBgGEsM7vnSdvt7c2d7Y3wegbGOgbGsHepZbhLMN7KeiLIei9M7nNu3A2y7KlldXX3/zx - YEKR73C2AzhJ3jm3vdNdWW1KIeM4UUpLKcuaMvHAsv/grqOUrjIJzNyfn5ldml9cW1xa7WxtaHbd - nc3VzeW5pZn789MIG1IrkvLBqiukUATBnthTGMVBqAE21jgUUsUkGOzyIs9ym+Y+Y3Pj+qfTO+sS - oj+ME09mt6M8+yxfajWttb19tVixIv9AYCvBCkJCSAEmlG0A5L3f2drcXpiamb53e6l5f6MDGfrd - 1c7KzNrqyidLOQrrPDPTz2+N9tZWdtaAvSBiKj/fvYiUEmGga9VaJU4IJu/mZbuZd25vZwVQWksd - CgVJIMA5SM+lVL+SRLUk1JK6aXe33XXllq3zzjMREbGzhXeWyu3hcpHE3uW85G0BTtO2KQxBOiPn - 55Zef/2NQqvYusjamJjZWZcvr25ubPhq73AS17VWJCzcbpGn3kHJShzV47iqA82FQxlvIiQsKaWC - IADAe9fLfexjH/vYxz72sY99/MeFfyTqVkmFUh3hPTshJExh0iwVRM65TqctBbSGNHue+Rx5FKBe - qx4YGV2bz5jRarelrQnnnDEKbAssLS97W2uFNrTNfHshzU1crXlI2JqO+gaHDp04eXRwaDRGQmjB - WIKvRIGQggItk8grUdIeYJc2N+fvTZusqCT9A/0jziMtbE+ixM8fcT0EoVIRDJPlDNdud3Z2dw80 - +qB1rDSMJSCIopK6NQZKQwkIIQEjpYiiQOxxU5iaml5YnqcAOmRv1jut+WpCWjWAar3eOHDgwOjY - WBwnziPLgQgRgcHED54xmOEtfH7v3t2/+v47/+tf/HB9NUWe9tqs11gDGMACqPd6Zsvs8EAfttdS - DrCXgoSAh8+9cywC6QNXgIsooGo1SSnqZGluCpCCK4BCJvqxq5fe2ZAzU9nM3Nza8eRsPVpdXVpa - XW/m/sLpS5OTkxWCYZAHhICOBQVVKPuAuBcQ0DHkwJmnviIOPEQ9b373hz+6+bN3v3P93e/B9R09 - /eXf+oMnv/LrIxePsvREJLSMAq0EgTmOExUoy3tZnGCGUiIIojAgIZzjLDcMKIIINQyxTbc3NwpL - rBqWAYb0ABUexgqrABXrqBKoALbdzXe3TVo4H8hgYGwi/MqvHD45+cif/emfv/fuTz94/903fvzj - aGhi4sJjf/yf/4u+x8739hAY3rsiz9n7bjdtzs4ut0xPElUCodlELks4S5ubO6iFg8cO9Y8eP36s - Vm8oTWzZOQO2QkIqRUI9MH4ywF8Qk1JILaUSylpnrGUEHmDPRNzttLt7k0WrICh3OrTU4LI9yUkp - Sl1wbhyDyund7Xab21vOoSg43d5u37nTGyIhk+6sM6dB4LSQgW0EtjE4MDg+Pt7b21evNyICwHs2 - UkZcqVaq8AzPZXwlGWPTLC2KrrFd6/LCFK4M2mWAoWQ42D9SrzXgN5OkEkah25MY+1AQlIADvLVM - XitZUngeRWEibcC5tZn3noWwlkvjtQz2mGitJFRcr8WSfBSG9WoPsYRneLvT3Ox0uyy0YVHmWTrv - vReyZJJIQII4AxcQMEWRZYahoGIQw+ux4VqjXrk9tzg7v97c4NGG21lYXFxcnHj+q8MPXRk7PDlx - cGx67mczn63tbryUp2E75Rszi9tGT54/06jWY2aJHIHTsSPlQbJo29n7y6t+uyp2g4g2u62OK2qB - 6BGoOjM0NHT05MmjR4+VlDeIiiLP89w5F4aR0gEIIhZCIHewKAWzEAQBCG/3tl0IYFFOjCMnzyW9 - Q0ePn/g3//Z/evMnb/1oYf4HP/jBwNDwY48/+Zvf/K3nnm4M6IoSYHYCXggiImtL+giKQIB1VpOL - wrCnp0frgMiWLecAkETArnNFlnYBSKWIRBgGgdCec0nCsfPt3UCjEgRjg4PhTNoqTGFdmQ0aI4yC - QJP01nW76U4HjV54wHonjJHewFlJpJVGEAmhAXh2QkBoHQShFFpJFUWh2BOrAgxrXdppZ2nbqPjB - 9qCDz6raVapVp/LFJqs7c1u61YfNTmC3OeuGXiB0RiiZTByeOHX00OGBeiQApdF38GBj4De/GQ8e - GvuLb//l1J2p1167+Wff/pvBiVNPvPjV/+KP/6RycKQaSyklSoqrzNZFGWgBUgxHovRoWzjnPLNz - sICGC2SgtSJQFIRSiCzLtYvKaN68yEPKKYEp8jTNTJZ7DyGgA0CVRVHsHTvrvHOh1koFsAZEUohq - JY4iIQSCMJYqKS8RnlGYTEkohGHAnXbbFFmjXlNKPaCSH7jEvRNslYA3rsitKRwRNEgqOOvytChQ - bKxvtO6ZVrETSx8Ln7XbLmeJoN43HMQ9k5Mnjxw91t8/+AVv6DyX6dhlTg8EIdAATp86/S//xX/5 - V9997W++94O/e+NHn35+U6gwqdR/7Ru/8avf+PpjTzxeSUjpoCiKPMuyDEW705lbaG0WPlOJbFQj - Y9KVAK1YBJRxIPWhQ6N9fb2VShJHsU3iUEvvwSBH0gK5BTIUeVHpRQAN5EXeTbtteBB8Sc3tKf7Z - F6bwWZYXFiSCQEhJxsEYqNJIr5UiEkRCyDBOwhAAcpOl3W6WprnJsqa5f//+tuso6+pBaNsdk3Vh - TaPRKBqHxg8dOnHq9OEjR51nOA8pq5UkiUMpRbvTSXND0IEAebJZpshCUiWJK9WqdkFhrGN2QFEU - 8/OzS4tzAPoGBvuHRva2wdgBVG5+l7NYKrjcS0GxluyQZWlrY2v1nfdGa3Iw8p2tZaUoSbQ1trDC - OtXfPzA6OtpoNHQZkMD4OaHpfbmUc14Uxngu3nrrp9/+y9e+/8N/KAoO4KQrQuFZwXjkjGicIQTo - QcOVAJHM0twUlkga4zxDg4w1hgupQgillFBaF9a3M5sbtzQ3V2wtddvdwWpvQkHa6gSkKkEc9FSG - hobqh4cPjw/3VKIHe30CZGTXFgAAIABJREFUKG+zmOAIlqAklPc8fW/qjdf+/E//r//z87ndTIWe - dMydKlhLrIk6uCfNTV4UQOlpUmUsgmdvjTFFXpCx3ru9ySIFtAQLGCFkFISNWk8scmecs95bx54R - x1rKtJuKXqWCkB7EKxHgnVNwpNGo1/p7aqGWzOxAYQxbsMxyYywRBCFLu9YUCoQkQeZsYXZ2O91u - 5gMrZXm3VuRFVwrVUx/c2motL61viE9ySTpPZdqpayWF9h6WAwqGJw4d6usbrlQrshbAOyLvHbSu - ahWbwvaG1TCUBFDJTCullJZlmjnRfzjqdh/72Mc+9rGPfexjH/9k+EerKYMHG2cUdKgkGFJJrQPv - PTNLpRjwDt5CAAhEKAPnd1vN5sriUt7NpJBhkqhI6SisJbFkjAz3PPZb3xp7+IWjg0lotgLTdBBC - BR7Suxq7hqSeqF/19TU8wM4TAWzZFM7bnH3mnRHI4XPkoUKtUTlyYCxSQXcr39hoCqnDRJUmbnh4 - Z4RiEMMYtj6E7pU9/f399b5eAQlrYW09ScQu2p1OYR1JpQhFDlYIy/RBMLzLslRLefjw4Vd/5z89 - de4EtGdkEk2JZiALQpW5UpggqA2NHTtb65dSII6hSuGrMZr8F9HE3U7n3t2PXnvtu99//cPx8YPP - //qXXrp0YdDlAyZzRFvtzt/9+Cf/7of/QEJQSR7DOFNIVs5ab50gYvaeLeCl0F+MBS09uNhtN3co - CKJQSIK3CBWYkKiTxycGBubx8Z2fffLxk4fo6sDB2dmppfV1I5MjZy4dPnxEAwGgqKym0QAEjHAp - g6QMGMJDA5KTaOxw5ZWv1x99+NLy3Oza4tybr//9O7fnvvOdv9zyQc0++cyFiVg5n2d5mjprwNzt - do2DLkU1AKSEB0y+u7trrRWRTioVKbUDUOQwqYbp623IBZf5xJQpnQBcV4ReQDFsO21vtTayvJ1E - PYO91VAK71AgiERPT0989kz8h7//zZdffHJ+fv7tDz/+8M7ijRs3//t//T+sTj/7J7//SlUWSsq+ - 3l4t5cjI8MPPf/38tecnJw7EioRJQ9utcC5ttit60mAgjwYa9erRoyM6RNHNH8hnYKy1vgy7gy9D - MwlgZuucMey8kjIKIylVBsR7ShwkcZTEoXcoiiIv7C/YGveS86QQJASYc2Os9aUSOQx0EkdJREOD - td4LD331t/7w+Fh/aLvKp0S5kIUCadPQRd0YTeONwcmjCKzBegBnTO6dC6PYGi4K6ACipEm9lVLG - cRCGEii8K6Iw0DooiUU4mMJ3O5nJLAHGWuMsAFFGc8CCGAIgoYQqd1CsB3tEUQhjiqzV7ex471QQ - RlFFCMnuF4RgQqLb3d3ZLPI2OylIaxkFSgeh6u+rV6pVR1nhhXHeA1rsSUXLpFi4lJAJMnA2iZNa - rScIqiAHdKH88aNDp08ff29lcWsnm59dHE42dpeW2GN08hSNjpsgOH50wl6/sdiaXV+YDfvM2rJc - 3mwHfYcvXnlybHi0RxOQgbuFa6X5rgeOnrzwz/7gdycGZX+cWd81io1CwDYussQUrBui/0QwfKqv - D0op5B6EMAiCINxtt9O0uye0JAgJSWDAlsWJDHABGJRFgFSqtAR01N8/8NB59d/8y//sW998dWl9 - +/WfvPPpzbtvffBxRvHm1vZvv/L1gVogYCUx4LxzzOAH2k4BKKkIorBFp9MhEIPy3JQStXKDQUqK - opDBzrOUEiiJMBAgtFK1KtLW7vbWwv3ZPC+iOCEpHXsDy2BbGJcVsY4q1Vpc3RNpSqGCIIBjsOm0 - 2512B5aDMA6CkNkTPJwrcmMKWxRFlmfG5B7QGtIBDGsKJRGFgZSSQfAWsFy00jQ1Mrn83Nf/2cvn - zjTa47TUimUzFDuRBEI4JRBGVO1LBsf6Dgbl7BMxpB47MP6lF54+ffLY4vzqrZv33vrw81uz62+/ - 82662/5PvvWbLz9+NQ412MFahLLUITs4C9aOCayUDLTyHmAvpZQSCpCQHs4URZHlgkiQcM4JD5aQ - UqgoBAWglNhLIh3FQnyhn+VyMZWShFDwUgra+x0Ee5+lbVN0iBAEkZCR2wt1gRJlWIggcBLHgtDc - 3iJA670TTYAvcuGNFlxNIkFda9h7gJngpECgVBTEcWFf+tLLz37rlxBxLHzAFsbCCeElyzjXFRPW - e4ZGRocG8EC5KATxnjr4gcgeDO9Jyb7+3pe+9NKpsxdf/e3fvXVn+qOPP3vzxz998803u0UW1aqT - J48PCuucI0K1ioGTpx79lVdeeOqXqAg1J5HoCL+laSf0UhqhrMyjaPjMmbC/x3Nui9zZAoBjNkwe - kApRjCgKROA9UgerlIgCCYazhXMGgIextpBKJEkia3UImRe2kzqKPEmtAygvkTqkaXlmpdJ5XmQF - ooi0VmEYhkFopJs8f+oP/qs/8Q2dkIhBsrBwRngnhNgJh3aiYTl07EQvehuA2IG3rdZOt7PrnIvi - WAdhqakPhVBhBJeC2VrT7XQKacMoKq94QaDHRkdGR4aEuLvd3Fnd2s7cIS884CC1ED9f5OAhiKQg - RV4LjI2OPfz4i1/6lVeODVbq1KWiJcgJyd6zZ+0RAsHg0IGJiYNRtEfVlsvJA6cUASy02lxZe/NH - P/nrv/nu7P35l1966fKVx04dnQiF02w7xe7c0v3vv/63H85tdNM0Lf5f9t4ruLLsPvf7/ivscBIO - cga6ATTQ3ejcPR0mz3A4ieSQIiVKl1S69oNKepGrbF9X2bfKUpVdDuWHq3tdki3JEhWuriQOSY2G - M8MJnXOjE7oRGt1odEDOByfusIIfDppk+cG3XL7FJ3wPp5Bxztlrrb33t/7/3xfZ6q8aGGU4CUe6 - nlWe5xNDBJv2XK/K5zfKGg0i6frJdH0m5fyLf/ndwRP7rIHPk1yLqKwc7kjuamHKIkANtXe1N2Ub - LDTAq6eT6hNl0A4MwQZhcO/O8BeffPzZBx+0NDcd//J7+57/spOqqWFBQhfWV1c+uT37vQ8vE+Ox - 0vSMdWsBY8A5a2iodx3HWuO4niFEtlo/++xKMAyK+VIht2GMTbtJaEsgR0gUQ6OU4zgFrSOl7bN5 - awEuhNUa5WJubTWfW4mjCNaCC0OQrs893wJKK6OVlHyTdRvHMIaYcF1fSgdEKq4yyTWRUUpHgW2s - 7zjw1itv/uavhNL6KvBU6MOS9ayRxvolqi2Zmtaubf2tZfBVoKx1GEfGghvNrWVaG8252OyFq3K0 - VRRFxhpjjfqPMRO2tKUtbWlLW9rSlrb0i9d/GuuW/p8fVe/l6ee+Yqvx6AQLZgFrhbROKmJurJUJ - CkJHDI6lzRwYghtE+dVCZXZ9o0xwPZn1eJpZ40ivrinwMkxne7r6Dh88sqfHlybwWAlwteFgnrWk - NJSGYWASCpZZBuYBycBwYy23sbTaMXDASAuwFBKNiax1ZYzyk5WZpI7eZoDe5G+CgWAYDNlyuGbU - is9YJlGTTba5ogYxjA6Jh44fCiHJjcHIwDWovlAGAJK4z50MiST4qpD2wP6+F185zD0oA4bAESEj - BfiAF0UssoCEYZs32Bawuspw4wAHkRGohMHIzRvj166tzSy+8/K3Xnrnm+8e3Z81AXQZsOHa+tzG - Gj9zgTHOrBSAY8GVhuZGUwQRMyeyLLbkgPMqGxEGZARTjHQUxwE0uGuZY8FIuDAafm17z8COjge+ - uL129/LMDm9+R9210eknSxvpuvqevp6WtmY8u9fTVjzD5VkYDRDjZKyBNWSJcZ6pyWRq0r3bOsv5 - weWFec8RBX7u/PDErWuXBxoTB/s6/Zp0wFI2kdVeIka+UsojyPvICKDaWw1LFUPF2FjmCOaSZcxa - Xv2PloXc515C8JxU67YCUoCjQcQMOLMMolhhCwWxoXw3XdNcX5NxjAOQVsQN9/2Um9iVqd3eP5DP - rbZt2yZPnp/9+NTErYt3W5z1r73kZBNMem661sqk6/P2lubnjxw4tL/fIUBDqJCTBgxYqgJEYvMg - agPLZE0im+AZhIsbK4uV4jLHdk4gCFt1b43WJi7pII8oIGsZ41aQARgsWQKSiWQykYRFUAmCSuVn - 1XPVVlEDozWMBZg1VQxDFXwpfdd1HSeBRGNDw3NHnjswUO9buMwSKdiYwKA9KKCCsosogQDg4IBf - Mn5suSs4UyUdWimI8c1IJsZdyV1BTGhrDUh62kloDquq8z4EYss0GGCt1c+ohACsgI5gFIQgYvpZ - q3UVrAGGmNnIaBhIw7ghAxtxMGiyvDqqoopeWa8UKobcRLIuRT6zUkAmMjWNmURSxrnK8mywvirR - xsA2/QcBkANkckVZKASwpcZa01jvCclAEuSD19R37+3dweT5J+H8+NSEl8iaqbxvZLa3s6M7ZWtE - cXBX0+oN58Gd3OyThVjI5WUUNsLt22oH9+1KZ5NgGiBwr+I18Eyj4KutaffYnoFdOxqaahHrCA43 - RBxKxIHUMZxMxGQZqPqvgBcZlzHpcdiopFVYpYdW9x34s3fPANoaQQzgsARjwJ7VgRITiUSN7x5s - bt4bxMvr+dqG5mT67Ic/+WL09s2GpHzn9VfSXqMk6QrfZb5k3GpldbDZJQ1U4QNGaRVHgIHVWsew - lkAwBMYYCca4tVqbwOiQa+NYIuIWFJN1XRdlPl/RT9dyseWNSZ31kWBCwLNQRnPNYIS2CKwG26Tj - ECMBrgGs53K5QgGwyZqaVDrNqzWsVisdGSjAQGsym834lgFkYA0ncM6IkSXSzOE2ZWS9dH2J9W3N - 4sjh/kPbEkLmIDl8rwwJCIKo9jhLC1bFRG92WIhUujaV8Xq6e/K5wuDAzs5tfT/48Zlrdx6eO3nq - 5UP7D+/d3ZGsJwtYXX1bHJCBFdqQtWAwEBH5msGCk4qc6rirjnrDlOLGOJYxSGOq0XAWYA7IAXgM - KG7gIeaBNpZpAlxwbqhac20Y14CBJcCHThmbUsyPpbQUUhy5YeBAEqAYtCWJZ33b3IuYW9YGgjjb - 5EoDgOUwIDJCkiEVIwZnBM7gE8WJhExlsRbGzd2t+547kW2syTDtIoA1MMJqruDEHDGvumjPlvsq - MoVgQVRlXVgLrUEEzplwOrd1d3RvO6Lsg4ePO9vaVBTcGRm7eX3oyPHjmbqGhtaM8NPkJoVkmURi - X1/f268e3YwUs+AWHBVYDkXQHJxFLioA02AgzoTPYfIbWF0WBpwBDsiCwAgOs1IbHZlAAYZzw7xY - I7a+g5TkIu2IOhGKyhKCDRhlLK/mk1XXOViUpRNxESsFrbiGAONwPN9J1fmVciXbWLd33+GajtZ6 - j3kIgRBGQDFYWRKywlEBfMABgGRIoqJ1pGML4zuOw1h1gjMiCAEQSEU6qqgoZIY442SlBbcmm82k - a7OcI7+yUpxbFBqWERi32mq7WenKDbgBgSCk8X3rkef77bX1XzrxQn839wFmY4LhZAEyhmvLiVH1 - EFVjPn9G4Km+9upaztjaWv70qfPjoxO+n3nzjTdffuW1gR3bOdNAHBQ2pu5PPB6fHHm05oK4jTf/ - CEETDCcjGAyBWxAYrAcJ7YExWGhhyJUy4QifkbC7B/rfePlV30sycGjoCJwBBAUEDJGIq6B1trnl - CVaFipDZXOARl4Pc6J2r14eGph4tfPc7v/76V7516KUvuclUSkawpaWZp0/1Jf7JJdeEzFRPVALV - iQvNKCJhjLDaKEVhlRQvCAxSIJaQlZJeLWzMh+u5FG9oTQjP+IgYGShtLRfc0zHFgVUKVoAAQYqZ - CDYyZOdy+Ye5oAiWSifbkiKlIYUCZ4yIjGXWOkwSecp6jvFBMTEiZhgznAwZJTRcwdNOwpWOJmOF - bW9vee3FF60nE7AuNJmYrATzAAQaxQhMIssSoCJkvePMMRvGwXJYWokrBaNc8GpnA8hyxpyiYuVK - zJTxlJJGkzWbCwUBpACmLBliVAXTb6Imtopzt7SlLW1pS1va0pZ+cWL/8R/5f9Wm17rZFP+zL9Om - FbD5EwTLQb5wBIfWEaA1l8pJKu4HQVhYW+Cq4DBwUb2bZxa8UrFrxXChWIk9L5VO1Hssa0PPkenm - jijVVIjZysKq3ShLi+r9g4GKIq1jAoEEyIWUkBZpKDAJ8kJKVgw3Fg6pBCPPkA8hkAClDM9qIT0n - ZpWpmcmr5cLyZnM3AAITApajrFdzxfmwPOdZ1ZBMpd0WZtM2hLEhF+tggZNgqVpF0iiIWHkicrgl - CwvOZdpPNydrmg1oeXm6UJiPo4ogCALAwphr6xt4BowkuNgMIROAAGysdBQL4YBLMBYBsUQYRyO3 - bq0/mW/L1H31jXcP790vOSAccADaMGO5JcE4k9xKBqRJggSUtYZCyJBkaFlsyIIMLGkIaFjFEXFu - iJEmoawASeIOyAG5cGu8rt4DO7Yfa0nGD27MTYw/mct/fm3i8eJGx/aejq62bF3qpzBTS8xS9elL - Ro4gCUs2VjZWz9pUNaCZZKnazPaBvm9++5u//du/0dbSMPf04fWh6/myAa+xfkO6pZtnG0Jry8Uc - SusuwDWYjgELixLxkKRwU5zcoBRYpSQAR0K6IfcjEKfIVatRbtFEGgJwHDIWkQJkIZCLJS+vM36q - rq2xrtYnn0GYMhBVdxhCSJlItXR1vvuNr3zrvbeP7uttStqwsDw3/SSMYnI8uGnrpsNIz04/jUsb - ojoLGKxwIBMQCcPBRDWLaPMmM51ItTZ0ZN2GuIjphw9yS08E4ACMwW6CP5VBXFSVVV0p6DBUmhny - GGCgjAZsMpVMJ9MElIrlYqH4M8BmtcjHmKAcGG3AOGeCEds0LRl3HUdyAWPjMKqUy0qBcxCRNiyK - mTXO5mLgQnBIYzMwHlwgVbLp2EiHMxYVTLhBFoAhWM4lwYFhFFuuDYFb5sTc0QRlAILrWj8pHJdV - TRtGpIFYQ1Wd2lgjimCNBSIgAgSDZFBRCEHwHXIkgSg0cTnUVsdkQ9KabXrUhWK8uFreKGs3k2ne - 1sKSPOYMwk9lGtNeQoSlpQej6zNPXYBtWtnVil/X8vqZBT2/sAKUOppZR2uakQYkWBKUSXXs6d7e - l2Fh+cnNe3dvjj5afhTU8WTz9pbmHi+qd0r797Y2bfOCuPD08fzDkaXHE4txRdfX1/bt6BEuhaho - EFjK1HU3du/0XJeX19TGgglKAFzX4cRZ1cSSCXgZMAkLYaumAxQlAu0YAwnlIuJcQwAShqD1pgvP - AB0bZTSYAJMwiKJ4k0/JCGSelc8y4Sda29q/+fX3fuM7v7Z3YIcKik+mphYXl0qVmDE34WXSfk1C - +iYOVVjUcXUkGa1CaEUWDERVzrU1nIhQLezl1gitjYFSphJU8lzH3DBmuQWrADmj88rOR2ypEhmu - azOmtdap9RIeUrrsEFzuOaENCqWV4sbsZkXfJjyZQZvFlZWV3DoYq21qrGtocDivsmABxYSVgknG - XC45oAwUALKcETPWaGMsLIOBC55xs9vrG1scKpn8/Y3co5hHcB1LQsNhcBkk2yw0gwEgQM7PZWxZ - DitheSab3Xdgz3/+m9/9yhtfGujurmzkFxeX5tfWK4B2uHFEaDW0cSy5MTnPkuNCTcVYGJmylpmg - LKo7F4gYGJFjtKuUtIw7KcmYBqyNNRSD5QCPrA0RGzeuUDFUAVNA7MFIC4phFKIYFY0SAJALK4iy - broBqbQhBPmcKOV9gAGRQRgrgAQ4gxMoVoFLfpI5nAHGqs0hwj2ArFbaRLGJFFPcFZxxjiSsm65J - ZJsFT4Tr5dzM0kYhgLZV30YbHZeVMhLVmuLNjSrAKKgYsVIWZIlpC4C0NuUgBADGYLTRMYx2Pbln - Z//Xv/LW7//e7xwc3FnIrY+MjC2t5LibStc3y3TWGCrlNlQ+hxhVm8gSYm2NIRCPtFVE1qlS2uEw - 5rluwk9mPARLi5WnU5QHNGJCRVedZZfIC4LKRmk1ApjjcicTahgQl3WOTKU4pW3erD9CZd3l/Kdb - 2gYAsSIXZderWBRKRQG4DAJMQvgJt649y7OsHIezsyvFnDaqup4VYaMg0jGkw+BbpAEHMEAZTsRd - Kx1wIrKu5MJoHYFZsOolFAOgQh3GMBFBGc3JOlojCqQU0ve5wPrCQmF2NgEwoohotRJG2krABVzA - sYAlclyk0yydrgTBxvy8KRSgoIGyVpGBgWMhY2ODMKhSHMIwwjP2B2M/DSZgsAxWwMr19dKN68NB - WfVu73vj9Td6ureTFBFDwMkykRQpL5CsbOqTqYRTHRGAgJVccYrIhiaOdEDQPphUhEBASzAHritS - nkhJI+JcfmVjYz0sljex6AzcheHQBJJwBDzI6hPkYFqpyMTKxmAWZBkgYDkiG62P37n69NHjRLLx - 5Ve/duy5l1NukhkTawPGIyGN1SbIu7osYfGMDsEQMRbABrnCamBjLUwh2IhMTNWYQXBuOKyrS3p5 - IzcfrRcabE1vbaKGpVgME4Mxzj1Ono4oKpugCB2CTMxYBF2GDVnCn14vjK1VNPdbm5sGmjMZY6Aq - MJoT4wQBCCYJnrYAS4P7JFisylqHnIyElQbcilQqm83UCE+sFlZXVpfKGxsII4A0RElRSdsqNoIJ - pBNIiOqg8pDuqKmpd7lSxdn86tNiflmraPOkoxEoMpAbMRXKISmdssYngtGxRQwYpg2pyOootir+ - 2fah+Tm0xpa2tKUtbWlLW9rSln4B+v9r3eKZe0s//wlAm4W39lmtmGWwDIDVFsoyG1kqaVGxzvi9 - 8Q/e/7uhC5/PPJ1WBpagrSmF8a3h8eF7kwVL9d3d23q6m1JOxgYpz+vcuVe2bl8pxKc/Pzt774Eq - aQ4FRAQruMMAY2CqGEgD11oeh4gUrKO8BpmqY5zbqKiKeRFpH+SyJChFmbbWbT0H9m4f6OAq/2j8 - zvWxiYWfFgMCDIatz668/6MPhx5OBq3Zo19/s6evywvLqJQBKNcrSa8AkVcsMuAEwUOgCBORgbUA - T7rJhr5dB9o6OgrF1Yl71x9NjWgVMjJCOEKkDCUUWGShAGJwJEwEFWgOeIw5UhK40Qi0UQwlW8Uj - ImUhY62KkQmrmAIAFlZNTU89mnmUL+SjMCbNXIArIFawJLhrZEIJj/tp4acAcJADkDWwMadYCssE - t+RY5lrmgARIgifgZsG9npb6NwZau5F/OnL3nz46PfJ0Q6Sb9hw8WN9UJ71NR1b93CMsGJNEHNpy - YtwiLhbC3JoqbMAoRBUbVcCQSCXT6YTVYVM22d29nTk1ISGWtSLbgnRtReupyYm1mYcu4DMwsjB6 - dX7hs0tX/vRv/m5i8olRLOkmHWK8ekPBZJm8YqyjYGN64ur3/vh/Gr5xwQRlWAviwjJV0Zeujv3g - 0xs5lW5q3da3rT3tKGkDUoXS2kK5VNAc8IhcB5xDBQnHdDclfVOwYZ5BW1AyU9+7+0CmsWOjVBm6 - cmly7M7qwtImL49TTAgZC6sTrErarXY5a9SnGlqyHbU+VmanHz8Yfjq/EKpn8zBWC/fGPvjgh5du - XZtanY8dboiEJVntCiWCta7rJhOJhIf5ubnJ+w9yOSgLVo1IqQTz9yf/rz/7s5s3bgKkYmW02bRu - 0+n2tvZt3d3W2PGxexPj95aWchYI4yhWFvAM2KYvKCE5klCurhhrgEQkaw33jIrSjskkmGCwCCxi - C7JgZDk3TBgiyy13qyV4TAJMg0Lf59KlKMbMzPTa6roEOIe2UGF13AHGWEv62UrCCcLhMKpiFLkO - WZqefPzH/+bfnT57Zqm8GsIoQBvoCKPjj85euJUr62RdfXN3i5v1jRAQid17jvRv7/FUZWlybHpi - fG1+PQ5isjCEQOu1QvB4tnT20v07I/f8DN/dX9vX2wjEobaxcSDrZENfY0t7QwJ6cWTk9vXPL925 - t5FI1m/rbKjr8eMGp9hYrxP1yvLo0YPpO1cfj958VJtu7OrsqGtIuCkJ6BAsgo+G3t79x5oaGjdm - Hrz/vf/zyeT4Zh6RhbTEDA8jlAJbCqI4VExZCVggRKKsHKUhrUr7zHWgCcrCEjjfjMFhgBAwsJVY - acuscITrAzBRFFdKUbGgyhXoamEmAXA4T0jhcficEp6brakVToLg1KTr015GR/HkvfGnj+/nc7AG - HIaRqZSKVy5f/qvv/eWjqYfGRAnfNUZrZaqoBlgOkOOIx0/v/8kf/9EXH3+yMP4QMTQoAtdO6tbj - 6TN3JubLQV1TdqC3vj5hfEuuTbq8XvBETLakSpeunP4Pf/un808fKBNYa8JIRYXi6uLSjZu3HkxN - QYj2bd2tbe2bbGNGXsIhbmE1A3jV6GUAg4U2OiZrCDZWsbLVZSfT2Ll35849aVfP3jtz9tQPH0zf - z6sodv1Ic2s3o+nJbBawGtIGplAob+Qq5WIZysJKgCOoICwSGZ8jxYUvHddPsEQikgiBkEBSCCak - JsdKgGA1tFJWxJQKjce4m3KEayFR/TYJ4fleLZDQFhGCGGVAccahGTQBDEIYabUts6RJJNzqmKg6 - bhGUgrKIgBAEKCACqMZNN9pEKtLIryzb9VUXAGAkHC/BIQEyMTGZioS/EcTFoKRRduhZLCAEuJSS - ARpMQ4I7IAIZH0p2dbUO7GkNaX304b2rd8bLChYMUYSwAoB5niIowGg4BIdAgORwJIQUyphKFJfD - OLZE0nESyUIlKBYKlsA4I8FgDci4zHrMOtAcEFwS90iSk8w2tm9raetYmV94Mjr65P5YHJpqYifj - ZElGlhnXCR0qGVQHJTO2pra+o62zqyXrhJX8w4mJa0O55bICmAQBubXitfNXTp8+fWtk2QjEoHJE - sYG2MEhInqxLeu0pm1Jr5bW5xblZpYwxCEOUgujm6Oif/+CHVx5MroWBn0y4nAsDii0ZXt9Qd+jE - AZlljxdmzl0YWluqCOLQZegcTMTdpBVgFr5GOgLXUEAJiITHEgnmcKXjjbVVVSknnJ8LIzUK3Ehf - kiu0YMoaoyIyMSQgTDbJAAAgAElEQVRraWmqb2nmHCtzc9OjY4/HZ3LFUsw8WZNlkuIAyzO4fmHi - ytkbOoi8bDbb0dm0fZsxZub+g6k7d5fmNmIAwjFcRiANxrh0XNcYBSjfZ5xrgiHSxkRKh9aa6rAF - ZKUYbeRKlVIch0bHVgWR1coCmngMUarEY7fGc49XZYgwX7BxxcHmXkhotCKrOcFhwmVVwDk3AtqH - kjYyEYNM+907ups7G/Ol9fv3xqYmHkAbAMagEiplYQQ0EMWII8ufFQpwIbjD4SC2oVKhMRqwsKEw - hbRvU4kEF+lcnsol63LmCw7iJWWeLC8vLC9QXPJ0WRpjLDapQ6RAZcYCy3WE+OH05B//+b87c+Hz - 3HoFGp4RQjnYiEZvjn16+tRMuEp96a6D21K10rUBVABiKsbGRnllaePm1eG/++tPF2ZXmAlMeR2I - UMkvPH186e7YtceLSNS0tbX0N2V8W4aNAauUImOZMVElUjE3BEsMEMZqYoaRhomZ1cJUy+lZY339 - jt39TsqZnX169fz58lqOQxgIOCk4fgQUlQrimAMOwcRBsB4g8LM1DSee29+Uxer85PUr5+ZnZ4xF - qQylESo28uDJJ2cuffrZKRvEvorj/IZRsSEYjhh6Kb88OjH+xckzF87ffvx4tViJ1SZaY0tb2tKW - trSlLW1pS784/YJYt8Yaaw2vpm4TjDHaWG1BxPL5wv37E599+snGenH/vqPNTc2RXpmeG/viizM3 - b43FOto1OLBv76DvutpGvu+3d3T17tg5Pr44PjZ69swpL5nf3pepqYPnNJFRxTyW84X51VUvne5s - SPe110tWbScnQ9X6hmrrr9lMwbZkjSXhZOvqd+/e9eTByPjT5WtXL8eplqDyYmtdJuXAlteLi08m - bl76+JPPllfj9u4jz794vLOz9RkJDgpkGLew1iijFCDAGcxmLzsAEHO9xOCefffuPxybuD80dFW6 - br5YamnrSNdkhXRLlaBQKm3kC6ura6lk8vChg1WvzxpehZxqra019llZHZdOY1NLIuWVFit3h+9Q - 874atPvxis0/nV1aOHXh/PDduyoKHcE9WQ3/NrAExi0jS8+4BpaqXeqcWcDAWKOJSBIxkDFWWRgw - DgMwAQjYuLWl9eC+XefOnJ6eebpw9uLi0lpn9/aDBw7W19VJ/Myq35TFZlgxVe/VWblUejQ1ubi4 - QGR7erY7juSccc4nH07eHRkpFYvtPTu7u7oc1wEDl7K2rr6+oTWVTD+amro5dKW/o6GjLuno8sba - /OjI0PmLVx8/no6LGbLKldxarZUWRCBuiEdaa63WN1auXb3UVOeFpZWB/m0JX4Zh6eGTxbNnL4yN - 3ctkanp6e/p6enzX0aq8sbZ6fWhIi9qGtp119S0ZnxwKVhdnH05Orq6sMEbpVCqdznApXc9vamoe - 3LN3YnJqZHTs4oULCT+xa3CwJlvr+QnGRalczufz6+s5znmmtrZ3Ry8X4JyLTKate9u2nt4r03N3 - r9/4tPmj5w++0FbX4aGw+OTuyPWTZ89dfvL4sQpjN+MYa2JjAGYMjDFglEjV1DW3tXU0PigW7t+9 - dfnMZb2jOUyDlZbnJkfvXDl37ebd5SWCn3AQC6sJUDGk9LzmjsFDx64v35x4OHvhzEmpcoX9/U11 - Na7jW83IsmKhuLy0rHXc1Fize08fjLUAOGPcJcbjOCJovhk/Y621z8izjLgkErAwSpsYZnNwWTCW - ra9P1dQZ+3R8bCx7Z3igq4eCyDNUx6OOGg6HADLGGM6qfBJjqxRjBkNWGzBslDauXL9a2yUiuT64 - s68h2SBjsTI7f/bCheu37llK9vT0Hti/K51kgIZwGppau7d1dXU1r6/PXb9+sb29cfeePU0tLY7v - r66vz87PTz16fPnS8OpyaXD3gd0793S0dRMkrKmOTz+ZaGyq6+1te/zoyf37d4W35qU7d3d11WZr - fS4ASqXSDY0NtXX1s3NzlXI5MrKjd6CjszWVhBBVX9FoUCpTt3PXYF9f7/X5sXPnTnf1tUUIurZ1 - eZ7HhSBgaXl5dXW1UMi3trS2trXV1dZKRwBExGBhjflpyLs21S7jze5zAhgxDVJKC8EZEWMMxkZR - tJHLjY+NhmHc2dmZyWQ9P0FMLK+sTdy7t7a6mvC85qbGVColBAAOP9nc1NbS3LI4Vxi5e/Psmfoj - +7Znk0aX1qbu3b5y9sz16yOFQtnJNFaXD7uJwGTWcgtGRFhfnVhY/qy2NlksHi4dk231G45dXVi8 - dObs0PUbYRz37+w/cvhQKpngrLroMGNspFWkosdPHpXPnUk0ZJ7be7Cno9MnbMw/fTh68/KVqysr - 65n21sGdA12d7c+GGCMhY22UVoKIW1MlLQAwIG0JTBLxKiqEQBaspbV79+Durq6W5ZWZCxdP1zfW - Hsof6d7WS1yChNG2UCisrq7lN3I927pbW5trMzVhWJl+8vTRwwfNTY1NjfUNDRkdF1VcrISLTx4/ - zm/kajKZ2tpsKpUmIIYlowST9NMVfvORiHEmZJV2yRk9Ow1AV+0owRWsMtpozUCs2s2PZ69TSMYY - 4pDB8E2I5+ZfpmclwZu7o9UdRS5TmVovkY5jTE0+nBgfa2tttSAuZMoNWurhCmaMJU7EYcnEcaR0 - 7HAfPwV8Mq5JRMYaWAYNA6thASLW2d29e++ezNnL00+fnDt9uiaTKXXXbMtYh3TshBVWWVovrS6t - lovB4O7djY31nlc90YETYmOtMbQpxjmfnHy4tLAopexoa6/N1nImVKRmZ+dGR0bW1lZ9z+vs6Mhm - 0ozgel5Pb9/evfsWTp25Ozz88Y9/fODYektXb31NmpnYxuVSJVpez+dLFWPMnj2DDfUZECGRbO3o - PHjwUO7qxNNHU59+8tFGWOoeHMgk/dzC7PT9eyPXvrg9PLyyCkMw1hhjiG2+oVI6zc1N27d1LS8v - PpgYP3nyi31HjmaztUqZuZmpW9dOXjx9bmVtg1haCA7o6moBzurrG547evTS1Wt37y2fO3smlWmy - lc6uZpMQecPIcLmS47nVpY215a6O1qbt3aKGAzAWFlyTsGBGa2Y0rx5U+9PjzCwxAniVbGAMjAXn - tbX1nV3b+ge6ZubVvbG7H/zTD/cf29nd35RO15Vyq4uzxamJydzSXGNNct9gVzrhNjS1HDp8dGHp - 1uOny2dOf1GIlvr397a0NbjcQay5pXwhv7KyHFQqra3Nu3btBGCt0VpXL2ueLcyb4811vaaWlpnp - meXl5eHhYSKq62go23Axt7R458HFc+eeTM8QiLTlFhybfHlLMARljdKaEfHNVa0aYVdd2ZjD+eCe - PU/vTd69c2d4eDhTkzWG6hqb3ETKgBgXQRwvLy8vr64KKQ4c2F+TyTiSiEAgIqZ1XOUJWa3JGiJe - m61NpJLB9NL4+FhbV5uX2m+YXisuP1l4dPXGtZGREROFBMXYz5eNUvUqkYgskM+bW7dueK2fezo6 - 2N/Xmkk7prL49P75cxeuXx8yMD192w89d6gmm8EzqoYBKaW1VjOPH3/y8Y+bnNVgf3N7Xcoju74w - e3ns4fXrN3O5XHNfX39fX3try+Y/JV5l1TBrbRxbY6iKqdAUGZCQjLPq6XCzrY2xrm3dL73y0szS - 4pOnTz/79NNKHPfv2t3Q0syEBKC1Xpifz+fWVSXYu2tXa0O9sYBGprb25Vdfnp2Zvvto6tSpU5RK - 6ki4bqZSMksrj+6MXBm6fmNxYVEpI4h4FTJE1eOE+fn5c+eujdx9VJNuPXrs4LHjBxJ+3f+Hq/8t - bWlLW9rSlra0pS39p9AvyLpVSmmtPNdlxIgjiiJjDGdcSqcmm00lk++///6VyzdfevFLL734UqE8 - f+7CJ+fPn515WhJU//wLx55/4QQATsLzElI6x44fn5vJv/+Pn/zN3/7lles/fuvdY4P7utpbBxyW - nZxcuHrr1k9OntqxZ/Abb77a/NUv19UmIDg0wlhVgsAa7UmeSiSISGtYo00cOWSYdPoHdj7o28Eu - 3Dx56tSNqeV7Y2MvHTvUUpdam310+8qZsesXb9yfq+k/tn/P7gN7B5saAZYHSYRRECliTAgmmDEq - 0CoFSdV85Wf0OHDHOXDg4IOHU6fOnDt96tTw3dHLV4de+9KX+3fuymRrn0zPTE09mrh///z5i9u3 - d/8ff/InLc3NrsO0MsSJLMIodIQQUigLQcjUZAd273147ezS6NQ/fv8fZ6OMr1704rWnY1fOX7l4 - 6sKF6aU8PL8um6lNJ6usUQIDF8oabWJYE1SCSilwaxIOwKsVaLGOIhjNYQGj4qhsdAS41ZwSEIMx - TS2te/YPNrc1jgw/mlu6Azfd3tp2+NCh2kx6k8z7jIFWtR2M2WTVgXEYtbi49PnnX5w/fy6Kgrfe - erO3tyeTSecL+R/88Ic//vzcaq5cV1vX1dXpSE4ER4qGhqbt2/o6O7qH7z/+4fvfn3kw9uZLR3lc - GB+5efXyuTgu9vfv2XiwwZnhzMZhGEWR8DkgiAtjwYUQgoyxf/XXf/WTT37wG9/9dldnay63+v0f - fXzn/lKgUgd279u3d0//jl7PQ2Wj8vDh1L/5oz9aL7H+PSdeeOHVnq6mhDQ3hy6fOXPm3Plzbe0d - 3du2t3V0+L4PIsb5l998s1AsDd+588EHH9wdGTlx4vndg3s6Ojs9PzE9MzM2Nn758uVMTc2R5479 - zu/+XlNjSrguTKJncNfREyduLXx489SZRyNTM7/0nb0DB5Ki8smP/ure8Lml+bLnurWptE4klYrL - cajhq0gzHTkJzuqaunoG9h04ND308OGNy//7xuK3vvzCge1NazOTJz/64OHoaPP2/mQCJR2lpPW4 - JaASBJKAbPPLX/7K8DI7M/7Rj/7D3w5fPfXS84e+9u5bDfXNhXw5CuLx0bGzZ86WS8XXXn/hD/7g - vyXGGOcA41wyxqI4DMNKFIVVfu6m82NhwYT0iLvGICiXo3IQB56t5t4J2dLW2dzawcXtK5cv593W - Wj8ZbZTqvORAW21mV2em3gOR1kqTUy0kZIDDCNJ1SFaKZRCclMN8958++Oezlz75xntv7d0x4ILO - f3Hm7q27y7OLde3tR48ef/P1F6xVVofgMtZo72x/8eXjH37y6WeffXjj5rVf/bXvHnruaENj6/jE - gxs3b5+/cGl2br6ru/Pdt7+5d89zjQ0Nz8wJbU1EwjY21px4/vDq6tz45JTFxuHne3fv2un7iWqT - qOMk2tu37ejrv3Tx4fJyzknWHe9oaWtr4gLPLAmmgWQyvWNHzeEjhxefbHx+/tSf/tmfXr1z7Vu/ - /K36+vpkKuVIOTQ0dOPGjYmJiXfeeefNN98UAwM1mQxjzPM8xlgcx0EQqlhZC2OMMQYEIQRxqhbe - WiINkIW1VmslOI+iaG5u7t/+2383Ozv35Te+PLBzZ1Nzq5DupctXz1+8PDo6sm//of4d/a7rcg5A - QMi+/p3Hjudmz45eunRuZurmd779bntjsrA8+/7ffa+SW5TJzmQywVyhdEQMz/a8oA23limlAIuE - /+lnP5m9ffuFe3d3vXxsXejPP/7Jg6Hbhafz0nVfeP6Ft958M5VMMjBrrY3jIAyCIDAwWunpp9P/ - wx/84SsvvPytr73XVls7cv3yFx/+YPLRU9Gy8/iJQ8efO9TTVfNs14ciZYpBFISR4MSt/lmTBwhM - QLhMSKIqUpZbg7rGlr179xw9duiLk59fH7q6sLj66v3pV157ta6h1pLdyG2Mjo5dGxp6cG/yN379 - t955693nDtVY6Os3Lvyv//P/smtg95HDz7304rFkguXWF27fuf+TT09PPV3v3N7b1tZaV5dmQBCG - RgdeQhpjma7GIGqQgeCu47qOZ42N41jFUdVsNTBhFAZRGFkVmihWymrDN08PqKa6A8S5rJ4d4yCI - wxDWfYYb2iRLKBjx7HWDwASvqalLJLJhgKGhIc0by5UKFzKRSDTXyxePD7TUcWthrOYCqZRHZI0y - xH9a4KlhEBiqRNoYAxOHlUoU+VpowXnbtm37Dxza3tt3dnR27Ifv3x2+9Y1XD71zYk8m4WgnvRaY - 85evX70yND8z/6/+1X/zyssvd3Q0G204B3MYEUkpJXcJxlqtYnX69JlTJ0+uLC9/9StfPXjgoOt4 - G+sbY2P3Pvzww+npufb+wePHjnZ2NDGASzm4d+8baxvDI2PXhoZujU48/+obL33p7eNHDoXlQqWQ - W1xePXn2/NSTGcbYv/7X/93zJ04kXQZQa1vHu1/92t2ptUu3Hkz9xV88Xprbd/y5zvbWiyc/v331 - 0vKTuykKk0nkN2CtEZI5DoigNRzBe3p7Dh05NHTz5tnz58aePnn369/o6+sn4j/+4P3Hkzei4lwi - kcxSthjHcVRRCsxjAKurazjy3NH+gV137y2dO/3F5KOZm891/8p7x5uyICevae3qjalrly/euHbl - t3/r13/1X/5mc7qFGJRSQRhFmphwMpmM50qtYdkzF9GSVrYSxEYpIYxbjaWzFsZSKtM/sOtrX3vv - /R+dG75768bIjZffPH70pYODu/c9uDd38+r45XMX9/T3vP36yxv5fDqTqs02fvWrX19elVNPTv/9 - 3//thRttB4/ve/2NV+tranUYQ+k7d+6cP3+ukN945523/6v/+r/0XNcYXSmXk4kE50wrzbBZoe2n - Ul3d3S+88MLHH388cf/+v/+7f5/P53ce3L1WyZ2/ev7OyUuPb4zafIm3tSQTCU9KApSCALgjLVEY - x6VSScdKgCQYoGENrCUpHYDBHj16tLC4cub0mWvXhu5NPLg1fPfw0WO9/Ttr6+orUfR0eubCxYvD - d+9mszV/8Af//Z49g042rbTmjDgRY5wTiGwcxoKMkG5zS2sqlVpdG/3k048jRMIXltuxyZGfnPr4 - xvDN6ellhIZgBKfNmWcAbcCIwBgxzrkjIfzE5x9/NHTm5Lfeemv/jt4kV1fPfT5268qDpWKmfcfB - A/tfeeFoLTRsHlwAEXHuen6NqFkKyuND1/63yQu3nuv+9ntvR4WN8eHb//DJyQe5SrJ74K03vnTo - 4IGWliZERRCBSS5dxhiDEWQYzGY9gLGRsky4UjrSMoK21V0bIfoG9/xypubkufOXhoaezM1duX7j - yPFjr3/5zWQ6DaJyqXzzxvXhmzdHhof/xz/8w1/++nuZbBZhkMnWvvXOOxcvXTp549Y///OP53K5 - p48W9u87Onr3wcUrX4yNX09lWHtb+8TEY8F5bTbrSMk2T2ls6uHU+99/f+TuVENdV6Gw1tfX0dZS - /9NUvC1taUtb2tKWtrSlLf1i9AuybgUXBJQrFSGYlEIIIYQkxpRSA/0D77zz9tTU44eTM+fPnxsd - HQnj3PLKE6Xs66+/9kvv/eZLL59IpxNhGEinWtQknzvynCtq62rbr1y6Obc48fHHP7lwkXluPUOy - kFeFMMrUZHb2DwwMDHiehzhGHEBYC7IWxABrtIoBSwycCUEOMzGs3tHf/9X33nPqOz+7Nj46s3Hm - 9On7d2/U+JzH5fX5Jy7sO+++u/f1bw68+F5LIxgDlAIDpCNcVxkDoyWzviOkwM9jJKwGoAA0Nja+ - 9trr0vHOX7z0YHLq9u3bM/MLqUyNdLxyJSyWSvlCYWBg4LXXXs1ms4yR0RCcxXFktZJScs41wAkG - cD1/z/6DxdffnI2vX3u4/slHHz64fb5GVHiwEhr1jW9+c3px4fTNiXKxkFtZDPQzdyAIjLXVmA9H - Sld6opqybUKBCFwIkbBWAIwLcl3OBSw0bTLvBKyB7ze1NA7u3TW+Gs4/yjV3b+/t7WttafGcZ9Tj - n+XT/Zw2A8cpncn0D+wcHr59e/jh3//DPyRTCddxrdVz8/MNjY1f/eU3X/7yV/bv35dIwFoQ2Wy2 - 9vDhY6slEp+dfzC9en3o6trspGfDKCgeee5Yz/YOt7537ns/LqsgCkpCcMdxgQgWSlttUFtb3z3Q - +97Xv3792rl7Yzd+9MEHnsPjuPLwyUKqpvvAnhd+5Ve/e/TwXt8HI/i+39bWduLEiXNXRi9dvPDg - /qNMgksK87nlOFb79h/4+jd+6ZVXXkkmUyBmjeGM9Wzf/s4770jXO33mzOMnT0+ePHnj5s10Ou24 - XqUS5IuF3HpucHDw0MEDrhDVdA8I0TO4+2teZkMmf3xx6MG9x59/9tnQ5Vs+K6nS/MEjR/bvPnj7 - +ujE6KOpXIELLjxpAdflbuwgCmBNU/u2f/Hd3whTZz4bmph7dP/DH80PZSSLym0Njd/8znd6dh36 - 4ZmbZ+8+TQnrkOaA43mIKoBs3b7zK193Tbbrk9MX1lZmL1288GhywnOTRiGOdLlUKhfLz584fmD/ - AQCMbYZIxbFSsXKkJGatNajiGImMMQRmLSpBrC13XM93naQrXAm9CSvxGto6dg7uP3joSfn+4v3x - 0b/48z9lgTq4a0/L269q2wkhQcQZdxgYICRIQ4ch58YlJ51IW5j61vpf+fX/bHp6fPLh8IXTF25d - vOggWplfcXn28LFX3/2lbx5+/XiSQUNzC0D42bqDhw9mGrONbfUXLly9PTzyk88+unx1yPXSuY3y - Rr5cLqu33vz6iy++8Nprr7Y0tcRhNcYNjFdLjGIvIQb37Lh6LUMstsx2drb29+8w2mijOROQfktr - x47+ndeuPY5tJSHR39/b1dVWNeFMNbEKkjOQL1948QUPNZm6muF7d8fHx//6b/6aMUbEOGMbGxtx - HKfT6fr6+tq6umQiIQSPYxUrxRhzXNcYo5QyBlxwXs1vo59NJiJIIYgI1lSr813Xa2xs6u3tW1xc - +vTTTy9cvOR5CSbk0vJqrMzx4yfe/crXXn39S5lMijHAErjYuWvwS0HycVEG9xYWFmbf//73k47y - SbW1tu979eWmzsG//sfPFnIG0JwTYwwAmAAJY8ho07Sj9zvvfSucnduYnDp15vT5iTtFF8tzC762 - R4489+5Xv3L0xHEhBHsGkSUpXc/zk8l0uubo68/3vXTkk7OnlpYW//Iv/iIlZXFlfmNxae++A4Mv - vXvkzV/e1lkLoFwJ0sKAuGUymcn6frlUyFsV8p8uLMQsE0FkQh5LIaoIWwIDZGNj4698+xvtXXWX - Lt29ffvhmVNXRkbuOT4DV7FS6+s5KeWu3bu2b+urq2klQiad6uxqGtzTvzC3+NFHP7ly+aIUKo7z - K2tl5tS/8cYbb7zz7sEDBxwHFnAcx2obhiGRw7jEMwgsVFSuBOVyIKUrhNBGw1pW3blyXC6lZhaS - cymkEBzMQEeV2NkMXqIwVjpWEI4ENhsW2KZhTrAcnEOyampdNdnKk719O06ceOnhdPnuxOKdO3em - Z2ZBdODAwa+89TyBWXBtFGDAlCXleo4UIrKhII8D4BwRQkOKmOt5Cc9h0JyBcQ4bwfX6+nf+/u// - Fz0XR34yNDH5cOqTjz+ZuHbaFdAyEVg5t7ye9NPHjx/r37GjsaHekbCCVSH3YKw6XCMVk7VCyJ07 - dz5+9Pj+/fs//uijc2fPV8uAC4XCzMzcy6+88qWvfbOvt8f3YAxAaG5uPnHi+d+L9Mmz56/duntn - +M7jmcVPP/pnqyITB0Gky2Hc3rXt+PHjnR0dnFFYqbjcyoam544d+/avBon6a6euXB26dnV06n46 - 4SMsNzY0vvvqb1VW7s1NXl65HhujtY4BaINYKY/r7m3dr7/xpcWVldNXrk48nf70008vXrwkuHQk - Xn7p5cH+1hs3btweWyutWM4t59W3iBiXmUz2V3/111o693x66vrE5PTly5eWZ26nnMDwRsPqF1di - FYW7du3q6e3NpNNGgRwIIRw3wWTCWIrCEDp2ODhgFaBjMMmdhHTKjpTCah0FnAhCAgq60tTU8o1v - /JKX7Gw9O3zh2pV79+5NLz46mT0XlEiHcvv27tdff/WNN15tbGiAMET+9m1977z9tnBaTp2/vJxf - unzp8sSDewnpcgsdxUEQWGuOHz9+5MgRR0prLQDHcarLlOAgYtboOFKCi8bGxrfffrtYLF26dGl0 - ZHR1dTX1UaZiA0WqrSb7O7/7uzdPXrzy9EFQqZgwJsBaW60k1tZI10mn00ZrbWMiAcbAAW7AYBFH - Kk74/pEjR/5v9t411rYsOw/6xmPO9dh7n31e91l1n1V1b71vvbueXdX16He73XJkK46iKIqQkvAD - ARJGCCQLRco/JIQEQUGASYj5AYkBEdpYCBI7gjiOwHbaHbvtdNx2t7u6q+o+zmPvteacY/Bjrn3u - dQL8c/86Q1dXR0dnr73WXHOOOcc3vvGNf+tnfuaXful//cZvffPXfu0f//M/+O5yZ1dCHFI+Xq/v - HhxcuXLl1ddevXLlymw2r8ucAHdLKUE4BIkhgrybLd546+3VcZNS961v/eHXv/53f+O3ftPYM6Ui - 6YP3P/fDj+7+L7/09xaLPgROIyp4DA6g7GAHwf3c2eU7P/ET3zvWj77z+//oV3/1n/zq/xl9fefD - P9hq8Nprr734hZ945q03/1gCSdSJzW0265975lOfev76//3L/+3v/M43/9pf+30b1se3b9+9d/D6 - a289+87nPv/eB1cvX5k+BQYF1igigRCELI/DGlEgohzbIZfVej3YMTzVGrHq+nfP7P/Fv/yXb/7y - L//K//EPP7pz51d++Ve++du/0/YzAKvV6ujwcNF3H7z3/tUrV2KMMANxbJvF9vaf+qmfbPf3fuEX - f/E7v/+dX/j4v//7//s/vHtnBT7+0he/9PhTV8ib/+Q//s8BH4eh7jgOrIcVgXZ2dtqm7dpma7Eg - UPESTmRXTu3UTu3UTu3UTu3UTu1HYvKzP/uzP4KvqToJOWViEhFhXg/Der0+Ojp65VOvfOGLX3jo - oUsxtoeHxwRqWjl7du/JJ5787Ptf/smf/OlzZ/dCIPMsCiYB0XJrZ3/v3Nkz52OMsUGMJCpwzZna - dnb+oYvPv8mr20AAACAASURBVPjiS5/61NOPP7q76NgzSoISr4/vjnyQ9dLNZ59/5slHrjy06JTM - lAE4vOi8P7u7c/HSdTQL7pZNDI1SI7SzmO1ubz3x+M33vvCV1z/zhRvPnJ8rGkewNRggsfX4B9// - eOfitUeffO6lF547t6i9pA3O5uwgokJkHHR3e/vylav9bN52vROrBnMvxdq221pundk/88FnP/j0 - W289/PBFArm7KlWphBgCMzlRrfFsYYtZu2xiWJxN0lMzFx8i593l7PqNxz7/lS9fvv4It/OHrj52 - /eYTTz/y8IKMfETGD354x7nZPXfm7fffvXT94SBgz+yjIsMtrNe3j/KxLHav3nzzjdcf2ptthQrd - hokXxEnL0T/79u/97nc/+e7t9a0XX/30W2+8/OJN5fvd6njzrwY2PJXmTk2nmyauh7WDWISYQWCR - cxcuPv/Sq1/56k8898IrD53fUgYAgccg865d7pzV2LezeR9l3oblvLv08EOf/9znX3/9zWs3n72z - KvsXLj/71GNPPXr5zHJGeUAeV4d3b987PvvQ9edefOPHvvrV7WWvSkeH90Sl77tzFy8//9Lrb73z - ufff/+Dhi22jEEIgVykEIm5zkdi0jEKw5fby0ccee/XV17705S8/8eRTUsEbImLp+n57Z/vSpcsg - iiHMZrMmNkzETH3X7e/vX7165e233375pZe3l9vKFGDwAfN47uyFdrmr7Vyl2ZovQ2i6lm7euPzu - O69/8O5nv//dDz/8zvf/6M7BW1/40uWnn9nuqSW0MCoZ8NC25x+6UiiGpp01Ydk3szbsbG+/9vob - n3nvg2dfejVTDG3/7FNPvPD041cf2gsMKgY3zJd7exfOP3RZVbdmXd/F2gw+xiaEsLu9c/2R6++/ - 9+5zzz+7u7fNXMU5ykcff5zHuJife/21dx69cWm2CJCMKotKZGl1fHh7WB3N9y69/Mb7Fy9f3Z1D - CgIKxNBIRLa4FRf7cbEbmbe67tGr11549olLF87EvgGLSyhEDCjADM4roUO//fvf+OY//7Vv/rC0 - l//sn/9Xrlw+t7MVxvXdyOhCOLt//umnXnjjrfc+/6UvP/zIRREQSksIBJB0W1vnz17Y2Tszn2+x - xqANkYrGruvPnjt74+bNL33pi++889bjT5xr4lR4XgmbRG4luVnXtavVENvdGzdvvf3OZ19++cmd - ZYxaRBjEUpx5lpKcP3flyaef/uDzn3/kxvXZojZjd8AULCDhsDPf29/Z39nb1TaEtlHVCrMS0XK5 - vHLlyq3nbr3w4gvXr12bz+csZOYppTt37gTVGzduPHvr2StXrk7txxjA1KJt0n8hCPOke0LEzDGE - YRiqS2EWBzHL9vbOYzdvvv3OO595990nn3oiRgCQkkFFu7C1fS5pr/OdRR+6hvsoZ3Z23nzttXc/ - /elbL75+b5V3z158/Ma1V1+6dWZviyiDyvr2x9/6zh/9yj/+zdnehT/3p3/6yWvXz8wXP/jkY3SR - Z+25/TPP3njy3Tfe+vGvfe3CpYekiSLCFaUxfOs3vvHbv/mNj+/efuXdT3/wta808z6wjKtBiZaz - 2SPXrn7mvffffO8LL3zqlcUc0UHj2LDDS8n5k9t3u90LD12/eevFly/uzwUQIK+OVge3ifzclRsv - v/nu9pnzswiFsY0ifPbc2b39/eXybCkUY0/ixTIYMbbLreUTjz/5xmtvPX/rhYsXH+p7VhWzJBxU - GwbnNBByjLzc3r/1/CuffvuD9z744Oz5bVUAqDXFVkpkYZKJWYsCL0dHh0frlUEef/K5J5967uy5 - s01jjDFAju+m4Z7t7J154pUnn3n1WVaoESdXEWgCDZ98/OEqz+L8oTde/+Cpa5f2uirqicJWyAQu - IAExGhSuLbrCIkRJEpcc5m2/3bZN37WPPHL9uWcev3hur2skZ/3+9+4s5vvXHrv88uvP7Z/fdodQ - YEdwhx3j8PbHh2Pce/jKE8+9+qlXlr00DPICMu6ay1cfne+c1X6bGVsNN2QEgIPEdmd799azz77z - 6beff+653Z0eDmbIRuS+5vCsZLgLMzOL6jimGGIxuKPvZzs7uw8/fPnHvvrj7372c3tnd1gRgEBG - jK3Z/MLDD88XW6Hpmm7GIVopsBJUZouthy5deunlV955++0rVy63rVIalQ2Rm+X+7vLMbGvPWPrF - XJvQRL1++eFPvfTClz//bifp3sff/Z1v37721HOP3nr74sPbLUPdIlvs+/2tZdf32rYU42yxaJrY - z2bPPfvUZ95+4zPvvCkSu/nZM+evvvX2Zx6+sC1UBBlMxHz+/IUz5y/1s6WG0IbSqCmZUQfuZ4vd - 69eufvqtN1968cWLly5AQIJQBhsO0/FhWJx/7lPvXLxyc28ZAoEdZANxho1H1nzvzrj/8I0Xn3r8 - icsX9+Yd2GFJm7B7/tJya3++tadNmC/nsW2E49Z858qla6++/NK777z5/LNPtYsWamAPTb+1OLO3 - f4GE58t5N+/dndyDSBPD3u7eY48++sEH7z/zzNPb29tETiAVZt70mHU3cyvGzDE2e3t7KtK2raqK - SPbMShcfvvjKrRe/9sWv9E3nXfP4C7euPn7j0u5ZMSjIiv/gox80bXP+ysOfeuu13XNnetZY2x8w - IJ7IPJdO4/Zi69rVa7Fp266XELq+B3NxJ5Z+Nrtw4cIbb7z+5ptvPnL9kRjEzJnAtXlCKUxgJmIC - HIzF9s68X3b9glgkqAFO2N7bufn44+++//4jjzzGLLeevfXUE088cvWacuUvOLwcffLDf/qNX//W - t76JbvtrP/3nrz9+68L+3nB4oO5t4Ivn92898+RLb7/79hd/7OIjl+eMHiY2ICeY//6v//rX/8H/ - 5Vvnnn79vT/7p78W7DD60bhexRB3tncvP3rjvS9+5a1337/11FO7fRMdgIENltZj+f6dVbu1/8Lz - L964du3MzqJhMBdOBx9+/Ama7XOXH3v77Xcu7C+CFLCDKIRw6crlnd09DmE+X3R9LyLm7mZB9eyZ - M88+9fR777775BOP7+7s8KR9wBJ0/8yZ3XPnklk3X7Sxj6Fdbm3fvHn9x776xddff+X6tUfv3T28 - dvXRp5584urVq30b3JHysFodusl8trx546mXXnz+0Uevbi36OknoX0rTn9qpndqpndqpndqpndqf - kJH7n2izgRMhwNpC677+a7EyjuO9e3djjIvF/Ph4PQwlDTaOibiESE0bgsyEeuYg6rGpzVmAWqlt - akY54Xh156NPvhcjRLpxbfP5TjPfGp0SSU/jdkuEET7CE8oALD4c2qOmnTfoCJxg43EXWNlBBZ5g - I6j96MAPMOu7sLpzG+PxvGFP68jWLM+mbrESMLBliL6Cj8gFpRSL93jrUEgDloQeDk8+2ip523Us - Bk8+HIOYQiw5H62GewdHx8NYzEXDYmu7aVtiDiGqkjBKARyhFmKbm2UhKiIZZECPhHSM4R5ye3eM - d3Lrwz1b397uabm3Q00c4QdFxjDPgm1gCUM6gGm+k4bcyLyzFikCQEOpwYow4vgIw4C4dYe2fxDa - GLAEtuxYyIEOzsgOuo2Pf/e//ht/8+f/53/0q9/65Kf+3F/6ypc/9+arTxQDE3RTS0+1431l3UwS - Co5cpdx8HIequjgMwzAO47BebG21i/0iHccYA2orEgHIE8qYjA9HvzfkdHTX1wdbDe9uL0OMEHGZ - 385618EFuy3aYunoE8U6coLOjoaYaDlfkBvWq9t3bv+AUUIgDjNuz1JsDWgUUaAELmsbbx8c3HPe - 4rhztEolHTPSYtaqqgMhBBFlkUkpmMjNci5DSmZeSkm5HB0drYcBwGw27/s+xhhCVGUimEHzwOUu - ZIUwN4t3Rjo8Gu/eW7nHRVv2tmgW1sOd9V//D//63/v63//GDz75i3/lr774Yz9+ZT8sHcsyEm/a - v5EcHKV7a0upKHsl7HRt28QIiR8fDAeDL7ZmfURgcIGURDbCCrhxiUMux+vj49XhMA4ioW/nqjGw - iEhUYTHi7BiJB6KxeCrDMg/LIESK0QYOo0gjHK1A7JD82A4/upPncfvyWhAK5gLJGfkAOsCPizd/ - dGif5AYZvbZb3Wy3Fy0DfA3VEvtjUHJEggAdjpC/vfqtX/ov/ptf+rn/6dt34q1/92f/ynPPnD9/ - BjYclPVgQ2YPTbfTNFuZQDNAUbDu3Vt3rNbFzIglNO5Yj+nDDz8y8NZyFyQsQUOUwKqoGFxlTuWU - yXOMnMaVldQEHYfhOLUmSwjaiEZH8ttURqrkyjKzsS15lie0CRRqN0YjjAojjEgU0KEEy+UorQ+G - 1dHxMRGpatM2QYOqaghBlZnMvCa3QFivxzSOIcYYggilVCoyW8xADiIVBsxKDsJcyb6lAACRp5RS - TinfuXNnPSQNcbG1bPs5S2CRCvuNI5p0KCHBV+DFEWZ/eIT1wcfBxz5gFrglXzSKdvsohRVRADpB - 9BHlGJo/+fZvff0f/Opf/U9/rmyd/bf/tX/z00/furLY/ujwznHLh8HLkPZDvysti3gXsvKQxo41 - ktDx8Av/1c//nZ/7W//kt7/55b/wZ376X/9L8zN7UiwdrvLxcaQyCzJfLK3bG5UMiEB0l3REZYSN - KOWY50NcZkVkNAAbNB1yPkReZ12UdmctHB0t5bK6O6ZRg5KymR4cDuPoY86rYcUibdf1/SyGqBKC - sGz4e26j5WLFjg6Pb3/yYRMx67Sf7yXvjObSEMUJPWeA4AJXJxRCSkACFwhAlst473jksK3NrDiI - VqKHHYSHJQ4FCXmGtMAKR61pjwbFIXfAd4D1YTp3mHdJMTfEFQIBLXJjK4yEJDAFBcyRGCPAQDzC - +MPbR/bx3ZzQx9hoCBpiG1KruYuZeZmOG0tkASXC4yCIDDKHrtaRj1DuosjdVbyru9t7TSjQkoMY - xiOUNZpZRn8n69Fq5OGepgMvySVSaNvZImgjoiEgJ6zX6xA1BAlKZgXkQgDMShnHsWYZLJeDg4PV - 8TrnMuvnXdfH0ITYmOrK4YoZIXjG0SfDmJvZ3MGrjKOhHCfP45CG1axv51vbR+uRJM76NkYoIbrB - DmFH8AbWobTHxb97+4cHaejbuOzbRRvnYfjf/sef+/p/95/9nV/8xtt/6i989s/8O8+/dH2/wwwI - SCiOVJDLwTjeWQ3rnJmljU3fhXlECMXGtC7zEb0zuMDTva25MGMYV7FpCnXHSY9WyYePOd3hcpQw - hyxjt9c2IQhUAUUhjPCYDxqsMNwdbFG6c4fOlLFo0BLgxyj3YPcyL7+f5/fCbKnoRizLII0Da/gx - ipq1qxSPhnGVh6GMpQCujfY780UX0DKgBhrhxzaM4HlB98O7ByaEIHfv3bU0Rubd7W3Ax3HY2toK - KoCr1m6I7u5WihVzM2YOMU5SsE5pHNOYSikHBwervJJOQxeW0s3jDIOtPR+IpXm7pKZzcAYcSFit - ju/ldXtmOQpkGHc5IgPIpjYGBLCAUBxOyCXlPGb7+Pbto9UgGtq+j00LIg0hhBBiSGkwK7O+k03f - BC/ZvUQl2FDyityBCG+OV3kYSypwYm00zloJYqBUirkHin3DdeGqj/Dh+9/+jf/hb/+Nr//dv30Q - 9v6Nf/8/uvTM62fmfTk6yof3OB/tzWMr5bBZrme7R4QlsIDp8DGOj+D45Z//Wz/zH/yXd+dX3/ip - f/Xf+5mvdgV09L2j2x9zscCMdqa7Z0o3t1QWpDMCYMAx0r318XDMi3XYFtVO0TKiA2WN8jHMRt9a - 0VJbREMoA8QwCRDDsq3GdHi8MoeE8N3vfc/d9/f3Z33fNjHUnBmDmOAGOBy+Xh2PaW22zsWKCHfC - rcbcdqQxw+J6VZiaEEWlqnS4aDEfxhH3bg/Cs+WyIQFxJndh4VPu7amd2qmd2qmd2qmd2o/KfkSC - CQ6vdLMq3uhuRNw2re5qKSWl3LZt16o7W3Hz7CgxBkKwAqJJaTEXd3NmBoHgzNS00LjQcFGVRGIp - EAmuGhgZCCXCR1iBG5jAAg5bsWVGrJ2pBaJBGKACd4wJKGh1a6tlEga6nW31PpITipB56AvAjlgZ - HwlYjxBF6ETaGU3CcDIRTIWCtAxmwAwlkypAcJcQF9q03SyZuwMsIBaREPgER2cGDCkVFWaCm7uA - ADcjZjihOLhFP1/2bXSism+pbbVw34KIYYFFBbnS00rR4iDS+Uw9QJEUPhGjUsIqCkEbeID2fWwX - BNReQFQVzdgdRIRiR0eH3/r27/7wkx/2/eLatWvnz5074dvSBqGHP0DGIMCspMRMpAIgqkRrUxq7 - 2RzwYiVoQJgVSHKUVFm7mZ0IDGqC8Lzn0IPmkfK8Y6PYAAJnIomKnhEJCrBz280YChrAbSddIGKG - OZq2O3vuvNvIVCTOM9qEqbh6ulsmDnG5XBrNnVvVBt4Im5UkKjFGAGY2jklViIWIcjEHuqYBsbmn - lPu+r7kQZhLRGNQB9823MIMDOAEopfSh63bmi7kXooYzl3uQpDHmlIej1fHhYSmpwCa5C66F5wpi - OGZt0zUwp2LuIBYVDUZUDLO+7zsAkFKxPkADPEzygrA2UNR+PmuzWSluhqAxqqjCC9y9mIkQkQJG - BA0hBoLBCREB4nWiM4MogDre2tvxeQkAoHVIRUFt5WALd3sxtN7AKBBHhtYCVTOIlJLBGoiKoTg6 - BoiEDG6x6fp+t5tt9X236LJ0vQ0dRhLpSGMhpAHk0KktYPZSSKOQiHMxYuHZfHaeohPFps1mRKyR - HDBHyqbKAEpOQCEigFVag+ZcQpxvdbMMpNpEBgKouxMD7OCGXVklKApNDMjscEJAoNpxza3mH1h1 - 0c7jvN9aLs2diUIIIIK7mRET8SQbSAR3NE1sm8hcI2eICk16J+yonQVBYGFJKTEhqIAZpVguAKkG - kXDmbGMOYmFRYnUQ8SS5oApBBAqcQRSVd7Zh3R6XsRN0ImoZXuDEgqhoGZTd3UkDCEBDFIIGEip5 - ZCGa9ftdOG6o4ZJW6y3tWgS4jzCzEkMQMDtRCGBKVpKVru/39/e5aQOI2xmlJJ4DXEJThAzI1QcQ - kQYwwRVEHXdESATdaLOwRsgMqsoNhAPAcPcsyo10LIFYQSzaFHczGrOBVDUEnRQuhOHmKRUVJUQg - xVZiDLNelY3FoH1EV0BGyI7K4PtjmjAEqAAGOMhhDtBisQXpbUpiqUAJhCCYAQaNMKCBstM4jlEE - zIA6NGhYBhQgZoQG1QszEECAMty95Jy0NJMKDQRxvhSNvWRqmaXuj4LMtmYwSEJHCEgOVhQIVTUa - QGOAR3CPGJdtL9xMzHcI3CABMF+PaNp5izZEsV0pszyuSYLGhlhps+WRgrpGmByWUmam2mXNAWIO - tQYfcHUWXSwcIHdilia2xCh16B3FEMgRQiMBzCTaB3Wx4Ew+AzwIc9DQtk6oSiLFMQxD4xkECEMV - RIHo3P7+DpMqqZdIDi3jkGpmTYRjIykjF/im9SKY0MR52+hintzJiYkaZSpDHkaNXd/2EUgGKuDQ - lzKYe4ztmJJR6hrVELjsBG9tPMzWcbME68Q+NliGBAgMDnemMGtkkYRbgBlKcHMyAwfwTKVdhhkD - AWi0Tu0MJ0ChytA+hNA3fZklByAECKERBNyXJwIaFoYoC+/ubhmzMfq+tzSylfmsA6iUoipEcCtp - TKMbEwUNFZUrOdOmF2ud9EGDihJz13fJRgSCoIXAFVYC0TzqWA86J5JRDTrthToTAqzOTwiBhJkY - 5u61HAHFQBRiEzrR2KRSJg8mShstYGIQRfdibjllt9zECjQy2EFB6nCzgsM8xi7TmEASWIUDjOBA - o5pg7MwAVT0aj3AQBzOknDMy3Ng9KObLmfSd2CoEA4ZeeiMcA6NjzIMCaFoMw1hsSAnkbmkYsNVh - ttxedA0bxLloHEMYAQ4aHJaR16sYAW3bWSM6b0UdoIKSYQxmBjUwCxxrERMTAIIVwMFiuThJ3/cs - WgcthgBH27XMzFMpCaYyLTe4Q5ia2MXYsMRhLJm7ZsYM4khiBIKozpqTg1wxuJmbEyiw7O50TKxh - 0zeRTym3p3Zqp3Zqp3Zqp3ZqP1L7EUG3cKp1vvXcV2NHIhZRMzNzEWVWN+IoJeuYEiMwE3M9faLi - vW5uVYiT3L1URdS+n9dW1k3DpViqmqJA0BOebpUVExAJT5E/AcRgFSIHCqz22CI4REQBK4iBIiKj - AAI4sbBBDTx1/+bsrBCwgogJtT8TV+VDMJhYahW1AQ4ROCwbs5JK1KCbtvFjspPvPxkfMFDczWpH - d6CWA07QKEGmZzAPTKJEoQdlgAxU2ztjA6qaV71aIMhJr3JyCKG4uwPCEK64A20auDNA92MmgJCO - V3/4/Q9/+/f+2cHh0ZmL1y9demhvd5s3Qpwn0gi0kWqst+vuxVwqQmEGYnBtPM4sDGK4FefKNXYH - ocCzu4C0zhxhRII0QSIBCS7TPyM4qk7p9EJDAyKYIxl4kuisPdNj25mJW2LW6fF54gVn88AOFTCx - i7kHIeLA7Kt1pgqvAzA3d3OwuxWbGOtEFWMW4cC1ih1mBjhVzN2otnkjJxgDDGczB5MqZkoZoCJW - 3EBETMzmNg5DSYk2/ccnwYnKuHHjKZbjnFGcwOJUm81BFezIKdcEhzvVZvYMJqvQOJhYWTTGnGwc - Ej0QghncJ01orQwsdzoZRhYG1fGut6WAQTpChKGWsfsJXmwZ7oAoS0+bfnWOaQlzABHMGCaQ0Tc9 - 7pyMVEKrIaoKwZmcYQKwBIqROdS5rHLSxEndS8mmIYIjXDCaGcTQdn1d/VbgKOZMRETmngAlwFGY - QERmzqwCTnkkDqAN8O1V7DlMHW0ccIabIxMC1flbYbSNxCdBiQxmQIYoiBRMXN0DEcHdzd3drAB+ - Pwh2r4A4zKZhrBhu/f20at2JwMTFa0qsjhnZSQc5otg2YAUop1xKJlYCOZBGiACiKAwXOBwWwNIw - lSYSlAgsyNmKGwq8kpONGGBBKcWUEJiE3evkBoGaGNgDiEIUYoBqV0O4B4hscjpgJhUnsEgMcXJy - RLFpxY0sg+TE+UxTkgVEJ12cyCtYNEFtYIErSik+eS2uOUJmgYIjEIAiUjNdIhpBdYHCHGYgmHsy - RykEE7dpYsVZDxR4niYrwR4sIKnZwwcc9sZfG5zcWTgYCKiuiQnicKp/TqipgLpWSskQnqrHwdUn - o4C81h3U74KANx2VaFom9UNG4IY19CFmqgk2FINnAzEo1M87wXzyGJM7J7AKssAVpJDQMKz6UiYU - AglYiye4h5r3JCYoxZZFRdXqZuEwgAhRiRilUDYjkpMGnUSkotUlplRENARl1jQms/slPzrddoY6 - NAInawBCAIsIicANqbgo0QmA7jDzzSQhWIGJubetNAICLLNbgrmDHYrJqeXNUaQqXXhFxEgkgrVe - tRRmskLFWKHwaQxFIa4l5ZqBds+1nEgZDGELrD2hlaDZJzFq8ympKTAiLs6K4MQEBECk+lNzEFEA - waEKNAADygB4OlGQghVmhgSoigqD6f75gqoWgTm8Av4EYrA3kZMjA31kD62XUvdG5c0JkCX5aObV - 4xEz0QmuTVYynFgVU0c9aAzKaigGgwGlwIsRqcj9k8Bm3UOgTAUIIBGFbzbsmpXOGSyb1+EgJ1DT - tQ2mobPpLqaLqRCgZmUCFon4vmeWOsogAgpUZHLlUo8iBPiUhbS6i02OwwALcHEn83raNDKr6YDQ - sKADBpRUO7cJQAXTYUYZY6rlUETOKPVQISzadNUtKXFxV3gAMWDm2TyCwBFwJtFp8z2pUqpTo9gD - ifBC9RiH2raMyIlJVSugvTWbAzWLV1XpCVQVh31KJ9c2bCBlVTFyClpFtk+8ySSffuLrmECbqVsV - KTZvlviPJ7BO7dRO7dRO7dRO7dRO7U/afkTQbUW3HE7EQixceTc2jiMzd11PoGKlFFNEB8G5FAJB - xB3FCuVsqpGESjEiZnagDOPajZvYlwJzowARGDDaFPESC6hCtQVOIHlQhhVT8O0TKBZbeIEhW3bW - RqFT4Cs5pxoaBYY78uCmEA0620IpKA4mIYQaNDmKg7xqsW0oMBqmlvAnUKij5OzEqhICmyEXVyEA - OZsKK0Oiupm7CUs9giuzAUSC2KEUGI5WRyRN2wZiBiumyESi8NoSODAgGgD3VKiivwQvMIcENBoF - 7f3w1b3GMj6htTzdthMxPvzoo9/4xj/9vW9/h2Tv5s0bFy6cmc+YJkHbCjWjlumV7EaQqshBFGJl - GsLNSQgs2rSw4g5icqdKu9HpCQQYqQAglBqlAFTM0hQYOqFqPwpaRjEbjtax7UGw4iwEp3XKoSFm - uEEERNGRWBSMVKZImwBmeMGwXiHkEIFcQMZCTHAiEPX9bHpfALGoQkTMbBiHSsUdhiGEIKqRQ845 - p0KAqIrI9PZ9A70blSGJOhptupCgE+aQkdPYN63AVnnsZ/N+MRcVy4lK6gA3lJxFKpBDEEPJsAyI - sDqk+DSjhcEOBtqoAIphGEawSBBlSO1Wx3CzlIo2bVBWaU6gQxKwcSknUZkItVCFIxvMQV5YQDwB - VpVzCABOZSzuUgggZyYIW2EicdCYRyhUmxrLW8HEdyKoVIVCNFPwLsg+unbzbeAHx0eHdz75aL1q - HJItCQWSUAqIoIK+Q5oYmmQFKKZapyEksBtScg0EhjmCcrY8DEexiUIcAjGMQFEFIDfPqagQcwhN - mBC2DbLvBqIAFhtWzISgUKpk3JMQVmWaJVSrVNXKMIpbBZit2Gq1atuWmYdhFGERDRqGYUwpdV3r - DjMXIQLMMQ6DqMYgAHKxnFMTG2YYkFJipqghxEjuDoM7EWtsTtztSVMzM3NHUAYjJRwcHPT9LDYV - DAxgNhuJWwVESLDJObk4rImaGA5XqWh1QbIxEyiUVLzkIOxWYAaIwQjeaKBClU/NxDpB2bBShqMj - MPWL6+1GyAAAIABJREFUuTbNeljfvX17sb9XShnXo3a9MEOCF/dNJoY33hk14UEE4kDYoKV1QRIQ - oCQkG6jTmMmzlVJUpz3Akc1rkXRkZqpvykFAziNzibE9PhwFTdcrCFOtBjmIIQFEZhgTOFYc7aTI - gOGGUiwn5gn1RIgBAEkxL0ai1ecVd+eSBeEkyyUgZ6KgJ9Ato1EO7rCECr2KnPxxTaoxk1DtVVYT - cwVWyFAzikQgIozDcHx4bzFrNSoTwUGKSJNcEU4mB4DiMK55KTbk7C4EAUR9zCik/RwcADBhTCmn - se9aIvJivMHkSyoOF2YBq5Bw3Ajl0JTjAQAvxYcxda0yq2NqxkZAqY5FKia1AcFrvsIdjCbGshm0 - IdswplYa3jyDMrSrej5AKmYjWJo2FEKZ4ChUPLufLXb3zvaz3x6G9eHB7bZBI2Agp+zF2CFSpXrq - XZWSkzM0BBUFpBhyBiscyGYhRLMyDOsYG9JgcAa52/Fq6GLU0NQ3yIJiUAUxihVhV42ATLnJaZ7X - ZAeBA4hhSMWhVkHkyiy2lEOYIN6c05DXyaRpt4UIwLCGlTHMIhhAgVsVRnYzYgcKwdyoFOEIJVA9 - ZwCbLwdATdvW4bfixYyJRabk2Ho9uPtstqhtUt1KzeYxqJiPKZchtyGGpikOuFnxyZfV0TRYcYCU - SVm8GHmpORAylGIgrSTqE43dCuIWcxIiYEylyjkMY1aVIMQs2rYEr/IxbkZAKVaKtW2omQIWg7By - yMlLJhA4AI5UBlFimiDe6URWWdikLFFFybwRbgSlQAhSuzuajyWhaRqgU7TaoBTkBCdoiG2bYFGx - nEGBYoZcVBSs2NSjEGDFAHSzHuyw4Xi1liZIVAJEapK1Dm0EQyjW/TGXVPIYoxILQBJDvV4IwYrV - RAIzc1AhqqOWSzYrQFGVmsRel+QFbaNBVGjKf2xmAE+H0oKSi7lr3YCIa+7u8GAl3MzmUoqBjPVH - Rfs4tVM7tVM7tVM7tVM7NQA/OtYtAJCbeQ0uNzRNAldUt1gBoMLELhBozBmgJOLmgyOohgkAY3ZD - 8SJSNHjJNAxZWEXY3UCFwcoYDclcuWJjhFwbdt+nlE7UpQrdlYSSoJU/K6gksxOEl8ASsnkei6hE - gipZSSlbiAFVFYzYDTAwUD/sEyRco3wC4GNykGgAs+UypiwahPmElSP1AQlay0sdTHAzd6daNl3j - GvNkFkTBAljbEhETbBwTK3MIhsrOIIUDiREKzFkkVHCjoFYiEkqCKlDBjEpEEiaaoNsNTOGllFy0 - UXzvj77/67/5W3cOVg/fvPDii8/v7W4H3QSfXilnJ3dZQWoxN7iLSOVyEEvJBXAJChZYLbAVruRn - gxlUC8GIqRaSy4RJ2H1dNRKQwGAJFNFw0VaY3J1YBDCQxCYUABlcHxooudROd+6OTRxVMqwgRFUB - KE2kxw1SUCk/05x1OIhYpipEdwAiUltyu9mYkoioCBFKTiUjxsgnnBkCmEUDyFA8kxXxyq9kQENg - cjg7sB7HVIoGFYL4BmVmLqXU9lOWivKGoUpgYgenAgOCQAjsBjOQ1PJ8Y3LCWBDctFbcM2vgSkN1 - cyLmCcABMTPpZuLWF0lWQLUHETPgbl5BOXd2M2YFJMp9FAAMgF0iSMHcwMAksFwcYBYyQjFP4xij - MiEbgcWBUopIMGmOhrweE0mZdU0ThOF18XtFeQhwWCkQqa9LJWhjqFoiViv+oTq9SmY4nNljZKZC - sAoOAUTQ+oOIwtkLiIGCAqCKTJRCWjlQxlyL4glM8OLmTsGAbBNGk7M1gZnYUcfKQOZmcLRNI8IA - xaBEzExEEBFmYqod4+7PlBBCDbbdwUQxhIn+7lBVqu/AneFMNM2wSg31DS/UPefCzFWOcBhKzqXv - uqAMcxBXTp0wCUqlNsJhBjbARUTSBsedBjQVcGTp3BVObMaY5Gs8JwqsJAycsO6YmTda10QcY3Rg - ncZkGSBhcTMlCU3j5gUuxKBKr32AzFWBA65caZKJh7bhNzu5V6Iibxy7lZSIIBpBYhlGhYMzuTPl - ZNWlFQMqUELkKLmMMURytoxcBuak8cFdwolo0rgGbJOgqmRtCDHJBuOfsoCV/VqxTQJA4m4u5HXs - sWENE7uyU0WsBHBy8oQAQKa5PHkOwJ0qCbUuSkwfClw1xpmNUCdRjMLzeQxKlaRP7u7ZCph8osv5 - xIrVCJ9o/UKIMql20CRVwWCBFSuFRYMKU1MMQk5ca7fhYK2wFoEmF1lht39x9xeRtu1E1NxTLkxS - M2QbrAqhajWQIRUrZcKGSiFhFM5uqizKDTW8If1vSLtVKZURlH2CX/lkC2MGGIWI1FzWA0S4bdQN - xVE8R2HUqTrhwZbNCBYCmxUiYVKcSM/XV10RXoaGyELmli2xiDA1Te9OpaAK9Tg2W0ql4peS3FiE - KBrxsF6RxOrfQAYncwZFFqENIdLrnA0RXImlhZWrjASI3MCMJsA1Vo88JSVI4OQucCMUAMIxPHCq - MTczq42tpjaIVXtnyot7TZZW2nAIYcL5fNo+ptEnSN1LSCC1ZgURBHY3GE0PDp546m5erAizMxUr - CmLmqLWrq007kLubk7C755KVAhhVW4EAZWaa3uwGeLRaG8IiQpEkgijbcc7rRiIhgFw2oqylAIyo - SmQEc2MDyYmXIiVWA5k5g8Q3M2nyywRRpWATwR+Teg0JVJPTahy8N5QxJXT1pCH1cEVVsotg5iZE - pAzCmEYr0NiLKHnJuQgrC2NTZFahc3IAzgxEdebsZsVUAgArhUmYmIXceVoImMoZZPL97OQFDhCH - 4OylFIYwc90laZpqDIdlI3BQccCKDescoxITzNsmMokbpL6Jmnb+F5f5qZ3aqZ3aqZ3aqZ3aqf1J - 2Y8Gup0woJP/JmCIwCIbYlaFtrjiPqq1TxfVSjYil01JHRNKQVUdFCKI5NGFUSlpGzISCJhQsena - dPLrE0qpV2SgTAXMVLm3NNWEP4gfEINBOWd1VyYoxoLsUDzQp8Gca8k7Tp5pwz0Cwclq5bkoQO5m - VpRjjRkrvneiRymyKR4HNsE0o/J6MME2BhATkUitI3Uvbm58cuM01Vo613pKEtIKEZmjCEcn5Gxw - AgU4wQ1kVVqR75NxuAKYjlyZxE2//cytl28+98bzLzy3vZxP6hPu7pOcMT2AzT84hL4BDa0Ur8q9 - Ndy3AqbKcCwTL9nqg9b3RhtganNRhk+KFeZgt0gGFTNCDUCciYQ12shmU3zhU1NrmkoHaROnO+AW - YmXDlQnofQA/qrxsmm4IVAEbIhGpz6qqAEopZqaqogKg5GTFTgYEU7EnQSLMACqOjEmaMACqDCcH - s4SzD118/Jmn24cefvjihUWIVYUAImaFprdvG/U7Ak3xWQYqo/qBCV/Z3lUQBDnDaTMcxAI2O5lK - 7pvVUbHg+9ExAJAjsygzAHJn9/tv150cUnG1+7+tkBMHUNUktQnd90LkRFpfRzFzdyaQO1yozk1u - tTu7e/byk0/x2Dxy8dx81jYMI2KHuGye0cDmZaIKgVmIAqbJU5npU0rihFXECMzqEyKJglJBOXcn - gFUsm3smVndzvy9jQCTTkGozQSlEEyZLtfrWiZkcXnwSZHFiqcvIHU4sUWSilqucjJNMjMvqDjcr - lxB0kxBxZ64VwVPhsFRId1NOXlnr9Tbv+9hpdZiKsog5vBQCuq7CYQB4E6+TwCcy64bpWBNcNJUV - EzYCD8yh7ef7Z87eevaW983Octk0EZs2a1o1DLDJ+RAJKpgIIpKgu2f2H7nxmEe9fPVyEyMDyqKs - lrP7pBtB9zsbbjJeJzxVAHD24hOdkxxUnJgnDYQKHbqDOZBI9apmRVyIWmIxni5WEUamqm7pZhSD - wFFKhZEB1mksTtbRyTBtbnByc1VFvXKz68J0rute7q8iMaZJMagicXWQQTQJ2HDFZAlEddeSqUp+ - UyZO5HQiieEwIoDvs0RB2GD7HkWabtrZHQCZobiVKg7OgMOoYjYS4FolOAAXAaoEBgCWqWzE80Sj - FmbmlKeeeLCaizPmE8QX/x9WPTPHqA6y4u5u5OTTXUxunUBCFY4vDmbZvAIHCuoEZAR+4LonteQO - IUEIcKkc5Q2UX2EshvH29t7Vazeef/6HN27cOHtmd9pk3Un+GAUVANyYSJhLye4A1Vp4nBANJ4Vf - 1FScwwuskIApcGxKskn5xDABwrRZqUbFCMzECnezopw2OGiVPZGqiQ5A6mboANXEUqn+jYUDyDlk - hxcQIYY6Gg4ywE86WREpcZoWPN2vDABqMzJzs5Pf2H2Ikk7Wm5kToCEQn0gn+fT8cDiYGEK8SdjQ - lFugaeDqrN9oytfaAgicaqkNMQjKqJMKJ1d98PhQEydTRrgKlD84sTaqRUwkNCnp1oFwh1GVp2ZU - fHZSliDdbJgPJIoYbTe7+PDlJ59+bk3zvZ2dNmhlbRNQ9UcgKlWqfTr2YDqKcNg5e+7pZ5/BzuVL - D50rCRBMjolkoo9XT2q+yaahOAwcY8vkbgW1scNUb0AGIVICCAYYMxEUQEEplbANmhYrAUJU3dOU - GppWXB2NgjIRuyEQ8mz1WGJlkjEDUEVpHMabfdMdVnNdToDHWMVGTnDekxPqqZ3aqZ3aqZ3aqZ3a - qf0ojPxP/ATm/9IPeAA7ffA3J3wxnQhMDKI1MAIN0PyxP6cCjEACei86HVYZwGgIBiqAAuIFPj7w - qWgk+YEvVkDc4OMka0AEqJMmTLHfJkbB1HMG2MQ4dD/ouX+Or2Ev2wZtoPppd6AG9jQBtFU3YYP7 - /r++g/vxk29EN09wgwlpqS3RUAM4d7INz63G/IRCmDgiDpLKWjQDaMPSqldwIMEG+ABunBfHgAMK - BCtV3cIcbnl175OjOx+vh1FmO+3OuXbWN3QySv7Ajf//WZ1yG3IuHE4ThfNEBDkDa6CDyTTYDND6 - fpzlTeUCggA20ApQR+ObsIUwAlUMdxoIpwr320b1UWt4X2+aCcAI/D/sveuvbcl2F/YbNdfa55x+ - 3Nt9H742vtfGQLBFAk5EyENKJKJISBFSJD7la/4UJJRI+ZZ8AAWBTCAO3NjmZTmAnYSHbHPBYHCM - uYCNL8Y2xsH32be7z95rzRojH8ajRtWsufbe55xuGrJK3WfvPWfNeowa7xo16gQAcoTcwD1psZTb - aVBnYIqZQR4abV57gqDoihwY4BP4hMNhLcv7OBxBR31OAJ3AtzjVd7/67vm98/t0ePKpT+Njz54W - HIEjQKiOD+o9VHAs2r44UVFgI/V4ZW4OdQCGu8CBSrGCAzJSv7KUvultXwHAtlHhtXxI4v2ao0os - HTMWaCSiPVzkFus3cPuVd755/vrptdPyqbfefvv1p3hyALlHv2jCzgoA9YDnAICnwEF9TOTpGNXr - 37xdk7nFyhKCbsT9rYtgYTemDTzi1cii6gWFUSTAJ9BdHxIAK6DW+CJYNj238TgsJ2g2VMhjhs1N - EHgvmyrN0W3MFdC42gpZsVAlnECLBnYHJjGwgItUrMVyiAJMqITb+s3T8y+//84t8etPn376jbef - 3TwFZC2k9wseEelUbckJADPfnd//xjdvv/HNlevNJz7+7FMfp0VvWINwJRQNpNWkKoC53PKqGZOx - t+oLIpcZcLeok0IgpRTQAf5iXHpJvxs9iCMw+5oUdd5JvwS+s1EjSXOOYeO2dpVwAoTxTGAZawq0 - StxLeQJuCQy8iXXRXSYsEYjNdiNZROHa1Es3JWrQaps4AMCi1ILiO1YG0TRDQKzOuO3G7PfoLe3T - IO5ul7LjBf5Lbs18reKgiXdpVO5goxB8Cs8ijlFBFO1wjFTds0kssLULYazv13e/fP7Gv/rKe3fL - t/zW5dO/qQBPgSeoBwOOdmlEQGDbC4ZutTqT7ABuAAKqoBIEOAIHSZAQGHMhH6eQcT8CCGtJLlNl - Jh7UKaYwoHBjKQw6A6vgLHgqeCqJ45J5KhmygG2rG0sFPQcgeCZY+vWQfhHmYq5bqkGiNKFCpq9J - W/MsOp1/AoAUzekkSfvxIxCbL0Yp1oM/yRlpgbAAcAu8T3odIJ7oLWTaibIzwUpODpYkfgVO7zx/ - 91++996Xz8ubn/i230ZPXyvee4EsWIGVcXPGsgJPgINU8AnMOJ/4G9/41W+c3r/5uHzsM59+G68v - eOaiVtqwGjAFllLWE8jWRFCUMASEqqwtsRR42nsKITCFjm/Gic8eRaPAZbLUY8myZ28BruVaruVa - ruVaruVaruXDKh9C1G32SwyehT1PBQB3v0ws7mjBXsUV7d6CGQHNeA2LjixhAic/TYvlDI9PzpaQ - +iM1JjWHXmSGtI9h150BIKJmQgDQux78BKTniog8Y1NA9H03cOn42J1hxXoSgDQDqaQ2CzTPJzHi - UKCbzxbwpDAj4Ag6gwBiApc4KUiLBz4zqD577Y2nh6cMqocbfvK0xF0x3RpNfFDdEmbXQAQbikM4 - QyVscImHYZyHZSPACvdtuyFTPDNHhq+aaQb53sQPgNmJ3+hib2locHC0qEmxObZO0YCvIcMojLJ6 - GmY3PDUsprz+1lv0ZnlzOfLNTS2eIM/ibNlG2IZvfwwL0Jw8eY4k3aQpvw0DOD7KE6yA7YZ0LZIb - 3dbC6u6U7AOEey8kvnDPU2umHfUsr+GmvPaW3NCxlifLwodS7AoYAmMFpOCg8aCUxu3zqe43W5xO - LQpPMnK1VbPlVBeM/8eEo+BIOHQooL7gZu6DzPWsV2d5TLMEsU5oIRfa+f3eCr50kubQUxYoI0FB - i6/ysS0gdaCwzooCjRovre0bvZHt5vD6zeHwxmt3tErlw1GTAnQYOQxYB1GW5fU33nj96TMUqk8W - LkvjyzS4C8VdrvFHvHEUNafegLXhhyRghWZCpqfmYrLBcepIw8iDjdwBxY4gAC4oAm8TGaEvtk/S - /LZ9neS4ixdKoo116lVm4wnkhD0jt5FA+eSmjq09chLzF0w9iNXd78IstiQDLM0nniLsemSTjHhR - YQRND6ZEbdtK4YaMKOY2MeN9w1dknAWGnGl6FiMd9cphee3N5VC+/RPl9Nrbt8ZREfSahz5If1ur - XKnzJ/qWg1912gCjwqSjC2MUgalAdfwrnDsRmDO6Y7YFWIDV13SAsjv3QiQ0LuTg6NZwH6W7yV0o - jRI5b0SnCY8cxXZgGhrZ+0Y03fjuYaDd2Bv01C2bt+I2VOlbx3A1owBPnj47fOLZm0+4vF6ePOG0 - 4SeuOWjUqW1Xh/95OZY3P/Ytrx3eP7x+uoEnJU6oFfjj4kGFhXQTDJJdYlPKd0s0coA8Hnkqo3fh - Iy5z0fAjvdxz424fX6h8LddyLddyLddyLddyLR9w+ZCvGris9smFv+6v33eTjBJXzP1WCjUwav6g - KfZmAQ6GRWrTIxhc7U7VzHjTc6ldw51lgm50D5nobILJmDajuLORmmVLG4DADb/SVy9ha0SuW2q9 - VSqgw5FwIyhlKbVEy71RuykvMMHm6YC7aETSUppNtpDat1XTYAi50yx86SO4aHQRu01puZDDlnv0 - oOObycfJ96T3dltYDbdhWMJV9R1hKQstS7HkHvGfN0YabIyElmGISjIS0ZDWg23Drzia1JJ8Nw3Z - fQGq+sftwqRhxvanD7PrP+ZfPGpWIm499aXmrcaD32A5FKoHwlK4lFpQFDxiYb3evjsApOvpElu4 - 74wBpf8MsbODzSEWCUWsf+r/TlXL5PFLl01zD7K9u+Wi9qNNeCDi5v1zNqD5WelwVD65SCktTYZ7 - AL3EsWfzrRU6Hiz/61Kyi5MapT6kXHbmDHiFWeUNGHZLCYwlhOgYvgouo7yQ+hp6XvzeCZJfz0Zp - PYZRB4mPc2it9ys4dEqbR0HzPddrHIK7Nc2Qf3iay4fVs7DCxRmUHS9PXbvDy1s0fDOHb0mYqtI4 - uZmIUBYsT3AjwLIsh47OrQPFxpQswHsM71v2tM0EHsVA0UFKms+StkukzvMlWKd3Tr5RISHKHLco - hqNd0ri0CQlTWPTeSjyCPQ1VpXXz8DZSWxtX4Iy17dHOxS8z4+W2h9jkn6VwoIDVAsiC8hQHFDwF - ShHbIxdrRfO0WF3PaEOWrfl4c1iW47KIXW87mwxyyhUaX1nZkN183g8EOKW+dLUywupOcImUOQ6g - GEvHc1z8XhjbtVzLtVzLtVzLtVzLtXxQ5UNz3V7QwPe/2Py2V6N/Khs3SgEt3GxrKX5W1IuYJWhJ - LTs9Gs21Ieac9UjXzZSaZ4q6Y+zb2JVuelvQ3KuYtwnSaJQlu5RgB8hTjG2ryy2qg+CBS2bWJK8m - CWnaOKLlABzU9wKALWJoY632EHl8Ic0DgM5zpoF4BVgYli+hqDGk60KSvIbUYjL7wUi/vrlTtmSd - dDm05AE202RJpb3SqNt0nDw8pFQ0XBiL5b5cJA7BmkNCXdgCqt6WwcdOiyuqh6sg8Fa3Kqj3xuXR - aciYzCLOATBwph4vZkWSr8PhbO4g8vB4JkAjuyOPsbswABBowVKo1IUqUQWkJ9TFI2hXoKWkTlWK - /9WOU8eC0Og6yb9Rgpl5kTrvbVefkiOP0h1j2fJt6/N49rdbNkN5mGcjPzJz3hhH8STb3VuEld/7 - pgpAWOweRxmODtC0VxHR7CIHu8ku7i/bwaTON7HPOXsHVn5lb9RZU/ovU+zn2Owy97akzYad2FsZ - xtzc1umUM42VkrtEU7joOXIMcMx+2cB24jySHNNn/MAOZXuHMfI5wiTXrYSPVNo8I4AxmBbtN7ZX - 6GJ1E6fSAoHJD0CMHyVM0/wABSlAsgEvhWYTEeiA8gS0FFqCMhOG7+FbEvTz0Y8MlSO21vrmFDXZ - axSIV+DEmT2IeaQ/XQtPuTEoFUJ5fB1hJLR0GAVX3fRxufQgoOa9nRLGWBKBWr/jpsaca92nIFEg - j8MwMX/D26bqaMirABBVbDy0mQhyBMgSFDkVJ9QyKrYjV+LkUBYQLYfDsVjfUGkqQqUHjhUmT7OT - ppDDhMdPyCh0iLrdgRF1j/eXeOomnjUER1LdzbrG3l7LtVzLtVzLtVzLtXzo5cOMur1X25tW2Cip - D2hzo9ZbkKN7+qolF7ASFu5o1Yc57P4JbknDKH8Zv4jfkEFu8fZukEdN42L9zkbtdXj/JbT8HEkU - X+t/KYgymTrmohNALJAYVC0tmzZKIHBBpeYimZQH2fVzJ6f5bT0fpMNciD3vpJ0XbdeaZSs/Odcd - WMlu9cVLRrc0123LA7stF1cqsGaYTv6o2MVnKRumzwLdmWsxw6p4TEzCsZbptxnlmhCToAFcEWQL - AGCIenolubxjdOJ+25xJcoBPBc7AEUheSrGXyQcg6b/UWPYSAO5lYL0pKhmqet33IgTQoUCA1YhO - SAIfUIDVX90kB0aEmMf8JsbtuIKdy6H4v7LxjAyl9BOb+iEp+88/MGP3BRrOTgNP45JdZO4nKCho - kcrS+RoBJGdcBnruB+3usHA+NH7aOO98UnZOfcvPA6QTuOcBug8wv95HALvXakPHErtxI9+nrt4w - Ft9z0U7Nv99IocNG8vwePQfHvAzuzCaOuoENnjwyPO1HmnmmI7LKr5xHOCDCNtQ0yIdx+SapZtU5 - 2Ff04ekjxCt0S21jlXZ0oe2E5dlLYIrlONJTNX3YvK6iCmxtuPOqBUdrq92/tUbcIdhA2rjrqqfg - axqhD9JEHHtEZ6OPtjOS8axVaQPZwgbDnyPUX4gdTVeaZj92unjYoxeqNPiASzoGEPJwbFBMY5OW - 7khvbcTByFOaKFYq1jTEpDHRLBA9Q2Avdcc1IK4J8GeCRMez9NByIbgjeoJXOCedv55+56w7b+Hr - tOpmy2qPnjdqxbVcy7Vcy7Vcy7Vcy7V8iOVDTphwoSSbqzM05j4BAJO4mfRbskzNoPLoAtVWLaqx - H0BJV0OE6yz7v1IuubmGS2jmuhCI3WU8de28YHHvY2qw5GDS9K95jqhTtzeeEPul6JUs+iwdwnWz - JfcpKNTdZjwdJnoo7Vay19lZaP5HC++IHJcpiapb8+6woFmA1tjbmNYw962u2z6/4AsUuuRQIkCv - fXYHbcJXdyYku19/9vFUzbLrnEv2o7mG+gVD77DLJRZK8t/9R2yXbvVDa7U7slO37ILJihfYfT68 - ORlemsuiYa8SKQNL6UHg95wNxBUW+2yqI9ho83p4krzrk/ZK70NrPpy+zkewNGfUkMnFis3DQmvF - NxZ01UQ8Hq1ng1vw6WtqAX7hhBLz3BrKZ+xxXjXnLMHb7HG/+sMQdnnezvMyvmoox87b+5vBYpK5 - rQaRwGWC3Q/Wd9j/0UU5d6g0zQyymVIOhevlX5OVMdLJkB2fU5pc5bQLAVKAVe/DBCguvOpX6EFQ - 7uDa+jdSCtctqZRrgbedTuCox5Dqd9bZo46tCBztdOHIMlh0uNKLTQlI6r5SD47Gle2ROcXavkbO - MgzXN9pNU8ahJNDDMuRGrlhqrQdd5ESlgO8i9ODeALW93nKhDM9HCbuNPPd/OtqbNumCkT4ktriE - JuZLkO/Z8zERS8sarOmMFssJEGvsbyW2VYN9xbxLiTv1QpKJ5IzL+Se7gEvI1N/4R6F2OXRD6Zsz - 7Z2SOt6qJdzJuGG68VFX/1HYci3Xci3Xci3Xci3Xci2vrHx0XLfo9H/K1svUEaM1t/Ge5gkQIBsn - TUUVgVRgiXS0+dukZbeIFzfb6nhcdDokAoRBeoqziN8P1uvvr6CELS+AeMDOxiJqIAoTQs+bj4cr - AaAAN/pLtiGIiGjRI4TSHZSTojbkeHquGZlbm6DzXww+QjTbVrJdS2EsUXjhO5vDf8jMOglz0f+a - +pfAdg/JzDOTx/xCpUTYj5tgBCwQNyXNSvOjm3Ejj0CN/hZo07mqOlPZ4mm257L1j5nLSPJvzXUy - FDHkqYPrKIhU7UhphqW7+WTr54qRe/xO824V4GAUCgJpGNqBLFCYQcuCssZ0HMuWhvkFEAPq2GFx - YZG2AAAgAElEQVQc95Z+irH945HOXSlDLNfw3m3pQPa89bN1cnwUig3VXbFkqUW2YzU2XAQCuwtd - ABGqJAVS1B/GvsLzaWspJW1HGI51AGFWLuMDSC9HiCevnfMzR+GIJ/MRNdQCcLaw1nGmbPfvtbyo - NsCM6x7j3rvuvONe1qAfvQR2UWLCud6YwaQTLwldKVxF+nQmE3sC9e5Jd8La25Ths4GMACl6Rx+E - Qj56fCAZNxKg2L5aEsyPY5y5dr+ciJalzUh8+7CHkLC7QxkJ1vrvQh2QAQAFXNRp6jieOWBKgC7I - tB9XPCU2OYBZJSrpInGEJYuDkKr+tcISodqpED96IZ5Owby6sSQOhXRkBL6nlfKpdpIAgm4XIMf+ - 98N+GbbUYe1Muuws/M6rlxzNrCxJTeDmukXI27hekf3MywGytAhvcbTwLxrRCfviKgdVtUS6dEwE - IiLLl3tAA5k2XUnXseMcCZ6NQpQaSgQGPwpQW+UsgXqD0JeazqT5kRFo13It13It13It13It/78p - H1XXLeJnmaQgbK/8j2S60ES1NH8fAEAgNUL2hkabOdLuTY6sB9VufA7LKxVpjRBIay7w5LiUbIGX - 1XnDriNNG1o4BQu7Gdlm1H8ZXhoCyNxnKSWi4EipEpqNW9z2cJOzwT3Zjv30pqZ8V6Wz99ntq86C - 7kJrieA3h/S++NZ/ipKW9G/XpnQVdOKIlfIoszbGx5fxI0qJUWEJP9WGFwNqAYg44scUygVCEveq - 2fdk6NdRS7/i/XFgzw07mNmjA2I2/gYpJtRGQ7Pidq64q8s9ZQSkcJ20UJ1BC0BvioGmVjb3/YHA - C63AGXQgPPHFLUGWS4YDLZ0p2khOLMHC4IA2D8gywRptNF0t79Z7DyMFbDt8assiKd3lR8bMtbmJ - eaOkEft8/QkIrysLWjShcUYyekHHuPverLaugTDsK6J2paA7mgxaiQlHQ/pbH0UraBlsxu09j1SX - GOsJuJlF+1XgZOTYBsxKkCnXqHOIEVbmBB+xooEgPJxkUIeyMydhSXURoxYP3Gv3YRb9yHdo/DsG - 2JKfSt8zifsch0F1CUYpf2FzlZCP4n34zobG3QtP1v1RaJ63+yT913bmPGuJe29bKGz+yo/CpEUC - QJAlBZQ3RGj/+zF5A8hmLCbKLeRcNrkypKtN8G0qAQsgTbMSP7LQ3IcO/raTJJlDNhwrhjMkIZEE - IBQxR16QUPsm+/T9dWkPutWX+artYPO2RsffBBi2SzbftFcygPPCaF64HAxJJTh/bQKCGo0BLCYX - FgwbQOlXUZEcvmDxSwRAAFXjDkwQ8jTuRESkXRehEqkQfOeSY6PRg7bdUSwZuBTo92LngTKXFe/O - 52RKYJrvIAxSEzEsSs+u5Vqu5Vqu5Vqu5Vqu5UMpH0HX7fZh2VEV3XWbTZh5I8kiA9Tgz8EUuU72 - WqWvNFY3TI9pF5TsTIaoC2DiGHkVRd0gtc8FAVP6ER6lVt1PZOaRd+dMxZ8QYCZ6qx9p46JhDifC - BafN8PtYNVsLzWZvEHPohbncZalN1ioNlnQHpRakMoxzNDx3FutlLcrO40Bmt6mvaFHL3N0mHNcD - sV3SxhQfKZq3o7KdF6rd+2zuxt7N4flz3XUxiyXNUO9Xxh7Wthob41YrMkid0WNuXrL/kn803C5d - SwQqDo9qmU1osYi2FnckAOGonxzEki80cHcLm19Qi+frHlL3IE/QwGk7CG2pJm6LcL8Q7JbCj6xt - K9K5rtKbNh8kyBAaFcmAW52n5gKno8Ae91B00WPh1swpVuEop/4FAoiHBbOz5rmpi0Lk8qtEpYKE - CW1ivc+JdARat8v865WTD0ak+2wKNNuXYkoA9ypBnktHXXG/H4awcUXIpeuoNWYrkEWE/87DFwTb - iokT6IHrL8QcN1xWxneSut4MfagYgavRrjifmWUL9pnRUHdoWB1lwp6Hh23PLa1Et7hQHqf1vQmJ - pWbksSKzE4Gd+UiI2CBEGRje7zbdUybYJql99iXNeEfKTcvF1ZVcJa1Wm8gEsftXAyW9vKztxkfA - 4mIrorOXYfa+nqZ7kAvaNjL/tSM6Oxri3IPaHnNkofczMIicGImzjTjujYcCNlAtUc/jurL3YgZN - yrMYJjlAZfPBIzDnWq7lWq7lWq7lWq7lWl51+Ui5btGZ0M10uaDMzy0zmtVJCus2vZe9SNq0zL+S - RfX13kTP41a1nMNAGbTd4cPNEO6dYB5ss1q7FsIikGyHqAnQKoehLmDB0nmaKAKCunguNyCYBGan - XBjjzkyyS2Izo2QcNf9fzIdyPd600xmT5nDob9Ieu4s3vTMlqg95CyezGRudV/VVTyl67eQsoIdl - NS2yG7DuoGIP/05kYPF6/SHYku9zSt6IQDe7guniWl2wynapJr4TgFEcJ8Qg74PKZuIYNp3eWqwj - G3JVQCBHFPARRChcQObgpqMPhwugLpgcmNfPtPQW+YCM1H1DyU3Sxh/R3SQBxtF74VkgXtip9XKl - d5XsvbG/zVea2ZNNXyQyEQt67LOTxS9qwu8xc0nOwE3bKYW1NBRSGDszyCwbML9JzAgEPJuMBQAO - FredMELmuUGp9b+Ze0OEy54Oc0/P2IpPgbH1/SHvyOUBOdaV/mVU4ekZkQYD6T3EeZypAwmnl3Su - 2zKZobc871A2//pz59LBaEahNqFeSf9NOhg6zjTvhwNofNc1RL6csnkVNBAo0XbObAa2OSzG4bcx - /44FrUY33Y6xkAfyeynU1UszC4HTJlX6mi9CukNP/id179L9mbNeUspgNATfdrFZjaHWA/iqfVHS - ijBQIYfMFHwgEosjwyZPj4Vp/D7bbliWFKWfFs/0tIi6tewarsxQo7kUGWvI9BhK246/fdHGN5LP - 5JsJN3tx/LmWa7mWa7mWa7mWa7mWFy4fVdctsoJ9IWCK+srogxHVpqJNEGAzmYaut+Zi+1MPZhbp - QpX6ziibCu5xmGq7D7BPLhe/XNttQo+0SZ7KbPtbrexFjMLAGYDgIA18nFx15kR0eLMdPOT8cGz0 - /vGPf4Z91T0SQOzYI7LHzP+zJc52Ds3XsZt3wojOWxQte/hry3PYT2+ygHsGUEYsJpw9hrk7pU9m - OzKw6PHNIoBUCCBL+KlSdsZZXmP3/HaZDdTb2Kz3nYvrZx6KzmzjvtlxnuJx3c15kX0PzZ3pg9Qw - dumBr25DhqZQrhpRKIR6BAmWlWglLIwbRNA43UEYeGYnUg1ZqxNq/u9e61caCVFO4mwziCltlp96 - sHts74bYPriyz2FGF0/iSAkmHRBSXkh7Rx5bygImzQg5pD3cY2Dskds0i/bONO0YLkHuzTkrebDS - /ECsoY5de5FXwDCpn0prw6+usikq1Y1g6x2FY5EWddvIbwP5BCPHC8k105IIwAGyjju1AWRx1u8H - 9d1OxuwtpfuPNh9FpvEWF+pwYqcRQ/cIYh9wfMIf8yS3kCQMkNkwo+Ezx4rOARptIbxdonmFpOvZ - 8HE2kNYQRVN9t2O9aC+ec5bJgB7+aGwRwJCVIly3hMnk41GCamnfSa7dzUkCueWCm/2hZYtJU2CM - z31c4gmn8sgegDzTFXogSw2KjEXx8PGOqERQgTVQYtk00U9N2n6S8600ITat1Ta227JLm3Ie0hKN - w/C2m/IEnjv653TM4+uZ9Npv6bFvr+VaruVaruVaruVaruWDKh81120uZiJi7mya+wt7pZTCU5Qc - wARa0I66acWyaYtSE9E2ksU6DmnjGaKpgryjM2eDNoz2ra2VZ23mAbnDeDog/32MELlvYM2i30SI - 7TTkNmNcqNLDQt2rva+APN+mrc+Su3ZbkwkAEWiBp8cMf4Fd1EKLruDcEwGBgEhvqCEPd6ES99gI - 6QHUyN7o3W9vcm6OD7EIHV/2Ps1fNhnbp8lhnC4oKSjqGgPBk2larjzWOnp3jSTsIJ1Ev+wbi7ej - EWm1JegiVShka1fCr5NMzQW4AZZZmFQ0Ie3Y6JCBFm2/QwBC8Uy/hwTx5HXoMUzS+foSuSTaa7vj - Jzw+OhJHttn9Y9mOHa+OEvexTElK2lAvtNjP6h6j+lGlc/C09jZupFho2UwkSC6FYI94NBQjgxFv - pPdR2YjSVke0xIKlDbr5uMI3qICn1tFsCBIjQasXs/HXkuDio0vEIA1aMRqKyrAbvRRMNpVIF5Py - hHoDbJyiOWAkgpXtWfEeQh7tYIFVkmHBqH2uEDO0hjWX3veo3L7a9BGPF88eLroCQpAlx+pSTpfj - IdjxcEIke0UcgyB9cHIwdQNN8I60km2l/YMQGRzHzCn1RPYTBFC6U8pXVBuUtEc3gZNCuMuxsgFr - f+MbEah0lXRCR4Biu46AlK58AZaSkxkNa9+g1PC/9ENs4KE2lwyQfMcdgOE+rbFcWtG0iIkNzb7K - hxfsSTekSyPY9nj/4BJu9JVyt/06E0AmXYv4nQpMWEiZAcfNn/CFK5bu1jkotWwJaI+yJ77hsu77 - LwBwo2LeDzG52LfxdBO9B0SJuQwUlUine+1RwURYCMd+cyu0DKehQZVKMcUyGdtjZd1M3u9Wmzc7 - F1dNHOriFEkkl0VQVPdXg+if0VMrWWcc3soGQveMfAaFTqonYM1gP0JzV1V7OM9+bFGpOLHXBu2k - f7wzmktzxN40BSC7lVp5a1tq0t13U1CaEkniSYc2t6rACcL56hYJRvyQDsMuAHoHJPeXseUeLcYG - O43xA1r3B1GxjEv2QSHhB1ruW7Ut0Q2TfdnVzljrL7hJj26ErqRSq4/29qXKjAypf9N19a9lyf32 - 0Y4/j4oL7fz6kStNU3VWMzmNY2zAF92F2UR0SK+fUQeWpHqnPyj9/UgI/WsQRv92l4+S67ajGo08 - jGdNgd6zFZKbKb0j0tyMySm4gG5ABzRBTcACKpQdTu5E6N27Sjh6y/0xq2amrEuFVIAgi19o1rGN - CQO2X0e+KqY9S46WpXiGAjpAil6pleI3i9XNkkI/oaIOxw2pEOHgnrt4F1Z5of6qbspOgy7yM66x - Okofn1nMlaaHbt2xofoVFTtqKgfQInbFR4BLirpuUbDYYXqd7wKILeuCclTLd/G5Ssd7BCJgKgXw - 4/YVBFqKv9f7sCKI0JPV6SQj3FTsUi0R2KVddNSg7hYl6mvR3Uztw3Jng1/gxXp7id8tXp+AFyo4 - LA5bOsb4PcWopFYHJprWdVQvqR9Op/eJruahTdq/QQs8vRG8UXDT+PeAsIKFGDgX81wcjLcYEgXS - 6GoeIAVSYpnJK9pGBAy1FixFfzdGRYTDksgKWktK9lkARbCUcMJKu5oGcXGaQ05QGZWwKCmlSGh3 - j5ie33YsKHmLHJhibCQm3C8Bb5Zkol738nJT0guBW6SHUE8ivrCxSiPJg/tpjJ8ov2vASPRmuSj6 - 8ZPdbRR5lkvMtbsMTGC01LSDWOAN826/ubufSiSi6bLPtruAGojb0KjkzM/Uw8+8/N0HQTJbZUI9 - ODY6cZBBCnATPj4itjupSEFcCHIAkTBkge9gFIBwTPxAkzgYHXQjjuGQiyKU/FYfeg0CpKgAs5cH - 0vXMLkYCQMWhJQG+kitE43aFHwMLStznZp7sfKFiOeoXi7tus1+8zyGQJJ4un/SzpQZmj3AnuJuz - OYsNP02eBGIICuHgOb4XeH0BJESGD+BAJjNjRA492/KpGnHdQ8bYIUqLwXSp6IAMduiPCISyGA/U - ygvwBLQIygI8AezEPqlb8KDLtICOMYBYR2ljABB7xsnpoMP2+HJLMiOSU5ubxiLAEpdiYaYIXFCu - 0ea91R9MuQgeVzpItsoN/OY/0TWRtKoXOn9AkViXKNW5N2x/NxiW4p1gQRG6IRSBsKouBYvpQyvK - QWJjH4A5gxaQw9hR2w0qIpSS9guFFrFVloLw8j61D0nifJYLwaM3Z2vcyJqSXpdXy1kadTMLaPfg - a0mHCTgCx9SUppdnlYaRBtp/cnwdKkCvxGKDRDR/FON2vxh2EWswMLuWcpe5xhKDM11vBW4ES7ok - 0AifgbhQ1GMHVqDYSSejq1XZbJMebSaxV73ZIW7+Q+qdmQ0mexTndcXVM5U6matK/tyXI6WJzyg0 - yt4tNT2MvobJz/7KqZSofzF0mOrn+0pTR5IHn6VFN6RGz+LtUqmgO89IRnZ3rKIBMY4rSFHfpIpV - B/AkXS8JU6ksq7VoOvIlr5+PoJoq5hH2JeeNuUSy8ftQc7dqzqWdIeI4mNZYMICM8q7MqynSmF/D - u3jZ8C7dyEKN6pFR8wFjekitfWbz4M9o/9XOquVJS3vfrRDFonX8sh/sFr0zi/XPbP0T79U7Mo+G - FJ5+5wA/lgQSKtzL4wGau2OaFUnjSIwvaDoN2/cxcy656PwCS3pUGZvTh5ZvkBe1ahMeGsdnu+3V - L4tQN8WUQF6IQ77CYnm3yCw6pWZmlKKiIYpdn8OCQkKooNLu1EkHSQn6vTsfktQAPOGnVovlo6Wr - k6a4mauknxvUSi+Hi1Ou5cHlo+S6RWZTFVilqR5uC+zqh/ZYugdKhoIcH0QFeKIYk6T0orXTQbnm - REhe0ZqOjS+SMt4SABFI9WyAizsSp3NUbWCr+LauJZ6LJN0mk4u7hgWHRkJBCdIxNGPaSuSDIqnu - GJJuuEvXV3qRjVTrxwZWB6d2Hq7lAxBKXg89G1+ikdgP14mrc7OofxMl7q8KC5CgN28v4a06gCtK - bedSqZkHIhAqi905ZVdgqQLnfSsSiLllm0HJbVOeXP+RapnzSmglbFq/i7USoaDhBDbYuQZTVcs8 - N//IChxQFo0QhpDdJhWg7EJ8LpdBRge7lDiIjiZ0yaz6fP14i7oVsZDbAghoNcNmJLZ6wNmdtofe - M1sLTjH7hrCieWr7/eIiPsrmiycVtlQo5ysxND94PEVgHREWvzTcMxn6bEUj1W2aVXASVI0pBpI/ - IasYXdBwhOXGdd8BCIuybMzG6TBRdLc27etO2I2VZHhgcSJiYcvWPgOVmpqig6ziYaQt06TxQTK8 - L5SahU8hasZwkmtQHU/5jkRpE7Fbtsj9jdHtXDc1wyeCqTfCnNK2WXcjHXxXTkb2FQ1NNA1fNOrW - RBCBj/kTB+4RxCiyAgW8EBRJxFy3OELAAqm2w9SCkXtXUna7BW6nYVH72U0kPbS+i3k1iiNnf6TB - fYh5CYcNHF/t1WFBDFqoOfj0/QEouiVZIDgKCmHJF6V5j0Jg6TOlOMl3GYu62UIYEi5miHIZkX4x - TYiJBTArBS627XfQxhWLGRWW95pt94lIcU9lSWCMg4AZxFjsNEYEPbaVoBK7ic372G3spF+pYCGI - Zmggs6WPgiPaph0SwuqzJXQFRfFhMRVTBCyoRV1MTCGqlHgCvDVdoWUPTC77DlYx/NjqH1O5QsNf - wQNMiJuo9clOQ9esnZaqgKQnzWXYYOnY5bTsyMDEJGtvMBbj2MGUYdYnoSx0w86MVI4faSVSeV4o - mhCPeqdie+GuLUSv1O87MMqK4wIuOEMYfAMpxh0OWEFsyoiy7htTfADQ6qtVyPJiqPTxfqTpdhli - ru64zhe6gxGIZtoSQinNw7QC59Scal6kE02SKTxl29MMvrK9g2ib1Lsx3MhvnqXtUFuQ2hxEoGTv - SP4usWABrcAJODCWtj3DHLcu9tJZgNWo0ubBwNl320vA1wdTU8qL9JbgaqPuLYX3tqk5Ww46ThHZ - CVLE0xglekWcX2jaScDNL7dwsMYsy9jP/cSGcbCZXyQR2mfB7xOcbWa489gX3RAZikvbVUYDRQRX - 6GkrWonuAAZu4P7ZhYETsDAOmrLsIB6TBgbUdXvsx6Oqo7I3sPlB+nFQYxptwyCl/E57jRPIbQtt - a2zmzINWkfio0mfLAyWe6Mt59av126buEw2NeIE2EIMqG/uibrqTkXW0tqnl3Wy7SzXun++W5dDm - 1f2rhsibFb+zsyFKMqhXgqUjh/4XSWDL1GENpg3qCllNEUIcfpSDgloYtABS48zUZPAPgxQazLvz - dh0HDXok434kQWpT2GKGMA8vMvyR4MkQRi0ohKK6XcRXGd0CKMqmlWrGXf9Juw8byCDNLuH2bo2R - vyrlrKFHWbqwreuWVa0WKivoAE1vx35deOwtqerb70iJyw5ivxac2Pa/qHPvUv/Lhi6DFqQhfaYO - RdbuvNm1PLx8xFy3rbSkrbtH+zbkLnMW0FRY+5MWjNVo+qRjc8r3jBg59IuuL+Ng1Ett+9HE1Dib - 4HTohLLAI3AknczajjpzAfJu9IeklyXxigwnGmXDtqOxbKysbpCdbtPJoU7/kpz6D+ihaUEGepSw - q2fiJ4QFRfxTOybvnegqcNwOlPuW4DnSjSJCQGI4IT/NjSqEfEFSODiHlMoznWQjO9s2YGinajpq - FLADIMNoqz/kJ5T+nVGIv+rehSNiFDXsM138bHIAY7jifJhi6f5CJZyRrsLbjriT/r3l6XBCg18H - gzYY6R6W7kEnT9ykAcsAioBSGwxcnDPaM/SR5f3wNrQjCbojxxgqbYps3/dYGzMTsDTcpUySXnT4 - BMANq72L+IbBpc8BNZwlXBnjqPq0zGleXeXgUvkmsq6bjJOuF1ocVuNdU5IYWpoMZTLFDjl7slO9 - xbGlhREgbhEMrScedkxkb4IjVuhfzva7wQ7JFuKOyCbZNpPvb03rp2Y7OFI9oJhyks/GXwGIGqdU - UQRlyTY5DV/k/xzBBnnQpKLEeGKgaiOLWtEyrElDh57S7UcBk7tsHVytNlEPLFLJYrhahqxJic7L - COEJHed2Qwbn/0pTCLilLzBvOnW7E1kMIqrFS0T0REO4BBFJEE0keUGaj2VA1HGRuzdB5pdTMGyG - QMOL/rVsftkWuu9tg41yiTLKlKELin8U0LIk0UCaSKWBu+g6p31irTYeWWCQeXzMfGq7G2KPyJ3w - qqvkgyFZYOZ7DJAgJt1f9qgLqx4WZciO7Bxr7eWXLWwGor/qCLxHD3++wYNopH22GXar0T7acnfZ - NpO76D/VmuZdT20VTz8dsbchKSVBetN2HrYRlz8d2F77Y0J9WwSf17tUgu4CD0aAtFoffJEEG2zF - AiZTnTOmcRUngNmdkyDuXq1ZKTTAcITF9GxTq1PkoU/BEo1/S6dpdFyjw9FUYzvIARKZhndnNJl2 - Svw/Yl3qJM48ybbnDxAn9jDwArdO428hxbOGpAvcvK/nV1UesGrS/TsB+GyFHzPent/1WpF12swi - ATSUgIA4NNHQZqN/XpLaF4e0IwaQ1IEtZczLK8PIxIDcuzmOtI0+/UoWKkWvnDZetsEkdDrGJd28 - uvqy+VWGBWj3VXBep+6HSrhtysyeK/d/7q+ybP69lhcvH1nXLfXhlYDiRmYFc9191BxepszwK+JT - t4aKq+7oXs6HknX7Rj5pE6uVxVVPj+t/5OwoASWG/gp3X1M/lP9Iv8tYcVN2SNnshLle2wBsU+xN - Gm33ADvqZFugAsQB2xKqnLWkdUr4SBLoBC19KqVwDURyi27ypG6+yZV2CUwa/hTKCA0xPh1G7cDt - YtlQSHsQTBeGCwJMpNYgfgnDL5T/KnFGrbXV+l88eij1MWQh6vvdnUtWhxBMoaPHBOFuqCTwBCDV - twdSMNxsADR5OB1e/EIZbhl1Kb/etpDl47zG/l8Actwajc+Tsa7T3wH8Q8vgRkyN7cNmpPGeYC50 - 9ThBP2MWu63PGs8Ll78Pfz/uWaj7X+3XzNw69/Ti63Uf/LTNhZzvZQnRLx21U8WbcSfUzuNPokZS - rW0ZdcNh2DuonR5FRgY/PkA4dAfkie1cBUhvzFNTXAAs4IPmF08CErsycgvR+aB2dBFq/pYZGc7a - IuS8IX2l6SApcR07Fphc0K+q7LVHuAChfaA+vIO9tzK81v/KxI85k43kKO4Iu8y9vd7MoFb5CLpl - 9yPO8IQVS1CG91h8WxRAhGOLZl/pWRltGMLlMqd7SsckEhcvDQYqSZIWohUIB5hK01mMCbgpvMa/ - zVDKg7joM7i/xKfS/w5khyq5ZOcwICDQfE1ElXovtgCkykAbLuUM1Zth64bLCCl/hY6bJDyazn6K - Y/mProkNJ+jajHWlAUJTOfKQZdjw412hkjh//CU7PT+yzOA2gcoIayvdcaj+7TJUtT+n+8I03gyZ - Povzxw+dziOKDToiVDb8nBCxHp5bL+tp8rIkt+lNvFekXyaUDiBHc/TRny0nCsEPLqaTG23I1Rse - vbeeMAiTFXzERB76zX6FQM8YdOls7ilF9M2Ommd6bF+0XC6xorQxYcSPlS6Q4s431p3FV0GI1kIn - mjqvXEx2Q4Wzzl9mMBe+dXuT5rWoG74eBaKw5x89wAkeXfrsAU32AmALwp0mUnL/kR12Jg3JtgVK - rpQHllfGUa7lEeUj6boV9FeDKFeYJb/fIPOjbPwHjsUpmbba0cYZ4YeqGr+2mo2zdQPWaIt86sqv - kOhmr0K4JklwuUw441yBfmVlVxy0R93tOwLfJey4nWRW3ynV9KCJd7k3vZMFiGP4Gplo2SQ7DYsY - xZzj+Wybx2pxi461OtGRbOSTwA5XOdr4iwQC8sPOkSGVQilJIqWpm3sC6GLZ+2DHJbQDY5r+Gg/s - WXbd5uraqM6ydLCYjivtz9Dlrtub4ZUrrygN7734pVECVDE1MdFsaErD8IcX96yEoR/1EB2/2bCP - 7tULaAuJoCj+2Xb9ihIMTTxT1L9/kEZ8YRgzw+nxJa3CPnLPRMmM4Mbp9hQ9q/1ocykwjWbPX2TV - 8jc9QM0Waqe8LQ+p7SptCHDvNHyus8V3h/2MejZANq49USs7QZbEshdLyAsBiEErSL1N7bFP4mxc - 2xJ6LwDYc+SkKw4vTvP+MrCM9CKHd4wYNbPpGj+8dwjKejwfkzZWJDPeV1pertGAwCsaW8cPyefO - ubNNtb52EreSxLF9u8ONLlJmJCktLQBqFOkqOhkQ0NlqxlGY7lDCpVVPZYfpxM5lP4xE6/weTboA - ACAASURBVBt9o3G3kjxcjdBmg5mg9M7QLzyTUYLslA3JJPXPm/NsQi3HNQRAIbDGtLfDKRKcMD5f - BkCk/pJ/lgYbhbydDR+dDzv1aSOhoVLZqbhluPZXw8vsOn1hObL5ZLL+FG6m7oNMcTK82AqaSZ9Z - KMr4mMwySk7VDrW1byEQUVbWW5eG9v0wieBToWEVJTrvN7EFVEGgloXq5UoeUCPKHETSQUTPyndv - 08evXADQ5ldqa5RpcMNLho9t/JFzrnfOtrf92dOGB+yeyl08evBEXqIQ2lEoCf1Rxtm3yv3nrtF0 - ABxeg6IL6T7ybEhWNEtSpN8hh14JE2s28Z6J3jfXxEecOkfhS5nAN91Nx/CiZQPMoPOpJrlTyC3+ - FxvXK6eweZHGf7Z0PaJcYxtjuZCpQD8alzO/fLm5ZgT8kID2b1n5SLpuAYCoO+D/gA8MoTf6zisc - UYdwXTH2qGpfCsudVEUQU/Ub2kPPMb5M/dG4vp99qFycMaVuXmXZMOutU5CC0eyMPeTdprVYx851 - O06hSSKFD4fR5bJ+AQSk550ZdpdIOj1lA9dTdSWNPLigu24lFtvVBxt3HhRHGq0+NWc/eLJGkq2w - YNwyGxWeLfAet6Rt0KHuTVKQolO6BuWRcnxnelN6fhLo5obrMJeslo0+HRqqtElmA6CbUW49ooai - TnL4IFCNBYs0b1QoN7v6zAM0kHGOtKn0oNW6v9IoSTPxxNpNuqNhnI/isg8doq8Jt5THW1vf/uju - Urm/N8GjXM+ZiYwrOZt6AIWGmvkevJGmvZLdybBjrD+uTKXYq2He3dRJZWYyNWkFFaQM6l42uzId - AKl/ROm/2SfUP5gtaYLtFtsrInspsvAgv7TQ3DESaSOS/MuZQ20gmgjM43T7vl62zJCB0pwb19tz - hvXCYOOe2Aw3n3QXPfHwYKL5AJDscg+vCszbXtRvS20HFhg9P2mQit+aNVMTLJCfjLmYtS4Wsiky - XhTHuIuKmixEiKrwQRxjpALi4JW54UmRnd/bh+NxiSYENIGe02wvVFSekl34J4mchhIf3stru2zL - lzAlk0TP/Ce82tJtH9IY/RhQcAw0AiLAVyfGMJzUoYlLqP26E0uRiTXDvofGPXivjeSxbEY2tLTh - knuHvl4RxW1A4qL8Qe3POcT804b/M3AXUzZ8CJRXkeD+3BLREj2g+iV2sPoaTrWEieQjAMR2T3Xw - jZ2JY6vz5teygU6rFDnbPfVfUo4J7Bnwp1rVAyNgHlXm6D3gOnVRt+OUYnjtv6znU/yWfpG9tx9U - 2Vm1vX5jdqV/MmUj45e9iApwSAtG6foeMZpQBVV6Oy3u4LqwAOPYdiZH6b+upcgE1TFAW/1LBt8r - Kb30873rzL1lO2S0hTEkTd7bj1RRmMoE7LOa4xJGfrx2fWDnYesFdgBgk7rwxUviaxR8+lpesHxk - XbelJ/b4d5/buSW2a/68aBHAw2n7+9O3CE3FLvjy8NFRUWpiRi+miF1Ev6cqV9TJ2NEXanrntPQz - 3lGrH6YyvmAheMYBJOZB2TfdMRNByrfSANVDVxoApSVGH1lObldZQs23iAMAFZCl79Zk5AXo8nub - Pe/MMUlFgKlt+cbzcBi3S5LaUNON5f7BxvpCQbeoFIeRk9Ab1mq6sFuEf8g6072UkhKLioO4XbkG - X5ukIBRfqe1I7jtENrM0w9XKQxPiH1gG6vxZxB3kxlrifv1cCJyQzkmt+pQmipZXDjSTzauNgLtP - xt5bRgW1ezzwlW5IAtB2PN1o4/fHar2Dmjhww0SvCmP3r+1ovaYtTVpvj9IgOxfUg+C6W2mmzgXD - mdnE0ZIew2p+DgGIIsaq1dqUexX9Bw38ZUq/3kFE0Z/GDQll1+2WGRFmacEyOiR/WWbPozgkx9sE - WxXlycyUySm/FThEflo0XmHIRuAFaIlH+5EuqGLnB71OSnUboXQvX2j++yzfyG6n7mdqsOsUjC1j - dRamYYDFb3dLqWUeM7eLdQdzbM79dj6jod6rRXcjUT9RIdllE6jY4x0R9DY7RtEzOCX7gIYhd16Q - hsPOeLuKhER1Ko8sCUbQo44zzmAdoj5H7eksu9IojdxK236YKY2CkGVJIzSS6XU58sQOEXAgPb/b - IjthA+Z+KO3xDg7dz/zTdMSV6mzXEMxGZUKNawh1+Av5LVRhtOutYp1/o8QabifQ/h0rXDoh9wL0 - 168jJds3KvTLMWGz9vsrNpAwKO33FR90sKL+m11/ws6jTJMSAqcnW3ZMLF2P7cdhly1evgnA5+Jf - atCGjANI85XNk92pbudHAIRaaGqqYCPhuXM2I8qrLyNGdR3R9uGFgVAb61TJzRXGD199uW/V5kwB - yGkVO5mzbX+v3/RTrZ3RFPB3U4ke+RWHh7QzkDbCce12qlMenNGcNJ7Us2ikDXT0wHz1pbkXICgt - Dr/XeglTVHIa+wCJ5cVKY/iEEbWoc01Qll/p7JUKKVblOkdGzhjfgAWEyY7Xzi/ADGky3WR4f8TA - /G9O+ai6bjs3F4U553+m0hjjjpfjlZURw2dsTa33uMSsmwPaEJmwElbT0S30r5mOUVIEUKEIDxyU - iY34uOCJucS5X7pwvrbIDfcy3jEWY5S4O4K7BIr2dV8f+bhHNJFEHCVurHlmu8Tt+i2D457FEjeA - SXwmMjtFoHkt2kaw6Ow8mSu1FWkKnPmO21DJnkfFkP5u9VG+istihaSDnYwomAHWUIw2D+estFO5 - aLZKADwoV2+m7O6imQ0lGa5bFTwPzLl2Juv+wrAIHOvt/HjWzDmHoJ6zTq/ajCrAkEM8ZPPbl35w - /b2p87Kd3fBq+nRqY1/soVd0Or6WFqGvPtz3RU02diud+eoLF9r8GEx/ccWSGlJEaYtIO37bCxAb - mcNcf3igJJjKk1lRPPRhGS2PR6AHKdUt2wVoT8TWYzDm0cV5V9O2GxYVtQxvaIC5FMreVPJTCNO2 - jS02trw3gUZONF99cQdc8uGsGkMnLv18Qy80WiHwgkpYCIcN7sgCFlRXSdOxB7KppqG9bNm0MsfW - i53ZIvXAL5hpvmQ7bX4BG5Y4sb/cN6E9xn2xSBrag7SvkZ9PaeVBrGkkLUOzxv416raMShKlDzqB - WYEKYsJRhh3lTZ9ZLidNbSuiOy3Ev1kBAh2jtgojAoAVVCx4Lskkmp9vnHBVn5bFMVDg80jp+oyJ - 0DSLaKB0pj+1n0tIpIuM8yG43dY9yzhpBDhtoGP+WaXQ/XXRgTeLoYT7nrBaOHMrrJ5aaWJokP40 - GU4U8Yyi88F2QjG9fBBub8jQ1ZyWjXGoIu5SL3GlO9q/lMfzIELdKZNvH9LWxTo9Vc3Z730NFLM6 - /A83qFLGkiEY1uBBkc5r1nDHWtLDRmuJNjVoI3Kqj0MMDN+bw+Rv799/hleOpf+GEgLAP+0vM30Z - fW+39Bg1qj8S0tll/MTO2GOyXQnr79XI5QeW/VULBOg1bgSnpYgh2YG7yLguHXOzN/nQUKaPVraS - gWfowQ9JdPtwzpB5L4Ij0VaZawgSqWk+qFW0rhqr9GAyuYD8xRaiG9FLcki8wgkGSNVx0TKiaOHN - 1IKPNQvL9miNRYzXeneygTDgrXbXnWO4VGTnd5o8nqVBvZaHlI+U65bdlVQAyxylRcx/xIJCk/Pd - EkLqAxFNVkq+WDwuRYkRWFEMt23exImbOSFioQGWdt1PbRvfy585vyHq0pD39PDITfR7efeji/Wv - Z1S789gFAbHtIMWnP7LV9KcqTFGFVRc3iI4KM/Vfuwng1TSURlApzg116OKXlMUg4gWx55CKQYHy - NhQJOm7a5pQwxE040VSSsWwCYdCCLlWaQmYICwYuLVxMdRQcl4mi6QYtK99WkxJKs1oeRGm5hVnt - LRVvPMlxiwh79utmw/hJjj7NQXhvk8uyrShHtkFBqVg0bwblZmfg2ZndZqtzd5JDs7TfbIdD2y9N - AyZgE4qlZNLn75hq7NT/O6DNvWXkH5TXzH4GsrAJfaIWphXDt/2JMs/6ean3++q+Cu7W+e9oyy3Q - E/hUR58YKTtwHlFCdmq/Uj1HvEX/30rLNLD9ItGVfy4dFKTBrSmE1lbX4XQ0WrejRHfdZjelpnJb - BBEn38DvErNza5pvysc/Idfub7mHSB9Xppr8o1o2+kgrYtxyoL5eO64eS3h/lruHjmz+LoPrsUCb - wH2X+e18PuXa/p8dpt4dU6Y5YaBCKpVDAx/QTGz9a+J9CIzdC0DrD+ZQBRFwyLFIaeztiI/4PvCw - t31fER8puubFKDBxfCYU8/Yr9XiW6bCxWxB684zFWuc5zv7qxjNW2C7zRRwKYI+4jslCtDfStpyl - a1sEpIeI70vhvafwWLKf/miydKPZlIfg9izUUzT8ekHzJg7ELBGyLVhi4wE7IH0x7hajH+g2m2UP - b7mvNhWX+e3lVikGQV3CBE0hjdjraiofwdUozVTWHRn00YRMGbhrG1LTXkh5SJN4W6afKedB2ozT - cHKntPDJNo7u5ipVBS2BAk1G/sEV3zLwdOuqrJPeM0IFoJZRYlL6o6Ud4ion32RtovG3V15mq6a6 - 3xaYG94/R9tYNzvoOX7b8xMiCh9ICuBx5UrjiHx82qs40m8E7F6kcFep1emJIT+mhG0IdtRrJdGp - e0cfKcceXZLk90iyBhUf3zBGdbE/WAzdP4LcyKsuMkqxEQ+bJ0LC0aHPobmXVoBUeUb32YDiSr/G - Wiiwbcu8+t/9/R6foVxpxiOv5UHlo+O61Qgg5+ohNimwRRrWjt5b6eMZX/nIFH8p8SvAEii0gXA7 - i0WR7SGIhlpT7IGB+unBqMgbIgKwAifNj2aJ6pBObNFwFGJUhffKBybZdPhZRY7u+tTyjR02DjsN - dEzcNmpyEjotN5n+W1qnujEFym0QQLozEBvv2z6L4OCGy8gZqbPXBt7laXXaQidx1iSz9K9yH9J3 - iAym9GTcnNgs6K6wmLDpyVyqL8V43y75TGJPf0crMbnYd0FJ3Uyr1N6zQcDaoBTcxwIIFom9QgMD - Gz6UFpQUa9StX6fYNZnCKBU3oUc4dlL+27dqGjZl8E0WYnyU+dJQdgJ5GkJMSyCPRChiGk4CDbUw - wibE0UlKB1GWog/kHwNiDI9Do4vdKV+3rgN2I5OChLc97Qzg3rLBzJFiJ48TG/XB6lYOFcjuQnoQ - 2ogCzv0mzT982FNtMspj+Hm3stuVtvhYazFct7knQrctYCCyPauES8lJi+AG4uIwaHO7PMEkZYQm - MUq6TlsvMzkDR/1t6Ug0GHsBbnQAbSY2SurvW5N0q6R26tTzAI66vwoDlknwlHg0oagJDbZa1JIY - Br1Pk1aIebfFdgRNyO7v895L/I8pDxVD2+fUWJVc/AIx5ABH+4qAZrPBU9ZK9iREv9I4j+oYGtBt - EHQBEckloYc80oaBRNRt0McwTgvrTtLPO5R8aZgPndq0YEl8Iup2F7IdLJXU7N+t6OpxgA0+wbZN - Pra73hGIKz4h7yjvje+wpuk65tXZfmLP+yfBu+lCJZgLixMJU/XcL76EwYBWYCUcu/36CXzZGh6D - BaRxeOeQwxR2JigTNBlnKkjeBA+oZEgRCqVEawWfUgQ+g0iwxLVN2/QvWd5vpjuu1HRGrkeMoZTS - y6lUc0/WBsY3uaKPM0V7dR6fUd8sKPKQLAEiSv2gUOf8G7YntiNtD/bojoI6dPh+5nKrB0f9QZQ/ - SD/o3Hsd/oSAcxxob/tFeYy+8MiSFouBkhlDS3InDCqyvVCs0zNS5oqR4pcxF0T3YcniFffCcxx+ - LpNP+1Vr+DAdzdjCnGu3lep3fqbfknfu0kAOoEUAmYt0T8BIHTjdBzfQ2qSMbVKPcRJtdgdDvRol - P4ACTFKq8cet0YMruyKgeycies3GbI7ciWNIybfjpOZelkN2Le2NeNPEpFZGdKFs3vnDvZY8oKeY - oJAK6D2ox/T5/QvxKNKiHkaXaOQBCs21TMt9CSg/1MKgOkvREuwynJ4DDsgsaPyVly7vmZNQk+0C - xBF839wFgUsXrSliFymEK2CBtGPePoc74D3gVnBmrdlNkbu6Xi7P/wMjkEbQHtZq3ZEguW98FBRf - sTvmfGZpTg4Ncs+Opv/XXLUCNxo4Gkr6VoEUy+Wf2JLl3F30rm0y26Q5ZARgHNgyDg/glTKq7drR - KN2oMSSJhz2sZLZ2myeCDBLHf4+ZaeridlUfRwV9+wGTzk+V44vbYmVe3hTFBlIZf3Dvx88jZUtg - EEHGEpO0KNoJxntugOoYltXWjcguvqw1vlojzLhTPTJcHbM2QI0VSesyqZFmPafZroSqOn8Z3TGc - NPr+QhFs5GOvpPGOjH8SbT+iNAK+p46I0Sw4j94/ZEiPKh0FXW58TneZFY+sJBVJjyfUKPGeIVUH - OQaCApHOhDyQWzzPXOqYLVPH/UU2v9w3zkeQ+qRir04RYkKpt+laJCTVQJrpMPKtUN34eXcu2reM - xCYQEPumIACn3RWoksg/MZlqI41zDhsGBDmAj5DFV0812rXDnZ6F9YPqfr+4EDIQ4ACQnhIHIOXu - qNdA+sww/dDciWNeyMyDeG7MWAcX/ntYmaDx9t2F54EGF79o38n4r3SL3LE4ah/6zYji//kbJfkV - IpIVF+MDtojsBnSsGtm/Pca4catBnR1FmeG8zYkZQWcMWn0hbST3AKUTqpl5wAlLArTUnnKPanZq - RdIcKRv6il8bnrRlVrPHPPCNy0JxhkMzO9r/I4sPIv0j/mPPSFb8vLBDvEJW6A7wOObMiRyjEgah - vRpwYZz5/nQGtiQ9uGaVpQYGJsROdST0mhFt7P1mPCO3nfR7oT77qaf9VmKAlJZqb4JWL1cdbaxO - GczQJuSk7SkDXaBwF3XrXWHK5HrPiz2hOA7eT6HLEwf3HO0X2vl32r3+mZprsJmR24QwL7PRly0y - /MEZQtKQQ9R2k5Fg8rB13dquqmDYqdmoLY0xdc6lcVwPnsCF4isl/vssfLUTJ3udDMvE2DoA8lcN - AQWooBNwcisgfebdEqCbbnZqsz3Pkab5i3nZgYuPSWUlBHncnvIuTUY7YRegj0PIYUF3P+yQXbLb - YcMqBZk4TVZ0uq70v7wYh9yXA5OG9qc2snlNmDA8Dy2m7W102nduiIFzbE7nTglo6ry1lfjjBejP - 0Cjb7/OXc8Z3LQ8t/3qibkWE0AStiJC5X1cAkCeQ0Z0iAMBxCaA31JRgrfoBYYJ0uGaaawGLZ0bI - woe6qmK7ZKTaITMIWCyUXQqw5AyfQgBW4A64BSAoPBx6REw5mLBEmlTxwWFLFAMFvRJIJa4lljAh - cQyCH/IiAJzvlNEasp7q6b1zqYfl9YKDbd6n8I4mrQXgJsPE/omaAngwtppDiWWY+h4LtACrJ6wo - GvWMtoLuKbatubBSgiNaf35yEG43AvDrYDJ8HHU6TSWqZbxRntlXJeS5Ws/prWxD0FNzNDyNNtH3 - DwB1xek9OT/n5cny7A1eSt9RNyEN4IKwBcjba6fflNuKurcU0eht2SyGKU83lt9pJxScGMR6xun5 - yvX89DV++pq05D1BqQNUimkbvOLu/H65ee/wTJ6kkCL7me1oxZDKlZ+/v5Icl8Px5onj6MyE3GSn - k2TVBNTDftpkq5M2auk+iAri7k6KZBqeesNW5nw+v3t7wwVPjnh6kz80KTyMsYn62BeYlp6/ZgoN - hriBCUNW1LWu63t8OMlhLQdelmc3eEKyoKoqr7lI7uNGtPllb4QI5YyALoAvzTazjr4PRb9Ac+Vd - EhZqcAlXdNqX3IPF8E1b6GCbkLzTFrs80nlOW34+0valktvYTDeqdBdfWYVJ/HxC3v2+WgdbR610 - UWABB1Egd6aFWDCKgBhL2ierQIXcrXV557zclddev8GBNO2uOichAsYBMqy/ALWAgMUV3WKt0eri - 4CmwpNk5L01ntLegnAAgBHI4GqSk0CzD+QBwJxlb9nMEYTuRAlQA5rSD1jMIwFSLCr7D3d37cnhv - eXZ4hpKkyCXk2d4+N7mPbiiZV82IDXldm+jpOknPNx9Pu8uYnWP0WKEkPa/z95I/z+LXIoNkxe27 - JynvHj9x9wzOQdlxgBiFKTYHEFG3sRyNQKxpanHo5B3ZH91pG+MRZppVrM/PJzw/vHZ6ulBpKWYz - rGYllmDMXxs/Kf3gxMNbcBwWleU+HU801K9N6q6h4Wz52SDf8qG5uGpgHKaQZ7rD/GeoQXoYRRKT - BhgHQJasE+hK4oz1+flc3xU6H197wxabWxA/6arHVtCymXFtM06cTuwBZ8qeSB6RHpITtS29tV2q - hrcJxYVAwljvcPfN53zLzz6xHm8ODu5olKRt3tC0y+xzHEPC+yUOD3zOZZXaRNfy1ps5CLT2m6Rf - 0tr3cFM2ngSok1rBNj6d4LskreMuVC3xqMydHP1sUedHFsLD0Q/3slqTwT6plVdo8mnWGwYuJ5tf - hj8erDjYl/cyfxPUjdgr6t2JzzgVHF5/VgsJxK6IhoSR4AcaHJ3F+VDHD0d6SHjm+LDRmiaVL80u - 96alF1sdbrT8BrurtjeCPo9bHCNW3KIswlxw9O0ofFbwLdZ1vVu/iWfl2dObJcRQzpmgm4UULj1k - vGnqaE6jO8x1BkAKKhZX7go8735UDsbump76eVfIgmK+nk5mbEtagY1A2QF48EVhcMXd7S3Oz5+8 - dro5PAk6MY7pnKgtfSUQobD/vaU/E9zxidXY5ZA9Rx2POw1TG4vED7Fe0k076eL3S3BMYskaKgCk - Yr3FWt+Tcnd8dvMsg0FMB06XlOrQqQNKa2y/iLcoNKLVvlp3LY8pyx/8g3/wQ+tMNF5S5Pnz5yJy - OBwAnM/nu7u7pYBKBU58upV6pOUARhVUiax1q+AMEGHpMhOYEhYqaHHPzSNF1HTAMMTXzXoyE77h - HaX4fxFURtXteTs+x2RxxCvkJFgrEeMgOJAUOUmRA+RQ1dwsNmjCc5J3QSfB4f2VuDxdUPiMRcKz - VJ2PkwhOp7MAROV0XkVQCvW3PYGAulZmbgf0NJRMwMxEduHWuq611qUU90tIrbXWWkph5lorgKis - hblaZ5XXQpXoDPNzmKLMtyA9i1pWhjCK+W/P4Ntf+9V//pM/9TN//E9+/ku//PUF9Km3Pn6zCKjo - 5d9wQBespDaVxJIXENWkLRMgXAufqZjftoBwZtSi+LISVmuTCOcjmHiFKNocADBZp4v6I6XqksfV - JQABNxBaKwSgZcCxRRmciAhOREw41rqQeKYNa5641nWttBxqRV3PSykQkSqqiTa9hSAiQupmEFiw - doEFoCneG4bGV8KsWkFcopaVhSAMUiVeHQAEAL/yz770f/+VH/6T3/fH/t7P/uy3f+47j0+fHW6e - rOJaAFTgLV1KBV71wK53sjLuWKTQQVU1XiGi2WQFODWiCRMIOJ9PLLdLXKAjxFwMT0mAs0DOoBWk - eZIKA4Jf+Nm/93/8xT/3x77vj//6177xye/4zcfXXr8hHKSaj1Kgh9xXZlF8IyIUef7u+1/+tb/w - Z//MD/7Ij/34T3/xY9/y27/1U8+KYLGoUEctEERYTufzba13X/rFX/pDf+i//xt//Se+/NWvfvaz - 33U43Nze3i7HQl0i4gKhyqir79wQQDXF8bn2JKi1enAQ1cpcuSylVlTmUsw1zCwQSoxMIJXWFfWM - QihLRVkBrpAVB+1LTu+98/Uf/5t/77/7H/6nn/77P//uu+9893d/F3CW01pWBoCygKjCjsgyo1Yu - FqUkXFeI579vupvnqBJhYSJb7vNaK2NJMUyxv3o+r7XyUghY8c2v/sI/+sef/7N/4Y/8se/7Xz// - A3/2z//wT3zh7ywobz87fvyNG9w9vz3x++uhHEshRfkqItLOEQszi6b60lgwEbfKHSoCAphxdzqB - iAoBYLCIFK3DSYXwByCsgpUris1BhGGpOsRd5AysYBFamIoA54pz1SA6LqjgFVwtgxsta9qCKEDh - FfUORTQ74e3dmZmXJaUi0QMERGAWYak1LE8IWLCa8APzKM+YWzMKllpZ0TzzeeZaz2uJvK8cPYrA - YMhSz+cqfFDZ6VspRXAEFzeLKgtrak6uqs0Ji27G+TGLUDcl9L3Cmh6oMTUnAsF6Psu6LoVUdguV - FSxSi7pLqpodBQVnwgpaQAVCrJzk9uv/8pd+4qd+5n/8I3/iJ3/6n37y7U9/7LWb12+KxZmut8/f - u7vlp7ca72i8cj3QqeCOICyHFQS9s4PUe3sCboEK3JyxVBP3ILDSLNeqEFs5UDKRCCLYD1Krn9n1 - UMe6AookxgprSmvOgsoocYFI2B7KAUVqFRYqhSxukzSCxXMYSvEQF0Fdz7yuJEdiktPdv/zlH/rf - f/D7f/BH/s+f+Lnv+m2/+62PH5Yc6yACoDuwL1JrPZ3PpRQAXKvS3el8Vs1NNQFhplJEBG0D3kkr - /yIiEGaua9VvCeDK67oSLSAwy/lcRaSUEnZBoIkBp7LZt9ZX2CrkdqRmqDSNpYCBKuuZUVCWwUAq - 7JH+hUSgKMbeFtYTrbeg9a9+/n/7w//L5//63/ni+uRzn/vMW68VFNyBK9/dnm5vbyvj5uYMVMbS - pLOPxTN3kwCsBUAh8r0fgdS11NX4BoQZUqgCdUVhLiIA1ne+/gtf/Nk//Ef/5y/8/X/4leeHj3/q - s689tU3mDG4rAXKIAAxmYaIUSaTqw1rBAA4sxJYXlCpOlYloEfXXgsEnlLKWcusxwGU98RqaG2pd - BedSFr9LrQCkaKw7uesqqidyrSViG7iCGcU4xqpDdP1N6roUERHhKmIBtNVYWXHZCWZhZmbl7SQs - 7hiHsDBzKQUCYgYq5IwiXJaTms4rFlYkVFK+A26/9LM/9f1/5s//qT/9w//8X9x+z3f/jpsDjuUs - zMwLK8lCGLfn86nQgfRCggqpvtS4E141oKSuqGuprKndlekZcrFAhYsIB9EJM69rBm2WRAAAIABJ - REFUsdpwFq8eX5VzTXkjCwavoCPTcoIdaFlEQLSyCKjevfdP/+HP/PAPfv8f/b4/tS5Pjx/71rc+ - 9iwC9aWCWNUiVEGtErp8RidhPp/uVARTSnII5zEIRYZXqmcoC3XOJkBlcFAmICyFBML1fCrFZ0QE - QWXjo8KVIKCiB3u37g+CSF2NG0NQV15XKhairhldrUdnvmDIyiKrCMMiee29sJzuToCUQgAxm9as - K8PMpnohpCEqL/VMRe9GAASqGhdmETlQY36ryFl4ITp2BpjDNuk2M0L2wlwVIda6ijAVSyFYlP1j - rSygo0rk0x3WFccFLGeRcyFaK59XBg6aekzYcKDWswiV0gX6MytPNmyo66o8i4DKfDqdiKiUoiOX - vnJghbSxnb/2G1/9cz/05z7//T/wV/6vH/vcb/mu1z/59hOisp5RV6B8/f27d3ihQseCQ3B6gUil - dvCOBCSCdZXKVJqXt3H/02k93a3H5YBC7dxdcmSvaxXOX3jusKxeCgtzkuVqvReguTdr5cpVNVQR - Kek8EXOttRLZBTzns4LOgNPCSAAi1Iq706kUoqIqGwuJb9+pBuFt61kIVwV1L1IKChjre/jmr//s - 3/mbf/oHf+SP/okfePbmZz7+8bfeeP1GUBelBAEKmApjMQTzRrmuUqWURdbVhg0CNfynQqqwBVvo - 8JcEIryuEKZCqMqOFqFSHfSFV+UGFTivPiURnG9x+169uxM64nAMZ41434Za57MpU4UqV6VIEaV6 - Op1PzHVZ0mWrziRlXcGVSgEE6xnvv/ejf/Ev/Mkf+KH/5+e/xK+99dnPfPJGQCszn89Ya5GCUshD - E+wUlycHUk1ZcF65FGKWu7s71YjW9VwC88NOIVJHilARwrraqjMDIgUebaOM1DdtbWE5G+gJYYQB - Zq7n86kQES1+3pwLMXCWWrguwgSACqcTpNCUI+qyZ6lCK/nUFgjqe//vF3/6T3/+h77/B37kH33p - a//u7/xdhyMKAbwuXImrLp9+fmapshZaClLaJuKIsuCYmVmK7F5eCLiu9XQ6qUQ+nc5EJTgPs5xO - K9FS7vEAu8D5oGIy/w0uH1LULbPZ0mSOHhKRdV2XZVFKeP/997/65V/+F7/68+9+89033viu7/iO - /+DtT3zs5hkIWCtAjHIqtDZTbOa2dyX+la6z2D9mNahVL/y1r37tK1/92jvffF7lcPP0jc982+ee - vf764ah2McCWnhbC4BNwPtfbf/Hr/+or31jfPS2vv/6Jz7z5sW9/+22ITWgVQFAWsDw/yLs3dALO - AECLYKkCPuNAKLFcvhdKAFERAXvsbXU7XwRcmWDeCWoGmznQBcYxm6ISRr5IgHldVzRTqgoQqxal - Mtda5OAmZMVRiRwMXgGqIMbB4o5Y/5evfOU3fu4f/txf/tEf+52/Z/3spz/2u37bd+DJUecW+3i2 - AszyzXe+9rV3vvb1d+5u17U8fetbv/O1T3zm9Tfa3p+wiDAtBR648uV/9etf/4133r2t6+HJ07c+ - +dnf/OlyAw1/KmDQGcwgQjkCRcRTvdqWpQadeLRmDm9Vt9cdDkdQKeorNf2YIai0UAWILRJCXLkV - gGtVh+kiou2ttRYAVApBGCuvh6V4KhvR8LCqx/poYVFtN+KXlVOKev2aMqWOZqGwABCbX65Sw1Ua - VeW/+tWv/OMv/tzf/sKP/6bv+u7f9/v/64996jPPXt8QQkpSYY9E1jOwYCmwsxhUWCr5bWBg1Aqh - c1nOxfJYAcDdLUhw8xREfvufACDBYnAmSF2BM5YFLiuC6L/yG1/+4j/4B3/tr/7V8olP/+7f91+9 - 8clPylKa28i8iKJnPliIK44FzOvd7Tf+/t/9ib/2D37t+Jl/73v/8z+wfvfbBwZw1vh3G16BbYkT - 396+/+u//mt/6wtfePLk409fe+P3/hfP33jzdSqae5fbpqKUmHKtEEIRoVJRdL/hICK2TeKqlPid - n9J8FVRtS6WZVcIMcmXQAFVFygpiKkukuhQBr+fT3a/+yi//6I/+2G//Hb/nWz79RmUsi9qy6lxg - qaUWIpipA+C8VtuYN+eRmA+xkDkILaZGBObxM1nq/EeRiNWh5JoXIPLeu7/yz37xR//yX/pLf+Nv - /8wv/Orx9beXJ2++e8Iv/uIv/Tvf+rFPHk9/96f+1i9/5fZ08/F//z/8T77z2z/99ptPACGCKN4K - 676R+W2BZuIanEWYofKfVKaoBkUSmIDG+RRhI1hRCEKkhO0LH3nIDc7mjCWx81ehV0MKNFwYYBE+ - 18OBC7UkboKi8cQMFAYtVIpalVwrAVTMGe33H/p+vnsGxY1mEayVFxSKdJQCc2Q3xNDNQuFQpkRE - WFR/FIndleABwmBiIhaw8hMScxgKFQEVJrJ9EBFiscw/ppupIdm0emtZwMJ1LcsCWliqBj+yB59V - CeukcSEwM4ksvnVpb/3Qf4UcYr9ULBZe1ufvfeNXf+Wf//hP/s3Xv+3d3/uf/ae/9Te9jTcXSMX7 - 3/zlL/3CX/tbP/f8+C1vfe57/+P/6Hs+/gyvHXBDK+QEuUU9cHl2LhDgUHFgLFRBJ9BzYEF5YyVU - 4Eg4COR0AqiUYtRqRCjOhgFgrQLIYfFNAANQnESvvsXGYM2qUURs1cldV2tlkCyL7xzAzEhNQioA - V6DKAsKRhFCHs/ar+sVsUCIV9XT77jf+yRd/7ie+8Ivv3nz2D/w3/+35258cn4DAiykCxk2Vvtgt - WPX+u00irZqvlYhQaNyJHk2tIA3/0aPFokjMIqhVpVZyGCWTGfGLW8j+uNZahXWbPxQCMRCbt4BF - RPfXRYBKwq6F2chZfUIgOyglxEJcFqNhQbEz84zz3Zf+yRd/8se/sH7qK5/53v/y/Lt/swiAyu+/ - /8V/8vP/9J/90m+8e/rO7/neb/st3/O5z7zpOOkuUymqG3DlAlnARKWgiF7S4wm2Foag4P9j782C - LbuOK7GVmXvvc+97NaEKMwozMROgSMgkKFASSIrU4J4kykP0h6Pt8Iejfxz94w+HI/rTH/avh47w - 0NHRjtDEVqvVLU4aSAokJRADMREkARQAYigUUPMb7j1n78z0R+5zX4FNOcIOhX6sCwSG9+rde945 - e+fOXLnWSjMihRiRuLP3B86AQOt6f/fdd9/4+te/Ykdv28lX3/PQJ64/hv+nF33g3/NWn7k6TXcv - XHzj1KkLZy+sVA4dv+76W+685oZjuXTd2KRgIAPQip2Lp3dWT77+9mr7qjtuuPqOa48fyyC4NxAB - CQTyDwqoVFWdsIHgA5OdiRrUzQcNcJgZoE5OB2NSZhxFD1J3966xIkIgVRHE5rPH5vjo1hMcdxDY - LLaMAhU8AcOoWEsZgAxCR+jMvIrug6f33vnxt//8z5/4/rkp3aYKVThXUO472ABqThXRu/T+tHt9 - 6oEHVGYmSj3LmpeDk3VE0Xle6k6AqpmpBMpA7O5kkSAC7q0pCxNxgDtAIObeJSA6wXKTrBvrh7k9 - 5IY6rt87/fZzT3/3T7/+xG0PPXLdvQ/fdtPxHoO0T6XxzcCI3reDNk3CTOSmAfRtgDm3CGHEIoHp - 4OAJRUQ3tAYBEsfzOSBZzd1+BH7m1nnwrtaUJYHTlSAm3E3VyZ3kSqlEXw2I08igfWAtd3WcNwWH - Xo+iseqJQQh6nxngvesHeC8ryE3ITK2CRdIHXGjmcDefN24wpu6Qy31TRS8V1nkr7kpEAY+QkTgI - ZnyloZrPddfmC9afb78B81HugdmoMnHKAUz3ui0qFGanji06YETC5DS3+b3j/v0ZusIcpuAEyKal - iw7OEqlq74rNnab4+ub+z2/U70zsa7+iox8RXxXmyOLEttrfe+57z/7F49+tA//6pX94vakxBI4L - F069f+Hrz710URZ3nLzh4Xvvvumqo8xs0dsTgqt7a+oiAyj7Zmn0UtR6mQtikgBMzQhegcpJzF1N - WNgM2hoRiBlEZmDyzTEXzcJNUXzlM4m74ACxmMHhSXqPq4PpcfdUzU0k9QXu1s+rSG2ulMDOBWME - Db4iFZ2LKZ8/22EKJ3IQuPfJZk5a30pEoAbdeeP1l5588rvffOqdn/vMb9x777g+viyinS8TfoFX - VGoMCEzg7NyP6E6t9Dmm9YhwxSk8/7OvWmN0pJOuwBbDR8iAaHXznJvaJlWOglrbKz/4/us/eP7d - s+dvuuuhW+//2LXXX7dIm4V/sKpwZU0aub3QBxwz3NWUQAElqSrcJaX+aDZHzDS+9MLzX/3Knx77 - 0P1Hb7//kQfujlmcm6t3eFNITAsh6yQkznCogVKsfO4dnXm7dVqJu808tnkxOKK/GCyTK89id1Ol - DSWHOnprhtaUZcN+iePGIw8hCrKd8iZHisTQzDAxN1DeLDOtjaV1SID6qukLphNDOjUpwwE9f/b0 - E3/x7W+/+P79qyOB1TBDaHagNAWJAc1hICd2+IETnBnQnJ3APi9Lsl45RgzZYEgR08yMQLFb3eHm - FI6V1FfpT5cyfHAd/hXf///1628Iug3+5jAMIGKira2taZrGcVwsFiIiIu+def9bj3/jq1/54oVz - F+6885Of/1z66McevWYLzBhHNB/Bq8WC8k/lnWPe8VfUg389Lz94UweY2M29NTc99corzzzzzCun - 3qjKJ6696Rce+9wtt3/o2IlDOQWdDUOKjaOo6+ar/fHyd598/PmXzrx7zk6evOeTH3no5kePx5UT - o05w8ix1nC5n3yuLiGIyyKExWkAVQ94MU3D4Zn4ElyLNXNVSEjPUpjkFqwVTnQgoKaUOtjpUgQCJ - zQERaa0Fv0ZEornkcwNaUoLqarXKOQ/DAGCaplrrcrEgEQDM0st1M2WPc4IYNsGCGiiANjQ0AKUw - JFBQhiPxan/3zJkzb7z55jW3nd3Z3dvcbp4DkDqYiMEwO/f+ey+++P1nn33x7NkLmg8//Ohn737o - 47fdeXW0p8PyYE6QFDAYXnn1R08/+cKrb7yD4dDt9z74mzf8+oLg0JyjMGxoFSmj1wBQQzNwQkpw - N3Zn7mccNAgjbiAWaMVqf719qHAJGigIxmA3N6gkaUZoPiSowpqnAoKbYZoasSQRgicmz3l/tRbJ - i0EA+FSnaaRhEE6AE3WxntcJQC55rdacUhbMaULkkKpGYGKm/mQPUiwzEDPPBBKzgyGfQfgwYGoY - x/W43suCnKi1yXrZcOVe8CsTgo4FEWqtgiwJgAY3QE3JMzNYoA2tQlFTaUWSdwoVdnb2BVtlgZQz - KAOupnCSdEDFabW6r2W5ADLwAY4rTE0nbVPTVt11ptYCAAImJzAkkYFUMY7OAzEg3M6fe/vdd88c - PXT33ihTw0CgPqToIEkkAhFzyZcv7V++fCmlxCTarFUVQVlkg6mrmxGTO1wpS4qeYp1isbSUldAi - UwSotUbEzJJy3sDDNAM+LCCn1qxpA3woA3cwTpmIhOM3gwNaR7U1WSoLYWSOR2JQJffWdGd3T51Y - EkCJExeZmTBW1SxnJpiCGUS8Xq3cLAkth4GY4FZrFWbJqdXGTJz7con2eVy1pG7iMq9DqJlZM/Oc - SuIErxfOnX3+2ad/67f+r9fP7i+Pn/zEp35h++jVaXHYzC9fuvRGPf/P/tf/+fHvvWpb1/6T/+a/ - /ZVf+tTxIycD0olIVWtbr9dbW1vEpGrRLrqyYdRqU1VJQkTCNAy51lZbyyV1oiehAzOBsSuc2QGb - kxxhbjPZNsU2j15OR9QMaGBW04mSE4QhDCjUjLwlAphhVteTUnbOPn8gmmVyCCGo/Uy55ECWqioB - mSTKWhiI+YD8q1aniTixpJTECWZotREV4U0xjCAjeFQZzMLEIlF69b6au6oSIEncHAbawPwOApmj - tUZiLEg5kQMNtSmzuYiq50iGFc2bsxITkwHcCUBGxPIBh5h4b9NWa5kBF8kDcc8OHWiKlPrYTc6J - nOEKMwdBQGBGApTAwc5Dc1VH6rNN3J1m6Ja8uqsCDdKcvWeetnvh/F988+v/3T/9Hy7JdR957AvX - 3/xPb7/h0GLbORpAVlHViytRU7SKpNMWVxIFb4x9UOfTdb1aOZBLKcOChT0qTKPalEQCE2wt+mqJ - mJlAwnOW3eDO5BCGKVqdmhtnSKHEHngigQgimFaNBFEN9pwjKBkiwuyGWs2mlkhKEiWfR370U32a - JpiW7a2cCDCrK2kT6SjQaT3urFfjZFXRFEksxqIegBREQbzKOaeUUkp1mpwo52yqcE8pcW88XFHA - UCfs8Bx43c3cHM7c24hR9jNza22aas6JWUpOPp8+hdMVQXeTqVMgdwyIyDSNpi0HdNvRLpi5uZMI - gcy8qbljyAJyaIOAmQydmQyCKjgA2qjeYcZiLgpUQ3ZkAXKCE/bX1CZrurcaR+VZ0dp2Ll34+p/9 - 8Rf/9b957pU3f+UL//Dv/qf/6LbrH466nTZwHQGOphjX68w0JJa82fXw1JFUTolA3iaYgsBJMJOo - mRiWsK51Guu4e+78u/t7+frT702GTbz9wOsns10CnMHUuZtm5gzSaXrrxz/+N7//B8889cz5vfHu - D3/ss7/29z75qZ89cnxLJBGncdTEAgZ02j97+pkXX/kf//lv49qb//7nHjvx2Z8/fu1RQNqqCRIJ - WFInR87doFqrgodFiSuU3hwgjpVvbdPPaHWsRgZJy23MMoUEJGGrlYhTygDMTdUkCYEdqK1qU4By - ykmSJFJ1NxchbWamAKUkRNzUpE80rKA1DJdX03o4SiKDgBVqkEJVq097qei4unzu/ffGlZEvSoYZ - zJtIicalGdQbJytpMIM2hUniWS3q8wAGOAiSWAzum8rZubcgTSTNQCtqnfb29ofFMAyl5NxqA3lK - PWCuxnGxWORE0oEJnV0BDFBMq72GVgZdSGGwA0qBG6ihTtO42mvrXa3jOK0n1bgSVXi1JEyAKpDB - nRsAVazHabkoxNxaYyZmzmUAAPfWmpoBlJlNvZmxJJ57/yQMcF2tyJAke3RND3ip8L4BXd2EnHMC - 3Gtbr9eLxRZLko3BGou31tRdEokwbTCrHhkoDp7WXBulDCFIgtk01tXYltuHpICAqg4olySugJGw - QObkMLgsCnImzyWv1+NU63KZhEnS/DxDBOCAgRnVrZnlJMIsuUO5CiMOVr0LJyha85wIDiUCCrHU - sWVJnWrjvY868876F1qtCMynp5vExGrWWjOzlJIDnK5gnCqaVsnOSYSlqbtrTrIonVPixE6paRNJ - TIXArcEqHBFMiTgF0Ud7/5inaRKRKO5qrdM0LYYhtEHRwBtKwQx6CnPUiQGDUm80CwlqBbSlpZKb - adu9fNmqDoe3WEThapZB506f/rNvfOu//9//xVkaPvPoI8f/y//iusP3lVKmsRLRsF2A2tp6fzUt - FpRzJkLKPeFximihag6nnCRnyQxVNK3uqwUPqjw1LcOi1jqN49bWlgSjpKmBhMnUVM20pZyjyjyg - UngPaNqqO6ciquauIlmEmGSqIzPFG7ZWa63LrSUxC8isY6QpZ5ops3Mr8SA0i0BS6R0BEEEM1qwJ - C4Gaqrc4P1HywBLqdlW1NPS3RbQHUt3fPTuu97MshJeqtLtaHTnEQ8cuoxUB7WWUMlWAmJgk93aN - MINB5KpqTiLM0jlns/qnp5rmZgbXJCwgMJEI3OG1h3Rro/KKUuEOTJuZS2QuIMAMOtXHv/mNL/7L - /+O7z/zol/7Br//6f/Zf/cJjnx0OiTtkbllBjYCUU0fb5yaKexDzCUDK2UyD9J1YRERV3UxYSASg - +aAx03b50sV33nnnghw6d3FHY8gwE6eciQOWVIVDCnu30VOAc21pXduwlSQjJbQGAi0XxR2wmUdi - VmtNKbEIiFprqjYsl1Edi3RtTfBJYO4B+osAsz4LqLWu1uP29vaG+2serF5OQsKs2uCeF8PcreyR - pE5jyZ4S9czEMU1T9ialxAmrrk2TAcxIEmFKD2b1CLytL188t1qtzGWxiMJRl8KUgNpQJ+eihKlB - MpjENvQijiSvsWwQBLi5qSG6f+Sq6iAhJiCJJJFpmhxcyoCeqqkgMaMMXXr7t8js/7fX3xB0m0Qi - 4tdpaq2VYUgiob97//33X3nlld//V7/99FN/9uPXX6wThnJTneo4YhqBhJSRZAlqhLWiJeR/7+3p - yv/4a1wHnTIpV8L/RMIQ2r188dUfvfT1rz++u9Krr7+ZuPxiXlx17b2GuV3ff8JAeunC+z889eIf - /uEXv/u9d3fWRx96cLzhyLX6s4iObzVIia2ui4ELBqChWXVqWQxIjK1tpCDRWyVxYpkpUGQdZhUi - sEBIxtqYuGQeyhCnNhGCLdTq6Ga5FGIRFmzaqgBm7QwzC/eaPL5rZq1WSSmqOJ/btjCLXlbOZXLs - V5dMhaKNEyeIBQM5S54c6pY6X8MB395aHjl6JJehOcauyuxAaNzwpt4TZbf1au+9d09/51uPv3rq - jSrb7+/UNW/deOtjlPoTTymJCbSiretq5+Kl1df++Gtf/eNvv39h7/h1J60cXq2m7WXJwuQjwoNy - KDBGU2cmRkkdAh6bZkmzHy4AhhQgA0yEqQHA9nKRuoxJ5vnzllOIk8A8cMqIAxsE+DSOU7MybEES - YdbdIeXF0hhGYIeILYpQEosmmmnMC85zeZskR3+9xQd3NwPmDQ86utJOUNs8O1M3NfQ6mtyg3pXc - 6pgcLChDGUpynUxrSgeEiGaAaeHQhMCkO641tQwDYxgyGG461rXklhmBNagilC45I9GCxBy0Hici - H3I5fHQruGimRhIpQec+1sndqBQkkaCyUhdEuc18DHInM3JzwOiA49uXlgO1NjITUMpOSVJoWFod - 95aFSsn7q7qupB7GFwebFRTu0x0KYoYwqaqwu0PVzcCOsU6UNEsCyKBzHxVaUTKkgClDFITeRiXO - pYRyFqCOfgTI7GgBpDJEGBAznV0onKJva25aL517/723f/z6m2/mo1efvOeBE9efLPngEIUw3Jh4 - a/twU99brfdX6yJczLG/D2bkZc7FBXA0MwGLYFgsyMEwB1qdTC2lRID3VpDXcUw5B/rfzM0dTiyY - qq/2dg9tL0tiNQU5CSdhJnEHNX339DuvvfryubPv3Xvfz378M3/nkV/81UNHr+G8fWyRbjrc1u/+ - yLSO04hiw3K7DIv5GcQqdkmy3NoKXCnKpNClwefWVU6xUFWbKXJOTOww1QZBBDer9eKFiz948aVL - Fy6noTz00Y9edfU1LLyuZozgN8XTN1g0ozpoG7SCcX1p58ITL77y/spO3nrH7bfcfvWxBQvImJzB - Xf6URYw4NEtdYtzhA0WbwOkgcyKIJIITsbpSwPpRU3Z4mnNKxKJu+3vrPCxSlsVQ6MqRiBH4PWqw - 1poaUUqJiEWI0OkUkUyBQKEwMzNVghNnBF87FRdVH6dxLyFl5JxFweaFWTbmvkzscc0wkIIZhlDB - dlDBjby7nBBzybmOI0jKsIhdlFJ/rnxglIJZkmIgCNNkrXHIz2n2iQBAIt2Gdl7ocVlG3tzNnBqk - gS2k2s7syZXX++sx75rtDIOXQsyk6mIEL8iF5+okJwypEBze0HJgoS6ojuQYCFtbSwT3I1yDzFgS - MVIWmlkUAcrPdYtrmzjIlj1ZV8B9tffOmXNPPfcil+U1N5y85/4Hy1I2aA6AYSgCg5uuVwJHKegn - gCrMKKXMxCU88g1cO9kUZEUIkhLZQQkqBFgjnRaJt5dbKzrCXJiRBHOMY3RgycWdmVM8JHMQzU26 - zrEVSXBXM1UlYWEholabOZIk37DFmDsh+SALiwLMmamUHPneNFa5AgtWtamp5BzNZhYwiFjc1Nyg - XnIxkfU45pwlpZ6SwFtrApZEoN5JoqgUoTADZaIOwDWFNwgMycDEJHEUhoFRw8bBOYohI2tu7kic - tnOBAKhN2wiYCDjxpLqubYoqOQw3fGY/wplpudwSmOm43tlZLg+zQBiTY6IaFPRCTCKgsNdz5rkR - 7wFpF2EANSeSnEnKvBf/itccGsytaZUkBIriPEgzTLzaW738o5dfe/XU6QuXXn/njOWUl3j0Fz+5 - XC4Bkiyds5x8Wl088+47Z86e5eH4zv7YugWYp9Lno3irJhYoRqzgkktD9wrY9PPMfRrXmS0xQBj3 - 9k+fOf3scy8sDx+76Zbbb77jrlz67xVRwbU5sRExS0dVIuEG5VyCWcXUvzxN1d23lgMLd50syB0p - Aq8rpn27dPrV89Mzr52VE7fedcPNd153ojCE0NoE1jQI6n4RXHvixFvnp5S2asX2ABZyb45CBDBI - Y25ScA5cNrhtxxRZpLhBazNj9xThDgQQO4w7u8hcdZymCxcuvvnWWz9+861bbr315MmT119/I2Vy - 92YgInBgCz1DZnK30DEotX1qqx99/4WXT+/JsZuvv/Nnbr9hQQArjEAJkjCUtBikJBeyxXKRhqEB - MAyOksMDBSJQQ1U01VKEGcMwMHOogIKL2OoUJClJCTbzHIVSCGcV5igJpEZac8noDaeOC2GGfoLG - QcbcSaEe2MpyuSARVx0nTXmIQ4FYMrORhOlJNSgghESz+aIbgv2lFe462ak33n77nffOX9r98Ece - vv6mm7e2kTI5Mjyy6wmeW6OViTmGnHICC3tdmzUuJefiQk0BODGZNQraSJQ36kLREi0HHAaFohlU - OFifiOY7Z8CgZuDkECKShDpNNmkuWRITEzsDMFMzY2Jmyjn3KDnvX2ISCgZ30PjcukyPCmdhCGeX - FNxjRyNzD/8ZwKwiuRI3p1ZVaBqSJOE0m2OA0CHqjW7SfcPXmfeyqxmpskitNTi5JedA9ilnYd7Y - 6dRxSjkTS20QQcqJ2dCmVqfD24cYtLe7ByJKqSsRpnFcr1XNMpOklHNoKRaLJTyWjEqy7UOFSfoD - RzCQkAYXVodGc8pUWxMocsYgg6FOde005FzcEVVq0MWIKIkAXmtjImEWzsyo5a+RAAAgAElEQVTs - amOdmCiJcErWmruLsIgEt4WZfFNXkPfEHQ5QSVmYTZUdLEIkqqbamMWdguQTCRsB1nQcx2GxYOFA - 8Ju29bheLBecxD2yHWYmypETGfEssOKNqH8G76xC14uBsrCqM5c8LIblQCHSDXKRYj0CQ0AKEi5k - 4zgtJHc8tTXzBmIHmCJ+oqmvVuuUyzD0zIQIEJKAGnyWGAZAbApyTOvLO5e/88KrZ/b82quOfuSe - 2264+jATbziaTYHWClESKYmz9F8r1F6wWY/gUFMQCThWYDNlluhq1FbDhiJav5zZtcMrSaTPngw+ - pxkJwWy9WmlrTJKHJeelAVNFgaN3ip1AkmQ2VFLo5Ovp+ZdeOnN+rcB9D95/zQ3XlAyea0Mzc7Wu - FmIupWwK5Jwzi5sZsfT1ARiwXit7TaTCnfSlTcHCkgCknLdYiKkZtGnOQgSRJNwLkpQS3LxVMzdK - kAIGiyyGwjSamUZ7AMg5iXTYZHfn8lunLz7//deH5fE7br/+1luu3l4iSeqzkglwY2pZaDEsRYb9 - FRYFGb3RCwJyIgYYkiPV9LzhsiggzqnLoSNVCWOTWidiEiHq0cRrnYgopZxSUvXValXKEA4zgJkz - 89yuZhzIAX4irwlq+L//jb99/Y1BtxtyGXq+rky0HseXXnrpxRdffPbZZ7/z7cfff/91M+zsYG9v - J1QHoQPiMONAV6b73Ib96R/013jNV/xHCJlnqyYCISe2Or31xmvnL0/nLlw+dvza2+++/4GP3hvc - i+7OYwqtIL14/sxzz373ueef/sGPdiG3Pfjg1mI41oFNhQJUQKiKFaMyACQYgMFnrjoJwOtIUCOZ - 2diAqBmIidFC/R/dHiIQRMgd4agVCRUFBb5TW3xjhrCRQB60IjuTzjqQR4RwrNvol+MVz4QTM9zQ - gOTIjFYt64TcArpl4hCPJZ4V2NrcWhc6snRDIzOQz4keNhguCCVLSfL+e+++furtFaDDsWtvv/8X - f+mxQZDmKjqOJVire5fefP3NF154/pkXXtif6KFj1+RhgLkAJVwMAroVhvMGEET4ZhKcxfolBPVC - YCmqoRCDbNiOZgBTg7lbwCZM1MzBmUhMwfNKYgeBw85iNtNo4KRA+IAwOUhZJKyCSMMvdoI6iMEJ - BjNrLImhDjeQgAK9Dfpz/xuARyYRLXILDYt5EHPNnEJrOm8k6vPY1OropjknIr6yXdGfcvhsAcnD - ZM3hZmqETKw0Y0qYf9AcQgH1MPVusAfkVApMMTWwOHchmsL9ygBOHcBpNvfEfX5MTBByJkeXvc+H - yUabPS8HUL95RGhap/UO+UTwsVGzYgf9TLri5vk8IU1z5mFRgPAjJeZ0EMiIQh9JRMyyQaLCttIB - b5WlgoubOpi5RKNF1ea8Hza3ecxADhaIS3DDQATyoPAFB/zSxfMvff+Fb37rW8dvufOxE9dtH7/2 - SF6GYgXkYBKAmVMq4OToDnSAdRnnBmzrUjKgswjhBpq59iwSdN/gv87iyciuQsvZWbxg7vIwMyQi - Iga7uysEuHD+3OnTb61WuPHGGz768M8++JGPbB8eiLFkbDfbXZ3+hZ9/9MhN99fljbffcdf29iHf - YOdOXRIlrKqRX27Odp0FgRxBzdGqqVqSxERObKThDUHG7r6/u/ftb337jdfe2Dp8+OZbbzt61QlX - juDWTEvQ4jpVHB1Ijd+IHau90++8841vfv3HF8dPferTVx05ceLYgjALAwjBryARImqbg4lAEgBj - C+W4A1MDMxLPR+EmOyaCqZtRYNLkLAwiNieCWzMlEe77b+7ObH6UjAFzd1PbyABjM5g7ufXHRzQD - vgExRsMHNm89IicyyMKVVSWnK5RQTAYOg0SCAokoxZqx+We7GczUhAgpS3yYdFUrzRvr4Or6RvYD - IzBCczU3OcB3+zXHB89HTt+YjBZmIg1JXbzP4+CtxaG77rzvN/7BFy6XQ7f8zMeOHsklh0xNgvcI - LgQEFzZQGTSgCayAxUNY3EUYNSeBU3hY+EHtdjDySxUyi5fnu0uAkx+Y10Lben/3rTd//JUvfylv - HXngIx+7+fa78nJ7A3KFzxGh9U15YAEaqSvFw4p7rgaTMH30ZiamIiKpkz0iKWB3EBJUp7WpUk7m - Mm9+7arhjZ43AAii8LPvnFmCd6UbceRi7uaWILyROPRDtz+rzRPuoNaM21ooNJkIHmf7xtEm/FHi - RxRo5pln3RQxHLEemLvsDt5pv2be6WNxqB0cGj6D+5uSN9IbQKG1SiJIeEH0xqzPytSuZGFia9bM - wEoFXWGpy6Hce/eHPvvZx25/cPdDH/v4tTfcuOkyzcQwR1S4DJFQaFG0gRBWVwSDh0twlOVAOMAS - pBCBODzrYp8bvLI4CM1ZD34fbH6vK/bST3xjc/TNL/P1an3m9Lt7u7vjanVx/+2nnnriqmuO3vfg - fSeuz8J5ptIrSF33V6udnd29tNZqZCC06tUoLdDdjfqdihaXm5NkIbRwz6euU+qKzTiAzC5cOPfD - l77/7/7tH568/UOfzMO1J2/lUsKUSh3caiTXPJfWTFA1kDMJ+lEEc4SYgWJCQOQcISMId3ieAy/a - 5cvv//BHb3/pT58+fMtD9DG94fCJ7S0gRQ4SZ54W9iEn06lV2igujHyq6pCcQZyIcnS6ieJjQT6L - 52ebV9vcFe+5mRMkHHnJoOHj3d5/78zTTz/9nb984uOfeMRZTlx7fTT5DN29V1Jgvg4ziok+ZuQN - 3uq4evZ7z/zpky9v33Dvz6VrTl53Z55jRNx2wBN7otZ3T/hXECiIFNFyp83AuW46IYm1qbkKM/VB - GeHJywF1bZ5i1EFqMIMLHB5gOQDXRlw6zNFTxvk0ZIrQwZ2eTABMm/kV8vFgDpPQJovdKHQPUpa+ - PaO/0ur4/Reee/KZ599+9+z2kauOHL96sb2cgybBw76c3JMab8S5iC4jFK7CmcFTVeY53yOGU6sV - cIncyWFOYHI3cg4zFu+/BhN6YCSiOIXVyFkSwAJj675lPQT243tzihAFR9F68UUUEbw3khwePtER - gkJhk6BEDYngjCQU1tgKd3ZThxFBcjhGmymbwUkIIDGP5w+OLoT7hkIL9JzqStLGAadnLv0wU3Y2 - iWE3O1OQYKbiuRANuTBxa1UDdWMC4aojh++5++7P/fIvnx+OPPzAvcdPXE3EH2hJkRMqUwY8cmmg - m1OYO6ESnFkAil6A9yKImJxJwSBh9dlqaA5DxNQPmhmGiP+J221uvPE29oNkJXZ7/zNmc2XdM3Vh - UndzdXXmRP1mHIyZCUJGFpCrayVPQO6T/RwhxocRUQoRjJkHLB5uYaomxAgorJOOYapsFVRzMiJv - U3Nj7+M/+p6GmnsmsM131eDk1inAQRDuNwhE3EeRXJGO9si9GShEoFAbd0OGecN6w3r/4sWdbz3+ - rZff3bnrjltuuvrIDdccjgCo89lDxCJy1513fOaxX7jp5lvv+fgjN950MuWee7hbKPVnNwPqp7s5 - JWJim68KiDXgFMlKHHA9Jes850D6oNpqZaKUixpNjarG6WZezYvNb7KJNQaoTe2J73znue//2IQP - X3X0qmtO5MzxfrU5EOQumIUFJferVyWRJNwJ0973tvtmj6CrQNznX9nDxDdlNoepWWRtBGZ2N3MX - CcGKt9Y2XmdqACwLYe6sR2SWLn1WEO1cvvTqK6986ct/fOzqW4g/fvXVy+3loscPwM3JG3mdLeVS - nPtxY7vdBEENDb2M7vn7QUIKkEd65CiRqzOzHiyM+GtWCbkzs5lqa5YKB13op404878CvcVP/+rf - vv6moNvNK+csIuv12t3Pnj37e7/7e1/96ld/8MMf3HH7jbffdsv21vjs906lxDmXUpAT6kGO6nww - 5nZ+bWpl4Ioz8a/taiNPIMDUHZZmbQvcrjl+1c03Xp8Fbti5dOF733v65x773NRAjCKIsY9oijYh - 49zZ95555qnz53bgsnXoqoc+8h/cf/9DVIAGUxg3AhrG1bTLNMJlSwbQkHg5zYVI0ynzCqmwJyDB - fRxDVyurcWJOKaf1OKWUFwMHF70jHaq1jowswiDIUGY4jrTq/v5+zjnnnOaDl3I+YFy2pqqLxeLK - xmyc6FFBsQiaWq1cWIQHxp5DFSlhdXmlvrM4Qn2qVgQIAyRGZMPrtLtzeWfncjNPZRgWCxAQ81dm - 7gYLOs7BfNXRI7fcfNPWYhBCU/zghz+45+WXd/aaDEnmPw936ASBr3de+9FLZ86c2dsfQYuTt95x - z733lZwF4LD0jjpb4U4B3baGqaE5ZIGcxcAB2cIqqunkIlmTjQTKHNML2oiaQUusas06LRYF3prK - 2IgkGaBjK8Fn8imlRDnvrJqKbImm5ICqtv2Wa6YkyO4h0AahOaCWyDCNWK2x2EYSQFer9SotDm3n - qOXYO4jAB7sEMYCs1ZoyRKJtCnY0NSB8b8DUJXMhUTZAtdVp3eoariUnmoffJEYKaih3rXQFRDFE - W1nr/v4q58ViKy2GJZAAMTOGi8zOS0BrSkw55cUwmFMIqNUxTm2xZKLssNoquea8yPkgQ4Bbq83y - AuG+TtE9hICyBGmSjefKttPdDSzIWSQJuYKMeivFtI37u3W9V2tzEuMy37grQgYBvdVtplPOsr21 - xUSmbuYlDynBCLkUUGiSDECSDAelzhh0Q2216SrldVnkqU7mWCwKQGY2TjXnklLM+zIzHwbRBms6 - kIiAWVqdiImF+/kPRsrjau/UqZf/5E++dssDZx969NM31taXvRpIkVyEhdkcqQyL5dZiOQgbyLFc - hBVAU1UWIeQ58Ice37UtSkphWR80G2KYEVNKBSBTrU1zGZi7wwYxHTuyzQgRphNRL4BUSVkS7+1c - vnj+rBsOH94+fuLEsBjiQwkw1cNXHf3H/+S/nujEJTqMjAXDVEWiaLVI0M3N5gJjE/TVQoIqc+uq - z65xd2ZKLAaafKzVzLlI1qbffeKJp5985qoTJ37zP/5PzMxqTctcDev1SnIK/L21BkcqpYcROExX - +ztvv/XG448/furc/o0n77j/nge0XZuCTElzwHGHJAdVP4DwGOjchBTjkmg1TolZln2kiQNEzH25 - OHVyisItSEycZDttrdfjNI6L5dIM7h5rxhxuLkzCSIndOaY/iUi0EAAiJl03cyUiYU4iEKYe5Nkq - 4ODSA3ouIaVToJqV5kg8S4ELmFnn2NqH3bjEwLCm3mxaLhIzwbDa28siw+HEywUwNx2itCAACFwF - jnDk67ClG0gSibZaay3LpczYU9ypqaKVzl3v+CXCM8CdqJHMrmUEYhw7/rHPfP6fPfb5XSk7ZSlC - BSBApMABZRgbjJMkQgK8NkyVDEBBGiAJYfpJPo1jLsnM1+PEklIuKadNgh4LfxzrMOTutkJgIs65 - R6HIds1Qp9Xe3jtvv/W1r311cfi4Uv70L/+dQ9i2TmoGOVb7+wPboWXhoXS8IrqCkkk7DtYqvMIc - TeDghOCYG0Qg4YfTorkaHaTCvnfp/N7OzrjVWvMw7zNoHLOJ+cCN0R2O1tTdhTnnDECbSpLZScZ7 - xTLnBqHnxU+Ah3SAo8Z9isLXw0ATxMwhRplfxsSLQQxQR/joxiWF9NqaASCWYbGMrR76RIDLYlHV - ptrKkDE3AgDMWv6+QZkgCQz4yNNqXHIOkFbVKosyOOQKcfVESMKu2poaT8a121f78vjRX/r8Zz/9 - +V/aT0fGcmQEerM0vFx9U9x4FJIEpJy2KPP8HSYIssTsv/jh2qBTc9O8sMSJYAaZKkhhIcrxqrqe - mm7e/SfS2w/mukycU5k1QPO33U2tjtP+7t6yLK4+Xi7XeurUj/78zxef/w9/LW1tb28fXhY2wGxi - TImqCKam7lnyAuA6jePe/qHDFBsj8J7+hJvWpjIIJRHCqKgtjIM9MS0WC0KDjjaO7717+rlnv/el - P/p3Dz/y6IfufWCapuxohBz2r+v14WXBxniUwKCpTeYQydbDJWtvUHEZ+gEWQBozzB1mzOJqZBWZ - 93Yv/vAHL/3+v/6D6+87e/XiyEfuuPeaYRsJKefqQJ2QObPXcX350uXdvfUGbSbQetw3HEo5KMBD - kPCIGQyb3M1TDuAuClESZuIUu3ZqUIOTSRGCwtTrSCxJ+NzZ97/73Sd++3d+Z2x6/Jrr7nvgoVTC - sL6L51gS4KoKrUkY5LAGIRhZm55+6skvfvFPTtz58LV3feJTH7/TQyVCWFdXOOoIa2wTDLVO1YzC - szt6BNWpagN4mVIGJw5HiCxYjaNbO3zoUMAhqWSttU9U44hPPUjU5jYPUCKKLoij1bGiLDILDN0w - vfdAw3hYFdaSgJnIfT1O7mAuw2IbG7AWMwgDeLxxpAodTHMAiCEQvY1mzz//7Je/9OWXX3vzZx95 - 9K77Hzzmy7GCCIsCsMMqIIScEqcCjnOzToMAxDANBVRTSuIARAggU9vf3y9Z0tYCZNZsaioCYRc2 - iIujNriRC6Eb7msiQJhA60llCNI4yjBbgWkLp9ROcd30Jt3qNNVWU86RywqJmdZW3YOfl5kpSRJm - W1VygbAaJgKUtuLdNq4dMcMAzCTIiqZuDc2gjjyARB2TGrsJ3LQFULuBbmPEX1osfLa+LZELXfEK - HisAYWbhYbmEedWD1hXgSDyUwhSmNTzV1syYE5jSrbd89s77Pvz5v3t2OVyVcASAwlS1GnOS3FfZ - NI3MC3i0DkGEYUA1NNPCQmBiIXDIOmuF2sTSUilANhA5qmqrdblchrifeh950xJ2AMw8LJdtmgIF - o5BCAt5UrRGDWDgecVOzJkzddE41toak1FqdprEMzPEsKE5AgNAUbRzTkIh9yBz9HRCJE3MuwzDW - puo5JwBqPlUtmVnATLWaqZacRBjMqgoXB+o0DdKQSMhc2zTV2nSa2v7a8rb3WrBW52Gx4H1DcyRC - gxKwGAbUkA0qCcc0guC3xH1PQoe2F7EXN7MWN8cLEbm5mgaMAAJanVb7ly9d/PZ3vvP0y6fP/syD - n/7kw/BbmmojqQxhJEESZk+P/Pyjjzx8r01WD9/YDh/3npKRV1c3AVFK/fPcMdP/48KyZAgcaFqr - ViFOIgdphhspmRmxU0rQGtttyHkYFnvrtrM/rUfIFjBiPa5zTnNrHP3jXEEOq49/8xtf+tp3GvOn - Pv2L93/kwb7mDeN6vbUYchIA07h205QyEblbrS2XIimLUG1e5xtHhDJwwkAQuMZmF0nuaKq1GYuk - 7qeMEEwHzjHVxjAJ/xe4m6acJWUF1iOqrqU0Fqcu6epFPFofHnv50sVTp079yZ/+2Y233nfXh07e - f+9JvSr10ZRAa5pdCQ3Q9XpSxaHDGPehrXKSGX7RSac1l7KYG/yYQajonJmux1VrFWRD2cqJWEgk - kjrTVt2N4FmkS0rnxC8A6pwPLJX5A7nj377+373+5qBbd4/Q7+4557Nnz77y8suvv/H63Xff/YXf - /MIDD9x56eKrTz351ZdeOqVao5BmRletO6qvMnczzZ6//kTRgJmZ89eH3m6CV2/gAV1LS3bD9dfe - d8+Hbr/l5Di9eXml58+effutt95559I11x8t87Su3uCapjPvvPfis6+Ma6S0RSw33HTdNdduRw+U - M0qWc3sXv/e9J37rt//l3u6FtB6XCqnOx2697u6PP/Zr/9EnHzqeMmCjV20tMS9Ov3vm//zn/+LU - a6/t7u2rw0Ep52uvu+6xTz/2q7/yqyEJdSDcrhZDCQWlaeMkcNemkgeRtLW1FbBsrZUACqsE983U - 0WEYODTL7sIcqsmcs5mZasyNDhOrqliHW2GCO7a3t9gbaAVXmJoY88DANFkmEnbKaSgl5wyidW37 - q3WrFZ6CW6EEPmBpGcHzkcO333rLPXfd+c5b76zevbSjevbc+R++/MoDH743HcOoyAIwIwtsffG9 - N//yW984f/4iyhE0ufX2u+5/4P5D2wxb+3iJCgPp7Tfe+Z/+l//tndMXmpZxMndPOV119YlPfeax - T//y57a2EtxSXWVh5KVM8uU/+tq3nvrLF0790ISLlayZXT78iYf+0T/+z9O2tKmdeu31P/jd3/qL - J19QbJkvBBhE0JrZRGhf+I2///m/9xt5cYgz0OTShbN/9kf/6ne+/M3L2L7n45/59c984qO3Xb29 - rHACLUAQSVjtvPbEX/ze7/7earLd/fr+qj78mV/+8KOPffhn7s8y57GYvXUQJhW98B2GckDoYyGW - LBzErM7NM4xjaylhiHOUsxBBTWutU2Qn1pElUG0xmySqzpTAIIxTVdveTqHUJE6gDPM2VZFJciF2 - gNxJpBB1IzwiBL5ECYMwMRuYYZIKoQI+jmbKy2XffmSd13RAre1TZcI21yIv3vSR4Y7WkDIMzuHt - gKmhMJLQ8WNXZcnwkUSQZss3TwfY0owaEUhELu/vXrxwPkkCslvMGAm1MSlgaIxIyrxNRIacwyAJ - JeecFyQNsFKy+mBRkhKLpOBZxA7dSBfD7zPy4JSkr/0NtjKuLl04/96Zd6dpbWpjrZ0e6mDhLq9u - tTU197291e7evjumNpU6SrxPQhJpc5isFdraUFJOKQrvOLw1xuAmAXMI8pkTiHMuCHoRQQTqGKsn - 1wSTxNYnj5qICDGgi8VweHurZBCRuo1V80KEYICIYFEALzwsGCpBye6edIEQmepUa0oFwDhNKZXo - HIhQlFmqboqcqJRiaq4x0M09tJ8SGR+11lar9TSOrWmtjYhzSVNzMC23tjYsslxKCkKReR9LyO6t - 7u3u1tbCYxUsYWfcOWC9AwTUipJl5qRbA8yWaOSGqUEWnqksSvwBbXBtcCthY6dq4cE2WikFxG29 - YmaWBElhDBpDUswpGCgigJOqt2Ylh08OgxKFFZyZMIg5l2TGbpaSAPDWNgRzPnAJCYIz3NfU1lMt - nq5hxjhhAUAiSqxHnkomgYIq4KBFtH4yE6PUugJzEjm0vWW16uXLstgCca01DUvJ7F3O1/cWUTiN - We/DmKKR55TTQCkR2GCs1odNzn4LDZ3XGJEgRLgONiTr9FkKfg7AyLJMScWbG5E4oO6JJCjxTCTz - ZCpiRhn6CJ5ZWeaA9BAKJiwXC3DXUfrsnEwMFgyLzAxVTOv1YigcHDQ3xMz04JDmZNpWq/0wMZxh - io5uEIGB7a1tsdHMOKj8Dq8VLCSF5umjksCMljA6JlKGZMkJYhqKDYQGnwEkwWqq414ROrTc8kOH - CT0GyNw1qK0xc2Jxd7gzUcmBzHWSi4iA2E3rVDlagJzMbapKBBZhCuukuX/BmAehB4ciCsOofx0O - bQ3ETElrIyJO2Vtzh5EgCRNyYTjCoTNxuOgkuLr2sWkgEhbmBLA7mCWzmG2GPIXOaUQbIeKLrXBK - jVMsJyrLLXhFa5AU8onRAA4iJrQ1CctgkZKLOTXtKpm+aiVxa9oalSs4KNQF/NAJ7FSydPmQA8Yc - o0HQKmyAgicftTW45ZxgDeapFBJW6ncSrEggcm1WJ01Hhu0jxyDduemnvfwD/0IHMQEk7hxmkZw4 - adUk6cbrTzxyz11Pff+Ft99+6ytf+ePPy+KjD3+4xwJ2rPfdV5JALM4DuBBLzkm2F0gOXUMdZQHO - 8QMQKZxCAOuzIWNKgZy7qiY2EFh4GMpyMTBhvV7v7u5GWGNAgSy8WC7RdXbaMfT5xIlRh/ORzK4Y - J0uJA3BXNXcXyKbcJwZIoOZGRIk5cS65lNL9sACQOYeswtpUx/Xhw1uHD2/NNQQ7fLEcmtE4hb95 - nqkLBiKW5mw9IDh7dSIm4qZKIk4wNxJOImYwd4ZRIrhbm4LtxyySSx4WacgAqmOqVgrnmVXBQhzC - DXIwUNe23qvTmhkpJ3VM6lVBBa5QR84UcofEKAlDQW3T1Jp32gd0agtKKClHGT4LOFS91ZZzTly4 - G32azIZppsrMIDaHOgyUEkUOME5G3BK7rVdOZRi2wFwb1q0HxoDU1aA+JSaRxNRbVcOiwDkG3rmB - QnnUx90jPgsHkWSj0wZMOzDc6mp/r04TM20tlxvvjpw7XZe0QvdhymlbBA7sreC2OrJgkMIbKLu5 - goaBU4JjbG0UGpjKoa1tDgdMNaY0DEs4BK2PcfdgVnbrGYuBfexeV41KHsqocGArwdzCjnk2ipVN - VerN3IxFcikpJ9qo66MtwpuBUNTMzD0bJeY4EInxf7P3XkFyZel54H/OuSa9K5NZWRZl4E3DezS6 - 0QAa7c1YcsgdhbSkVnS7S+2+6EGxTxsbWo0oUTPDHQ6DM9RMN6enLbxrAFXwprz3Jr33mdedc/bh - ZqF7RFERG8HlE2/UU0WZzHtPnvP/3/8ZhtZZCtxEqBlQHQydWW0Uy4quWwiWBZHUt2YDtCogK5Ik - gjHhmHAOpO5XYx5JX6ffIoQopbqui6Joiix1XQdTGL5uYg71CRUzNVoiqvcgnFMEmq6rmqIC56Z9 - gcnzBMMAwwABJFkWBUBmIAlndcMGRpmqYQkhbJdEDCCby4yy+nmPCQawAwDlHBgXCRgGZwYSRXNt - iHVXE0AG5Rhji9VaN+JAYBgMISQQxChHwDFGtWoVIbDYrIJAOGOcGvVHYJIVMKmTlGldEUJMA2YE - ABzVtUQIAAQiYExwvS9mgiCaJzUmQAgIFhlhCpwRaT2KGRCjjHHgdbtCpOkcY4QQkiQZAAwGxESN - 642OKZMFnXHKkSyaBot6uVhkzHB7nIKIBVGw2S0cFGqGOJjUHx3M3ETNLIbMrpDqnDMkCHXPd11F - WEBEQBjpBqOME4GgdUKPWWkZlGOEhPWQMsYYqY9yOBACnFUrFV3XjeeJewgJBGvwm8RKzgFjkEQs - IFkSDQCdAUYgIvjazURgGDo1sEBMFIIyxjgFjDA2XQwZwohgASMEDBhn2FQJADIndkDMwsvssAhn - jDFudzitdqcgAUIAhMiCBIhToJTpwE2LXA6Ig6HqtarDanE7XQozhV8hKncAACAASURBVF/rke8c - rBaruYcAN93knoe0E4zNGF6GcN09zXQ1N5cuBk4Qq+dn4HXHL0BEqIuM6/7B6108RiCJogkxGZoG - wEx4pK6XFYBgCRMOTOHc4EQ2GHAGssABY7SeymMYBuOgU67pOjEB4vUShggENEMi4PO4LXJR02g6 - DU47yBbZrK/NVyGIkih8faC2jqeZL5SAxSIxJnMuwzoKzhhHiBOECCGUcsMwzPrW5EFjjGXZwswc - NoyoYfZx62PXda3u30u8/afrv3X9Y7NuAcB0nxEIsdps27dvDwT8x44e6+wKTE3emZnpNx3S193c - gfH1poxDXZ76lTUQAJjFzvOVtV5Q/wOht/VVy2Hdft/cTikww+FydLa19nZ3JdOFYiWjKtVINDK/ - sOj27UHWr/8+1bPZ6Fp8dSmt6OCwe5va2gKtzW6v2b1ppVJxLhqaWJm/e3fgs88vqdUKqWlCDQQO - yOUNbAoL3paA71BPQBKJpmpaIl5cW0sNj4x+9tlnC0vL5UoVE4FxQBj7WwKaYfj9ga1bt3hcNgBg - nGEEhBDgphMjY7qhVKuZXD6eyuUKZUXVDN3gwAVBCAQCgUCgqbFRlKTnN8/EcFdWVhKJRDAY9Hq9 - kiSFQ6FoLJZIJDhldoulweMOdnRKDX5ms5g7NqUgCgg4AUMHZGjlwkJ8bTVZLGYLek3zOl0tzZau - do+hq0QQOCCDgcEopfR5OKbZQn9tdXKQRI/Pu7Gvd252fjFe4JwnU+nhkdHWjk6Xx/oVto4BKsV0 - ZGls8HE+JxC5ARz2QGtnS9AvyyAYBq3lC/Hs4lry/uPRX396KRrLGpTomgHckCXU0NyscuoOBHfs - 3NJkx4wztVQKr6xODK1cvdZ/d+j+5OIEEADDIoFFBHk1FW3btGHXwR0NNpxOxx48uH3+0hMsOlRd - BGpYRcx1lXNdxCAAA9n5wuGTLr+XAKqUSzOTo5cuXSoye4K7927p2tJit1s4gAh8XefMWWpt5fbl - i1UNciVltaIxR4O3e/PmnVuFdezl+aYPddek5wuPm89PqdUSyVQsnshm86qmcg5Wqz3Q7G9vabfY - nVhYD4pBiBBkuhAgDKVyKZUtr4bipWINagXJULraWtydW2wtzYZYr/45MIQowRwQ4kzUa0Y6VYzG - wpFoSDdUTDClht1hb2hoaWnp8nj8dgc8P6Y5N2paqVisrKxEqYEDgYYWv8dll77+8vVaJZtenV5d - pq4mS0tXe6DTwtd7L84RZ5walFGD83U9Ka+3f9So5PKhZGIlFi2pqk6BI6GzNbDBTZstVswRowww - MvNlGAfT5rT+j/FXB1YdCeaMsfVoK44zGYinVsOJ+VItyRmz2+1+v7+tpdcuN8oE8/XTyIxdMumX - +UIhGl9bWQkrimoYDBBy2J0NjU3t7R0Wi0UQBE3nAkGEAGOmU6r5v8xGBbiq5PO5uZmpJ08eLS0t - qKqSzqafPRssVKnf6bJQ1tvWEgw4bF4JOKeUqqrGARMicATRWDy7ulyOR7GmaLJTbGjxdXQH/H6n - XWCwjhwhE/IExDkzjGQymYhHk8mkoiiGYQDCLrc3EGgJtndYbQ4iirA+kP/qzZoBZ8AZY5VSNZ/M - RRanxkaGk4kYNSAUWn306OFqsux2B72+1q0bvHYLw6qSXFtZLSznkX/jtr5Gl1l3cV3TiqXywsIi - EcS2jk5RlDLZ7MLCkqKosmxtbGza0LXB53MjBNlsLpVMZlKpcqmkqgpw3tjY0NzaHGgLSDYJdBqL - p9PR5MTYRCKeUBWtWq09fvw4ky8Qq2xgCHS29W3sWwdEuGSqoHS9TplQq6vzY9OTg4MTM/l8rqqS - +cXFR48epSJJzNWmRlsw4Gz3NyWW15IroYoBvo3bPH3dCJ4bpCDzXszNTmc1Edn9HT3bPB4rBuB1 - 9R4wzlLJ5MLcjIiRz+ft6upav62MM14slyOxeCqdKZZKusEYB0GU3B5vY7O/qbHB4/GYn/W6TTde - jw/jDIBTypCpoyeEI9A0LZfLxaOxXD5XLpUUhcqS2+32+4ONze1uu1PgYDCuqtVMNFZJ5sIaD7QG - 2gJe5LQ+P1QRByhUsoVkOB5Ni2LQ17Cxye+TLQCcM0bT6VQuleK64Xa6Ak3N1Vw2kc4srYaqmqYC - cFFqCgYDLYFAS4uAEQZmaKpAMAYGuhqem40USu72Dmtjs1UU49lsPBLOR9NI4S7BRpqaPBu6hWaP - VQZT5WWWiwQxhBBHmCKBI2HdZBgBZXoqNb2yrMqi3NTY0d2DkGnYAsxMieG8XCmncpnQ2lolXzBq - ioCILFqcDmdza7s96JfcNrN8EAgBQwNEEMaZTDaWSEZi8VK5YjAuSrLH5/M3NwdbW60WyfwIrA+P - zWwKU9LJlEJubmpidWl+cmJCqdUYqaythe70D7gaAwRjr8fdFmxtCzjIug05U5ViNh2NJ8KRWEXR - QJA0hiWbo8Hjb/W3N3sbLD4ARAwAFQwBZLMqqdc7ZrJhnTbFBMSsEhEw5hQAcK0GSiUSWZvMZcq1 - so5AaGxs7Ghv9zf77TYboHXTJP7VSLxcKGaymUgkUigVazWFciqIosPp9Pv9LcGg1+sDAI7quPe6 - tLm+FdfBNuCMMYyAUZZIJHLZbKFQyKQzmqoJRJAkyePxNAfbGvytFqcNrwfxIYBqRcmkkwsLc+2t - QX9zsyxJqXQqkUhkMllF1Tggm93Z0dXV2t5JRJGsq6EAcTC0bDQcyS3ndblny26b1VGuqNFQqJAM - 1fJJwjWb29UUbG1sbZPcfhAQrRupA2WcUAaMEuAEEwDCsVSfXDIKjKnl8mI4tFZgzRv3yn6/OaFf - rzM5aHpZUSKZcDhbzmZTVK8JmFolW6CpLdjc3tDWxOq+H4SDzpAOlAJihqqmEoXVRDmcUZRqxYFr - fifu7WwytCLiiFHggL8+Ufj64/m7Fe66Dfg6L7z+oxiIKIoSAkwQafD5jh07kqsVHz4b6+8faNuw - aePmbQ67CXhyAB2BAkABEwqiZnBKGWCEJQGwSbpHAFypqblcJh5ey2WShXJV5xiJFovd6Wtq9jU2 - NzR4bbIgEsQY1xSlnEsvzs/OzEzNzUxrqpJOp8bGxy3uBou7kUu2Fr+/u8nd5rWDVgPAgHClUkll - srF4PJsvKIrKAFltNo/X5w+0NDQ2Wa1Ws6nmAKTuYAYc1r0cDAOoXs2nl6afzk09nZ9fVVQ9nc1N - T0/ddbtarBYu0ua2Rm/A3eoSATQCIGATQGC6Dvm8GsrNLSwuKjoyGEFc7GrvaQt2tgRs5kbIDAXA - QJgClqnCc7nK2lq4VC5Wa9VSpYgEkKySze5sCXYFAl02CxExAmYAVSLh2NTs3PjkTCQSoYxForGh - kTHB6tANZrVZGxubOjvaPXaR1zcytG7gSIEb8dBKaGUhGQuH1lY1Xc8XSqMT0zca3A0yOECzOOx9 - WzbZXTJGwJmBmG526To1MlWeXVvKh1YK8ZRdkJpc3saWYGN7m8VrJwKYQwdd10WLLAgEEDcMo1Qs - pFKpZCKRy+d1XSeiZLXavA2NTf5AQ2Oz1W6p0ylM7T3ihqEl0uloPJvNV4tVXdXB5nA3+5vb21o9 - Hqcsr7sArDN3VUXJZHLhUDSdLiiKQSmSReLzuFqam73+FleD9/l0zvQw+Rr1zwSDjWouGw2HpmYX - V5aXyuWiYegTExOi1dXkb6GAGxqbt/c2i2qmlp6ZWUzIDVtcLbvaOm0mGIoJAs5BUyrFwnxoKVVE - zYHmYIvd5yEcDM4F4IAxMdRKqZienpnBFldze29jQ6MoMsxqQIDpqFhUQ7HFdDZbKpV1XZWQ4ZCR - 2+XwtnQHuzdSU+LGgJguZQyB6UJjqrzZepojAADXda1UKoVCoVQ6XSwVOQeb0+Hz+fyBgLfBa7c7 - TeERpbA8PVtNFzRBbt60VW62SeR59c8AdChkFlbXYoqh2t3tXV1NLpdFwpxqiOlQzE6NT1Jng6Ot - 29XYpJQK6UQ0k4iXKxVd1yVJampqamtra2xslCTJTDQxb3cul0ulUqFQqLu7u6mpSZKkcDgci8VS - qRRCyCLJLqezva2j0d8lWb82CKaUGgZjDOp6CWK6fgA1oFbRytpIJJew+TobvV1um1NCdZEENcCg - SlUvFIvxRCqZzhbLVYNyUbbY7A6vzxcMBhoafJhgRg1OmYA5IJ0jXdNYMhGNRcPZfKGmMQOIKFkD - AX97e7vX45EkAQAMSoFzE3UkdRXn+laK6nzSarWcy2bD4XA+n6/WVAbE7nA1NPhaW4Met8tqtZj7 - KTOMSqWcz2czmWw6nakpCqWMMm612T3ehs7ObrfHJ8kipQAYJAGAUrWUS6dS6UzOYrVv6OnTKUtn - C4vLq6VKVdEpwsTfHAi0tARaAmZGV30rQwSeb+bI9EQjgDgYFHRdEgRRIACGQXVFU4slFg5NV6JR - ms3asEgczbKvLdi9yeUzU0BEDlTXVJEbCGPAiGtqLldYWQ1lsrlStcYR5ghLFmsg0BIItjY2NhCh - Xt5Tyjgy/ZfXafDAgTOua8sz46H56YmVZCaTqSlqKp158vQpLSV1jizeoKulq7PDZw6ngfNaJl2O - LSRzJXv7DlvHdiQh0zi9rvoxjHK5nEgmY4l4oVhUdY1yLkiix+ttbvEHg602m41xjk3fjefTHMaL - +XwynlhdWVVqVZ1RJOJAwB90O5wYKKOarhPKgYiCWGf2YEHgYAAwxik27Xs1pRhbyYVmZhdiyViM - arrB+fDwMJcFp9PBmdHY4Nu0sVcWBdFkzCOkqWo+n4tGY6lUqlyuGIxiLFjtDm9DY0Njs6+h0WK1 - ERE4hfqmxxlwzJiezRcSyXQ8mSoUy5phACZWm40QwhgDzkWB2K3Wjb29TQ1eZmLGjHPE0qlUOJlf - i2UqikFo0Upqbjty+ja0du2S7C6bOe5jtFoqT09PT0xNzc7NKaqayebHxid8LjzfIBrI5m7a0NDS - t6nZPFio6ZhGGVIU4IzFogvhhUmolCXDQLLT17HZ1dbnbpCldQv++mW6IFCjUC4kEsV4opDNFg1d - RQgsstTY4GsJBJqbGmRZwl+tFqhWa/F4Yn5hsaOjy9fQJFusc3OLsXiipqhNjU1trf4NXe2mCcrf - KWf+6frvXf940K0p0BDWD4aGxsaDPt++ffvMiAyEVEp1Va0wBkRAZuSloYPGQSDm6FtmoH5NC/Yb - fxsAfYXo/gPhts//Wp1RUudXgEmkBZE0Nng39fbMzK8shTKEoFg0OjExseOFPSZ0bLoEAqeRUGht - JZbNgEFwW1tg7/59gdZGqx3AUAGqa6HZn3/wq2t3783NzkNVQRarhDHjCgCUy+XpqfEbX15vsFea - 3jhk9XBV1cfHx/7L33x68fJlVdMpB4SIIIiUccMwYqHw7dt3MEJ/9Id/6HF1w1dgNueMIuBYEmuF - XCwWnplb/OLi1SfPRmLxRLlcxhg3NzefOXPm1KlTBw4c8Hg8VqtVkiRN02rVqqbr165du3Xr1pkz - p48cOdoaDN6+c+fKlSt3796tVSr+psYXtm//7vd+d9vhE15nwERdNRUsIgDVoVYBiUejiS8u3v7s - av/k6HitVN3S13f88Pb3334ZWIVgwjhHmKxT7uvdhmEK3wUTt6/jQiCQjb09bcEW+mQWCziRTN27 - /+DwsWOdHa2iiRkzBkBZPhUPzc9N5/NYkny9Ta1dDU0BqxUwAiKCouSfPhr4yc8/u3jjMcM2SglQ - E5s3dFVNJdQHD++D3dscbPPbvYJIQsuRDz84/+//3U8x2Gu4ts7Sq7uEz8zM/7v/+wd/+m/+56N7 - NqtqhTNVEMBidxhlQo2ioqkC6CKAVZYvXbg4OL30b/+v/7jz8EnZyTVVFTF3OO3FsljjRKWMm8Fl - mDPOqfnozCoyWxStNismBKBSKhdLlXUtbv0LfzUZQ+uILdc1TRAEAF4qFQcG+j///Itnz4Yy2SzC - OOBvOXXy1Dff++beA4ccMqh13i5DwAUCokgsshSLx4dHpj/+9OLkxGwxHnJg4/23Xn/x3e/tP/02 - OEEAEEGXBCwA15SyKAkISDFXfXR/9Or18wP3bibTYU1XDMp7+loPHXzl7Jlv7N51vKvbbU5iCAGG - 9GwhOjY298tffFatoNOnTpw9e8zV3QrAZQs2CemJROLxw7t/9eEvra09W46+9N4b33C5Gtc9eBin - lFHDMIy6Gu35ZxUhYMbc7Mz569c/Pn8xkkrplDk87jfPnX7vxK4ze3uYxnTdwMANYIZZYdLnN5F+ - reflANzhsLldLk3TCDIQwhiTxcWVi1d//fnFD0KxOQDe0hI8derlb7z7Ozu3eKySpGlgkZ5PeSgA - BSwsLi5euXb3lx98FI3GqlXFYrG2t3fsP3Dwu9/97vbt2xsbfaVi2Wa1Epnomm568QMHTg1u6FgS - ivn81MT4X/30/5kcH85nU0qNLS4sLP/sZ5LD50DYiclvv/f2q68c2rZ3MyGYMaaWyja7w+31IQQT - ExO3vvh04NKFQiLN7M7u3Qdf/cZ3T59+pWdDhygAEGE9JYsDowJGqqrNzc9dPP/FxQsX4vE4pdTu - dG3cuPnkS6feeOvtrp5ep8tNKQgiwgiQiCQQTOaMST3WDSMcDj24/eAXf/XDUmLOKCmVCty//+DB - TFT2tPm8rVu3Hfhf/uU/87WLLJs+/8XnXw6F07Tp3/wf/6d7sw9xXZBYqVScmZn94Y9+3NTk/x9/ - 7/cZh0ePn/zsZz9fXFp2udzHjp34Z9///oH9ByQJra6u9t++c+XypbnZ2WwmI8vS4cOHXj7z8mtv - n2vpCGplpb+/v//G7ScPn4TXwoiiYqHw4x/9BbHISBLsPs+b77/b2t4mSiIQYIwJRGCcc0oJEUDX - y7nMz3/217e/vBgtKnFNKAru69dvPLn32IplQy0dPbrr1bPH3jl35vq1q5c++rRK8bnv//PTLe12 - hygDSARkgniVJZLxDz785dRqztrY9f3f++NdO7cLNiwQQIRgIJqijo6M/PhH/9njcpw4dnzj1q0A - AJwLogCMx2PRjz/6eODevemZ2XKlShl3e3279+4/cuz4kcOHX3hhl8MmM0xUVSOYiCKpe/kABoJ1 - VVFqNZvNhgUBIVQsFMbHxr68eXNwcHB+fi4ey7pdge3b9p95/dSrb5/s29rBQBNALRQTQ0OTV28t - FCrNv/2d70nbOpwtFiAgSzKAAKCGQquPBq5ev3LL59t66PC7r5x+o6FRoFwVCF+Yn7ty4YJSrhw9 - ePi97/5WZH7x9t27F69eG5mcTBWKgt3+2tvvnHvt3Omzpx0OO6KGotRkq4yB66XS+c8/GxgePXTm - 3NYDBxs93rGhoWuXLj34cqCUyLf6/N379r/2vd/deux4c6fT9Egwodt1ty/MEeHIpMSaUIQ2NTn+ - gx//iDnsOw4f+f0//EPOGeWMmHbXlBlUjycSj548+eUvfjE9Np6JJ2RRbmxo7OvdeO7ttw+cfqVv - x6a6Pp1zpmkIC4yjqampa9dvXLh8ZS0UUXXd6fbseuGFkydPvv32Oy0tAZddstkk9DU/YpOTA1Rb - Xlr6Dz/49+HVpUSuXCwWec24/+DB6MyCDqJFlg8eOPDWm280v3ICdMUqcFnAxWJpaGjo8tVrdwbu - rYUiVZ1pDFraNxzcd/TsqdcO7zvW62syoykrRk0SBDPlGhgysVNKKWVUQgYIWLBJDpts6JmCUuQc - 5XLFpfmnf/vLv3j2ZDQSSrkcnkOHDr315luvvnrWbusAQGAyVRgjgmCSZMPh0PDwcP/AwNNnz5aW - l0uVsj/g37pt2yuvvPLKmTMut8+k5+B1XepXRDnOzcQfzrmmaQSBYRjTU5MPHz588vjJ5MREKplm - lAaDwf379599/a0DR04ELT2mK7GZ2RXLZvv7+3/453/+nW9/69WzZ1wuV/+dgZs3bzwbHIrFE5Tx - nt6+3/re7773jW82NDV/FVOGMVBtZmri2t2RsaXkn/xv/7azs3ttLfLR3/7tg1tXFyYGbTLp27rl - 5JnTp8693vuC0+qy6+uEE0wIYASazikFDoAFQbaJIjAVMDWgVp2bmzl/7eqjmdip97+/+dAZd6eL - mtUgApAkKJeSicTnl25cvv3g8eMHVK9JAnc7PGdePvfWa++8+a13KAUugIQki8gF0AylKhBLtVK5 - f/fRp1fufvlwPBWPBL1k37a2b7/76sbeDoIFBETXWVVVdf6cVPsVsP6bVWr9/GOcASBCTOUCr5cI - giiLsihIBmhOh33vnhfmQ4uD49OPHj3ZuuvQgYOHurpbZJPbJCOCdcNQESaqgUplRdUM4GKdZSgw - EGQAlM3mhodGvvj0o0cPBuYWlykiFqfHH2w/e+61I8dPbtu6ubWlSXJYOPBSqTQxNvrTn/x4anIi - FksUK6AtL6cK5y/dvKOCaPU0vvn66++eOt62Z7taqRBREiQ5mUgM3Lt34dLlZ0PD8USCMmhta9+9 - d+/p02ePHjve3d1ttZCaSsHgVktdLbL+9hkAVarl2ZmZH/3Zf1qeHZ3LQ62IazOzH64sP7p62aZW - iU149e1zp98403p4O1DFIolOp01Rs6VyvlyBeG713u0LP/nLn6SyeYNjt7P5W+/97huvvh9o2k4E - oJSpapkQKoocIVwsVCfHlz799IvJmfGV1cVoYg0Jhq/ZtXPXnldeeffll97e0BHEEgZDV8qFgTu3 - /vKvfxZP5eKZgqaqDx4+mpie/+jT86Vqta9v45tvvvnq2TMuu58zEJ5DJCZNxNAGHz+8cvn8+Ohw - OJzJl4HWIh9/8umDL694CW20QHdf3x/88R9t6OuxGIau1nSlQnWw220GZQuLC19+/snjm1dHHz2R - GN65aeupc+fe+OY3ex3bAQPBQAjS68ZEmCCg1IhGI9euXr1y5crw8HBNUWSLrSXYeuTosdOvnjt6 - 7IRksSABMACRsACCyZsaGR76xYefDo1MJTNFiuQmf/DYsaPvv//erl3bAn6PSCRNK1GDWmUCwDKZ - 9KOHTz7++LP7958kEhnGcHODb/+e3S+eOH7w2Is79x0QbQgDaAZQBByD9By6Na0YDX1mZvrG1SuX - r96MJDKZfLWs0g9++cvzl2/YXV7N4IeOHPvf/+h3BSU08/Tmj//q1+1bTu1/+Xvf+a3TVitgsCDQ - AJhRLS/ML3/46e2RmcTR48fOntnv29MtCphTMHSDGTSfSc9MDf/gz/7M2dB25s1vHT9+QvaKRC8J - NlGpsUgk8YsPP7l799784qKqVGWiN7qlI4cPvnj2G28E+iQHIhh0nRPCMcKGYQjPo8A4cEpVVRUF - gYgSUFrIF2ZmZz766Nf379+fnp0xqNHd03Pg0MHTZ87s27+/r8/JARBGnNPPPvlk7MFTTbJ/+1/9 - yc6XjjQ5TVYAAgRgGIVY+Prli7fGZqiv5U/+1z912+xUFPRq1cr1XGTlL3/851Kga9dLr71w6PDq - wlz/zasDt28tLi6WSqXOzs5Tp069//77VqsVIVStVh0OhyiKCKFQKHT9+vUPP/jgT//1vz5x4oQg - CFevXr148eLdu3cFQvx+/45t2999573jJ52BYAMSTfYcNkVLBNedOgVBxIhQpoFhQD43E8785FcX - 4rbG00cOvPvi4ZaABzgFMEDQMRZyidzwyMz1GzcG7vfPLc5Wlaq/xd/d27PrhT2vn3v3wP6jLhdm - VOBMB+CCyAEp2VTm7t07ly5cffJ0OJ7JGoA7urpefumlN954fffu3Q0+H8KIMW4YOtdUe11jyi12 - W30ExhhwQAJJp9NPHj/+/PPPBgcHV1bDlKEt23ceO3rkrTff2LFju83eApwDZ4pSW1tbHRoafPTo - 8f379+OxeKWmaLrR3dN75OiJ997/5q7dewKBRkUFhEEUgRtqJLQyMDDw6PHTQEvb7/3LP6AcDQ+P - /cVP/mpiajZXLHt9DSdefPHll185derlhkavRQDG62kZaD1LhHPAREQIqGYQVQWDBpqa7bZYPr9a - qZUyuUyyUPris4+e3rg+/3Qk6HO1bdq1dd+xt77zO7t2b7HIAAAG5dVyyWuRkCgC8GKhMDU19fn5 - C/fuPZiZm1d1ane52zs7jx0/cfrM2SNHjtid1nouLWMcgCJAxJRnYQBglNYq5Q9+8Ysnd7+Ml/ly - rGIYtumZ2R/9aNFNtKrOtu0/fvTMW9/+1vvYAghAxmh2ZubZrfNPhsf3n/vuoTebm4N+wMAYEATA - WK1WW15afvTk8d17954NPovEY4qmBoLBvfv3nzr9yukzp9vb29eFbpwalKzPmFeWV259+eVHf/ur - lZXlYrVsczpee+O1d069dGz3TkZppVLVczlVpwIBZsq+6mpkk0pMKIVSqfjg3t3HNz8beDC2uFbK - 6MQA4ac//anzi888Xrco4MMHD/yr/+n3vW6X026TZZEzls/nRoZHLl680D8wsLCwqBmGxWoLtLS+ - dOqVF186tXXr9mBbu9tjXachm10prVRqkxOT/Xfv3e4fmJyeyebyDFBbe4fVZjV0AyFwORzBgP9P - /viPjh4+KAlEEERO9Vq1PDo6evlG/0efX0lmi0jL2gR1W19w39HX3v3273Vv3u9qAODAVXVleekH - P/jB9MxMtoKKRT1dWP0osXT/zgULLutgO/Ti66de+9amV/eBgDnVCrmMpmFARBAhHIrcHTj/s7/4 - j4VYxEJ5Q0v7y29/9+i5b+zev89pR7Kw7tFrNseMKXptYWHu1q1HX956MDo6mctlMYLWYMvBA/tP - v3LqxPHjHe2tsrnsAAFj2Wz25s2b/+nP//O/+Be/d+jwUbvD/Ytf/vLq1esLi0unTp167903f+e3 - vyNYJfgnyu3/x+sfD7o1+ZsYY9MO3/ymKAhoPWtYELDFImFi8ngYQkAEEHjd/wjX4yz+u0+X/8Pj - tvA8HMb8jtkeCAQQczpsu3Zufzo8iceWGIJ4PD41PVMolpp8gDtFVgAAIABJREFUThFAMkthVZud - mgmtJAAQpY7m5rbDR/Z7fCJHDEiullgeG3988+aN1VhBIO7mnq1vvfbGwW3dHqLJXFkqqHfG5sTG - AANVEIlWqywtrXzyyacjI6OUMqfT9eq51868eg5hgomg6drk9FQqlTYMqhmGaXOzHlsDyMzz07Xb - t2/fHbjzbHCkVNVtNtvBgwdVVS0Wi6lU6sGDB7FYLBKJvPjii1u3buWMCYRIslxTlHw+Hw6FFxcW - 0+lMuVR+/ORxOp12Op0EoUq5PDQ4VChXj4Xib/z2931+lwWDKK6Lm4Df/vzTiwODt55NxYq6x+vt - 3dCrVSqPHj1cXRzyuKVIzcmrNcDY5Ig9n71g9HXNRd1fGDDq6enu7Oy0WEiNoVwuNz09E47E+ja3 - ypZ1nNrQMqloNhUmAJwjt7flxMmznV29kgQIQC1nF+cnzn/20fTUPGNEkB3vvPfu2bOvEcQkXDO0 - zNTMbChnqDozGNE4LaTTn3/+6UD/AwqMAdu1Y9e5b59taGlwi02Cai2kq6PLEzcf3wKErTaLw2EV - RDAMKJcqr7763ZPHDrQ0uqheXp6f++hvP4hHI9lM9tLlK8gdaDu62emwCwTVajWgmGIRixIAN8nR - GGPTMgxTKnJmEwBjogLFABZZtlitRAAGoEM9+eu/XrHAAYFASLFQWF5Z/vzzL549GwyFwt3dG3bv - 3m2xWrPZ3PLy0t/8l79xuH2b7fuRo762Tb/EaDRy6dLFZ1OrSyvRRKrEGLPbbRJVnj59Gq3h+XTt - 0Ok3922yC1xgqkaZKsk4k4nOTCWuXHw0MriyGp4RsLh162anyxKJrVaVwt27t2en44cOLJ197fSO - nZt8jZgDYKAGr5YquWg0ptWsuo44QxSYoakEJLN2IRhrqrK4sOCiQsu2vCTLoohBB6inLFDOOcII - PdfLMQ66Fl9aePps6OLVG0MT08lk2uNtQAJSDfXBw4Hc/JNnV9wz0/MATZRTBsZXGwoHQAwQfU7f - 4wAIeLVaLpWKBGNOeTgc/uEPfxhPZuaWRgCgocGnKEoymbh27drqUvK73/znB/ee7GxzovV5IyC0 - OD939catB48nFpYSoiTt3bfPbndyDisrq/fu3Q+Fwq+//vrJkyd7eroliSBkqtLqT7Fucgvc0LVq - pVwqFErFYq1CNQ10wSCUgq5rgHQiQD1mgXNGCSGyy10sle/evRdeHp2ffJJZWbRarcTryBhoenqq - 9PHH0Ujk29/+VndXl9slG9SM7UEGpc+ePhvov/PsyeNYNGx3OI4eOyZJkqrp0Vj86rWrU7Nzb73z - 7v6DhzZ0d2k6AAKrWIe4gDJKOAASJYlz0DRdVVTGqEDAaoUaQTpjnPGaopbLFXOGRzGiVM9ms+GS - Wq5UOPeJosi5wTjjnKeSyUKxNDwyPDIyNjwyOjs7hzEhmKSSSVXVEonE2NjYxQsXx0ZHdFXdsWOH - w2Gnup7L5/r77zi8joNHD3gd7lqtqihVTVM5Y5yDrumKokgECwKuVivlcrlarbplN0HE7P0xAkzq - lk/UoKaAmXOmGwYYtSovWQWLRZYRwgIxbeKIzWoVBSGyuhZaCyVTqYAlKAqAGUgYSsXCyvLS+NjY - xErGFazFk8m+muK02UwyEWOQSCRm5+ZGRkb27t6FMQJGAUCtVOKJxLWr1+49eDA7N5/LF9wu1969 - +1KZTCqdHR0ZicbiU5MTZ8+e3b9/f093pyRKjDHDoKJQd9vAwDFGgiBghHRVyWQyn37yyf379+fn - ZiVJ6uvr27u7UVGIppJLFy97/FbZBV1tXoSYQauFYia0Fk4XtXSqqGsUMABj1DAMQhEyGNd1vRpP - hBEKUINhJEgSALJgDKqqRCORYjZnwqg3b3w5t7RUqinNTU2i3RHLZu/fv5fNZcLR0NkzZ7Zv7HU4 - bIQzvVLJZTPZdCadSsdisdUr14q57NrSUi6Vdrs9XslplNSR4ZGoqh+KJfnZ4zvaG7y29VO5bkiE - OGDG1+NBgILIkV6IrC5RT6ApZ9R0WSJMQDrBDHM9m4jce/D01v3BZ2MLmUy5rXPzru17rQJkU5FU - dObzX2cXktGDr76ze/9+qx04wkQUV5ZXHz99dvnK1dn5RUrp3r17ZZtNN2gulx8eHmlrbdu7d6/U - 0W6Vn9P1memJCQBUNzRNY5RSalBKOQfDMDRNY4wDMVd0XdFvlaRiPhVaXrh++cLQ00fzC4sckY0b - +7zNLWvRRL6sPH78OBpKDj+dOHL6yM7jO3x+r0WwYiDPfQbrEj7Tis6gwCkYeiGXNWvigf6BUmF0 - euxCrRJzOh1dG+zlYm16erqQLyQTiRdPnDiwb79Fls2QTEPTc7lcJBL54MMPHz9+lEqn/YHAiRPH - ESHJVCqZTH7yySfhSOT4iZePnzjl9tgF8hu4LQJYTxAEABBFYXJivP9O/0D/nUg4bOjG1i1bxB2i - UqulUumZ6em1SHx6fuXIyVeOnzguS4AwaAboulGpVKPR2MLC4h35zuTk5MLCQjqd8Xg8lPJsPh8K - R371q18tLK28941vbN66vanJiQAIZ2Do8VhkZXlpcT728MGD+/cfPbj/OBmPM8b6+vpK+WQ0Fj1/ - /nw8XziYyB955Q2LR7KZfvFEAEKAc11VFVVlDEwT/Doyzlm1Uo5EIotLy4dqVY6RgNYRNk1PLC8+ - vTdw6cu7o/NrJSYcOnTY5ZCpXs2lcpOT47lkbmhq6sw33uvds1nEwIAB6IKMHty603/j3p27QwuR - oqYK3d29RIsvL8/97Gfh9qBfFnClXCNuERBC//Ux//dXrNxMhEMIEKUGMhgGDLpRrdY0VSuXyrVa - zWaz7Hxh+1I4deHqwPTU3O07A687X20OuAFMZ3idc0M3DMCixWoXRQk4A6UGyDANDh8+mey/P3Jv - 4E4ssooAHTl8WLI5cxVldmH56tVrY5Mzfb3d77/75uGD+xyWOg6J14t8AKDU0DQNE40KhAPouqFr - OqdMtloTsfjE5NSFS5fGJ6czudzGvr5du3YzDvFkamlx6efRny8uL588efLUqVOCQMy/95tUDo4I - UKYpSq1SrlXKqqJgwDYgBICpWtXCVJmIhk6pjoAiKFVKxZKq1GxWKZWOfXH+8/HBa9GVQVEUHQ5b - qVZLZ9LXrn2ZTfJMKnf4yLbOLrdsFQEMRclnIpFPfnXrxtVn4XDc7pJ7ezdv3t5XqMSzxej45GA6 - o8zOJH7rW9/dtSngdiKqKUqtUi2XK5WyYeiICAgTg7GqonJEOMKqbhgMAOpDX17/PHNgFNSaplZ1 - VTEMzQxuAiIAR6qq5/WSg1tMdyAiYEEQZEmSRKJpMDoy/Cii5WOxyPS4kU22tbXVsoV4NHLh/Pnl - ePzFc69+6zvvajogzi0Wi0CQqtSymdSnn3w80H8nGomKknj4yBGn05XLF7L5wrPBwXgqPT45/dbb - 73b39rpcFs5ArZYSodlf/Pyvnw5NRBP5pqamzu7NFrs3WygtLCz+5Cc/+eM//gOvZ7doFRljiBuM - wb27/f137t2+dadW07q6Og8fPq7U9Hw2Mzk5WSoWV2OJTLm6ddfuQKtHWLfFBwADgGoqMRRZoMBY - rVYplYqlUlHXNM4pcK4buq7rlDHOEeeYc263WQTC06l4gU5YmqZPZ083NYJFAMoNAVi1UpqZnpqe - npmaTmFR6u1t2LrNb5eFuhM3FmKx6NMnjzKppLep0+dpsMhWWcbAYOzR/TuPxm/dH1lYTaqa1tu7 - 0WG3atV0bG1meOjZQqR45c7IW9/8H04c2un3iYwzxtnX4QhqGIxSSZQwwVqttri0ePv27Vu3bi0s - LNjtjtfOneMAVaW2tha6fPlyTVUa/c02mw0hpCpKLpOJrK1lDVQoltdVAiAazEwaYJqSjMdCoTBX - UbFS1Q2KELZYZJYrpGLhaGhFZqJ1fv7Z5HR4eWFtYVat1bxer8ViyaQzt27disfj77zzzoH9+7s2 - bEDr/ni1Wi2Xy0Wi0cnJyVwu9/TJk5XV1Vwu193dXSwWS8XS4OCgodNIvPj6W7/V0upzO4BznSAk - iiI1KHAQsGCYUkpMQJJAEBlja2trMXu1UNxsGAYwAKoBUZVKJhYtfvbJnbsDEzNzU55G5+HDB0UL - KlVzFSXeP3A1nSwtzmXffec9nxdEUQTESpXU4uLQB7/4YOjJfDJa29C1pXezpAM1GJ2ank6lUj6f - z+l0SpJkZrmYjn+c12lMpuOfQEg2l52Znr58+fKTx4/D4ZAsW3bu3ImIVK4qAwMD83Oz7733zqtn - z7a3BXO57NTU5Geffzo5MZ5MpVwud1tbuyxb4slULl8cGBiIJ1MvvfzKa6+/GWgJyrKo1FQrAUa1 - bCa5trqcLxRGRob6Bx4Mj02FowmMicViy2bzd+/eTyQzyWT65VMvbdu2kVIuCkgU1u2eTesSzhkH - ScBgsYJsq1YUpVYzDHVhYWY1OjUy+TifjQqKtnlzTymVXpifW03no/n8qTNn3v/WO7IAIhGcDgdC - 1CiXQqHw9es3B+7en51fkC3WgwcPWh3OfLGcLxT7+wcisdjU9PS51851dHTabYIoipybGTVmmMV6 - iwkmSkOpwUzrDENVDYpBQBhjjAkgRCkAN12moFopx6KRycnJjj0JxriZjoY5AOfJZGJybOLDDz+c - mpkulcvtHR19WzYzzuPJxOLiYjyZWAuHXjzx4vHjx0RRIoCIQDCgWCT67PGTm9dvDA0OxmIxn8/X - 1OKv6drg0FBicf5eZ9vC+JjFahOsDkCCZoJCDJiuU8FgmD3HiBAAM3RmmPNahkEQgGCMKaXValUQ - sKppnIMgiAijWrX26OGD+/fuPnxwPxyJaKq6Z88em8OhqNr8wtLt27dn5hZ6+ja+9vobL548ZbcI - HDg3KMJocW7h/oNH12/cDEViOmO7d++mHErlSjQWj8fj1KAOh90iSQY1ADjGmBCiKNW52ekrly4O - Dg4tR1JNzU09m7e7LTqtJIqZ0L17d5fCpVOvffvcywe729y6opRKJUYpo1TTmK5TYFoNNFUFUaJY - RJIkCZJktrQYgyQKGEE8lrh6deTxg0/mZu4gBB63G6rleDx26fLlxXStpNT27dnaFWzksB5xiSEV - Cj2evPfZpfPT0yu5vLpjx06bzcIZSyXjc3PziXg0HFp76eSLR44eFojAGTOr31qtFo/FV1dXFdUY - n5gcG59MZ9IOhyOdzqythQz693tB/dP191//SNAt//oFwBmro+xfnWzM9CPDCDinlNE6RopMrAae - 5wj+/f/k/w/Mfr0dQyaBndaDZQgGRoksbdm8qa0taLXgCuXpdHplZSWbzVabnXYZOK7PpxYXFmPh - LAKPhLz+5vZtOzbb3YhDAXB2fvHR0Mj92blZsLT29mx7+eRr77zxztEXOhxWHaCUypadG0ayDHX4 - ZEkSEpHk2Nj4kydPY/Gsx+Pdf+Dg22+/8/a773LAWARdh4nJifmFhVw263A4TAgb1S18TKt24Jyl - UslsJmOxyB0b+oJtGzq6NlBKs9ns/Pz84ODg0NCQpmnBYLCnpwdbrfXIS8aq1WoimRgZHSWEqKoq - SeLOnTsbGxtVRYmurS3NzY2OjIK7sX3Hnj2HjvrcSBAAdGRUq/l4+PatL+/cG07WcM+2/Zu6ezuC - rcloLBGZioenEvH0WsUOmsA4cEDEtN5mjBNSbz7WOcP1R0tIW2trR3ub1+stFZhSq8Xi8Vgsls0x - bwuuPylOw2tLkbV5AQNnYHM07Nh1oNnvNzGZRGx1cvzJ0OBQKgVeX3Dn3pNvvfWNt995RcAgE4Op - ydHJidGlQqIq2xyeYrkQWV1+8OD+9PSsCPLWjbtfOXfy29/5RkPQ5xGaBA3yCeic6lFl1uz3I4xE - qS420jVj06btb7zxdm9nIzdq48ODY8ODarWSUdTxiamd4ahhbCYCwcA0XQfGDSIgQTQF0AAU1gFY - 4FwEbiFAKUUGJQAEE0wE9LXIx+fLlHEAzsh6ADQipFgozExP37xxvVyudHR0Hjx0uK2t3WKxhsOR - SChaKysYoec9P0JgxgvHo7Fbt75MlLkku/bt349AFLQSL2enRoaePRtM6LK7fdPWjt0Oq9m5GgB8 - cWnq5o3BS+fvM72xtb2rZ2NzZ4/P12gPx5aW12bnZwrj45OFjB0hyeNx2xxB2c4BKIVKpVYolcu6 - QlSVmQ449bfO6hmVlBq5XIn7CjVFwb/hFsaBc4wBE0JI3fMBDB1qtZmZqQsXvrj/6BmXbPv3H2rv - 6ZWsuFjNJ2MrudD8tbGnpQwwN+eccsz+zizIPEVMERdHwCmjlFFBEPKlytLS0vJq2On2tbW3Nwe3 - YLFWrpQX5ueXlpZu377V4G63SY3BwOHnXhaZdGp4ZPjjj3+dSNec7taTJ1/q6OzyeLycw9DwyMjw - 6ODQkGyxWCzW1tZWm0UCAHOV1rOcUN06QZbExgbfvr17JIEvLcwuhbIOr7dr5y5voN0jiHbOe3t6 - PG4PQN0tSJItqUxGqyaW5moNdujr7d0YbObV8mquOrYaX1ldVRWlr7fXYbW6nB2m+ohSmkgmHz95 - cuHChUw62dXR/uKJExu6uy0WS7lSHR4dG5uY6h/otzqcstXe3tnFns9I6/LcunSYAHE4HJ0dnSdf - fDG+7EyHRqth3Rtsadi4p6l9qyz7Wlp6XU4XwYbODKVWq1Qq5QpSVZ0ywBhzyhEgQnC1Vg2FI1/e - /HJmbs4w2OHDR1xut8vpdjpdDocjGo1dvXL18eNHmqqeOHZs86ZNTY2Nuq7NzEznK3mEEGVMkqTW - YHD3Cy8ISHh492E+U5Atlt27X2hqCUoOKxXxhu5uZEZomyb6pjyNYNNZzSrLO3Zs12qZmWiqNBuu - aWJrR9eOvq2tDUGg1e3bO9va20VRbGhs8Dc1PR6ZCIXDq6GQtyXIhfqHN5fPrSwvxWLRWLxcglgs - Fi8US80NtnpANYdkMhkKheKxuPXwIY/HAwBAjXg81t/ff/785zOzc/5Ay84dO7q6u7dt35FKZZZW - 1+YWlxaXVm/duq3rhixb/M1+m82CMGL0q1w/DoAQFkQRMFZqSjwWu3fv3tjYqM1q3bljR9/GjYHm - zmKRRiOFmcVxUZQoNTAAQZwztVTK53KFfMmhqpyz59AUr38wETWYUihkXM4yZXWCJGBMEDYMvVDI - R8PhQiabS6Wz6azX49n+Qpfd7Skoytza2ujU1NDQUKGYb28NdgaaG10OZOjAGNUNtVZNp1LjY+N5 - DkzTvE7n9u3bmx0+Kxfii6Fny6tjY+MlydYo89bXT3otnnq2+/rRzADX5bjcTDzTkVEq5lMGdufK - pKhgjxVJgoZBU0rJtcXhm1c/vTccSlUte/Yc2rmxc0NLgwWrsZWJ+cknQ5PTDx8MpMDV0LbJ1+Wy - EoQBlpeXLl++9PTZkN3pOnbs2Kat2xxOV6Vam59fMMkFZsg442bS/Tr/b31Tdbtd+w/sDzR551ai - 8VyZi3Z/e/uufYcFu0eWxI19vf6AH2MAhEKh0Jdf3rx06WI5l2kOtHT3bezo6vG3dqxFk4trkaWF - 0PLCSiqaT5STcsDygn+XAyywHm2/7mJsTiBMz0gGVGfUAM4r5erAwF2JrGHEd+7c7nY2Y7AmE9mp - yanR0RGzg+3q7PQ3NVttNkBI07TllZXr16/1999JZzKbNm3at39/Z1eXIImra2uzc7Ojo6OPHj1S - VNbTu5UIG+x2Igjrh3X9jdeRJ+CMEFIoFJaXlhhjba2tAX9g86ZNTqdTU9T5+YXR0dGHz0Y0EAWb - e/fevQTbTHtYxrimG6VyeXx8IptJLy8vezyeHTt2dHR0Vmq1WDwxPTu3FgqnsvmmQIvF5vR4txNz - YVCqq0qpVIhGI3fu3JZEWzqd29C1Idiw3WvF2VRkbmVlZHrqwcOHimBv7tm2YdMmj33dSRZj8wZi - hAFhg4FRN4ThwKiqqcViIZvNKqrG6rl4wAyqFIuDz559eePGnf6H3rbuXbt27d2722kTdbWSSaaf - PRwMr4Zmfr3W1NPr7uhua5YYMJ1WKqXKw4f3r165tryWbe7asXPTvq5OP6uslJNT2fjy8tJiMVeo - lKnNzIv5Kp/36wXwf6PiRfXUbVPfu/7jjHPKOeOaqqmqQgjasmVjMqc8HppdC0Xv33+wc/d2u0sG - GwWuY6Rj0wQJCYAEjMm6USNVKoVwNH/z5o3bAyNLi/NbNm3Yurln05ZtxGJP5oqt41PTs/NTU9ML - C3MBf0Nzo3f7pl5ZlpqaGve88IJFllzOmdGpRbfX29G7MdDZS+we2dWwcdMmj8fDKCOULc4vXDh/ - /nZ/PyLi1u07du/d1+QPAMLzi0uTU9OjY2P37t0TCNm+Y7vX67NZ686SnLP69BZxQBwT7na5Duw/ - 6LPbIFzIrGZYg29TsGlXe8AvguRwbtmyzefzAxBgiFFm6BrjLBJZ6e9XaoVIg69xz74XFEPNFjOR - tfLKXPHBvWGg0BJ0tbY5RBEAGEe1SiWfSqaqZb2vd3tXT7Cts9nlE3Ll0HJ4/NngaHg1lEnd2dKz - vcWN3a4GgqG9NXj0yJGF1fDscmgpFG9pCbZv6G3f0MOx0NLS0tG5wWp31BVU61Vv/QtBe7Bl9wu7 - XE7bw0eP46tV7HR3bt2xc0NAVgpBh9DV2+P2eExDSIIRQaCpMDI6UvapEjUC/uauTT1Bb2M2mggv - rEwuLGT7+4nTcfLllxx2m9UiCSIgDrlc7umzZzdv3hweGmpva31h164tW7d6vd5UJru6GpqamV1d - C8X/X/beK8iS6z7z/I5Lc/295W55703bau8BNNAwhKMBKYKUaMURKYqzGkkT3JmNmY0Vl6HhSlpp - pZnd0YgUPQiRBAkSBNBwjUY30N3oRntT3nt/XWYesw+3GtRsbGxoIxR60ol6qJdblZWZdc7ffP/f - t7BUVVMbikSjsXoYrKys3Lp166WXXlxazTe39vRt252uaXLDyamZhcmpiaXlRbqJTzWU0ULWW16c - e/3111599Y3Jien+nXv6+nY0Nrb6np6Zmrx04cLY6OiZt85KalE7HC/dJez3D7O7iJDiqDRFKpns - 7GhbXctcvTkwMj7j63xHR0ddc2dJeZUyrK2jJxqNJi0/HgtZgs0vLIyMTszNrUfCMScKQMOoTGbt - xo1rE+MTc7MbVNyemOzNZjdcK0Y3m+5kbnbm2tXLq6tLtm1VlKcd2w38jdWF2VNvvvrLl985d3m4 - rbt/W1t7Q1NTPBbxMnNjgzUDt28MTE6cuzrlJOqSEZ7Y08OFIYRsuhrcJUYQQihnUDqTyQwPDb99 - 9u2zZ842Nzfv7O/fvmM7oXRuYX58csIL/KKxj4GBIVqpXDazurS0WDC+Lw3ZJL/f3Qy0kV5mbWV9 - fd2Ectm8F0hNQAhnRgV+diO3vjIXDC+zyOxqJixoTU1NuqxECFEoFAYHB0dHRl977TXbtl3XbWlt - 1VprrQFIKfP5/Pra2oULFxKJxOjoaEVFRXtbe1l52drq6tTU1J1bt2/evJn3RLq6Y5c4Eo/wYtRK - Nxs1xBgUKXgEtAgAUVKurq6tKdsPAqW0MSBQRuWmZgZef+3KSy+eHh0K0lXNO/e11bcl7ZBaWJkY - Gb/13sXha9dueJnS7vY9nV1VZeUAzPLK9PVbZ3/10o/8bLy5bt/hQyfiZTFJgqW15cmJiVwuxxh/ - n95LKKOkWG7U5G5AA2MKhcLY6OgLv/zFyZdfXlxc7Onubm5pKauoYtwem5gaGxmenJyYnp5ZWVlO - p8uCwM9mM/Nzc5Zl9XR3t7a2pSsrQ+HozOz81WvX3710+cKFC1zYTc2t8XjSdYWBIZQYI/O5zMry - 4uzc/Iu/emFweEIrs2vXbm65BV/PLyzdvHX70qUrhUJQVV3d2NRkiaLmC8poSsxdMEaRiVhEpnKv - EAS+L5V3+cq7VoiurK/V1zW2lKbqouHp4eFro+PvDYy8efo1w2jv1h319bXl4SJQy19cXDh16tTJ - k6/cvHW7orKyb+u2tvauSCw+v7g8ODxy6fLlmzdvLa+sNjQ2RCLRkFtOGYwu0qOLSHwDAkqIZYnu - 7i6SXxtayM1cGMhv0EQqvn1bW3N51Fekqq2vvq6BC1BaRPkZKYN8Nru8VMjlsrhLQTEGhXz+xo0b - L7zwwunTp5ngXV1dO3b1l1aUa2B4dOT6zRs3bt587dVXOWNdXZ3JZNK1XQqaL+SHh4ef/8Uv3j5z - JpfJ9m3pa2psjCTi6/nsxOT4yvjo6dNveavLRpQLyyaUF83D3g/OiuOp2miA2Zaoqa7yentBot6b - V72pXMD5lr6+dFO9ZYvi7w2Fw1zwQr4wNzv9xhtvnHz5pZGR4abGpo5dHZ2dXaFwZCOTvXLt+o1b - d+7cGRgeHY/GEumqmq6OVstmWisTBDdu3PjFL56/eu16aUXlnj27G5pahGWvrG28e/Hi1atXBwcH - q6oqW1pbtvT2lJSWUEYJJdNTU+fPnXvuuefyhUKyonbHnsPpmoZkSKvs3J3r5y5cmXjrzBkWrqwq - dVORXpepeCzW398fCodvjSyu5CbhpFrqU33tlSVRZaxE15Yt5RVpbTTTikAxTgkxU1Ozz//8F7nM - eCqZ3NnT7Grpr62MTC1eGp5768wZNxZNJd3aqpLNqFYBAa5fu/bCr355+vSboXDZli3bduzcXZpK - aS2HBgeuXrl85/bNU6fecBy7sbGhvKzMsmwDBFLm84X19fUrV66GhkZu3LxTVV3T3NwaCkei0Xhd - bS2j/+i+9L+sf7D+WYEJlmURQowxRfltsblBSNF+ThutoBU1MFpLpSRgyKZVCbnbNdFQ/P+9evtP - LrjdvGhg86dqA+kHDtfgxatR4Ky6saG+tjaVTGYWNtbX1+fm5ubm5uqry13hwgBBkNlYHx8fX1hY - I4jGYtUV6Zqa2mrHDYAcsHH+/Ovnzp/TgUk31h479uCyWv2SAAAgAElEQVRX//B3wzZcCoCC6FRJ - /MixgzIcS8ELIfvuzZtvv3Vmfn7REKuppe3LX/kftmzdygUlFIaAMWzf1rN1a48xKOZRBO/H/Jti - GCJETU3tQw8/3NO7raS8MhRJFuHZ+XxhcXHxz/70Gz/9yU/ePntm3759e/btd9yQAYxWBFBKLy2v - njp9pqoyvWP7ti/89m/39vYmkimj1Pmzbz337I9efPmVibHxkydfrW3paIyXEgpwurK8cub02fcu - Xctk8jt2H/nwp75weO/BRCRGpZqbuv7W6z979plvXnlnDDqljFZ6E6MGo4vTl/xutCaDwKKbhm4o - cyurK6ur0oveQiETGL8wPzc/v7BcW1oa4gDR4PTWrZvXb0wTDu0zJtzK6rpIFASgMIO3brx79q21 - JRCCxrbuP/gf/0NPVwtlEBycELi8f+fWzp2lG4RSgtnhxatXroyNjqxuFKI89ZnPfeG+Jw6VNcY9 - 5HUAMCQqcKxqx677dhTg+2vjWvqCEltAGk6oIIQqDduxqqqr9+3bv7a6tjwwUfD9TDabyWYtr6Ck - b4yGIZpwwgSlvDhioIjSjFEOMHCqGeAV8qpgOKACFQTq/Xf9/S8DGKVgJCt6HxGA0rXV1bHR0enp - mR07dz79iU/u2bMvkUwBxBiSy+Rz2UJpWSUNwwcIICjhBFpibg5z584/9OFPPXDi0UOH7rWETbKr - 6zOj3/ja//zdX505987b3fuu7O6pTTWkLNuhKpCF5Uvn33r+5y8Oj+U/8Mijn/7M4+1d5akSYrla - kdzE9OD5M6N//B/+28idoed/Xuje0p5MpypcwalUKlfwNgyBAsvkfF8qAmJbVtF0wfOMUrL4DhNC - jaFKvW/VognRlBpGieBccEEBDSMLOZVZvXnj+osnTxrmnjj+yBf/9R+W19S6US6RGxu6dvrZH3zr - T/7MDyCDgMEQqn9tn1wsNRBd1DG/ny24lhUOh4Vtzy1Mj0/P1dY1PPTIBz7+m0+myl1u+9ns+tm3 - Tj/7zA+e+eELr7x6Mpms27N3D+fEZoDGjWvXz5w+c+H8QP/eXSce/tBvfuqzACWEua77yAcee/PN - 0//+3/37GzduOJZ9YP8+17ZCrl0cPYbWhBgQBg5oFUmVbOvftW3Htktn3/zpj3/w9z97vqqz42Of - +1xf/8GqaIRlMkmbcTsA8pvVdKXyU9P5qN7SWf3JTz7x0OH9lak4/MLY9OIv3jj7rWefGxgeOX3m - bFVdfX1jXZFnlM1mbt24cenCxcGBoe07tn70ox/56FNPwRhKiDZYXFr+wTPPfP1PvvHGG6diydLD - R+8JR0OcQ2sobZgBOGeb7k2murKy+njVow8cfvOl7/3qJ//1zviF7Tt2PPyJL/ftOlIco4kLEE96 - 2Y2NtRUZBMJyAg1fQiojQAQjLicCanBweGh8ur6p5cnHn/yjP/gDGC0D6Xk+5+z0m6dfOfkSZ+zg - gf1f/epXY7GY4My2RBD4WT+3UciEk5GEE7n3nntPHL1vZHD03/7Bv71y8UqspOTLv/vlrbv67Vg4 - YPBhDLQApQAHg/aNNkWJHWzbKit7/JOfOH7PnlcvXJn72x+ZNfXo448/ceLR7R3NRsKyfWH5Arq+ - prqrrfmXL786OT5x+/Zgz/bdAhAUMFhaXBoeHVeaECCXzU1NTi0uLrU0VgQSgBZQywszy0sLoKS2 - vqGuvh6UmLw/MDD4N3/7d7cHhior008//YkjRw63tLVTxpU2q+sbg0PDf/6//8Uzf//jX7zwq/Lq - uo6u7sb6WptTvI+WIkRrQyjnRaFZLrMwNzM1MR523YcefvjxJ57o6OhkNGwUyeewtL7mJmDHDYFX - HDXP5zakDBjjluMWKdhgjDHCiSaQFIYo3y8Enu9JQySBMjAyYFwTbXSgFmbnhzY2Jiamv/jFLx5/ - 4ER7d7cmZDWXnZyb+/o3vvGrl185//a5d/cd6GhpiXW2OZwIx4pGQpzQ+fn5a5OvlLW0H9y35zOf - eLqzrSUdLRGSTlwfeO7Nt/6Xv/4vty9e+HF+6d7+ruaqRPG0J7+e49qUqRV3BmiPygxUoDTLBfby - hom5xGKcID83PXrt4plTr77k2Y39u0/87lf+TUd9NOXC0gV487PDl77+Z3/58wsTN3/5Uv+hE3XJ - zkSc+YX84ODgqVNvRGLx++6770u/95VINM4t2xjkCl4hXyh4hdLSEstmUhoCwykogdYKWlPBqWU3 - tLV98V9/ZW544MU3zl4eGAuVpA/fc98XvvSVknSZVmAUtoBNIXP5a1cuPvvsM8ODgwcP7P/iF7/U - 1t5RVlou3HAANj2/dPP6wDe+/ufnz16c/OV49/7u6u6acLIGIMXpAFDAMGOK8BjDOCsSfWKxmMH8 - 0szE0urGkx/d9/nPfrKzNR0Jl0CJzHruO3/3rT/5+q3rVy6mKyp2791vuVFhO5yYwMtdv371z//i - L91w5PChg3/wb36/pqYqHI74MiCUDA4Ofvs733nxpZfeOXfu+IlxK5wspyXRCHgxTCoarRQfhjJa - KUuweDzW3dP1oQ8+UV/fkC4vN9pQUErJ2ury8z/72dDoxNjYxNUbd5ZWNmzLtgSzBCg1xChCzDvn - zpWVle/q3/Xxj//GPceOWpZFOZ2Zm33273/885//8tr1W2+88mpVVU1HV48dKm7cKhYL25a9vLr+ - 0osn9+47+MmnP37v0aP1lUkSZJSfff30m3/+1391+ebti+++277jSryiNh0OBQqaFBmjzHVD4Uhk - lQupVMGH5qCGaK2NUkQrGB3IQN71KpJebm52+uWTJ8+euxBo/dTHPnb4xGMtLY2ZtSVOVCwUee3l - V3/67E//r+/88L33rlS296ZLOwk1+cz64M3bly6+Nzo2VVnT+YlPffb+xz6SiCHCCyo7PHj93b/7 - m2/+5IevFiQcrUHJpvnSXS5YMSo1myXaTaLepnkeufuPAEoJoZSCCYDYtm0LG4YGgfKlbGprViTy - ixfeOPfurXfPvzN450i6qhQhDhkAxrKEsKzAoOD5SgPMArVBsDG18O6FSy+dfGVobL2lufVfffF3 - jh3eG0skMwVfGiKc0F/95//8w2d/fOndd9889WZpKtXcUJ+KxLu6e+qq04ODd9489dr49F+3drQ/ - 9MGn7nvo8URZumhQGZYa/kZ2bfX8+XM//OEzoWjskUeP/86Xfre0rMwNRym3gkCeO/fOt/7uW6ff - euvtd945cPhIZ3dvpV3CKQKlYJRgFr3LB7Mc0dHR3tXz1ckrl3/w+oWbf/PDVHPLEw/e85sfeKAp - GVKEG+EaToEsorGwGwawtrY6Nz+Qzc7/zmc+/PB9u7r72gxV88uzd27M/m9f+9b5MyNvnjp9z/Ed - W3Y2xIRhgGWxWDR05Mih3dsf2bP3YDQJbsNwZdjKwtrgyZOnvvvtN86+NX7h4pWultLW9nInFLr3 - 3mOHjx5769zFb//oudFnf75t565HH3vioQ88uKlGIbD4r3OYu4PnBowhFN557NjOPbvWl+b/+Gtf - u734jltZ+dRTH/vsR/rDGlENw0GsoteZL1WgtSx4uHnldumelgdP3P/RB4/31VdFKFudnTv14sm/ - /ua3ro9N3B4euXT12va+3njUooBWcmZq9Pnnfjw+Pl5TW//Rj/3G4cOH2tpail3AjWzmxs3bf/XX - /+crr7zx9pl3ausamlvrOcPS0uKdWze8fK6zo/ODH3364JHjpeXVWsMQrGeDxeXlquoKxwGgbSGW - Mpnzb5879cqpqYmp/QcP/danP7u7f5/vadtyqTH5zMbX//iPv/2jH//8+V+kquqaOjrLK6OaAArg - EATcshkIVAEEnVu3dvZ2P/r4h/7i//gvv/jVyUIw/dRTT9370ON1DdVF+7UoBwdNV5Y3NTcu3fKX - F1cmJibT5U2pqMMIhZTrq8s3rl1dXlxUik1PT8/OL65mvFiCMmKICpTnz8/NDQ6PrK5nnFC4qqbK - trE8t3Dt0qVXXz55/fp4OFbxW5/97PH7jpeVxrUCNxmb5p754Xee+dnp51+9dPb0m6mw2drT4KRc - m4migEcrRYrjYZwD8HxvdXVlanJyYW7Otq1PfepTBw4eqKyuYpwTSqVWc4sLtuMk4olABdpowYWW - WvlKKyKYzRhkUThYtHGXSquClB4IQHjek4HcbOVSRh3BBCW3bt9eHl2qbu64/8kPPP2hx6vKS4XF - s7nslcuXf/C9733zb//25K9eSFekH3r4UQ1waijRBBJGSaVef+NUW3v7o48++thjj/V0dysZUEKH - Bwe+9+1vv/ziyzdvXn/1tVfLqpvbWhsZsaBhlHKFIwxTXiCl0toYCqgAQaHgFzQ2+XjaGGNAOPGz - mZs3Ln7rm98auCW7Ox7+6v/0B63dJclyVVCLwvan5wZ+9ctzz3z33I0bw2fOXIpErFSylDEzNztx - 5/bFlRV9aO/2T37s93fsOBJNQVN40svmcoWCV1ZeblsUBr7nU0Yti0tlKCCKoh5DGWUri3NXrlz+ - wTPPZrLZ3bt2/a9f++OqyrQbjhHKC4VgaHjoZz97rr6hjjImAz8WCbe1tBw7dqytrW337t2ghFIK - MM/z33vv6gsvvfyd7/9wbHLq2o2bXd29ZeUx4TowGxSSQAVK3hmcuTP8dx//xG89+tgHDx251xCe - LQTLSyv/6Rt/8pMf//35d946euRgJne4vCLKKQAEvuQMlFED/et5Y6mQ92WgjUGg/EtnT+84svf3 - vvzlfbu3t5SVRLUsrKz88o03vv6Xf3FrdPzq5Uu/euGlhx55rKSlROWyLslPTo4/++OfDA6OlqfT - n/38b+/avae+vlGDGEPmFhafe/75H//0uTt37ty4dr2murYyXc43idi02BrXRjNCCWciEnniNz72 - 8LH9529PDaz+1/WJzLbtW37nC5842t/tSRPwiLSozWEVsymlKGWWbVsWGBfvy/W0n19bnn/9tVe+ - 873vhcKRDz7x6Kc/+5l0ZSW3eKAkYeTts2e+//3vnnzl5LsXLhy793gLs+wSS1Cyujh788b1F18+ - 6fty986dX/2jP2poqI8mYpqTsYmxi6+98s0//9P1KS8nsmFCDOOmGCBxMCYo0QZSgyipKGFWNLLl - wIEtO9sfWfQyX/1P66+/t6H0Jz/5yUMP3u+GHE5J0fjRSDkzO3/p4run33rr9uBwfV3dpz7zmYcf - ejART3iBDJS2bfe73//+t7/z3fMX3zt79myqtKK6Mh2rSAB6bXX5vcvvvfLa67X1jQ89/MhnP/d5 - JxQihBZ878aNmz985tm/Ghrp6Oh+7PEPfPiDT1CqKQAdXL186Y03Tl29fvPEg4888sSHjz/4AeGG - beRcVpgdP/Li6xf+3X/80zs3r545E2ptKGlrquzo62vvaL925crzr5yfWPxJWX3vb3zogYfv7a+v - sD0T0k4qYJBBgSkJpYgBCFmcnj45OfC7f/jJjzy5d0t7vQtVWF68NTz5tb/8bz999exP/v6Zvq7G - /h09juMW7US99aXTb53+6XM/N4I99tjxT3/mSxXlVZYtjFGFfO7U66/+6Affv3Dhwttvv9PR0bV7 - z550Rdi2tO/l8vlsINVrp06XpEqbm1u++DtfOnz4CGFcWMIW1BLk/zk88y/rH7H+uQrexuCuFgNA - 0c7yrgYXhBBKqGWIq4gtQQmTgmcoMhQFBsmKF0pcCBd0s1euoIuEt6LBOwIYCQPc1ev90yyySWrQ - gKFglgXGNuf3KYVlwXGam5q29PWGbBta57KZq1cvz8xMcgFQk8msjU2NDo0NzazNS07TrfWV9XWO - oA5s5ZG1ifWBa5OjY/NgpLylsaGtPhpBRIAxgCpQYpiwrLAN5oKw7Pr67asjV64UCjJa1dTQvaO0 - rkVEoz6K3hfFmwwO2AzcGA7NoKiRd6UXRUdXq6N769ad+6tqGuOxlLBswYUBBXdSpaW9XR3bupps - qnL5/Eou8MAAakPZFCBM8UisrG7fwaMf/chTHa2tiWRSOLbtus31Ncf2bK9NhfyNtdGh0dW1vF/U - LhI5N79y6vX35mdVRWXzg48+2tbbFkvFbJuHXLsmXX10//4Hjh7es3MrIg5hRFEoiiLYmNIiTRUu - EDXaogLUBUuAh0Fpur7qnnv2VSW48Bd4YXXoxvXBgQlKwKDgr5jlxVtji9emsUYQr6pvbq9vaYvE - E0VMTm7izvDAhYFgHYnyinRvT6ihhsVhKGjxZTIMIA7RIQILWJwcuXLunY2VdTdklzU1J+obI8lS - DuHCYQyGQwmAIyQQosaWWuSV6zNLA4poQgwF5QZMEc5FOK54tKCMLwuMFRzHEBIQqjgFDLEkJYr7 - UsCEwRxJtAYMVaB5H3kvAKNwKOcAZ4Jw8b5l5PsFXAYIZgQ10D5MUHzo+XxufX1dKi2sUCiaIlYY - Vpg4IW27LJpySqu0QzRAJVyjbF3gRhKgtNI6dP8TB0482de/NxKzwzaSYdRXRg5sa9ne2wxZWFxd - WVhe8w2FEUEmP37z1vS162urK+27djbfc7h2W6+TKLG5S2iY02hFWWP/1t4PPbR3T5e9vnjj+sC1 - 23NrAWWAEMYwAp+oHId2bcNEkYqupdIKFlecgoARUK1trV1CQ5vaTuQ1CQjThChmIAyzgBAkVxsj - ty8OjQxltOjYdaR337HyhibqCkOJI8L1tY1HDu3/wmee7G7mrjCcaKL/gV5084bSTT/rTbk6hDQ6 - MBsFmRduuqHh6d96+sSJIxUVKcu2GHdS0fDunubDfQ1dTWWByk6sLU6tLKzljZaAUneu3hm6OsoC - 7N25b/+BQ+FINBwJhyKu4HAcq6oqfc+9R1Op5Mzs9NDQ4NraKrtriUsoA+EgDBAgFpgDEYYdsplx - 9EYIvmAwVli7UeGQSCzKLato7q6Ls9lS0nhs69a+T33qE7t37UyVVSAURyiVLi050NfWW+FyVbg1 - PjWUgcdBDHhhtbAwe/XsuZnByWgovv/wPa3bd8AJU8dlli1skUpGejqb773nGLdCY5MrtwYmNjY8 - AoBCM6I416AExoIvjO8w5jgWHItyo2hBURDmCJGyBcIWwhYsAkKyCaZCRhEN3/CAhRSH4pQwzmVe - ZGYi/pJjs0hV68EnP3fgwSedaCgaCSViodJE2GXa+BvexqJF/EjIcsOu5YaEbTHBHdeOxGORklLq - xkBsR4QZj0SoywqaFZQADYVCdtilNuGcME4ZIxywAK4V8zWXm9UPcApBYTPHJrZjKco94mjmcs5D - FqIh2JZFYQOkqizR01BWnbDXF1du3ZzOFYpHFRgpzC8v3hycLqhQyImFiBi5dn1ieMQATIBT3+Rm - Rq6fnhwfiJSUlTd2lFVUoVBYmBi9Mzh+c3LDrtmy7dCJ/Ufvq6ut5oJRToXFy2J2b1P5w4f67j26 - 36fiytTq5cGxvFcQJLBpcas3GggMKRRtiaVP8ys6u2z8rOA0nkxyJ6SFC5swB+EoytLxUCwOFjcI - AcKW1FaKkYKh65KuBywAhzGQhhJwBm4FcDxpBTCE5iyxYSHH4EMDhoO7xmHSbmztfeLTn+u773hZ - a7MWlFokFbW7q1LHd/b09/ZAWQOT6zfHZlc9PzASzFiCcqMoeCRWvufQg48++dG65qZYIiRsCovU - 1lUd6u/d01mXDsnM4sTA2MjwWhbchWFUEgFiM8NRkEFWQxX9ekEIhzYyUMoQ5sQSBBweCoCZGJu6 - fXV4fTHX29l34v77a2uioQhgaTgSIaektGb/geMNjW0bG+u3bl6dnhoHpAwKvpfzC3lb8GgkFI9G - hOCcwhKIhO1EMl5aWmbbvDiyQCjdLClTRopJD6VgBJxEw5YTi+WYWBPhwHaFbcdsxB3EbDgUxvMm - h6+O3X57Zm6soae368iDTTsOREqqhBWiwrIEKyuNb+trfeDeXbu21fnZ+ZHBO6ODMwAjMETL4uGv - CNRmC5BCKoCDhzxNpAmclH38iQfuP3GivX1HIlHvOgnHCZckonv62j7+4KG6GJmdmTx9eWCqIBRn - 0IWZwcuDA7eWckHj1r39B49V1dbGoyHLohFHhB1RU1Fy3+F9TTXptdX1N85eGF3IslCxqaYpIKhh - RkMbYkAJ55QTohvqKo4c3tfa1lxSWsIclzCbMAeWFU+EOhpSu7Z3Cye8nBc56UhFmQaH4SRP6YZB - viSd3rrn6Ec+8ds9W/c4bsINhWyXlVWEHrh/346elqiWs3cGZ8cnMvm8B4AZ0KDgZTJSGbdk66EH - 73/osaMH99eVJ2zHssIRNxxtb2p86qH7O9Il2YWFt8+cm1lY84GCgqcVKIPl+oZ7gdJGMhpQDkkB - 5oIKTmAbXxgpKCWEUUDAN5mphdnRO6MjXiix654T3Tt2V9bWEopQKBIJR4RtdbQ39fQ0l5VGBu9c - v3zpoioYS6OwsHbhzfMTUytOSf2B+x/r7NtaWoJIGI7rhBOVHV3b7r/33g880FcaBaeSWcywzfo8 - M5oYZbQkMATUGCIVpIYC0SiiGBRBACgYRRkBI8XviQaFRY0LuJK4ioXLypIfOL57Z2vEWxl96fmf - XnnvhgwYaFjpUMGDH/iG+szihlpa2ihoSH957ObrL/1ybnG9pmv3xz7/+21b97uJCsqtkCviIRK1 - gwf29z1xdHtpiEyNT166PjazJLMeAw1FInGHGaY2bOZTR8hQPHDilCNEECWIMMmC9Ts33h0cGvDA - +w/ft+fwsXRttRMJMcEFp5awGmsrH7nvQG2pu7Qw99a5KxNLvlcc4uRMMM5hqFHFIRnCbRp2IAxD - 3mifUkqFy+wIdyMIRZgb4oIyAEEACa2ZNlxRUd3R+dTTn9h/8HBjQ3PICod5qDxW2t1U39NcVp/y - C+sjiytzs9kgj6hGVNBkebJia19H/+7mknK4YTALlDJB3aRb2tuxraq6QXKxmMsv5wPAghOFYwnq - c5WBMZpHjJ2yHTfOkRKIC4T5XaXCpvEATKCgDAwDtcHDEBZncJi0ubEp59RiFJyBCzALmkBRQGhF - lSLGctDZt/W+ex576IEnG2tb46GosHlZeainI7Vnb5ebjMzn1ejc2npeGQMG6Oz8+tTlm5dOEaOb - Ovt3HXwoXddBrYhwQhDUDov2zpbu9o6KWOnty7eGbg+tZ3wFKD/vb6zKXJZxK5SqoOGEZSNsIcKQ - iIiq6gruQAISBYP88sz82y+e3RjLVZU2HX/o8XRrCwk5ImRZNrVcGk/Yu3d27d6zYzWXGV9cmVtZ - CxQo2cwCLAQUCuCauCAueBh2JGSHuNGOCcIWtyyHWhHO4TCECThRoIiVp3cfPJRIJjdWFkcH7qyv - rhZbHUEmszI7Ozs2HLJUbWVUam9iIXNzWq0GCQIGuSyXRxbmZmZXdXnzjnRzqxWjEMH87PTVczeW - J7IN9Z1Pf/7zHbu3xcvjtoUwR4g7jIf29O+/7/De9uqwXrs9MXxlbn5+NUc8QzxJtKYAo5SBFD0Q - NGeEErO0NJ/LbdiCR6PhSDjsOq5t25ZlOY6TSqWisQhgGKOEGakNYy5ncYEQCTT34aDYKjPQHlQm - IBnmalCitABcQywDgDAYaKmlNFSE0/XtH/nE5++7/wPVlXWxcFgIZjm0raN+17bO/o7GkPLmp+dv - j8yteNxQzknBMqsEBUNJXWvnnkP3PPT4h+taOoXr2m445LoNVRWP33ekp7Ysv7F45daVwYWZNY28 - DgwMBxM+ifpWBI5DLUKpgoZt4AaBUJIYY4rugDahgCZrC4vzw8MTwwONLZU7j25t3lITK3e5HQmH - Sm2WKIvW7e8/XFdbnwvWz116c25+jFNQGeisp1Yl82CLkBULkxCYBZsj6tjJRLKkLE05BcAATkzR - 2FMyCspgNFQeKgOdWR67MTxwa3p5o2n7wf3HHymvbXAjUc6YYCzkWLX1dQ8/8UT/oSPVdXVh2w5b - vKai7NiRox3dPcINcdsFtyihYcdpra3u3749XlK6rsnU8uqG50sFIz2YgjAZwA/AE7VN9z/x9D0P - PNHbt5MQLiwaS9g11ck9fXX7ukrCemF1eWpqcTlnNueMObcYE/Tu9CwB0yCgHNxhzDaUE2F1791z - //H7Du3dW1VSIrhlhOMkS7s6Oz7yyAPNZbHcysKtW9fnl5e1MmGbZJemh4aHro+Mu9XN2w8f79q5 - N5muNpQLLiyLl8Vje7Zt62pqEhrvnb80Pjxe1O8HqtgPLGJZ2KZ3IlGg2nJYPBkzXBQIV5ZLnAh3 - Ipbjug4Nc9ibobUxvm8ARS0P8JT2lSKADUVzMyNX3xofuS0J3bbvSO/ug8nqZhYJU8e2QmHXCbXX - Vz98YGdD3FqZnz1z4erUamC0JnI1P3FtemxgdjVX1bt/x9EHq1rao6kkC9ncsdJ1FXt2b//0xz6y - r7stwhgjxAc8DYlNu3sDRmAzWIIJDkAbWDYiEeqEtSTcg0Mty3Kp63KHMKtotyMtUsjNDb36wk9H - xqcStR0f+tSXtu46kEykhBCu7YZD0ZDjHNi5/UMnjtUkw/PTMxcv35haWM17BaOya2uzyxtrBWq3 - 9O1ubu8LuwnLMJuqmKvTZXZZSTzkxucXsrPzy4powhR03sssD1x/b3BwJJSo6eq/d/vuw9FoOGrD - chyIWCTd0t7ZfXTv1oiavXrpzPTy6qIOgYWIxUtdlQgzQ2TemACGC27bTsR1bQYOCMZgKNeMGFpQ - KtnW9Btf+b1jxx9obmx3rAi1IqFkWUdrS29zVWuJENm5jeW55dUN3yCQfnZt/Obtd0cnxjQNHb3n - kd27D1eXV0cc2+KUCxqKWW2tDUf270mGw7MzK+ffG51bhTKMEN9hOco0GLPjZb3bdv+r3/69bT3b - Y9FILOG4USYcQlnx/Sb/wrr9/7X+uUq3hAB4fxbjffTVP3xcXBpekI4EBfUZywrkGPJAABCAgxDF - oAGjiAkMoAwkgSIAFIpBwj/pKkZR8i7owwCU8tX6XqMAACAASURBVE12iylmZQycN9TX93b3uI4D - o3OZzPWrV6emJgmFgV5ZWxoeHZpZnF318jJE63va6luabAs2gALPLqnlmfzyqgYTibrK8ppySiBQ - jOB8EBgiGLcYYCvAK+ip0aWxMSkRrmhIN3c7ybSxEVDIu+7DRitifGp8qj2iPZhg89qLTpWaAiJd - 3VBd1+pEkmB20cZVa6op55ZTXpqsLovZTAVKZiX1i89NS2EUJYLYiVRlY+/W/kMHD6bLyyxha0CD - lKcS2zoa0lGL+IXVpTXPM8pAGkiZn19cu3pl3MuHK6tad+7bW1pdSigrtg+tUKSmsWlXX29fZzss - bqjWxEhKwIpe6WCAAJjW0D4oBXUCGjY0DMpTVWX9/d3pOE9CucHG+J07w0NTMgDVPnKLU9MTwzPr - I6tYMU5ZXUtbV2NFGiEBwEDmc4tr2SkfCvGymlRbmy5xJIM2oLp4qwyU4TqwDCyDwtriwsSEzCEU - jVc0NTvlFdwF0WBKAPApChyKggIuVAiwfdge4RKCCU2IggY1QWFjbnlpeHJufi0IwLjFHEdbllY6 - r7XPCUCokNA+kdoBiYDamgKARg7cl9QLNCiMACn6EujNcf5fLwpQaEo0qC6ab0EHMIozZlk2F/bi - ytqNO8PjM4vLG15ewyOQNnQYHoc2sLRH1IalciTwoFGart17zyMtWw+UVqQYwFEAKyCiOxuTrQ0V - oCrn+1nPlwYSopD1ZgaH1kZHlAyatm8t2bHVSYO6HMaCFtCOa5fUVZQf2dWyvRkqPzYwcuv27LoH - aAihNYUOiMkJ+BZVjMEwSAXjMepRLhk1xDBoixiXIFLUd4IpUN/QAKTYqlFUGapgGQ/B6vTw9amZ - KZ+HG7bsa+jZYcWgBHwDBcTD8a621oceOFZXnbSo4lDU3LVmp3dLt2AwRL8v6Qs0CwwxTBIhkmW1 - ba1H7j3c29PsupQQYsBtSqsrE9ubUl1N5eDB1Pry0NxUxssEShcy2bGBscXxlVQo1trUUVdXL6UM - pAyCwAuUNjoai3R2tkeiodW15cnJ8Y3MOvmHOmpCQIoFXAvUArEBziFdnXUNoIO8ZjkCBTBeJEMD - BpzxInUknoi1tjYfPXKosbHWDoVAbfCQHQp11ZS0xolNgpmVjUnfygMUGt6qvzg/cOnKxuxqWSrd - 0bstVlW74vsZP/A8P/AKlKjy0khnRxtjzvxifnh0NpP1iu+eJvAJJAgxBiaACgCDopkBfEnymsIQ - bkwUGnQzE8sCWQI/rCU18CF8FpIcEgDhXOXdwkJUriRj0aqOHd1Hn2je1qsBRo1gcGzqcB2xUBq1 - mMotzU9fu3Z1fnlZai0DD0ZSxoUd1rAkCBSDT5gH7sNW1AbjlBm26SmvAUooBywDSxpLE2iy6T5I - CBgBkQa+hvJBC7AldQDCAUFAAAUKIBRzWiqj9SURL5MfGppfXEJeQSObLyzOzM+PzqzGSxvralrK - Y8m50dHJ0dGsDwVQnZOZyamBc8uLk6WV1amalkSyDFquTk+Mjc8urJtIU39H/5HGts5QLFw0GQck - hIokxd6e2j39W4wTurNUuDE6lSsUYDwQCe0TLQ0gKTwCBUBL12Rd+C4nXiE/OjY+PD41vbTmGUgC - wiEcEA4NouACwtU8yoVFfcPWJdvwqedR+JsnLKimwjchX4c0DCEblK4JFAgUg9aSSNjScky4trHz - 4KNPVGzZKpIlATGABA2YY3a31PS0tMI4w7O5gcmlnDYeVcYo6IAb5dihsnR9946DO/cdTpWVUW60 - zEN7iLrtteXbGiuaIkZmFsdmp0cyBQ8OiMU049oIKI4CtGeIfn/6gBllMQZDDbGEDTBISGnk1MTM - 6OCU8VhTXXNfT49tw1c6LxcVNgDFrFh9Q1dpaRqeNzIysDA3TYlmxLiOSMQjSvpzszM3b95YW10J - AhUEIARCwLY3YbWkyGknxBQrtuQuwAeAkYxoI3iWWas8XGBCE2NpCAMBcAP4mfnxmwvjV7O5bF1n - V/W2A5GKMhqJgwhoQowOWSxdFtm1o3VLd5qo3NTo6PjwrAGYJtwEgNQGAaBoUWpJYAwIB7E9QzXT - iRLn2L37duzsL0nGGAvBWJRwV7DOuvITe7pqo1hbWb40NDVZED4BdGF2+OrY6LABr+zY1tDVJxzH - SF97WRPk4GXDFuloqqtIRnL5/Hs3BieXPc2Kfjl5Co9BMqOLeygFGGWAiqfCLW0NpWUpbgsZKG2Y - hgWtIbMJx2+uTws7nFGRgg5rQ7g2MAVB8pxmCPXLqyvbt+zeeeC+ytoqygihxMC3QkF3V01XU3VV - OOQtLCzNzi2trRV0MSQJlPZ9MITLevfet/vAkbbmWselgAJjEFZlacnBrb2NiUiwvnbtyvX55Y0A - CAwkMSAURHiaeVJpSEoDMEgCUNcQwYx2lCeM4oSAMAowk5Mr45NjtycXF3S8rL1/b7Kqhtk8kAaA - UibIZ1PJSFU6Ho9ZkxPDQwO3teczXweLG7feu72wmLdL6rceuLemscW2YBSUAnjULq3ZtmXL4T3b - kmEwGoDBV5tWmjCGaE2hi8NJRYiYLuIMoPVmGByQYty6eagpaEk1YdqixjUIGRoJmBuPh47u7d7b - lUqIwtULZy9fvDY1tYjAMiYsNZNGg/pUME2KeylFPrsydvvyhXdyvi5v3rb3vhOltRWwqCKUMzBS - gL+0pansyLbG9uqS3EZ2cGxpbk1nfQHiQNgMkso1Ci2JyTI7x10FcMBWBjKH/MrYwLXp6SljhZu6 - d9S2tEOIwMiCH+QLOvBlzLV6mqsqYiy3sfbulTvTK7pQjPiLWmmloAJoYwwBt8AZIBkKFBKAgdCE - S8JBN90UaBHJZAgxAtSmllvT3Hrs/hNt7V2peAqGwtCwcGtSibaqSH2JD28mU1hb8UkeTCICxLiT - KKsqqayPsJAPDl3kS0tqwS2JVURiJcZyln1/NdAF6sAKgVKYvK3zAAIS8kgEIBYCYdYdQNyt297N - NQgx5K6YoHj6U0K0IJ6AItqYANKH0TCAIcWPBIZLSQJFteWgs2v7zu2H+7qrklFKDYAArqyt4j29 - 9W4yumb4bMbPS2I0oIKN+ZHFsXcXJybCoVBNY29ZXTMPOQWFALSgAh9eKGJVlJSURVJzIzNzU7PZ - XM6XnmCI2twhZnV1/ebwxPDM4tp6geiA6ILN4IagKQIYBT8w2aWZucunLrNV0ZBu69uxl6dS69LL - y4IX5BBkYPJ1VYnGxpq8lNOrG3PLK4ECAyxqBHyi80SrQMMjjqIhUAewYEB8XwR5YQwBlbANwDQs - BSgPRrvJ0p7t/clUKr+xNjJwe21lWSmjpVxfXl6emcmtLFWWRrvaqy2bTC3lrk34KwE3hsGbz87d - XJidWcmLdGt/urmZutrQzNzM1JWz1/KLuqam5fADJ0oaa1jRrs0AoNCisaF1e3drd30spKZW54Zm - 5+ZXCyTYlJNRgGlltNLQGjCMwbaYLRhnxPcLgwN3RkeH11ZXA98vzqS7IceyrU1wBtHawBgbJky0 - Yxlma7BibmcMiALztcgTIRUxSnNKQ4RYejODJcQQRkQkXlbd1L3r4PG2ztawy0EYgWKWKUvH2xor - tzRXx6laW1odHF9a9aEZgcnaZI1TzxA0dfbu2Heoa1tfOBmWAGEMxsRce1dXa1tFnBtveHJkeGVh - 2VMFaEMJBxceCeepI5kAA4iCBlPKDgIuFTEwDOCgohhIzU1Mzg4PrS+jprG8sbfOLoHiKCj4PtO+ - FaKpunRLKpXyTe76nUvzC+NGKigZoU6KJ12D+bmlK7dvjMzNrGcDYjRVgWBwnOIkCii0oCCUSEAW - 4yLpQxWgspBrc0NXR4bu5H1T2bmzffteO5rYZN5BM2Ji8VhHb3dVY30ilSKcgxI7Em5saU6VlytC - NWGaMGMApZOOU1WRFm44C7bqBb4yMKBGwhQEspQoxexIunXX4Ye7tuyprCyHoSBgDNEwba+L9tVZ - UQIvt7Scy+cBH1AGlIKCYHPWnwBEgYAwcIsLh3BLuKFt/f27d+1uqS6NOQ4MkeCw3eqq9LG9O5rL - ojq/fufO7YWVFa0DcL0yNzY0Mji5vG5XNjb09SerGpgT8aTyCwWTyzGt69MVVckkU3r41sDs5KyR - UApKb+L4DCih7Ne7FJEgkgkmCVGEe0T4xJKUbPY4i3VbA6oDoiUIVcySlElQaTQMiM6w7PTU7QuL - s+PUdlv6+iubuqRFNhQyAQoBpB+UhO1tTemqENaXFs+9d2suoykAuZGfuDY/ORpIUtHV37JjX6g0 - yRxHUSKhLNtqqK964MiB3toalwDG+Mb4Ra4f0QpagxpYFJyTYikHYBxc+IRBUzugQjMDIun7W7GC - yqOwmpkdun7xnUzBSzX07Dr2cHVDOxc2QBjjjHEdyJbaqiM7+zrrKpXnDY5OLaxkCn6BmIJXWM35 - ns/dSEVdJJFmhHBNqfKZ2Yi6Mhq2hQhtZPTaRkERbRAolc2szE4N3lqYXyqtbitr2JYsr9LSGD/r - B3JdkoJIxpOpjqaKJBYXpofmVtZnAzsHDiNdnRGkoCDzxAR3iwT0rmKbEsAQrhkBU5SU1FXf//ij - Hd3NqXiYwQIErHAolWypKmkrFWG5IbNrG1k/ADSV2cL89VvnJ2emuB3v6dtfX98uqKUDUwgKOZWR - xksmwm2NtXHbWVst3BpeXMnZygDGEyRDmAQX8cr67i399x9/uL6qSjAQ+usixn+PTv2X9Y9a/0zA - hGKFVkoJoIhKIHer7JvFW21UwZfrOSFBQH3AF/ABA9w1q+PwDIiELUAUBXy9qSY1RV0sNSZQBOwf - b+nw/72Kpdv3cWFmU974fqVn086ouqqqs73NdSzoTC67fuvmtanJ7VJqxYKF5cU7Q4MZLy8ZiMs6 - dvS2dXU5HBZAtC10iJmwMQSEhkoS0VREy+IPDoIgzx0Ywi2CAIAmoCSc39AZbcDsZEU8XWssIgFG - NrXmRoMRw4ghBFASRIPxzTsHyEAaUC4EYdSQf0BA04YJygBV0F4hK3NrRHkgRDE7ALQ2VPlE+ZQJ - O5JKlNeWp2vKSsso02AkAKCNbfOqVDRhE5uCEs65SwmUCdYzq0tLawsLuZBbma5qLKus0oL6CGxY - KIY7QlSXpCrLy2CU1FIRoygBaPHPKVZvoQNIH5wriixgQ9jUCqeidXUV5TFRwrBKvNmJ8bGx6UwG - ZVEv2FgYHByYW/WWpA0WqW5ub+9qvouPyBHlRYSbsiPjyEQT5dGaas9FAXAUKAWUhpSwKYh2iJZK - ulRHLIsTiHiisrlZJJKGARrwTMCIdCABCyBQFiMWE1lwVjBEIRyNgrFA+hpqcXH20uXLP/vlSyNj - S1bULilNxmOC0sDzMr5fIEaDci7hZyWIA8ZA9N1o3IOQxNKEQUsYJQH4fuAHUm/Sn++mZsDdYpQG - xeZ5S1lJKtnQ0BCNxS5dvjq7nNHc3bVX1DU1OpHNDyjAAhjXUHkW5GU+pxUSqXRv/wEeD+eBEMBo - AOrB5BOOSoQ5F5QJiwpLEyjDg0KwPDUjl5dsy0q3NLHqeB6IWoBnQSlwZiQlKmhIuw1lgUXN2PTo - 0NxKDoiAMm1glOHwNLI6UIDRUJ7HhYAgMIoSQwzVilHqMhYtAhMMNUQYMKURaA0dSFUIdAGGZkl+ - eWVhfG1jzdiRssbORFVDADAOAhQ87Qpw10lXlYYdQhFQo4kxm3ePvD9+Sg3ZtBuCMvB8KDiWG4ql - SuOp+tbWZGnCtmFgBOOyuCswVZ8Q7Y3pU7fmpleXbo0O9rbWKsWX5mbnJudkRjdUNYXsiO8Hq+vr - QeAbA0sI27I4p0JwGF3I5xaXFvK53Pvbzn93iBXJTOT/Zu/NgvS4svPA75y7ZOa/1L6gsBRAYiNA - ggS7SbZarV6spdvq9iK1ZMseSzOWWtaEwx6P52We53FeJsIT8zgx4Ri1Ni9jjy25pd7Y3Wo2mzuJ - jSAJgABILLWv/5KZ995z5iH/v0g5HKOww6EnZVQggEIVkJV577nnfOc73wcoZ4YmM/GCGMp+LUMg - NZldHOmoZe1Ot9N13rU77anJicmJTpYBZNDkic7anBd93bJYVd5E3m+UHKv9anvz/o1bOkjHzi9P - zS0OiD5YX59kbosY1LmXFPoEiQl7/bSytjMc1ioAj5pm1CibpIRG8hONLEcUroShsJBWg+gaJGAP - 2kcqfYqkSORqzutGvg3kpG7L/gT683Mnjz31ExMnjjTkHZVIGkGaZWZpbvLpJ8689vrrP37hB4NE - f/fv/9YXfvJTVSo7uRNrFRyAIDDD6Icqw5CT79gst94YhiGMo3rTiGMFyMAaxIQoghQ8ZxaQJHFY - VoMgiKZFvs3OmobqohoJEIE385P5iaXZVzfLB/e27txZPTE92eps7q7d/fDe/c399LnPfMGG+uHt - m7fv3nnw4QerqzvzS1NZPRjurGzev1mVvcOPf2pi4XCr20Xa7m2srq5tIvrpRy4ePfO0NsxNKGKA - YVANDJdnsxPLS7bVedhLtx6sD8sSSUAOidQwjBtX8oBBkdHCZLEwM/HunWu//y/+RWXyynW/MPNp - smDSOiEyRUBgkWyL/Fy3k3to6okta6pLhbfgRrWmTKaSllDHIIJ2YuwZRIDZhrJOZeDAhWlPzx1e - OnNeWq0KyJyDRFQl+tvHp9pH5xdA+Yfrww/XdsT5hKpOVTnoWejUxHT3+On5w6cnuyaDCWG7168n - bAfQzKSThyaXO3x/q7+1v7dWS4ksc7kjyzFxCkZrawSERDCqqAOLTHa6A+tiwqBC14NBZTV8eH91 - 9d52y09OdqYy53Z2Su8283zLtHxW53WFGD3IAbK6cm93Z9Masrk/snTo7JnTb7x15bvf+fZgWP39 - r/2Dc+eeiEqtdpsYVa0gsga5B/HBzmVBguhotTQGGSq19dG1araiwilCiNkQQ1K1s3p3sP2BYSyc - eKR15OQQzZO3EIFR0hDr/WNLE8ePdh1h7cGDBx+sSw3rRsdkEg1E1hxoTltEU4mJMMabySI/c/b4 - wmKuQIywDM8MooWJ/LHDU3OZvLs7vLux/3CAQURXqo0Pb6ys3IfLWwvHiqnZ3f1eiLukQlDDJCkY - DY4kpvRgfWdtvx4kFAaMGho1GYJntuPQxWg8NcmSsbFKg37Vbc8QA1XdX73X3/rQs7DJkplMNHK1 - ggbLlbdD4zAzvzB/5KRtwWSwChCSVMJ9NvbowuRjx45sP9ze395eWVtfODajNpFWPmNbtNGm5cee - Pnr8UWOAWEMtyML5Is/mO8W0I63K+x/e39kfBiB3ABsoI2nVhAEkQmjqVHAmZIyq12A0NaaGBgDq - 3sadG+9c3hsOppfnp4+c2K/jzl5/IvepHGo5kHo/4wgtmcLO9vrmxprUARTCTn/93np/ILMnFk88 - 9tTUnFHBsJckoyK3TH5uZubR5cW2w76GkEJviFoxknofKwXq6MgCMQQIkpgiUxMAMBZXUKgAQgpS - Q5IR5ew7YnyryJ44c+TTTx65fG3hxWvrV9669srpQ8e+cFG4QyYnJnACqRDBAL6F1e2d+++vfTi0 - h9qdhROtecAjEuxI1mqAvQ1k9uxS99NPn1t58YONnXqnT3VqakfnjXotU41BqPaVg28GwYCyj7Bb - 7a+vP7yzt79r8rbrztRkVjc3ckckXqItjE2D/TTcatuQYnjn1gfr+6mZ7lIBxziiWFhrjA0EA4XV - dk6twisQEwWhSBwAQ2AVkMAaRGdt4Xyr6GB64dDRE4c73UYyh0djaj4dnvGHp4KjoVIsTV43iHjM - QRVMADcA8oSQjRFM0IoGe3USw0WxV4eBIFlScUQKK5MFZ85DXClZY0sJ6RE8Sa6E1CTzBkwE55EC - yEBMMmSMK3LnOSGFWFWhChJh/Ef2gEBUk4KGCHUZjh199NDCI7nBqFGJGtxvtcORY9OtyXYIrX7i - RI4A1OW996/ef+9VDlhYmJtZPLEzABiZQSZ1Hcuy3o39Otah41qhV5X9sqqrKvBEt31q+ejc1MSl - d9+99c9/e0Ct/Kc+OXvmKAwZ5CEiMIiFEMtqd+PB6o0bNx6bfuqRo2cmZ+a30qC/t+vrMGlsMClH - FardpHVi2tgfrGxuBoEFPIM0QCsol5Gic85YKEOjRNFYUz1IVQklmIwZvjEArYdwybQnl0+dmZ2Z - vvnOnTvvXd/d/HRd1xLL1Ydraw9XjeLxsycWTz3+5q07azu9q3d2fzYgCWx/fePu22urK0Mplk4/ - Pb98ghCBwcqDe6+/+JbRYmpqaebIEcl8GFsigBicwdPCdPfxR+cevj+o+xsPV1cXz3DDkjQKSRgO - K0PqLFlvYKjdbp0+fXJudvq11179+td/e2Nj8xd/CSdPn56amXGZN2QUKtCEmJBAHCPqyqSEji+6 - FqGPlMF6hWN4Y3OJKJMkhbO2zewEkJBY1BlXtDrzE0uLx8+YYjIpJIJZjTMZnEE9082Oz09eNTrs - De6t7B2NEABhLzc9ZxNEHj174czjF2FQKUTgDFKdONaU2yOTrclOtra/fW93c2OwP9OyBGvALpDr - K2zgBIUmJEGsXR1MSg0XVi3UKEBJ77x3497Nm0YwPdfOZ8z6fllYNinKsDfbznLksewTcUT5YHV9 - feP+sL/jJtzR+cOPLT/Wde6lH7/63pYO2X75s5+ePnWsHg6S71IxknyHCFs2jBoIgImoq4F3AahR - 792/cfn2rZtweWfx+OTi0UggtmwAFRAR0TAhGLQUI8hSGSlFdkwjHz1jNPV7obdXl2UZ0hAmGUfO - W9uUcbXVobVgX9iJpekjp20xRYyiQDJNmC6n22mpU7UBRhmIa0IATDMABkCbH4MEUKgDgZ2xucuK - vNs9dfbs8rGjFrAEcdQQkFqt4tEji8fnJl7WcOPGu5s7OynVsHH1w/dvvX8rCNmpxXxmsZ/IRbF1 - 2NvbziUSsVaBQ5Sy2txf397YKYewOZTRRDbLMETg8WyAAVjqUEUAMKVQgBHA2REy0vTRoQlMbCxs - Tr6A82CGREhP+6tb99/r7W7avDW9dIxbk+v7gMBK7bTuUFn091sybGvZ2+lfvn5rt1RvLcKw/+DG - 5uoD+NbE8mOzy6caDU0BakRB1TE6PT87m2UmBkkpYBRXE4KAFAYwDXWYBWAGG1FTCzmb5eT6QSRp - IMgIHRMJg3Lz/t7K+/2d9Ympc/PHz+bTcyZvMhUBWBOGvX7H4MTC9LMXzt3ZvLy63dvtVyEGk0fD - EUxRzeZ+3RsmBsgyNMZyx3FlDSW15Lo+b3njDYZV2dtavbe1dj8mPXP6QvIzGzt1cvst2i9Nq4+i - It/vD0waTNretkxu9fqrA3okaisFU++lqicaxBr2no3F2JJEqbGOhxFj2Jqc3FRnYr7tMnCTVKgD - FFQvTbUemfWXAZPqpJwIPrfgwdW3X1tdX3X5ZHdykdTvbe+zkSH1B9QvchOrHqfaM9e1rG3VQ2lk - FmpK+4oA75dOnDr+6NmZaeOAxChjglPTcKH/8vrPv/7itG4xNtAgohCCMcYYwwdwO5Hzvmh3rAcR - SUoNOCrjD4BgLQQoS/iimWoNiiQAi4WOKLz/SSHc/6Lrz0JjI7AWzRApcKA5Njk7u/zI8tLS3Ore - /n7Ye3j/xsqDO3tbG6abPXiw/taVWzv76ov29OKho0eXZmdaDEgCixhnfZZ55/oV2ta3fGYtGg6t - c5mmqpK6pEwMQAQBXOZzUIieQ2YlhpE047jaAbMlmMZjGNCGiNvcOhODiEiGZbm5tf3w3r1rb126 - e+vW6uZObXxNlmJ/7dbljTtX60qY2BhmAhOBWURVhIDMO+8cWwuE5jGzITgDb7xlY62wrZUikIMI - kkI52N+amGt5Z0UZyAl+dAiKQKI3yJwlYrBldlAew+LNJaDmY/T8AQCm1eouLR09deb0g5u31+6n - vZ2tlYf3br9/d+GUG+7svf7GpY2tHTDD56dPnXrszBk3+k5VaFVXw6pUwDJ5IiOwDGdQl/Cc4CxS - DUrKxGwaWw8VaIx1OYQmohFo2liI01hO3oqIgo0lYxUoq/Ib3/gPt999eW5CU7l+70Fvc30NUedn - 5v7WV3/x4oULSNIt2u2icGwQkmMqMs+iiBGkZBiQiGjqlIKqgBmOs1w0z1rWZTrWhtER3QYEZtXR - CiU0ngAL8/PPPfvMf/Mrf/tbz//g6vX3/tXv/fYLf/qDpaPHzpx/8rGnnj31+DE/Um0GkhDbdnfS - WLC1eV7kHu5g4RODyGd5lufEYCiN7QLrun64stLr1961pyY67QwKVAFICmeggQnwWbs7ZfNuHVEO - hlIPXQPNsyuylgFYomdquOFsDAw3FUkUSSLWWuecMZzSCHEjkCjJAcbZ+JWxgdLefq+qSu9sO3eO - ERWuEU32TMRQaFIYz8bpuMs3FmE4aGhoU/KCAetgOMaws7NVFYW11lrLbKIiqqTmnoXancnDh4+2 - ips1SFKCqkJTSv3hYGd3u6/xt7/+2//2+W+rYaZG+ikZ5qqqVldWb9y4kWVZjFHkz9N5IUoidUiq - 8D7rdtpubGEANHeShvu9Xr9PhCLPW+1WlnlDUZudpAAbZJlzmbUuz7xjhiKlZJIkSQrd3du5cvXK - //7P/lmcbZuqbKtkMZCURYb9nbWbH+ytru4sTzyiIg2z8COVYADKgBsnmgCJYvSWmlBB4x4wQCBF - Q5ZuwqjSwW4SRUwaRYtWcezokW4LTaOfmhgYAlRPPPLob/zmb7Y7nR+8cvm1V1/d2K+++Yf/dnFm - 4qc+/dzpTz6TzS+o8YbhcwuFcY6YQoz94aDX7w/L0nWKBG0eSZRog1KzyJnH+wGN8LGjSBJVKYoZ - VFJFJMAQqSYDBTHYY3Lh3FPPHlp/d2Vva/XOterEI3lbHmxvbWxu7Q7j4ZNnF1o62arvfHBlc+Ph - ysP1icmp3T7fvb2/toV2d+G5Tz27sNAxBdO1bQAAIABJREFUtoL076/efPDwfWhoWVvYnBUIDhQa - EhlSBGBd5n2mquj19nZ3FTq6bWOJWRQybuYBQHfi1OnTv/jVXzQTc9/805f+9Ac/uHbrg//nX/7+ - xcfOXDz/2NkLTxWz8+yb5l7q9webW9uqmue5jm1CR8UpwVlrnCO2IYIA76wZmSUGb401rJokRWZq - F0UzrVKG2pPCWGRFMTM7PTODzKdYh7oyzASFiGVSiTHVI9MzQAHvulkrQBmS4MzM3HzRnqzrB3t7 - vcFgqKPzIySJkoTUGnJj0zwFjKhLCcTKphovUSa44SDt7pT9XvWHf/SHL928Iy7m+a73O14kKwvb - z7Z76dU7d5FqQkgS6rrywBMXLvz6b/yG/70/uHb9ve//4AerG1tHj52YWzj0uc//lbOPnZue6Taq - LgCSgBTWNExAHqFmqmCOKiEEJKGUkEYDtCBOCZIwGAxXV9d6uz1vMdnptoscQBURojo3ik3Oeecz - Y50A/cGg1+vHBLXjDTUOYXWdOJWZJbAhNo2doDV8IOo9mrJVmChkfWdqtjs1Y/t2sL8Xyr7EFoir - EOuqQqi++Uf/7sM3vj9ryyL1SBOrWCZAU4qvvflWU9HFuiwHkO4oEjEz0ASWUcMIKQGxDuHW7VuX - rrz71pvXh/0Ya/KyJfu3BxvvvrOK1ZX2yVNhRDFqOrgxpDjQhE67OzW1aAxEERWexBjDaAHU6U7N - Ls5yxnWsh4NhCkIZQGyb1RWjpiQppUCm2e6Ne1uWTywemplfyIv7aTCohmVdAim1fIQkqFprvHc0 - xj4PgqyOPbbpo09pHVJZB2bz/q2bX//6b//J9FQ7yxwkI6FQxXI/N2nt4Z21tQ3JZq2xoa4rVMOy - 7Pd7qslZHuF1Bq2WsQ0rNMY6xhAb51GFSJHBEUSBEMAEN3pMAsQaMI0MS9PHjx+LygSMbcEJSqOk - kEbS2Bat9mMXLv7UvXT19jfv37r10ovFM2fmeTgMqizgOmldx5QCG08iIdTDECtMdrvT0xOZBxFE - ERIMA8ah1QGrgmNIKYnUYXd3p9/v1oX3qFMa2Y076zLrVUbtZ+M8KLPOV3Uoq2p3d/df/6t/9dIL - 35xswSCyZBDviWzc0MGDS5evhLgw2W4BEgM0g2FYa6GCFKECsqqKJAhxOKyG5TDFyARrGKIiTZsj - NbrMEKlDLKuqritmmujC8NgjdJRoUKvVKtp5IzxLYkYxRmtQkv3eBw/vvfzG5Rvvr95f3a/LyqHk - NNjcG755e6uuYp65ZiGJqokJVd0fllVVQ8QwMTdeggLSRliFaKR+1pjgNVlQSAHkDKiuY4iiiiLP - 2q2iVcAYxBplAjJJEBY1bEGsiomJiU7HfhQXGnTf2FarTUSxrqthGapKpANjsqxwPg8RV69cvbP/ - +9/74UuFqxwPjBmK9kR7HGj1xtbKzc061qIqkoyx8wtL+dPP/e2/86v8/Cvffevmv/mD//vaD//4 - 9NLsk4+fP3PxM6efetI1Wv2oNSawIbQfbO9+53vfvfk/39lxe1xrri4TzmSYyXB37cHVlTINhioS - Y0whKQww4vuB2XuH5jyKgUSMte12J8sLoIwxxFCLZgIYTmAH0/bF0tIhWV5auG6v3b7+0trKzwzC - JyeLydurvWt3dk1r9vTpM+efPPOdF166tb9+4/rl7a2fGfq6Nagvv3NrZWOnMzn1yCOPLM3NWoS6 - 3KmGpVhnXTtvt7vtlgE7jN37ABEBExk3NTFRMA2Gw/X19X5ZKloAQo0Ug/fesDApJIG002lffPrp - 9fX1kOTSpSvf+va3bt+9e3T5+KkzZx57/NyTTz81MTVRpzppIkPOuyz3xqEOZRWGChStZvNHeIJS - jDoYVKpk2aYYm1ySrYVzSrq3t5em5judVqfrnEdMsBqhYHKK1G5PHFleLrrtKsnuTq8cQEXhE2go - mqC+LG1VJgIkIMSQMueYkeewaX7x0NLSkRt3t6phOegPZK4r0CSiTOSM9e7ANXFU5Dc9fR1RypsQ - lWV5lhUKvPDDFy7dr2bm/o2HWk0uhYLFSRjU8uq193d3dhliDBtrUNdTMzPPPvvc1772D/7gOy+/ - +M6d3/ud3331+T85c3j+E09ffPSJZ4+cPpe34MeJvSTU0qidwmcFIM1O29vvDQd99m6y02rleVVJ - cOIJFAMkRVNYb7U51EQgJWIYRn79vWtvvnXp1vvv9/tDH0sa7NFg70FJKyurNHVk0CtTjKMjQiTJ - SBUyz/z83FyWW4xTleZ0yfOi1ekai9HxpOAxU1KBA+PTZgoPREjS7/fLcgjVIs8y70dHlI62izN2 - YmKqOzHpnK92BzFGIgYoJIkxQfX1l1/ur97/D/9yssXiYkXVsMVq2NZl/d67N/rDIWxexzAYVt0i - MwdsNWrc9vTgTSJpCEFFgOadjlJ8kabjnIgFRLBWlVKSJCqiKkrMUJOUeoNyWFabG8M/+L3f/c63 - v+W8hyarwWpooezELdd7ePW9B+CZbivTalAO+kVKu/v93f0eQrTMzrAEkBVDasAMBzKIAmbrvTGc - e9cyYCDEGKJkeYua7ueIfUejdwEYa9iQaMKfBX8USEnKqt7ZGUonOGuLAqYhe6UIa9mgaLWaSjml - lFKKEvb2dnv9vnRodnbu8MLCZCu/887bNx47tfnsxbmOYyKt0nuX33n7+nt7dX3k7NlHT59xAOpB - 1duvQ1Vr2t7dfuvNN1b2/q/v/TsuZDPDbknFkAtxLb//wfo7Px4+6KeFiRRqoymzLZBL5JKCVBHr - UJUpJSg3hgjAiMAtoKRgoiLz05Pw4zr/4Khw3vssNxbWWmsMFElSjImImXlrffN3vv473/2jP5rM - MmtlyIOSBt5TVoawsXPz5s3KHW5c6aqy8I4wito6PT05MzM9gqYUSRIDDfXgL6//gusvFLql8TRf - g1OMiLc6ZooY63zWfEIlNWlyw6BtsmQYA1JNsQkff8Z4lAgKFTnw5fqvds//0a9KUAeljzCIdndh - aeHkqeP3N9f3769WO/21B3fuf3iHlxbvP9i4/t79vQEm5hZOnz6zdGix22l0uwEoN7quBKhKWcaq - +uj/NI5SVEHi5msVRGIcWxBFCfv1cCfVA0iHxw+IRtw3Gsk1Nt8lsalY2LBCU6rv3L759vW3r16+ - cvmNt1Y+fFArB7Y1O6dV2P4w7vZTgjHGWavS0PVYRVNKKokO9C0alWKikfkykmFiY4RtEIoCYjJE - 0BjqvkEwhhVMyA7gsaajzNLIuhLIETcMoo+/Phmht/+RPIApJqdnz59//M7b19+8fydUg/W1h9ff - vnpqerm3tvXWpatbO3s2K7rzcydOnFg+Uhyg24a5qqt+GQlIMYRBnyOch2OINKpIFiGIaBJlIlUk - UQAh1Pv7u7GuoB0AYDY8orYJwIqYkkhSIiUSoKqrG5cu3bi0i7SdGXG+024vHn/i5Cd+4sJPf/5z - h5cXOew6YyxbAyAlC8mtYQCiEG3MgiVKQ/gxBqysbFi0GUzHmHWr49+IskKpiYPcHPApn5g4c+rk - V37+S8Zaa3hja/uNlz+IP8aZx6998GB1u//Fs2dOdqYAJkQVkPONoC6LiOGDdtiohmXrrXMqqiqq - ogJlxJS2d3aGFawz7Tzz3ODAzXANGkdgWGd8IZzFBJHEGh2ajcTeela1kjyTaRaqbf4SAIlIEgGR - iEiKGB2x2uCCqelKE4PIGMBYsBlWIYRg2TpDlhQy4snbJsyIBoGyJWPHIMZ/stcz/pw1UA2hrqpS - s2gMqUiz8NE8hqSkZGzebk9Y6yKgqimOTBYBDSnWg/7KyspWrIXJWQcg1PVoKRM/+uijS4uHThw/ - 0e12/9xY1AjsC2CMybznA7Rq9I4QYogxQNU7k3lnjCEkVVWBQYPAeTaW2ThrLTMrVEbPlA2Lpmow - uHfvw9ArXAyFpAa6za2qDL0vLlx44tFzF48cOdxqFfiPHx1Dm3fHo0fbqC82CYEejMeM0yFKSgmk - UDSjAh/lSYoosNZOdjvuY+YtIFIVIppaWPiJxb+ys70TXfe1qzdvv3/r5tuXu7nZWl95tt8/efGT - M8vHp/ICDqihpAINkijGMUQ+ar6Nii8d2fiCGKysBqSQCI0kNWtiMMhHuAQTAVIB1FCz4Ty682cv - fOLQ1Z20tvLhu2/tn7d+aXZn9eHW9k4FN7/8yMkFU9Dq88+X21trH9y+f+zY6d6mXL2+vrXrJg8f - fvrpi9MzOTAED3rlxmCwBQ054MhKhEafWKyHoiYRKIitMU5FUdd1VdEo2nPTxdNxSSAKpIgss0tL - n//852vb3o/0YHP/1s0bL73ww3fPnrpz8annNrYee/q5Q4+eaGeAIiQZDMok0ojEEROPqwcCyBo2 - RomiIDemlWejrYhEBMujs4AJ1lBsYMtmOg0M45AVLsthDFQ0RRJpArLNHEFiDAcWwAIQcucNYoDU - IJmcmi5aEzGiquJoFrHZChJVwGIM2VGlQwR2gG8EXYytuJk5BBn2KXJVokTa3Fiv7t2SLORZ39ld - HdZ+2C7qKZtPH146MnH65IULjy0szKgKiI8cW56Znd/c2m11Jq9ce/fqlatvXbrW7kzs7fW3tncu - Xrw4OzffaRMwml9G88Bo3FlSgEgUkoRVSdXqqCfKQBQkRUxpd3dvOCgtoZXlmbGpqQugjhlNk8pY - ZqMwzQ/f2ATIGFk/6ICLKERG+lSqohh1PVLUcZIwPsMJZGCc8Tmzhqo0EpuRuiiQFJHCzvrK/bTT - M3VLB6yJUmQIEZEx84uHZ04em37kycW5WcYIF+WmBaIAEKMykzGAYmNj/db791557fKrr1+9evU9 - Qkaa5djp0Jb0Hj7coqpcIo2aDowKGCSkNSmccZbbksY2iKhHGkUQn+V5J4dVaUblx104FdGUGizv - wFBhnCmCjEWr4/LCGIuUJMUUEUIQK4CCmtsmoo9q0YNTQQ9Q8tHEBglIBAQaDgYrDx6GQT8zhmKd - MawmSqVDsKoXL36CJw6fP3/eOUdcJ5HhcCgizKoamwQ2y8c8ntERS0qIMdVV5cy4kzp6uaySRN0o - ff6YZd+BYSE++tzHfvuRpRmUCOyWT5597lP+23/67vsf7lx68833PvvEVKtKYFbKonJMSeoKRRsp - RpEaCmTOessN57cRbUBDL8wKpDolrUNkYjZclWUIQdRCFdTo04DJWMMfNRKMATljXYgpxqSq29tb - Fv1eLiQ1otNoPZsW7xa0d/TosaXZC7OPPTc/N0ujhQJjxqouoikm5eY01JBSCJEI1rBtFqKOS4nx - A0miMcYQAkHyDCOtkY/auGqdtc6oNqn1iPeMNJT+/pW33/nxa2989wcv3F/d3htEZ61DMKkeRlrb - EUktwyBoEowI0oI6pMaP1xnDIy8ygGhkxT5eZiIy/ivoeDYoiTbpqDXGjYSRINLY641WuLGe2IrC - Z97ZUXKIUSMaAFvrVFRTolFjCWBy3huXiWLY6w/X1oJab4YGPdUe8ZB46MRkpnvy9KkzLj91+qT3 - npnb3cn2o2d/9oum76b2KVvd3nvjjTuvDgdXrt945sH+Z4b06OlT83NqrJAqjOWs3a8w3FpP7w3r - Vt9IzilzmuU6zGLfpOHk5PTFZ09dePyJQwuL1hod0U9GC9iSSaMdIEaVmK13xlpp9koTCwkwCeSE - LHG726VTy4evz9mX3nx75eGdjZ2dvH3k9krvxoNBZ3b5+PKJ8ycPP3V66YPX1z58/72HD1Z22zaW - 6eqNu5t7g7nFE8eXl+dmphy2wqAvkrgogrpEZEAeakFIDcpONbESgW07y1qGtkVCTLVoo7bHooA6 - 54gaQTMAyt4fWz722c9+1vosy1t37nxw/fr1S1euHr506cKNJ/f6vXOPn1s4tECWuKmbGWTUgESD - CJwDAlSlaceIkCRAiTF6sU2+1HTMy7pMEo0ha8G2iTACITIWYr0vulMTnDlRhDppBEHAtWg/pgD4 - FPOUWASEmpAkkTEGcIjki1ar04HZU5EUo6oqaRIBgyyzGRmojmPQGBxCgwCOFjYbAzaq6PV65era - YBCMRKspI3GptqkOaqampj4xf6zI3PLysvceEtHuzJ869Td/oehlc4Psla293osvvvNa3fvg7p0n - Hu48tj187PwTR2d8x6LBQ5uJRGMA9qiHEIBMFIkxspIntUxQFSUhIQgkCo/GLKmJ9FVve2vwxrt3 - v/PSWy+/8urG5raotjn40Heht6WdqhQjNlRJRBWAxGY2QpKqqrV2otu1jRExfVR6WOusz8BNLJXm - MfFBNqyjOTaCjto5QEgpxKiSmjwgjdL7JrgBxHCe2CgIIkxExBAoTENuqwa9zbXVuLeRSXASMo1t - S8a4sqyKTvsTz3zStbrLjxwnM7JAh4z1nz5CkhulHpUkhgAmZ+iAdaU6gghGSZAxqhpTajRDVISa - 84JtHSXGFOp6bfVhNew755iUpTZSey072utqb/nUyWOL56bOPTM70dYUIDoMUoUIVWPYGmaF0Qgi - A2rIwAhRwMY6Zm5CtgCicuBmi4/VFGM4Q1RFIE08OTieaJTOUUxaVrBJmDHqsI5eEJgB7xDrJFKH - SARnTajrECOMn5qZXlqYX+h21u7efuXlF48uzS7Nd9u6X29/8OKPX3z7+kM7NXP8iSeOnzxpVagK - JiViiEUd62pzk9srphQfNzLdLTkvKUPWnpKddnfyyFlunXh6aXFxosiayj+Rbd6ygYyW/TiLafSK - wSzgJKqq1nCn3aTkGC8dBRGxYWONAbMZZ5WSkogoQJLS+vpa7O/vWMtGalNWtrScWlGLWk6fOW3n - zs2dOt9uF6I6mgkCQbWV53mepQQzauZ8LD/5y+s///oLhW5HFxEzq2rDvQWgqo4kisYkVYAfU27N - eINpQxRRgA0VFmQ1SVUxexjGiHStGmN0/F/zJxoHWB7t4eZPDXo7aiVGmNSZaF/8xBN3HnzwwcMH - UbC+8sHVS29wevLBw817K3v92j12+PinPv3pxYVZ70CNXjYTMZd1VZc1xG8+WFm5d786d6HlQNYC - CcbnnEWgB9Qi3mW1chlgWPd21h7ev6OxNNQhIAY4xig1/TN5PIEMICACqaZQlsPnn//WN7/5J1cu - XT2yePipixe/8LNfVF8Edlart1/53pWXvvPKm+9YazPnQo3oxAGimlIKdR3qOqUEFUhKMNrYKsWA - cqgaQaTGRqGkIJA1ZFkZgTQwwfo8wspHfAaASeqyLoc6Ugq0H4Numy9JY9x2XJMBo3Xh/IWnnnzv - 8iX90R2Q7GytvfbqK08do+H9lWvX39vdj52JY2fOPnbk8JGJ1oiVZMDIXB1Dr4Yh9Ht7WysrFGE9 - DMFnGOmgZZmKqUM0RCD2PjMWg7ra3twYDvZTnIUFDJpWZEyASm5tStJwIkKS2LiFeGs4TwMWkSLP - Lzz++K/+6m99+Re+ZAo1BEvcHwzKYZliRIyUIqm4EfVCGGTABgbW53m71UbqmyrGPurdvf3+YKgH - Z+T4IykZYtMsUJWm1Adg8uzc2dPHjh75G3/tK6++9vo3v/2d57//p2++8qOr793+3o/f+Mf/wz+Z - febM5KSBaBXSsArEDqB+r9eJIPexTZBUwQpKMUgKKjFGkAUzJ5GYoFYcEyVEgc9A8eD+BEAVpBRW - gncuM0RAkhijqCiLWohjWGZmM6oFm6APFkUIod/vDQZ978AESTBEAhIlMIjHljHGwjgFqSpJshBv - ODcNAgImVHWNKOwyZSdkPlbtfmy7N2nlR2OIirquqrLdKny7cNYMh4O67vpW5sBJEKM44jpov1+G - kCRJinEwHFSFIUPtTmtqelIz/+u/8euf/MLnXZ6rKlS5uWliIoSqdtYdOXy4027/OUeZgtgY6xVI - KYW6kgjNxgkgM8i2Wu08zxt0ksZ9e1WJqRGdbBQhSFUkJZLEBGMM2DYi1HPzc0cvnv/qf//rU6eW - c5UuUxtqKZBWEvv9usWto65zZGoS7QIpIUgwzn20MdWOEsdRrGz6Ls2TZcLHnjgpSGSkDTIaPKTm - y9jAGKUGBA+xHtVHqiA2lOVodhjpX/3yVy4897nrt+9/70evvvTC9994+cXf+/3f+8Gbb/3NX/1v - f/Lnvrh0+jwUiDIoyyrUSuTzrNVuF3l+MNfOgGVrnCKNs2kiGMuUEALCELG0EGOs9a1We9JkRWKk - KjqHkQoLZejOnThzfn7+LRm8fePSjzeemdLT7t7t97d39lxnemLx8OFl66uFbld3d9bfvf7ehSe/ - sL8yfOX1u3uD4vTs0dNnTnfaRjEkW03M+KnJHLqRkVqYWCERwWSgQCAYg1pUm3SUwOysHYN24zWr - sIwaqGtxwyGjRpHNHj7881/++Yuf/tz7D9a//+PX/u2//te3bt16//q1P/rmt3/tt/7xz/2Nr86f - OwLvi6JdtNoxpjIOG2SfPqaTBSCJhphE4X3WbrckQgELQqhVo/c289YakpjYGw/KswJxgKgQoArD - skKoSYUgoa64gPUORWGYoOKcMZYVqAVIcCKeBHGYYt3qdLOiq2QNe0MjKTqhKJqgYLWmGbQnBRNc - BvKDQZmmazbBudEkAsEak1lTEIZf/eov/rVf/3tugr3rMe1xra7fyavprD275dKmS/lEdqRls8wg - EcDFxMSv/MqvfOZzX3j3xvvf/8GPfvTjl199/c0P7v2fL7/yyi9+9atf/OIXz5w5YQ3YjJExjFRI - iQnMECiRYXbMxtjM2oM6yHowELyHQIIgwRKTpDpiwsPpuAXboNIYybm02u1Ot2PMGIcCEY10LbLM - Wm0BA5RhWFZNX0ZTUokHtesomXFZFXVjp7c/qEJgx+jkPvfAEEKmCR6/9Ne//De/+LkzR+faHI0G - qYYSKgHIOBg/sHN9O9taaM10Gy9pHvEwAaimlJrVAWevXL76z//v333z0jt1MkcOP/JzP/uVw0sn - vGwvTcS1u6//v89f61/rsaZQl3XIY4T15KzJPTtGVVb7e4NeH90c7IGRGoABTExaxjogGmuKvGXZ - QRUhlMNhrCsAmbOZ9zYDxAGUkhjDENVef3d/UNYBWdsawwxjyDZ27UyqklKECh2MVo2aPXRwaozr - IUPGsTExxlMnT/7yL/3yc5/8xKH5eQupe/ueMdnOEAZWa/HdvVSguzg/X2RifZ6XdRkigNQU8zHB - 2FEFRc7meSsv2kQYDsq9nV2JI9gddqRgMhyWRDYvrPdNTY861ExxvLjG6O34KFOM8RJVlSRJoxWb - 1M0snDvf/uxPfmbvj1++ffPGG5cunXx0hkxuwLmSJxIJpRS1BGkkF4Bhv9/rbQ/6aLdhGI4B5ZFQ - eEwxKcDtdttMTHjvsizLc4bmzmfGOAApicRkzSi9bx6tguoYmXlxceFrX/vaT/3Ek0cOdS1JPdTB - XpgoWh3Xz7BTlvt7/gTmnnAzaDtgNDIETqkppofDIec5nAPlzmfOee+9d8YahgoB1hoWAjWQp2Fj - 2VhV1VFjrPlnCMSQhBBiiqIJBCbDjRUFoSr3P3z/xtd/53f/8Bvf3RtUz/7kT375Jz/zyIkTnkmq - ql/pv/jjH37rhbeqcjAc9MsytjKC98gL571znjPvrTFMEG1azgdY+xj7UMQIVrBxzolhEBvr2DgQ - xRiqYb8cwju0HAqHBAPYxnCo0SpRSTGgrvGxgdSmuZskiTVmotMu8swaIKaqDiEJWzx18annvvT3 - v/SVX+i2QbpflZvGVmzKNIx5mOzoTN7q8EymEzalYSS1eefwo6d//fipr/ztv/vCK6997/nvfe+7 - 33vxtTdeuXzj3//x9/7p//RPf+avXDiy4KNyUhJj5g8dfvqnH/17/+ivtxcB6e7tyGRncTZXn3qp - v72pE4PWops/ujCFNjU3TjAGkTQmdePARQQgpVRVdVnVIUZrbebsKMNQBXFVUyDvLR4/c/L+Y0tv - vHl55eHtm3fvtqcO3V7Zu7et548/Mb+wuDidP3vhkZdv7txbe3D71o31mUOocePuwzK2jj/yyOEj - S1OFdxCnYo0xWba1U27v7vb29qY7DvBSV0weDsYigtg5A8qN6xadufl541yV4IC2J0OeCKMhDMMQ - RUqqevLUyWPHT/zMz/zclSvXfvijF//oG9+4fOnypatXXnnttV/+lb/1m7/1DzLnFBrqelj2ldL0 - 1IRxlAQpIbMguCbesnGtVpd5ICLeuUY5R2LiJMSU59keoSqHZSmibLKRSjQpgzIR6lf1MAQBvM9z - D8tAGtZhP4Qa6jqd+XYxUZXoFOScR0iqRDGi19/e2+/1B8wmz/I8yw0ZjMBDloYQogchdBxAVVl1 - jN4Cqnv7vf1eX4G/+ld//ie+8mvnH3+KU6AYTKrblj3ioJJgO8F1GHJstuPyDKoIEdYfOnXqH/7D - J7/0d37rhZde/f43/s1L3/3jb37rm8+/emX5/Ev/6J/8j+1nznfmWkiR2bcykoOxxJCQBNb7vGWN - ift7VX9PYt0q2o5gIGAFqyUbPkq/RXtbly5f/1/+1//j7TvrE5NTv/DVXzp9+szihCswMNXOra30 - v/3zf7eeyLvMMIsg1pU1RGRikhiTqhg7Gq1sBHVpjComQcNrgYy0Wni8lkVkrJfA2nC8rcuLlnNO - UioH/eGgX9dt57hhcZGBqoZBub2zNxgMTT6ZZRkbg5hAxhpH0C/+9E//rb/x5dPLh3OKJoWCtTBg - MmVZq5KYjFwxObc4PeuUUUekiJZvhiEZbCERIX00oeKc9Sgy3/TjmqFfZiK1I+t4QhKJUVKDizZy - zmzIeDaejJmZmfnvfu1Xf+JTzx1eWnSGkOpUD6ke5DpoU2WYhsWhunt0YqbV4gEGzC4zLoMZeudy - bwvfzBqPlCBFVJKOhN5Vy35/r4+2xwSzL7LmBFdAkhCYDKDa9H7LuqxCqayqoknTuP3e2MSxtc7D - OmeIRq0RZjg3qhuSIqWYREFF0ZoZXuBjAAAgAElEQVTKJrLMOefYZXA8Nz19aHpmfeX2N/7Dv3/+ - +3+yONtthb20fb/fl7R05sInf+7UhccXl5aQBD6fbLd3iowtdbrto2fP/PKv/dbPfPp8x+5ntF9z - NlCXjO+mnaLe7KJXtg/T3Kmq42OKWsdKDNg6Y1redlqFdR7KdZ0oG7Nb2YJtTBpTJEneQgVRYWnc - 1qVRy58YopIaLM4YBe3t9+q6PrR06Gu/+ZvPXLhwZHZWpIo+SB6hgftD269mppbq4tjAT03MoJUB - QsyWmaEqKcZQD4fwGdjBs5NRLveX13/J9RcK3YpI0+hoEFsARDSiRRCDOMEIwGxy75EazlyD1TUl - EEOBmGAtMXsHZaiiTsFZYSbr3P/v//+feylBABlhCgc42ahzYwEGMahudzsXn37ijbdeda+ACav3 - br/8ox+WveHNW/f2S5N4Ymbh6Llz56am2gykgIxgnJE8a3c73XaxP6DVO3c/fP82VHWUmADMB71/ - OA/4YnpuZm7G3u8/vPPg+qVrGqInMGDNuMBuGnOqIokBMozUxB8DRTmsV1c3rlx6+8779yYnZr70 - pS9/7qc++8ips8n6wNZIVW5+cO+9N/PCV1XV6+0tzLSbuUMQM7M1bBpqADHYMI/PHSY429gqAEzW - GgMCxVBJqjNLksqqGtYhxhyhwRkFNiUY5UZDQ0mlobLxWOBHPvoY4zujxKxZEWSPHjt+bPlYK8MQ - 3NvffPva5TdO+LR5Y3WtHAQsLs8889xzi/PzDmOsHATi+UOLh49NvP3h3r27d3DlUm9tIy3PGTST - fAQAbIicJQ+RIm/Pzc5by/u7e/fv3V1dube/d6w7YxBiLUYysgZWGVDnvGYZWSvECQD0M1/4/Oc/ - fWFu0hjZ93by6NKpJx5/ZnbKlkliqiQEw8Zb761jgiX1TFCBNHpCRFBJiihlLWUNQ4aNNUk77Xan - KD5qbx5A4Yw/Q1hWGUH2TKbwk84UuW/lnzp6eOFTzzz1w5fffP29B+++e/3tt98+d7h7dOoYXCZk - emVIMMZ57zwfrD00bRTWcQg2ECZtqL15ni8fP35jytQ75dqDe7P7w3yhGH2vQJOwJkTd64d+MCbD - 1OTkVMsbwLExnDG4sDYXTeUghTqlhJSMaRoREkUF8HmeZZk1JsURTAHiZqBTwaoQGUv7sfVFO/MZ - a9rfWh/sb1vMNdIW3EhsW6c2T+xTI3D6UavxY03Ug40/ov0TGzaMMlZ1Ncy8c85BERJAcDYHsr1e - /eG91XJYdfN8aXFxotvN8rx2FqwwmhXZkSOHT548ScZoQ3UbM4CIqGlBZ94b8+eNCxCJUi3NpBmp - JNOEx1FNTyCu6hhC4NFobDMGBOKGc9Q0XY2SUUWoSsQaghCjBdgan2dV7O/v783OzCwfP9ExXKgU - KgY1pNRUltKGn08Ozo5OeWctRqwEHQkmjPsygIwfIjBK2XGwxxqGXhp9mzKUpFHDECJjXEE2U0UK - pWmsnAgEamrp0XR6CMjyQ4farjs3MXv4qfNnr33qme9951vX79//kz/+xvSRo588fgrWw7ErPHur - BrHR1FZhGPl4IOdRcwKjOUNqutMwDj7Pi5YFqCrjsC8xCgDm1CyXoKwWrtOZSguzU7Mt2V25sXH/ - 3Mbq7Lvv3KpqWX709PT8/PwiF8OFo4dnr94dXrty5XNf2Nx6uP32jYe2dejQkUdnpmcLb4Ae6mFW - ZN2Oh5bS26j7O9Z0jYEwh6Ds2JAFZ2XU4bBiheu052dmmBkCEDWCZGxH4c5aZmuhFsTI/KTvuO5M - a3quPT139uQj195889KrL7/w8mvvXH/7kXNPXDgx13Ig67OibYxNVazKYV3/f+y9V5NlWXYe9q1t - jrn+preVprK8nfY90z3DGWBIYsAhQiAFRvCR1F9hiCHpQS9SjEDRgQIIkjCDBsZ017Tvqq4u2+Wr - Miu99zfzumP23ksP52ZVAxFkSCKCL8J5uw9Zde65++y91rc+E1uX0fcBhidBJEh6SiOJ48P9PQX4 - WQEhyPd0mPOZOE3jNI50Ls+AYQsISAWn2rFtRRHY5kJdzIUdrzhns7GfkiSE4GwtcTbt1zBtSHaE - xdW1rZ0DIb1qtbtcLIksBS8j6ZMQLDrhchk2KY6WlAPbF1xvtjaVisK8LsZhpVLp6x0s9uakOAT2 - tUMQF4O0DBkEHkINoRGwTdOWzgBR68JcbmR4tFCs9vYNXbh4+c1799//4FcL8/N/9tOfnjx5cmJ8 - TIUvOZqZLFB+QyQqtPa0Jx3LJEWasrHOWkcSEg4QUg4PjfR29brV7d3NzWatFowXBGAJ5BysE8wQ - nCYmSS0DpVK5Ui53jmMQnGOSJJCdIZmfCZT2gtAPAnY2iduBp7KxMRipgTWu4CGx1IhtvW2Uyg31 - dpUCJQBOrNRh4Psg11fOjwz0jYwMa5coTj1YckliEaVGBvnE624AqYZ1SFzqC9tZfwwQKSWZkERx - bW/92dPpR4+eSKnfefs7P/7xP5wYP5nPd3nuoOo153LJ4NNabnZRsZOwJOAkQJYdk5WKYNI4MQ0v - yDpuJkGCHXFKjvdqB+vbe4kTYb5YqZSlyEhwMgz8fBjmQk6iVqvVSNOCFoDjdpoGQaAgYotGlDqm - rp7eXOApgdD3lUyzVsI566wR7ASbb2zKxBBMMlN4iWzBRTGELlWqWutiPjc8ODg2OjrU3yfZuSRS - 4FCLpHmgOFWFrgZyUWYykhoH5AoFcdC0JjImYi4wOo7WkhjWtuOkFafKC4phqVIseUcGTS8YoVor - QDF3NPeOIEWHCHFUKx05aRBlPDcHZmIWDIIQJKCFCEC2Us298913pue2V1fv37x1x6STonLcGSPB - nid8T2gBIaCDMAjLvkSr2Yxbh6EPmZXfKSuZuccoSM862t3br9Uape64VCp4nnIOIk2NZYcs1YfZ - GOmO6hWSgIRQQa4gpEriKB8G1Uqlq6sSaCHY40QGSnk4FDYPjut6tJ1DLF58vSOwFQCR7wdQWWUu - LaR1ziSJjWObJoKIROfxsLGUJJBE2vN839NKkrOmYwvfoQqQhJAObOGEBJEQHbt2HBzuXf/q2pMn - T4X0f+d3/uFb77x9+vzpYrGoSXJst2vNqw/m/fxTpaC18H0lhM2aBctkrXVJZJPYOQupXthYWAOX - FT6ZQFt0vlF20+xcYiyE0p6vpBDknIO1nXo5sxO1qTWGLWe1ICmCPBLAwDkICekJqTISvk0SzhAI - gvYC5eUZECRzod/f55UKEOhml5cqFiJCzCLK+WnRy1HsoSkgpVYO2R34Ya6/0vv6W7qvt+db589c - ++LLuw/n5+YWnj6bPXWyf7BvzLEiYiWMoGYQyMGRodyA51M5bWvNubyIfVlFUi1RqRVUIx8aSFIA - kMJmChBmWGOsUiojaTqRdQWkpPY9pQVg2omGcDlhiZTjjv/lxOjwycnBUGN1ZeHpsyf9QyfW95oN - G46d/lZX76DMB5fOnRi/Pn9/eWNl5uFCTysStdW9Vr48dP7syUrBE4BDEOR7/CCAa/uKfQUCOUcs - IJSfVS3koIRrR+3F9b2dBqdVFQR+4JOWkJwpi5DEkRTIzPIzNQBJQUoFmga9AKQKpcqpM2dv3rrz - 5Y3rW1vbjx4+npmemTw+EeYDY1IvUELbw4MD5kSpoxlDFjAgJZEP0sxEzFop+YIAww7EQehLSc6l - WgsSSCxkp6F1cK5Wa88t7DQiWc0XBwfKvgcgghBKBVJ6AFJj2LlQwyNJzjm2DCYBeF692d6vHSjl - 54MgDEKCACRJ6QQMrHGGO00dqNOdkgBLtpKdgMuwsUKhmM+XhVzJ5fJd1crQYL9HUMzSJpqNZuNI - JzKXCBCQI7BNyaYAQWtIL+fn+z3/O99++/hA+ftvXLz6xbUbT5emZ2enZ+fPjvePdocgSMEMSgFr - Qc5JFUAYsAtzhUI+BzoUaZvTRBCsg00TTpuBFqQoSWA1HCDT5OnMky+ufrGysnryxMVf++EP3333 - uwNDQ9XQhdQy9Q1aqufz+f2GVkILkBRQWoEUQ2Z1JWV6I3So/ThiEDgH4ygjjQsg22S+wXLI9jfO - OFTZZILR6QHyuaCQC7US7FxqKbUIPAIjsTYxjoSslCqeUtmUWOpAKy2JPHAx8CdGRwqekC71yCm2 - gHCOGcKStkI7KVLb2S21BonMHiD1sm5Z6sw43vd8ctYlbRO32abZt8s6eGeNYNtxxSViQUIokkIc - GWNZFjrIay/Qnu7r6To22HtsqJfYEFgQ4FIkbU5bQRCkutQWga8BY2DZyYCUD4hGs9WsH1J3CZTI - jgAZQiiRL6RAO46VFIXAL+YgTafcz3Djzii8Y3lLUinlaUgiRdrTuVyoFSWp87UgCKk1CQmSEGg1 - G43DWhYRCTDSBCogSZAElo6xX6vVavtpuVDM5QIlTeNwfXnx0aPHm3u1H/7Gb5bzMm3tt+q75JIw - uFjtHeg9/sro+Xemzp7qKsJKKFF0VCdrvTQOiSulwvDw0PhEt8flgJpGeDGUFTrnSjlbkdxyXlfb - LzQIGpJUIPyi5+fBcEk7jdpsHUjxkZOoS1MBciSZiJ0TbHVmho4MPOgcp5mjQpIFThPSBKzY84NK - paq0jqzr7u4eGRkZ6+9PTdt6KYeOkMpmLFtxLt8dq8oBwALWQWcwBAlIKQgEzhZjVp0YOMtOkfrm - ev+b6//h9d+adeuYCXgB3WaXEJkfh3BH0K13dPq8qEDZuY6CzTFSY8gwFADHSNJUCBaCSMksruCv - xfu442QJ14Eb8BLN6twZBEiDTJAPTp6cHB3pKYZot7GzuXTvjmo3zdzCdmwCr1Dp6R8dn5zI54Ug - kIWUIKWCXDA6NnZseGlrZn1rcfHZw4cz09MnxyeqZQ9MsBQZs1KPd2LXtrunenXf6OTUqXP3N+/X - tvbmnkw/uf+omi8MDBQyTDmFbdRrB7W9VrMxMjxUKhYUKUc2wyHguNWKdjZ3lxbWGgfxpYsX3n3n - e999913hB0YgIUjH5UpF+4HUylqTJrGUyESwEEJIKaWQgkh0VOqZQLYjPVOSOoUAZ38jALDztKhW - CiZtHRzWDutNUSjlCYahHJRzMPFhbb+2tw+bSXyzKdmLg8p2WLdHhglH55kAKwhd6ukZHBkeGu5p - 7YnD5v7C/MGtW6QaiwcNpAql7u7Lr7zS3d0tOhB7ZzmMHZ88c+n8k/Vru1ub6dMnj2/fGhFv9w6U - wWCJxNqDg4P9/aTdpmNDw5VK1/HjJ/K5q+n24d7O1t3bN473F/rfuEQ2ZQJYJQlv726uLc6dHBsp - S3IkoJQAiMTlSxd+5x/9zsmJPuVaLlW+58OBLRQRA8TsKS1lZkbmJDvxYgp99BAEJJxIDbdjBAJO - CLLS9wPf8140Zy/gJ8pMtxzJrDuhzAMiQ28BCS9QIxOjI8eGXrl4plzt2o8/fv7lw4WFhfXNcXdq - RCgP0jMQKQRDKK1eGpV0XkHJQhFJQayIM09lIvhBcGxsvFzpitd3lmZnRne3/ONjDkj5CC5nOMPL - Gzsb+23S/kD/QH9XUQEKUqsw9HK+VJS0D3e20jhyDDALImLAub392s7uPiC00lrKI5MlAQhHwkE6 - EpkSubNAhC5Vugv5vDM7O5srB7ubhB5xxN8XUsWp3d3eO2wnqQMftWgvaeBHeHhWXIMdbHauC+vS - Zv1gf3cniiJnrVDCWSZFUD6M2qs15+eX4ygZyuUH+vqLhYIfiNjXXuALJeI0cmyVlH4uB0bGEXYM - 5zh7i4hhjONv7i3/uUsq6YXSAzMlUVt0iqKjn4qo40mU9TrMzjkGC5DIdF8gkHAiq6ScglMCzAyl - glyuWC6axc3t3e00TTylC74MAJ8ZLMECrDQKMSMVkAJss7lAxqrN9mV64RIM4IWlGWd4Ax+ht502 - m0Bks0mNgzjShGYzPJIeCY+ZTRIrZDT3zn7sHAspstEwJEk/6AmCSm/fmRMTr1481zjYf7a2fvfu - 3XeWltI0hfSgoH0vLOR04LOgOE2MSbWvO68ZyMEd+fN20p87h4cQUBpekMvlPSIRR3H90JrEASQV - EyyYWXoQUDlZwMhQz8RAYWl5dnN5dm6mMj09z9Q/dfpssdpdLKa5/srkxODM6ubzZ88W55d3Vjdn - l2tnTk8OHztZLJQ8tAELNoVyoauaFzI92FzYWl1w3xrNNi/Lkh1JCEBubu8tLC6bNO3rro6Pjnra - O1qwzPxyxEUAlIY7SqqUMvT8Hj9X6hl45dLFB8cnfOLPvryxtrq6srKUmtchGELni+UgDBHz4UEt - arezJDp2YMvGJnv7B+ubO8ZBGBu1GoqgAQELgiQQ2JqkcXi4tbHeV5ogKZ1DB9AR3tbewdbOLpzp - rhb7ert8pSQMjE3aTbaps2Z/b7e2v9+MUMxy4FkBgOTIpo+eTq9u7vhhoa9voFKudOAIAgkSEJIF - ucwpgCA6C69jIsGC7YuzmovFsFIt7NYbSZJEraRbl7XIO0QeOFAhrAcHXyGnwIAk6Y6UjdY5KRDm - wiBX7B8cPnHy9MVLl1fX1j/55NPbt25tb21Za5i14w5u3tmT6WhfZpDUnvZ8kmQskhTWZpZvDLKA - VGpkeLS3q88m2ysLi1Nbmx5GMtdSytw8mOCwubm9ubXDQFdXd293T2YJ3jEoONLJM8Oxk1mNpTwG - GWNi0zys7bWb4wUtRdYrWpcaPmxGGzuHh600DHOTx0YqoRYMy1Ss9FTLFQlrGrW03Qo8j5xQTghh - IbRvKW1G0sv5ARyhxYABOwswBB+dO6wUGUYaJTs7OyvLqxvrm6fPXX7zjbd+67d+K1PyKfSCa5WN - aT/IKRKSnSInJKAYZI0xLmHh0Gwc7Nc2o6ST15NNntgkaTve2t5Z3do1pIJ8oVgodELhhCCXofdu - e2tje3s77vW0zzYDziCSON3c2qvVW0Lp0ZGRcqHgKwQKMlvrmSUOmGAlLPGRv0QHonnZNgMwllWQ - q1S7tdY2TaJWQ0kKfSgIGeRERgFKAwVNfhhmFgwp2Fqp9cDQ4PTeUrtd39paHe7NV3IhZ6MSApzb - 3TtYWd9KDXw/KARhJm14YRwO5uyQ5I5tHIxL/DADztOjiuCbdDe4oxF5x6FGgKBAAeD8UF68dPHi - paePHl6dmZkJPNszWUrjRMApwVpBA1IKCgvFSl+1yzuI24f7W606imU4AWcME5MkCIVWu3bQ3Nre - S1KEoddVKfs+HEM4JqGUDqSEs85GCdkX90eAIKWrXd1hLhe3a4cHB1EUaa21Jz0R6jArLgPYANLP - qbyljqHv0VkDCIJlELSn04wdAJKZLbizJolsEmdYqHVWCOGYpXUQxKSEUlIKwdamYO/oLaLOtplx - NzqYr+tUkvX6/p27tzc2t7q6Bn/0m3//0qvnewa62DrJysaO/FqxUNSSPCV8rTwJto7YgaT2Qykk - 0iRN2s5YSJlFcII67gVOZmPmzEvedrpnZgaR0MoLtfacs+zSoxMz45sxg2FhDIyT2TKRxEq8qKYt - hIBQlHnLOGtN0glvIJErFPPFipBotdvN+gE5SAEtIUUgiAQglQAFMrNEIQiCIgnhYAgQTJKkPzA8 - MtRXfeXs8Z5ivp189Hjmi6XljY3NPYcTJMPA9ytln5ODVrtm2UH5vigUdM5FUM5BCyjkRdHKzi9r - bcc+s1N/ZhQfB4ds1CpIkPZ87ftSSYazNrUuNMIm4MwSNGuLBvt6Jka7KyVsbS4/fvxoaPzy+m4z - keXh4xdKXX0Io1OTo2P9lRw/X5t79Lgc7YbJdiOdPN53/uyJQpBZR3thUC0WS5VyYM2hSZoHtf2g - q8rBi72eieMkbu7t7c4ubey3RaG/WCiWcr7S6KQ6OeesNUKIjCTycrOGAynh+wMDA5Vq12tvvDU0 - fKwZRVc+vLKxvrmysto/0O+HPgkqVwphXjd2a+2obtKUQu2YhbMAoZ3UDpu1WiOJTY5IvNitSGSU - GpKI49ZBbbfZOIyTUij5SJNlkaS7u4fP57easRrK53v7CoEHQgwQQZNQkHJrZ2tzY4Nsf2YAAmKQ - hTOI4p2D+mGjWah2l4vFXBgKWIAcwRIbkQnm+aj5IoYghmCW7AQ70THHoWpXd7naA+DwsN6oN7SC - r+CBNHwkQjkiFQgBEpCAtHApS8cQBKFAEgxP68nx/nPj/W9fPFksFjeiK89uPJ5bWtrYmnQnho5i - LkAMZrZMUgdwBklUqnZ3VasKa63azuHeDtt+B7Bzzlgn2VmXxMI5GAHt7MLS/L0H9+I4Pnv2/I// - 3t+fmDxeKPihNEQNu2+LNWilJSktjwhkUoCFI2k7IxJ25iV0+2JrtgzHApT5pvxl3BYvt0gCd3gQ - nHmnWGvTw/29Rv2Q+sqZCaW17FgnUbS5tV1vtrXn9Y+M5HIhQJA6VyyXSpVAqfrezu7muidfKeSU - goY1YAOSUkgwOZAViC1Sk5E9oLPF4sDWQXTaKZCEVL7vSQJsauMoA6bFUWfqHBOySJHsvBEQouOZ - SQATS6/c3ZcrlOxBu9mouzQqemBjQUJIJaQXa91qaRfmlVSBQwCADSC8fMXPl0C7Ozs7WxvrdkBB - R+R5ApIhksREB/XDZjtOEs+5QIkcQckXtmydAShllogZ8Ymk53t+4GtfZ15JnbFHdvhL5flhmC+U - yoXVONrf3T6sNZJcAZqNtUI4ygYyaXpQr2/v7LTjqOB71Uop73umtTv77Nn09MzuQf3VN9969dSo - l+yvL8+1SATdPUMTJ4dHTvb3FQAIIAaYQhY6p3RZwYdt1w+cM9qDD+VTmE0FWCDkUDLglBCBJvgZ - u1H6Mih7YUEQuagVNRvWMUiRyIhKYMsgckIyEdgJWCUgjgJkjlYZhFAQKjVg5owuC4b2g/7BoVxu - Znc3atTrzpogR9qERisrjYDyClroANJXBM1I0SFauQzYIAhmQZ25avZ9O86pf+N2+//p+mt2hv0v - XETU8Vdkds45a60xxhhmFllgjoNl4bK6FOzLbEVCABa22YqQWhDB86MoqtVqBwdRmgCcxQ90Vp8z - SBLzlzDW/5p7PiLeolM1fJOslS11AZKkVU9PZXiwe7gXgUSr5pbmZ2/euPF8bhmUL3Uf6x8a6x/o - 9zQE4GsQAVIgn3v7O99+7dVXXBTtL6/cuHrtJz/5yZPpZyCAFFhsbmz9+V/87H//3Z/82z/4g7TZ - Pn351e/+4NdzQR4WO+ub/+v//L989MH75BC1WlHUaDT2735969/9/r/55//TP1tYnmVhGNY4Y50F - cWpM1I7rh824neSC/Injp7q7eoUfQCCT6UtJSZo2W60oTjzPKxRyHaUdCTrCbAGHbyBM2Z7cwWGk - AJFxzlibPadc4Pd2VycnRtOktb62ur6+EUWpA1rto6Npf//B/ft379xFnAgIQYpePtsMun0ZU3b0 - /2SqTA9CQ3n9A/2vvHq5UAjQOtjf275z5879h88cIH2/0t1z8szpckmJjvkNGIC1Zy9eeOf7fytX - VALYW1/9g3/1L69/9mm7iThyxtpmHF+9cfP/+Bf/8p/9s38+N7cwNDjy7e+829c/EAQB2Pz+v/vX - f/gH/9f+7g6BfV9Jwvra2ns//bN/+k/+6fsfXKkd1KM0hVKe73uBJyRlBmfK8/3AB4AUNoEWFCrt - e76vfWtds9FmY2Bik0QCyFgfzlhi8rwQfg7STwyi1MbGpnBJkqRRZGLQEST94qRMDeLUOj7KnM4K - BGfgLFwKl4INyBZz+p03X7l84Yzne4f1+v5+rdmOwKT8MCxUUkdRYrJs9CPibUY/UUwqM91S5KRA - ZiLpebqvfyBfLLVb/PTR/d21RQFEEWILoSC0B6XS1N259+Th9JJhdXJqaurYsAYEhO/ne7p6JXN9 - Z2vx+XTUbGqttR+QkNa6Zqv94NHjm7fv1g4PU5MKgq+g6EU9KlhIUGZC1ykB4YVDo2PVajVqHq4t - zm2vr7gUcRtpAgBCyI3t3Q8++nRhZTNKTAdX/ivvdKdo4ixDCXFknWNwFLW21ldmpp8+fz6zu7d3 - NK0AWCDhje3as5k5k7pivlApl7RWSskwH/YP9oWFYGlleeb5zOrqKuFl3eIcM7OgzrBTHJHY/8u7 - UZgv9vQP5orSOnewt2vTo+27YyhASvudgB3OxC4dVPtlMUiCSQopC7mwGPqhQBD4KJW6ensGRoa8 - 0Ksd1DbXN2p7NdMhoLE1FiQgtRCy3bZROxvWdITgHVSpM9H+5taQmZlRho7/JUgx6/+IMp82ghPc - CSjMYIjUkSXFDJcmkjvrnK0DSAQBpISQ8ENIBcdpmiaJ00qPj0+cOHFyZHjYJmmaxMyukzcjqau3 - p9LT5Yd+kiZJmmT4WmZXlZo0NenRnbGxRyB6Zp+ivFyuEGqlTZIcHtg0yWYE2TOVfggZQOaQL508 - Pv7a+fGAG0szT2/duDW/sBLmS2fPX/JzBHA+p8+cmuztrmyur96/d//B4+db+/Hg+LmJqfOBEtnr - AD/oHxoYGewth7T49M7XN6/t75vYgCSUpy1LE1vE5vbdhx9++HFyeDA2PHj50sVcmAMTmKE0SZk9 - aseI4xgiY7w6pKkzqbOWnRPEWmBocHB0dLRUKrbbrcbhoVYazEyq2t1bqXYpKTfW16JW01NQAr4H - Jenw4PDW7Tu/vPJh7QBKqTBbZ4BJItg0ilp7+7vtqLWxvnbn5s3D/X0BaOk5EmABqR8/m330+Als - emx08MTkRM73FQScTeLI2vSwfnDz5s0HDx6ur8eZ6MCB4GmQ2zuo3bh9Z2Vjp6u7f2hopKtcyd5Q - RyAhBQnhiCxndoeZvbLNzFSkkqSzvUFAhr4/Mjo4PNrfah8uLS0tzC+bBAKegs9MgAUZyM62JgEN - +F4AIaCU9ANI6ZxLkiRJklwunJqaOnXi5ODAgHOWwMxsDKx1mQJACiGlPLKwYBhjiTzt5X0/JCGt - lYAU8kgnDe37fb39lWLVJVLZEoYAACAASURBVJh99mxtaUEAsUFkUiGF0B6kB5I3b9z+6uZtxxgc - HBwZHs7UNhASUomO0BtCgDL6ieUoSQ/r9TRJolbz+rXPp588TiOYFEoiDFWcmuW1rXuPZ2rNuKvS - deH0iUroS4LywmMTU6MjI9Imzx/fm378kAjKU0KotJ3VYIKUZ1gYCwkEhFAj5/ukFBybOIZJsxdI - SgghrHFxlMRR2tvTV61WrXOphXGd/ilK7N7eQRLHko0SLGWGZrs0MUnLmhhrqyvPph/Mzq00mtAa - UvhSKDi7t7u9sra+urXLyveCnNLKUxJSwLr9nd297e3G7s7jh/fn52aTNAVDai8s5ISird39azdu - r2/vh7nC6dOn+rq7QgU/K4WtRZpIIX2tJDnB9hvwJzEJ7gzLmOAE4OXyxXJ3pdotpVpbXfnq+pc7 - W1vWdP7EMdg5HeYoLAAiGwwpDZKyVKmcv3Ch2lXe39u6eePa5uaqktCekJlTBdHC4tKNW3d3dqOo - Hds4ERYMJInLImBsmrZarShquywAgpCa9BtGfoS/suN2cFs4co6cZcuZqxI0rAfp9fT2vPHWK9// - wfda7ejJ45n79x42G02wYZsQG5FZaBRKvf2j4+NTzG5tef7e3UcHNRYCMjOOdwQWtfXNmdmFza2d - 7q7ukyemenvzuRyUAoKwUCgXS9Uw5znjWvU67NHs2XGWwTsyOt7b22dMOj/7fHtrM58reMojooz1 - DyGhfXQsFzplT2KOJJdCgtlZC0YSx2mrDaZiuauvb0ArxSZJk4idzZyCwCyFhPZAwjBSkyXLJGnS - UbSzsx0LHSEhRXbCWWOdYQIcu0bzYGFhLk1ttdrXVe3ztO9sKiVZZ6MotRbtdrtRP9ASWgsArXYb - SQqpe3r7q9Uq2NkkNiYBAAt2LI6OVGOPvDWlQIdYyyAIrfPVrnypIpWq1w/SqCUJfpbWbgFAQ2rt - A7Iz67GW2KqjbaFjokWy45SMzrCNAAhRrnRXewa8ELs7uytLC81GCgctoQjZoEeApKfhw6ZZDhIc - W1gLkggLwg8NqBFH1pqucu7Vy2dOnzhOEPu1eu2wDQS5XLm3t+f4xLBJa2tri6sb6weNKIGzljVB - Sg+OsolN1IZlaKAQIPRJZxwtEJFQWhFgjQFzRpQrlsthPu/YtVoNk0a5AFppFjKxEAqeBBiUC3q7 - 86PDXv1w7+HDB1/durO2fYiwq3voeFisQmuvWhzqzlc9s7Hw9PbNm1dv3j9IRN/g8JnTU4GGMUiN - cgj6+vovXTjly2R1ee7unbv1w3ZWcmcvl0Syu7k4Ozv3fGHdyHLPwOjg0GAxn80P4RxbZzzP00p1 - BJJSkBTtdtukJksZlVoHYc73g57u3smJSc/znWWCiNuxNS6fzw0O9Xf3lxnJzu7G7s4GM5I0Tq2B - 9jfWt+4/eHb/4dNa7VAKsiY1KZwBKQUlGdxqN9fWVp4+efTo4b2tnUMpCUKCAGfjZnNru7awvNdO - Pe0HuZACPxFIkSRxZI1l+MHde19/+eWXtd2GixMlhO9JIRG3W09nZpbXNpLUDg0N9XZ3h4ESEBac - GpM4w4p04IlMVvmNTUkwJDvJVqAjcurtG+jq7ksNnj17NjP9jABrYG32nAQBJk2TJDtVIAWkp+EH - ELLjAq+072UbAoeBd+Lk1PDoCIRYWlld39wy1gHM1pg4loBWJD0NoUEKpAeHjo0MDZNN5p89mn70 - INM9BEFYKBWJKI5jkyJqod2OoWSj3djZ3ZFKlcuV7u6eXM7XGiQEiFObxHHbGitJ+tpja5MkdmkK - BrNwDAIRO2dTwlEabsZDc44hIBQIgqTsUGo71BF0sEMmQIJ0BmAz4tSYNI2ajY8/vHL92hfNRoOA - wFeFnJYC29s71768sbq+EYS5S5cvdXd1MQgO/YMjExOTxVxueW72/p3brfoBW7DjJI7hXLYyLdvY - WEeQCl4AqV+ax5GAlLoTeGgcjAVDa50L/FBLcinxS/27EFBakVZQKmNuZGWac447FtvSz5Umpk71 - 9Pa1W82v79xann+upNOaJKdJu+GsY+EZnW+yMoxQQ8GAgCDsHT7WOzAE0ML87Myzx+36PtI2wArS - MW9u737y2efzK6sOaDUacbPhAF9ASyUgJHUef5bDfrQ/CqlUsVIKCmFqk93d7WajXvCV7DDmSZcr - Q6Njp06f1lJub6wtzM3WDxsQyNycs19qZ2tr+vns+uZWsVg8c/rkQF9PuZjX4J3Njf39mgGxkCOD - A9/9ztv/6B/+d7/9D/77N3/wdycuvdLVV5AWWW5yM0HdSqHD3t7eY309mu3dW1/Nzz0/PICUEMoT - olOCCKFAGlakSQcut2kKJuhCkCtpqZBGUaNurYPQUqkMLpC+B6EsZGblJWGFQybO6FwMOCjP9/zQ - OhhjwS7wpRBCa+/Y2Hi1Wm02G/fu3l1eWgIgNTFznMYWTggJz8/4FFrD96AUQMIxWeuQplmwt1ZH - gAUgSbzgSv/N9f/2+m/Eus3yYbTWmcsjAUzkrK3X60qpIAhM1KzVG7VGW3gEEvu7u/V94/vKkcvl - SIN93wexbUfbO9u/+OUH958sWsr/7d/4rdffOFMoekIYAHAsBGmh/vLc6r/myvAr8YKKB7wYgcF1 - GK0Ektrzx0aPXTx3prbzZLeNuMnb6U7TFuH1jE+dHpuYLJWEEhCAooxO46D1mXNn31jZ//Ta/dWt - Rm1n+7PPPk2i6Bc/7ed2XTizECW3l9d1/8Cpi5MpZG5g9NK37Pe+94a4+2x9Y312+uvf/73/88G9 - GxmobEy6urq6v78fBEEUaWNyLIiEFkSAUIp9TwQh5XMUR7v3719fWf321IkJL1cwQm7s7j/8+sYn - n3766PHTRtM4dopIdvwpBYOYHVvDNnNG/+YksKM1tiSJIFwqTARbFpJVEA6OjLz5znc2tvZmZp// - 7k9+8uN/8j/0fOv1osbOZmt7+tajq39645OrC0sHMHkPToHFUetB2W/5wmE7m9i+mAx17GZVz8DA - q6+9eu3x2trGFrt0c7MemJYFBoePTRw/3tPTGwaQDHLZecfOcXdf35mLF7/93XfqXz+d3j2Yvv/1 - HyTm8dVrviIOTJsbz+dn67W0qzSUpiYM8xMTx3/8499KvGtXrj9OG/GX1z7/H/+50ZKtDoz2N3b3 - FmanNza3jHVCeSlTbFxqrdCUWXt3Qq1efCt27JiFA3Mrih3JfCFstvTTpw//xe/+5Fd9hapvEolI - 0uipE7/25sULQ1WoIChpmJxNOU2iu3duLqXJtetfCMp6MXv5wvlvXbpw/syUp0CiY4QHEEg9fvBg - Ye755MSxkcHBQlcFSQTn2s3Gndu3nz19atKkUi5VKlXtB5CxhUxZGBYd6usRKzVrHEDKsLQuE1w6 - wS6bY+swPH7i5MTxE+W7y8vzs9c//WiiUr544qRXCb0UaeNgbf7Rnbt3P//y5k49GT9+6szp06MD - PWAAzvcL/b0DfV3dz2aWZx4/uvnV9b5q+ezp0/VGY3V15cG9r6/86sN79x/ESeppnfO1fOFR6shB - MCkWioR4Scf2wrGJE6PHZkLv/oOvbw/0d09Ojg4ODRUKuUZqH9+/c+ujP//wvZ8vrR+y7sskHZ2+ - ho4WNb9Y0wxB8DypfaW1pxXi+vzc83/zr//V+ty33/nu9ydPnhZab+5uPbj2wUeffLmzczB+4rVz - p08N9PYGniekC8Pw/MXzC8+fPpiZ/9WHv+Ig94/+8T/u7ur2fT9JrRCUpunO1v7i4qI15vLly4V8 - /uWu81e2r6P3QvtBrtSVOrG9uTX95NG5177XyvfoFKp1qFWi8hk7XjpnCE58A5vu/COOAWlYWOtM - FHHcdow4TkKLXLHw+huvzS2uLd69+9577+1b88PvvjM20KeVAMOlLmodbu1vzyzuqFzf6VOTxTx8 - DQE6isn9xm13PguGZg46XGmkL4EEFoACeZY8EBGMQCzgC4CILFNkkFg4sGSrO/TtI0CKeH9jY3Vp - cfb5zMWLF4fHTwjfU0IkzdbS6uLi4sLh4WFXV7WULwhxRESUotRVIS33avtz83ODpyYKldLm3o4M - vP5cRUrVSYQQAjLLKCMGWwtJPnQJuu0RZH13d+7R9vK5vePVMNDWErEsalWAICJINzI8cGZq7AqZ - R0+ebu3ur++2RsvVE5PHCh7gLIweHz052L8XR4u3b3/SaCRWm4GxwYGRQZGJC5wSotjVPXbs2N7k - sf7tg/WH96++//7kW29+e2JqWPmwTtf32nMPb3154/bKytrg6MSlc2dPn5jKhYRMjSsELBt2RghB - 8LSC49bK2rO5eatz5b7h4Ykp0gGB0zSdn5udnX3ebrXKpWJvd5dSEuSFxfLw6LjnB5vrG59/+snY - 4MBgT/fEsdF2K1paWLj+2QdfXb22vduKDdI0TdpNslCAJxU4EQSpiMnNzs78p//w71cPd3/w1utv - XjgnCK2d3eWnT3/1yedPp6fzfT2npsYmx0b0Uf3seVpKAWZr7Ccff6rT6Ld/9OsXjo8OdWlOoqd3 - 71y58sXKxlauWJqYnBzo7y/mO0vIwRNCC2bJiYA5IrIQwIbBRELITAzCAMMRaPL4xMVL57+6+/Dx - 40f03p/Dp/MXhge6fUFsnaPm4X6tPV9rbkR2+NjoSDXwtdtdX1tYXFpf37z86mv9/UNKB0zy4LC+ - sLi8tLwYRe3BgQGtlbNGSq9DsepQY9g5IzP2nPak9pRSmqi2v7e2uLi2vFLNSZ0vHLbbIgh6hRwb - n5yaOtXXe3tjde2rLz4/MTZ4/tTEUF/ZCBEf1ncWlh4+vvf551d39w8uXj57/vyFY6PDL7P1Og0e - jIUmiKy5IgGplRdIKeu7+1d+8TNuN03r8PzZs+WuqnXu6aMnn1+9/umXN1OWwyOjp45PVHJSEqC9 - odHx8fHx7kpx/tmjTz4s9ff1X7h4abSvrLUHWLbOOlpYXN5rmEL3UKm71FeAkMgk4Up52Qd0MqxY - Sqmkx4yVlZWlpeW9vf1coSq1iKJo+utbn3302e079w5rNMaObWJsnMJ5cJ7nh16OGHs7O3e/vkm/ - J3/zB++889qF0f4iTHNjZf7K+x/cvH0ncfT62+9cvHSpq1oSYFgLEoV8IfB9RLt3b9+qirqONt+4 - dGp44ni+Wl1cXrt+9cv/8B//eGu3Njh5/luXLvV2V152E8xgtmCABTvJ5oV8i5HJwkT2K3c0IJDF - 7r6Tp86emJq68WTp1ldfXjk2giR65VuXJZEg0kImrfhgd3thdcvoQr5n8PREFUqXKl0nz5zuuf1k - 5sniB+//rL8a9pb90aGBZr2+urL87P6tax/8xa2bXx82UVa6GAaZ8kArAZZLS/N37925fecec25i - 4vz3f/B3BkeKYRAAxoElXsjVqAMyHzEvmRxnMhW2Do4hXcrCaSgFKcfPTr6z9+6dL69uLS48bz53 - CVvpLKdsEp0xeYUqDw5fev31R/Wvt1YW/vgPf18lf1+/enGkJ0csk3pza2Xxys/+/JMr7x8cNt78 - zqm333y9VIQQsA6SSHm+8sLUcH1re2lubm9zp1f1MNBs1speqvzc6bPnT55cyH3x4NbNG8WcKOTF - xfNnu4s9NmWlhEujxsH29s7Gflp2udHukb5qsROxYtmqI2po5sYmlQcYL8gXiiVPqdrO1uz009Xl - 5ZIaCrQ62N8Pfa+Y12BrSToQ4CQ5Tx318uLF2Fo4JnsUvElsAA1m388NDY0urLQ3t7fufH23UPVL - XWPsuNFoLc6v/uLKJ3du34RJolYjjSMClFIgBeiwUApzObBdW16Ymx3eXD9VCUXi1GErKlYrKoR9 - OQPNRu8OmVkQCTB5Qc7zg4OtvY21pY31dm44jNqmkR5WeotQbFLLkI48xzAmZRMdxeoCyoOInZPG - MB+lCxCbrFQsVrtHx0+cu3Du8WJ87+u7P/3TP/pb33vz7NmJXIiMmtaKWodbBwebyf5ho39yYHCy - l0hMP5teffRooL+/ODaeHzmmtELSru3t3Ln51dMnT4QQ1a6eSqUbkEL4g4ODP/i1dw+2NhYWn//b - 3/u97//2j965/O5wpSAFwBKOTL2+uLfzYGm7PDw1MdJTykHJo7qFXsj94I5KapKyWCn7uXw7jhcW - 5o8vL4yN9cXRgUAUeiVfKEnIRgKV/mOXX//2yo3nM9PTUfLzekOPjb/a1dcjcwIygi8G+/onBypz - KytP65thLixU+wZHRnq6SqHODExCwAwODr/11quPHt5ZWHj+3p/+FEFZv/LGib5erWDjdq2+8dGH - 71+58sny+u6FC6+98vrbw0NDgdeZaRNRxus2JuHUak+CeX9v7+OPPymXy1NTp7u6esIgL5SO43ht - fe3Ro8dRO1ZKKaF8z1dS2cRVuiuV7pJDevPWlz3H+nt6fzMf6Gazuf50+uP33/vio/f39ppxQs6a - bIoCJhj7AuZOksb24sIf/af/GO0t2e++fnyoOy+ovb9369rNL764vrK+Pzx28vzFSxMTw8U8STgo - JaQHCBizvr5+7Ysv/je3/+Nff/PC5bPFSv7wcO/5/ft//of/4dnzuUpX9yvfemVsdCSQ6MheiAw4 - IWvBYJZZLGfGugURc4bbUgbdkhgcGj5+8vTxqfLO9u6XV6+ODo++eunS+OgwZEeAFyfJ+u7OZq0V - R+2TY0Ojgz0ALTx+urbwrNLT3z1+pjg0yQLWmVar9fTZs+WVFQjRNzDY1dMjlQQssZMd92QwkBjn - WYb0RscnT0wt93ZV5p89+ezj0tTE+MTkRH9XIefxztbOg5mNj798ODx+7o1zfeGxXBCWq929ZvFw - fX3t+fOZQrGoVEgu3d9a//rG9Z9eubO5sWZDnURNAiulBGuQdCRJKCIidjgSAYkjB3JmZhKONFM2 - KeIX0G3HeQB01KDAOisdAzLIFYIgtNY8vP91T8GrhurSubP9QyNhvri2unrj+vU/+bM/29zZ7Z+4 - 8NYbbw7290spYFDt7hsbGx8dHlrbqd2/ffv9n//s7Tdem5oc8z3BcC5NjMPa5tba1g4Lr6d/aGCo - 54UzfvYmckZ2zqRU0FCeVs5T0sat9ZWl5aWF9YnBaqmQRJEQoloqipchQcRMrhO+2WE+UL508tSZ - qfGHpa++fnL76oddhUK5euH8uWo+p7klbVtYiDief75mmCrdPT3Vco8fQLnB8ZMnxrd18On2w8/v - hrtPJkvBydGCX27a+MGTp08+/uTqT99bnZsDBZ6Sylk2IJURwF52QgRktDxrDZOxjvsG+srdpadb - a0tLi5NrawPdp+qNurRJxYcnZN/A8Lvf/Vtz9WvPN9b+/e//Wzr4tfCtSwO93SSo2Yo3Vpe++NXP - P/nle5tb26ffvPjdd97p6apIpEQ4PjY21PMs2p9+74/+5NnNz4Z6gu5K3mm/ZeCkXyr3DQ5Pnj59 - fnCglPPhAcoLUek59/a7FzfkzAdfX//w5/1h9Ovff6u/txDmi1IK59BoNXY2lg92NhKo42cu+IWK - lgJQLAOpfCVE87C2vLCwvrbeXSooP5cYhvJ9lQrnUhZMkogkW2c6RJpv9J6UpDa1yBWUUoqNIQYJ - yhWKp06fnZh4ePvx4s2bN/qqpWLonzg5EVYCz/ckOIqiaK+2tLCeer2lwdOFCoIgozZqIgHn2BnO - LIgtXBaRi6xL+2tD6/5/df03gm6Z2WUhEaLjdWKNqdfr9+7dS5M0zIVRY//pvQdLaxuxRe3g8OH9 - e/XUL3f7llrHJwYn+nsL1RLYtZPD9Y2NX/7yl7+4ctVSvqdv5MzZ0Uq1mlmPETMyhc9fz2KgI5+E - b+C2R5c7SrWVEBICUo6NHrt84cKdr57sOTggarct8qJSOHHmwtjkcV+AAJHlrltAOkhRHhl59ZVX - fvTD5XsPZ5/Ozs3NPl9ZWQ3YuPahhK0HRVftemtifOL4FLwAvn/8lPrR3/s1VQpufJXOzi188tEv - P/nkiueFjoVjUso/ceL0O+++GYa9zmnizIQWcExS5PJeT09xZKR7djZ98PDml9c/V8orVLtTYGF1 - /YtPrjx+8HD/4MBYsLNwHWUHiFw2K3Mmc0t74ceLDOYCpQ4GAoBkqzmVGdFO6b7Bwbfffffe/ScP - 79x974//pDByLB/zQK668Xx27usrdz/9BTf38sUuRNIjFs6+SCvqTH/w8jOyR9dpsCRYQMiu3t5z - F893VT+FWIdN641W5qU7Pjl14vTpQlF4CtJ1oNtOJ1Msjk5N/p0f/UYr1xXdfrS0vPH5hx989atf - SQnrGaNTlvLimde+/ea5Qr7o+2HQO/B3/u5vHLr8/FZrZbM2Pzfzr+ceJVEzgmIvdBDVrvLFi5cG - Boa8IGSSCXPayYC2zllm8AtcUEAA1hoSjhmJsQ5Se56MaW1+Zm3mrkLLRysFG6m+8+s/GOspHiuH - Vnjk5xxpI42Fezb3KF6ax68CmATGAO5H/+C3i6E+NTURaJmBUA6CIIjwfG7ho199ODE2evL4xMjQ - QNRugl3j8OD9X/78+fOV7q7KxMTYwOCg0grsUqbIsIUioTI26EvGDgRIGyeMzXpaI2A7h7Ln6+HR - c5dfuTi9c+X+0r2vvswZU3/3eyN9/XmRxLW1Z19f/+L6jcfT89We/jff+cHpU6d6KyCTOXDme3v6 - T09NzcwsTM88/+KzTyT44OCgVtufnZ396ssvlxaWHAmltBRCEr+0oWM4CM6IwBBHSiIBHQyNTpw+ - dXpq4sHj2eUb1z7v7+s6deZMqVyK4vbVzz6avnNtp9YUvg5zJTAyUtVffrNfaJkYRNAelCQiT8uw - kPOku3XzBqJ6s51c3t2XXrC/8vzjv3hv+s59qcJXLr/6yqVLPV0FT4MI0lPnL55fX1249fDh4yeP - I5L9g4NDg0P5fD5NEqVUHCfr6+uPHz8KPP/kial8GOI/N4GkDqYc5ApdvQN+rri/e3D/zu3RqS/S - 1eGKTXpDNdBfHMz3Zilt7IyAEyKDFfEClCAAJCyEtc6lKeLIGdjUgKBy+VffeO3Z3OL9xflr175o - EGlnTx0bKQcaLnEmOTzYXd2szSxtD42dGTvWnwvy0J3l8WJy/XLXJQCCWTv2M+o+UfqNwKvMFde3 - 8BgkYCQSccSucSQMi4QFA1ko1ZElYrYbu8PD+oNHj//0j/94bX3j7MU9r1Bh6TcO9p4/eXT//v2o - 1bpw6dLoyLBWOlvEXugPHRvJl4u7K3M3b9+iYrC5t720tjowMlS49K2S5wspYRlEkFIwHAmGMyyk - CExQCcq2t1Iu8+rmzIP7Xw0qcZArFLVXLFf6To2NB172GKi/t+vk5HAx5z1fbC1uzrugVOnqGR3q - K/gQDpL9kaHJgb554ujps9uOgnx3vm9ssLuvFwAZ6ZwndK5cHjlxvPmdNy9/fv3eyuKjn/38P9Wb - tbNb57zAB/PB5vztzz948HhaSu+tN9545cL5oW7yAGTJFi6L2XbshFDQWiKhzZ3dW3e/3mtE5b6h - k+e2vVzRko7b0dVPPn308JGv9cT42MT4mCCCVCiUh0bH+gcGpbj39OGDzz4ZKPre+TNn6/X69LNn - n175ebyzUe2p7rX3rbXOJIKNgspGJ0IIpRVJarcby4sLV375i+bmuq0fFpXaX127d/361Ru3a43k - 8qvfPnfmxMhQ/1FmAnmeJ5RQSlcKXZtrGx9//HFOJLvnpyaHKq69e/2j969c+aIZJVNTJ195/fWB - gb4w28lZMDxBmtiJI+i2s2M5tgShtevIDsAOLJjBx46Nfuu1yxfvPrw9s/rRRx/JnN7ZOTk51a1c - Qm1rDqKtnfr0+t52TD/44Q97vBFX0vu12q1btz/97PPd2sHk8ZNBWADJre3/m703Dbbrus4D1x7O - dOd5Ht88z+8BDyAIECRAiBQlkSJFW/Igy7Ycx1Gl24mrE7nTXdVVXd1JJ7HjJBXbUmhHlEyRpihR - lCkSAkkR4EwCEIj54QFvHu9977473zPsvfvHuQ+UU9VOudzlX96FKgD1Cjj3nrPPXmt961vfV7h6 - /cb169cAxNTUZDAQsFVQ7GODMUYQAHDGGELYnn2miup2uWPhUHm+sHjr1jtvv7W7veLweWuGmcjn - IrmYFo0PDo7NTN8+c2nh2qWLz1O+e3Q2n417qWTs7i1duXbu7bM35m453d5Dx44PDQ5FI672e2Ej - 09im8NhSeXahhzGRJEVVVVUixk5h6+JHH4hWfW+nEIxEDMbPf/je++feuXrzdl9vz8DAYFc25dGA - CABEQtFEb2/v9Pjotbnb5z94V1Ydhd29/nxC5i3EWk1G6ha+MrdYblqDY1MdtD+sqQi3hbVBosBR - W1sTAGHkdLrCkWg0Et1Y3zx//kKu81wwnEBUNWvFD9989dL7H5ZKFWY6KXAsLMFNCzgHLkmyKjlk - Ag2AUqnwzjvnFNHSy8XubARZuyt3Lr300ku3F3YCkfi9xx8YHh11OwEzAYwDYKfD5VBVELxVr964 - fg2q66XCWGffuj8Wv3795juvvX7unfc7c7m+/sHx0dGwn+6zQATg/Rl5biHBiLDaWQcggRAH3Pa3 - FIDFvo6WO5Dt0A4ePLhZsS5fvvzaT05brabRbGCMKSEyofVyeWtt7fr8YjCZ6xoa68n4gRCXz9/b - 39/VdelnC+uXLn6YjnodktXX010u7c3P3Xz/7BultduCyFS2FEmRMaYCKAAlAIJsbm2dO/fWC9// - gWUpk5NH+/qHEqk+RSY2lxa1LevwzzW+26zbtqcA4nedQAXDwAlImAMPxELDYyMjQyMfbuzOr2+B - 4rMIE2Bhbkq22wwmgURm5vCRixvNs1dXXn/15ZBLNqq7fR0pyuqVwtqdG1d//FevzF+/Fo0lpqam - pibHnNq+sCNCsupwewIut69Yqd28evX9t9/aSUS9FDuQ2Z0OJHPhRL5zZHh0cODq5Zt3zp17k2Bj - b7eYCCW5IVQiGa2dUiSW9gAAIABJREFUneLiyuqiJcdzA4ed/gh2A0XABDBuqwG0zawJkTDIABxp - Tq/PH/T753fKVz6+9Pa5TGkt5XG7mGVm02l3ZwbsB4oQCE4Rl+9SPmxJIxAgwOJgQ7eEIIIZEhIC - 8Lj9wyPj1+eLtxYLP33zp0SyKrWiEKJUrMzPLb7+xutLS4uEIG4Z3DIRAKUygAXccLg8fr/f7XFt - r69cPH/+jZQr6ndgya+b6uDoTNChtP3QhSCAQNjmRHbgxADYF4iEw5ErS4s3rl8+dza2nUtajZKF - jYNHZjSvzBgIoBwke84VCWtfaR5AkgAIA8IFRgKI4BQ42DwMjMDpTmW77z32wO6rF26urL304vcN - fbdUGna7qEwtIRqNcnN7tVra1JnAU9pkoiOMAa0sLb9x5jW/PxDq6Q339RFNlhu7za2FM6++fPvW - ViwS7ezsisfTAgBAikQjR48dvnXlZ4sfbbz40g8NlyyquCvaqXEVi5Zgjb3S9u3N8qU762OHWTLk - EYosADjixA79GAMIW/i33WUnNBiO+oIhLuDG9WuO8FuArFq95PVq/V0DQbfangqhkjucGp08dPZ6 - oXz9ZrloxrqmM9m4L+QmKgByAcGJeLQvE75949pqtSV7gn1DY4l02utWKAWK7eSLRqLRmZmx997p - XDx//Z233lIDCbZbK3X3uzDSre3dvdunX3npwoV5xekfnjwyc/CIP+Dmdu0oQLRFcxAXnDGLcoQE - L1fKZ8+dpVTq61tJJtJut49KSqOpv/vue5c/vux0OJOJZCAQcDhdlEiMN5OpeDqXcmra5SsXJbca - DHp8Hle1XLp66aOP3n53YWHV5fHJjArOMBIEI4xAcIE454JjimVFAmFdvXrZRVqiURztznkorm1t - vXH69fMXbjOhDo0eGJ+cTERcHFoITMAEYxmAgMUolYrF4osvfF+1SnvlQiDiLRY3L394/ocv/5hy - 1D0ye2h2NpdK708BYiCYY7CwsGx9CwEI2bUvgbbWLSd3BRMwUgKhnr7BI0fve/3Da9evXHke/WV1 - pzjY1+tUJAm4MFq1pnFnbatQbjo0NehS0rEAMH77zsI7b55Vne5U32a8u8gBybxZ31k7e/bc+sZm - OBLt7etLplKYEFtBX8K2qArhAAZHVGBM5XgyOzAwMD48eOnGwoUP3otFY30DA9lEyKnijdWFDy/d - /v7LZ+878dm+1D2GpYZjye6e/g8vL9++M//GG683W81AIIhZZWP5+sUP3nr//au1akNTDMtoYQQU - EwAKHAtEMZEQQhjaji4Ad7exvSuQ7fHTpiTf5SfvZ9Q28ROBAHtAAREiqZIkSwRTDMuLCy+++OL2 - +lo23+ny+m7Pz79/9rVzb7+biIf7+vsnJsYjIRfGIADLXn8umz84NfXTty+sLN55+aWXaqXi9uiQ - x+0AwXTGDIsvLK+sbWz7I9HhUQjHQnYTln8i42D3AglgCpwAkTUNh4IBTVpeX1k8/8H7DqFHgn5u - WcFAYGJsVJH3nTfb5Ks2b68NnMiORC43OtA70nnx8q3Ft956u0m9axUrG1SdVoVYDd3i9aZ+6doc - cgV6xw6C6tMU1YlEKNkx2Ls51Rm9cWfu2oeFn2ZDu8XBQCxaM4z3Pvhg/t13dwsFhonT7caKLCEB - DBC1b2M7ZRIcANtOHIIxLpAAjJKZdDAWbl66cPnKx0o8rDdrtVLR51JHurMhpxwIRe+59+iF5cri - W1fOnP5xgOq0tdPdkUdYLlXq8zevvf7jly9/9IHb6xsfH589OOP3OjDsAcFd+VxnKuXE5L2zZy9o - 4A85olG/Csis1gyDKaFUondkcnb74MjgeHen04FBVoG4e6dnDxbJ+Wub8x9/+FJrG1uVVCZqq81a - FqtVSsuLt6t7uy6vP57KOZ0uigkISlSX2+MP+QPVlfVbN66/+867O4WCw+WmkhqJxfypABZgIQKE - EoIwWNwEJNvwyN2FDYsZTFBJJpRiGz7CiDpc+Y6ukZGRi9eXrt2YP3f2LGbW9MxEIOanDgLIsir1 - 8ub21cs33dHu8Xs8VElw2dbVkBAiIAQSDLUHZNtPAv93V/6H9bdZf0/QLaWUYGwxBvtCt9Vq9dq1 - a3/4h384NzfHGLP0OjJLyGjWqtDavnxloYG0HwJpcdx8/IlPf+aBB0KHZjVKADDCxDTNVqvFMeGc - I4S5ELw9Z/bXeGZ/52UfnOSviSTAJ5Tbn7fHI4imksmh/gGn0j52KaImkRWHp29oLJXJWgCqjT1Y - QNo9NQSEDgwM/P7vdZ9768IPT5/+1nPPNw1DWKYDyQoGNRSJjY996qFTx+47pDopsKYSCnzuc6d6 - h/I/Hez4D3/4n1dWy4wxo9USIFHZmU53Pfzw41/+8q/FYi66n4YyDoIJSpjTpXZ1Zg4dnigWF86c - +eipp/702ed+4PT4a7quc65JqCsdjkxOv/fRRQTALEPseyDYACRBIFNM24PiyLaWE0gghAWRLEQE - gIy5gyIZAxMWcO7yB8anpw8dvX5bNzYu/Owvvvlff/T0s06QcbPpwXtpv3jk1CNHgv07335VQYK1 - Wp9IUuzTRADg7sAG2dcf4wwjiyMqOXy+VJoHg16qUqvWsP8NYOjq6evr77e9xW2XABD2zJUMZgsH - gw8/+mjHxKHBtz/61//mD3e3igrCuqUbhgkyTqYzJx489ZUv/Xo6EkUqA24mu3ueeDyS6h79T3/y - 1KUP32lVG7auGDcN6vQcmDnwr37/690dWQksIitAZSC23iwTgiOM7L6xbW6KETYthoVAhGgOJwNU - rlRauhOEDCBsPy0OnDNOCHU63ZgqTVMUq3XMVcM2SAIO3ADTDvQcOCMgbH6ljXYDAEZIAOGMC0R2 - SuUzPzmtN+sKxba9GOfWTqXl7xg7eeL+Q7OzmUzaZEAxMhlqGAyoIiuqTCXMAWzVT4TtUG0KbDEB - nGPBbSF5bH8ijGeP3tfy5na/+Zfv3dr63rPPvPrSjyiWNNFQeR0aOw1D+GPpe44/9JXf+Go8kVIQ - gCUQRiA5fF75xLFje7t71z6+8vbZsxfOX/ij//ifhOAAQDH67CMP33//8ae+/axlGpW9XeDtLc0F - CCAcUwaY297QtmAKUWgwMj1z4Mkaf+rp785dv/JHC/OypiJMmODc0meGuv/5v/j9d99556Ol2rJ+ - t/yGu7sN/bW/AQjQDavZagnOR4cHxvp7djZWN5cXnnrqKfObT1kcU7Nqljejbjo0Mvngg58anppy - KjZAzxBnHd2d97MHmow/88IPL5w/Pzc3L8uSRCi2T0IhKKUIofGxsVarJf7mkwsBCOQNhrr7h7v7 - h669e/PS++9evrnsR8Ir2BMPnXzo4fviHQndMHW9JTinGEmEEEoQMAGCM4FseTNMGaIYE02SVIIk - BJrDAZYOFOf7ek49dLKO8be+94PXTp/56K1zDgIOApQAt3RmGSanDl/01COPa+ojlOzD6HdvGvoE - Yra3YZt12/7hz7FuAQNQhhQLZAE269ZA+/R6TCQkawLLlEouTZHtQ4zBXXd3j9fHmHjn3Xc/On+B - ai5TSAxRCowAq1VKkVz+i7/wi1PT0w6HIiwAAm6/d2b2wOWrl396/t0Xf/iDH73+quRQBcEnPnUq - 4HD35vOy6gTGCKF3WcoYCKIaB4Tc0UxXeGJkePXm+vnLH3/7m7ee+UsZJK2nf+LeYw/nfzUHFMAC - 4EA97nw6ls8k1orzhToOBJPBUDTgdTgoqEgG1R8NpsPBkMtJC/VtzR/N9fZHMhGXFyMAhSgEu4Ah - cEVHhjSny8eZ8errb5976/T75z+UZCfnRAhCzT3eKKTCrgMH7//ck092DOftOyMJAQgs0wJMZYmC - AMt+BIQSWbE4/PiVV+dX1qnqlDUXA6I3W0aj6XG4RkdHjxw+PDI0yDkDJEB1eOOOI/ceXS4ZP371 - tXfffuvyhfMUY84YwSQacB2ZGM+FnN98+tuyJHtdDtm+imVCW7WEE4rGBkeOfuGxb33/e9/59tMv - v/A80g1oGaKpM5N1jk0++QtPTIwN+D0O4K1PDnrBNYfW39ePU+OUVZ999rvPfqvkxKbEGrxZ4lxK - p7sP3Xv0M49/PpCItPcbooBUhCUAgYWBEcOoXQoBFxwT1eGoCjANg1uAEWAgFtPdAf/E5NivGKA/ - +1cvv3Xx6f/29HPP6bLaIkJXGFI4RkitCdUVy09PT2NIE0wDgWC1VvvJmTPvffARlVQ7xweEBUKl - UnlqaurLX/7y8PCw263Ze5sxrjebqipTQtrCpYzrrZakqtls9oFjx5rVNz/++KM/+oM/UBQsOR3R - TPqJL31xuuMx4GRg6sDXPMn6f/3umUu3fvTi93/62l9JEqiMOyxB6nq1Ucl0ZQ8cPviZzz2W7+rS - 7hqycrAY44QAAVkCAvv6JQhzRJhAHq93yJu459jJuWvXnvvuX/zghecFoQywabZYY8+puh741CMP - nDiRigcRBWAgmJDc/qmp6d/9p8p/+ZM/fevDj7//wvd/+PJpJ7FU0CUwdVAanLaE1NkzkO/ulSnS - ZGhPlQsBBATjpsWIpCCCNU1NpdIHZg4urWz81StnXn755bNvv6+6vEwQ1txzYiMTdt13/IE33pnD - nKkSlhVbSZsxxjFQp6bEOnocuRGTkUs/u3j21Zcl3lBJHfNSsdjIdvbNHrnvnmPH05ksZ6AQDByD - xZjFgQvqcDzy6YdRq3D+3I8/vviuiTAjkmVx3GgqTvfUzKH7jt8/MpwHFQBANzmVOFAKqoow5pxh - YAQs/Env2BbrbhtDtmMHooAkh5M+8fgTSPOXd3duXr968+rlv/j205xzBJgizE0LCwCqPPS5xzNd - fcwCoAI8nt6hofvuO7ZRM358+vSZM6+899YZp6ZSQoEDa9aeePjEgeG+P/6z7+wQWivtYgYYwFa2 - 5JxbzORCcM5MU7dMkzFAFAiy1T725YPsnlc7fbKVx4VAHDAQCdtdd0JVMAGAW8AssDx+z8n7T5rL - exfWzwBnJjIBMwkDtQ0vsUQzuSOB9GINSuzNM6+efubb33rp+895VIqNOjLq3GgIQ+/pyD3yhV+d - OXkyk3dSsn+/BHL4g/mu3unp2eJ71698+OHc3KKLorDLMTs+9IuPPpjMx0F1HDw4+zXZ/yd/9vTl - K+effvrpF773nEY0CSTEOEJNBA3D0oenT/yj0aNEgGCAbIfedoNSgBAc2fJrFEwBDncqlR4bGd58 - 78rVixf+7e0bLgk8bufM1NQjjzzclYkj4JZoC9kSxCUCzAJLWNSmPAgALgyLWxxhSmRZUiSMAQjG - oVD06LHjF68sX51787XXX3/rnTdUDauqojcZwXJ3/3AqGReFXZ+7HcEIIcAwcNB8gY6OjtGhwZ9d - vXX61VcuvvuKTKx0un9q5r5QJBWM5CkBQwjGmIRtOUYECLgQGGFwuPv6h8Ynyu98vPbm66cvvf9T - t4pVzPI92UxHssvTIUuKAMpAAkQkiSoUUQyI301xkEASlRSCMeaMW3q7AQ8CgISTuSee/JJO4sYr - H5y/9LObNy+oGqbEpMQkoHNDCNPhdyYPzB7unehBdg2EULVW/8mZ17dM0/J6W8xws6qb1Rp7DU9i - 8Pjx+w7NHu7sSAIAgOTy+buH+z776KfKDvanL77wzHefO/38aSd3qKaEwECgC2Eakgu5grm+0aBP - ViXADLjFgCK7MSkQJ4hQWcbCBMFBkjq6ujt7en2B9y5evPD+5VtP/fk3uLAOzE7/zld/e7RX8we9 - gAAsLLuCHb3DPu9bwBnozVjYn+9IewMAMoDQgEAqnhjqTL4GTb3RAHc4092XSKVUGWxPQQ7AOahe - X36w94tfeqLlffMbL75x+sevfvCTs16qEKOFSUlRq4XibiiceviRX3jos08OTQ1wDhi3HVYNXWfM - dLk0SZYpxcwyEeKM8XK5cv7ChWe/+7yiOBCiQiDGodHUBRInTz144oGTI8MjiioLACrRsYnRcmHz - rQ/eurO6+tJL33/zzdcIRoIzq1U7cmDi5MlH9prw2ge3EAYkmK0pibDMhdBNw7CMWCza0TPjiibX - 71z9N//u33ol4WBM1vVWpeXydYxOHDp+4tPDIwMAwKFm8SblDCGKMQXAs4dmMz587f3TP3jhhe8+ - +y1OLEsYvKE3S8axw7P3Pnjq2L1HSZBYwi4YCSaUKBKXgAEXbRppm3ULba1bhgVrs24BgNDuvsGv - /tY/rqFvv3Lu/JnTr75/7qwmUwpCpZgI1jKshoXSnX2/8ZVfUxSZWUyYpsVEobj75os/qvLnweE3 - LEtiTcLq1YYe7Bo/fv/9k1NTiWSSgyAIAUFYIOCMCcQwJhLBQgVoQTA0Njb+5V82//w7z713/tJ/ - +7OnJM2pUo6FLqyWAQ6s+BKJcFdXp9cnDwyOF6vkzLmf3bx54/bt+b98/i8FAJhVleiZuK+ru7fY - WEKaJEsI28xSJgAwYFlWVIwxBkEQgOBctK1+EADBhAM2GFjctpTjeD/G2K8mBwGCIwwYMKIUkAyI - VuvNlm54Pe5f+aUvVYpbLzz3zDvn3sRUFogwxqx6qWXCzOzhkw+e6uxIMwmEACQpQFhHR+dv/cZv - YPLMKz/+0QfvvnPhg3eoRDARlGBBSMu0dIt5A6Env/hLmGJZAiaAM2AciAQUA5ZsMIQDYDAsQMQf - DkxNjF1bLb935sx3n/nOqz94TqY4GU8cO3q0v7dHpk4EApglBCJEIpIkUYkSjAAYFwQIONz3HTmk - Yfijbzx9fnn5wneef+p7r4REyc93HLzOuDCZ0AUdPfpwemjWlBVTgnqLOP2RybHh3/7S55555jvn - r8x94xt/KiQHEMRBmIY+nEx87R9/beHSz964sbYta/InbGubdmbHbztq2Jx4jLGkaEpnT1c6l+aI - nznzk9Pvv6upskrRsXtm/8X//DsO5Hd4fBOT0Qe39R1LfeXll1/64Ytvv/oDp6pYHBkWZ4YORj0V - CT/2xC/cc+qhnu6UZtf0mur2eHo7Og6MjX54dTGQCQ8dGsfI0tdWStU5ojdXFm+fv7X00k/PnTxw - 4Pe+8msz/d2euMYFDua6Zw5LW1vNM6fffu/cGx9fel9zaxKlhqFzW3pJiGQqeWh2FoNQiT3thd3+ - SFdP3+TExF6p/PHPLi7eWVA0TdMcwyMjn37kkZHOz4ApCaJgSaGEUGD2GMknVRvCgDCmEgNUqjQA - wKEq+56Kkubz33v0mIGd3/jmn8/P37o9d+P5F57lhOm8BYhpQFRA1UrznhOPDh84Zus4WSZHSCJE - AiEowZQiQAAUKAZmf4e/qej9h/U3rb8n6BYhBBiTnyNsEkJ8Pt8999yTyWRarRYwQ8EtjRqWZTVF - UIeghV1ADaJao0OD4VDItntXNUcinjxx4kQgnBXEPTo64nQ6EMZ2X6iduIpPhg3+TstmkXyC24p9 - mikI/AnWYxt1AcgeT3hwYPzXv/LVhZXdahMb2MscSWe0f2JiOBwi9sgbsYVF2m1kDAiDproV/4Hp - CWfA3z003GRCsSxNcAmB4ffK6VTn1Ljf7QGwtUol2e3o7VQUGnBpob1yjQHhQDgihMj+YHhgcCSV - cbUdSO3XWQBCAiEMSCEKnZm616n6hofuWVhc3ynVGcJOrzcYieSzibBXQ2atf2RibHLS6dBImwiH - ESaTU5OW5Iolkul00jBMWUIIEwTAOccCE4f3yP0PBvqbljuTDLqIAIIxIAoKRV75yAMnaSrTfeDq - rY2terGMWjwTifakvYNd4YGuRFWK/DIPyuGunlxalf77278/87wvjm8/YowQlYEwUDR/MPS5z342 - 1ztZ29sjli4Jk8nq0ZOnent6pP1W4f5wit0SpqBooErdsltoPkVyNKt1CbDJrRaxmAYef3CsfzKZ - imoUbONjUByJhGPW4WeIbD58P2vVkOAmlkykEMXR1Znv7x9wajI2m4lU5ku/8qtjR05asntocjYe - i1Dbq+tua8luxhIOQBxe730nT1F/vs7dLfBKYCnCkHjLAGESlO7q6Onrd3qd/WMT//zrv4+Ewk1S - t3gD4xYhghDRLlVEb19vX1+fKtO7F7FJNRiT/qHhz1lmR0fH6vJiqbilNxuKLHk9Lncwmuyb6Zk8 - 1tWRcToBCxAcfIHQ5PRBty/ojHaoMpXtwcv2zscgsDcQmZic+ipy903PRkIBfBex4wiCkYmJ4G/+ - umd0bnNhYWl7q1CrNSSrHnPLMY/sDcczXYODkwdS6ZTNSEWkzeQFSvr7+h/7DI9Eoosra1uF3Vq9 - 7vF44vF4d1fn9MS4RLEuKFe92b5hTd6fBcUklkjOHDjs9EW6x2ZDfp9MQXBAHIOkZnP5Ew84qOK4 - dvPm6uZmpV7DlHr9/mwmNTHQNTM1qjk9sS1jm7kz8TBYgKT2ZuNckH1wEQDs9jKR1Fgs/tijn1P8 - ya5Mkgpra2Vxbn7hzuJKudpUQe9K3duTjiS6h0bGxnwBmbR5FoIQApKayWUf/NQpVzB67dZiobi7 - u7vbqNeFEC6Xy+/3RaMxv9/f1dnp9Xrx/i75/z63EKjOcDz9qUce03KLH93ZKdaYm/OEyzU6Np5K - ZwATWVG7urq++ItPpjuGRoY7QdhGi3Z6AiAQ6Prw2MSTyN9wJntzGeCAKAJM7MZCV1/XI4rqiibm - 17YrO9ul7XXQm7KEnZrq87p9wWgokRkam3Zosu1lv4/F2pmq7eFiW+QBZzwey91z+EGnu2t4/Ggi - EaCSTYK077VE1OD49BErML6LosmYTyLtt5XKqj8U+8yjTxRJKJvPeFQgABTfBdeR2+0dG5/4J1/7 - p9vb21s7exuFPYsjpyYnY+Fw0J/u6TkwPR0Kh8g+TxercjKbuv/kCXAqa7vbxVrZYFa2Mz8zOeF1 - uyXbcdsWFgRAGIs2dZ0wkAEhSSNHjhz1Em9vT36ztl2Dpuzy9QyODvR1KUrbOxmwDFTxh2MPf/bR - 3MjOTpN6ornJiXGnDAoAEgSQEyuBsbHJr/y6vt2wqCsYy/VkO2Oyut+l4jZGTVWX1NOlfOHxxzp7 - h+ZXttcL5b1SU28JWXaE3bQj4e9IBWO9k33DQ6ofCADBABzbY8K2sjDBn1AII/HU4SNHGdVuzC9s - 7ZQautkyubB4NpnKZ3PZzq7xyYlQwE8xsyebgJDR0XFT8nV09i7Nzxe3toEzn9eXiMeHejsH8jGv - wuuC8EAmm087VWrzKgFTgcDgTGAUjUYOzx4ETV6Yu1lYX6vu7MoCR3zBXCbfMTo6cuigPxygAARh - sBBYnAvBADCmTs05MjXTnfF3pzzbK9frO9vIaEa8rmQq3zcwOjI2nUgmhARmG+6VVC2UybAvPP4Y - 8nRmkmFNk4XN5kZqMtXzxJNf2qV+f+8AlThGCAMmWAGMFE9saupQU/J2T0yvFNYLO4ul8jprNTzU - FXIGwuGEFooE0rm+7qzLpWKCvF7f4XuO/JNGq7hT2i7uFIslAcjt8UZj8WAwPDQ0NDY64vf774qF - YYxlWcaYIIQIpQgDACaSjCkEg+qJ+4+7tPBAX263VODCUNzOzv7+jnweYQwCgTcwOOT/lV+i3dPL - txZubW2vVau7qGnEXd640+sNeLuHeruHe7v7+zWvdx+ZA0CASfvlsXUVBbMIxsBAdrgmpmdC+WGh - BkbGZ4b6urvymY31jZ1ypWlYgYAvFnDn4qHj9x3r6OpSaNsFCyEMRAqEQuNjY7/0pV8cnDiwvF3Z - 2im39rZ5c88pIdkTcgXjnnAi39U90Ncb8Cn70g1twhDCiEiASJstSBSlv2/g8cdpPJW/Ob+wUdhl - iMoOV9jryEV9+XggGu9Ody24fHmv20kJFQAAVCBuiaZu6Fl/cHBstqu3f2nu48UbF/e2lzFrOhUI - hcK9Q9ND47O5jrTDiUW7lUgAS6bFBReaKvf2dOZjYyPdseU71zd3StWmrqqOZCDYm85ODI/mhidc - Kuj2G0wxtkWsTTY6OfUFNVFzZ7qyKXuiEDhHmATD0aPHH4gNzvb39vncrnYXishYwcmE8/jRe52q - srywuLW5WSrt1Rst0+IU42gwHA2F/KHoyPSB7p4uSsHu2YMkj0+OM4e3p69neeFWYWvd1Fs+jy8a - iXZmUvdMjuaiga2KtWm5XNkxn3MfA0UQjUYPHpyVJNW0pEy6LxQO2qp9tuUDaSfYPzcBgRCAiMZj - T37xFwqFsicd9njcFHA7mxIADAAjDMjlco2OTmhPYO/gyApw7+DgyNCAx+VsA8EIg6zKfuXw4Vk1 - kBgbHVpfW93ZLerVPWw2PQqOBv3peKy/u2tk5t5ALkfl/dzZvgqV4on0o489Huy889HcxvZOVcUo - EQpMT40m0xl784TCkekpl8HRjbmJ5dU7xeKWXmkKQ6hE8vrVeMKnOtV8z1RXZ87tbjMiCbK5IHed - Cdu1BmAJkAhHY5///GORjuH5a5dqu9sysGDQPzMzk8vlEaHAWCSRvu/+BzLD9dzwtGBAZMAYf+Ii - hHC+o/vosZOhzNDI8KDXLVEEwIUku3LZ7sef+EKqc2hhaXl7e73VqHm9Xr8/GIslu/sGduv65k7Z - 4XSmEwlkc+YQBiIDwoMDg1/51V/+4OLVjeWrjcqaUyFd3SOHDx0K+L12IUsQwvaBQohdLrU1HATt - 6O499SkvU2PXF3crO9syshJh/8BIf8Dnp4iCpIYjicNH7ncnRgf6+nwejcDduIkBUSI7fb7QqQdP - FXXnyOCAx+1kzML24a+5Y0nf8eP3+yM9MzfnVjcWd3Y3dL1CQNdk4XMFwoFcKt7XNzjU1d0JAIyz - fFfPI48+kch2zK2vrFd2y/WKk0Qibi0SjKQ6pnqG7+3vTLk122qCA6Xg9g7MHHzc5/B1JtZKxVpB - rxcNXseUMJ9X9fucrnAykMxPjY8qUltS+i68Agh9wtezfyc0FIvN3nOkxqS5lcJKsVqp1WKx6OTU - eDwaUzXJIkAyzO+PAAAgAElEQVQ5AyJhVyiZwY889NlYurfOlK7RQwOTvYoCAgMXLixIIJKenDrw - xS82VsoW8iWmDx3N5bKizQwEym1FIgwe/8DUwccccW/H6MZus7xZKG9sy8AdnqQ/Qj1+tSM9Mj1x - X09X3q1BHe1rFgNIkkwIatu8I4QpQQL5Av6HP/1wT1/v+trW6sp6o2EAwk6n2x8IJZPJqQPTg4OD - Dk21ud+YUtXjHpsa/52v/c6VmzdvLS6ur2/IshTw+/Lp5Mz4SCoaLJaNcMdak/hj0aCqAAKw1TYA - Iy6EJNNYNHL0U6fKhf6rP4tXttZQua5ZIhFKZrvHeoYODoyPBoMaB8AgE0wBCd1qmJYFVEnGcocn - MkfGM6u3PlhYWdgqbBKJhDz+jlRuuH8kPz4bCqgGBQkAAViCSao8fehA3JPco2YkEpIQxsABMJXU - UCjy+Oc/b5LIQG+PQ1WAcRtIwy5fZ7fniSeeyA9MLiws72xvVfZ29XrNpakeh6q5PL5QPNvVNzo6 - HAwGMCEI5N6BQc6MSCq7tL23VWmWymUVWwGXHIzEU0MHuyaPdXWkHBpwztrEbfsMA7zfzCIgMBAl - FI0eOnSIIWl4bHJpY3u3XDOaVRmzaNgfSuSiqY7p2Rm/3wtUeALJkTH5N37zqzfurGxtFyqVCmPc - qeDObLwnnw4mOrqGl3XszCbjAb+v3dgD6vWHhkfGDSku+zt8HpcskZ/zveQAyOMLDI9NffW3HPmR - Y9FQkOw3Ce/yRxBCXAgQjGJk2zx09QycMB350drxY/cyvZmKhtZWlje3i6Vyxe8PRPzOqN99z+HZ - 7rEJTQbDThUEAkQVp9KRz3/2kYdz6fjq6vL29sbObrFWr1IJq06XNxD0BYPxZGZm9nAqlWz7cBDA - eB9K3kc7ATAQCZACknxw5oAuB7KJWHV322pWJYx7urqGhodkRUFt5RkSTyYPzM46/KHxmWmf200x - CIEBCBAcTGRmDtGvCG1icef2jrG9UxLlNbmpehRweoOeYNQbincPT/blkwEHKAgoJYCVUDx16NgJ - IWmD125sFnaKpbLBuNvvjyeSU12d99xzuDORcPcXNrjWk88QsW+KxRghbYcBIYRtqUAoRYgA4Hgq - ed/J49zluLK0tFmrA/BUPDIzPRkKRVSHDBJCxDE1OYk8sZH+ns2Fm+WNpUataliCSkokFEjHwr35 - zOTMwVhHt6YAEQDMhHpl7uOfXbl6fbeq33P8wdEDg8MHBrhVF3sVVixx3VzaK19ZWX3j3Q8W52/+ - 6NU3/L7oSMSDqeRyOXt7OqXPad253qu3bixtrNWNlmUYptFyOR0+fyASi2Uy2f6+Hq/Xa385gQim - cjgUefihh6Lh8KXLV/YqNcDU6/WOjo7mc1k7gQhEE0fvOx4b5r7OKQlDWxjTBg6AA8bReGr28L2B - RHZyakpTFdKeq8RASDKRvPeIoqrOO7fmNtdWq7VyqVaqNsuqKkV9gVQ44nEHekdnM6mEU7FHmCRZ - cQwODH7lq18dmTiUTibverG32637NrD/sP62C4m/L+Bb7M/a2+mIruv1Wm1nd7dSqbSaTYS4jHQJ - 6QiQLrw685pIxQqTHcLj0wJOj9/pUjEFxMDS5+dubhbqiLoTma5wzCUpHKBFgFKhgAFcMCzvS379 - nT7xz/0CsH2TbQMojsCCthcqAU5BJ2CC1YBGpbVb2t5t7pTNpnAiT0oNZ9xhcDtAsYeEASizJxB0 - wA1AJjAFuAxCBkIEBhOBbCsqCLBkKAPUAdwAbhCUt/btOtF+Rt5OcHh7JOGTz/tJaSc45gK3XR0F - 6Hqr3qjU61eu3VxeW6/U68lsJpvPd+YzTK/qtd1KraF4wg5/zOtxqADIaJXL1WJFLzUZITTgUUM+ - TVMlIJQD4tyQrCYya1vr64UmbWFfMJ4JeohTsgjoYDsBI6nWbCxsbX48d2t7ddNsmP2dvQM98c5s - iBvVQoNuVaGF3D5VzkWcMgGgYCHTgpZsExUEA+EBocC+9q3AQMAAaACvMB0VK1axbNXKFcRMKjiX - 1VhPn+ZxYAAVQLXdMAgIMDHoICxo67uogBQAcvd+WRj0/TlPCiDZ0haiAWABVgApHAEGAcICIQDJ - d2nX9vMgAkAYABYg1ULY3HfYsyNxezshAMQ4MAwGgAlgArgZaMb+Fe3RFIbABJBBYNEE+6ELCbjU - DqH7+kH2te9uz7t/sN1RKMEIuNFqbG2sLS3cXllaKO/tOjQ1Gg7F03l/qtcViwMGBUAWgC2rVS2V - d7ebzaYheyRvzBX0uCXAFmjYQqCD1TR2tjZqbNeUqCfsd2l+t0MljPAGGBUAHahfgPNOobmwtDJ/ - +87OXpkatUzIk4v6o6mOaLaLOqV2513YI1TcJjSAaXCj1dCNjy5dmV9ardZq4VA4n8uNDA9rqtxs - 1G4vr7WELHuC2WzIgYAKkMDaK27tbm9UG7ojlPTGcg4NNMaIWQYCgBVguLJbXlxZvbW4sFUsSrIS - Syb6+/oSIa9G+Nbm1mZLqdGAI+gPu8DfxteAMyZhgTAAMAAG3AJg0Kjv1MzVnbpFXF6nGgv5W9Xy - 6trW1etzu3tVFVkTgz0dybAjGAeXz6SAARADCQxKBIBlO6JWKs3NQmVldW1lZaW0u2tZVjgcjsfj - 6XQmGAx6PG5JkghC/4MYJjhAHVhNL+/NbVYvr+yuFapugbLB0FhvdyzuRRqwVn1jx5hfKbv98VBQ - CQVkmZoEFOAUCwCrBfWdSnF9tUHL2KdE8xkfBCTAogp2KwBhDsp2ubVaKG+tLa8u3DLqZYnggM8X - j8cS6aw/ElNdbmoD5dA+gQQCIoC0vywCoMCQadaqlY1qdb1cNTz+bk+wQ3XYHlCWBC1staBR2dnc - WW9IVRqIZzN+N6gYZACoF83SRqm0WwJvyxn3xaNBDRwAlNv+JAAAYBj1em15aWlheW1hed1kwuPU - ctl0PpcOJBLE4eBYkgEUsB2PAEyrXNpbK24trq+uFbbqenN4dCSRToa8frfqUDEBAdy0MABI1H6v - GQgLGAdwAKb1VnO9cHP++mJxuWzVtEA4kRuKpfpCAU0VIHEgAGBVoLFa2ils11DFdMjukMcfcPs9 - Tgk0AWA0oVmtNGpr1cqOYTLZKXtDgXAkJIELQDL3+47MBNwCYgBrVav6wkbx5uLa5vpeqymcmi8V - 8Yz0ZsJ+B/ZEuSa17PMNgHC9HQ4EWEA4xgyAciYhHUQLBOwVS0trm3cWV3b29pq6RRAZ6h/IpTP+ - UERyOAUFLIQiGiBagInFpb0W7OyWr1+5srywQADHYtFcJtvXlXNKnDdKS+vrJXAwTyyZToSokNke - cPOdl1774z/6xsdXbx56+NTX/5//25DwztbmrevXdwsFBeRUNDU4MBRIJ5CCdAAFhBM46HWoFazd - tT/4v/6Pb792PT7+0KP/6F+dOp5ZW1zZWLq0s7bJmq10OJbr7O7s7lUdagtDC8AE8ABXeQV2N7dK - eslQTSXk9jp9HllTkCIsaDagtLNaLBWxrHsC0XjYK2MncBkEtAAMDFQSgm82qgvrS4vLc+ubi3q9 - GVADcW8ilcoGUjFPNKw6JBWAggnArZZRrlQXl5aXllZW1zaEQL5AIJvNZXP5cCjscP61fuPPtX7s - 85gDGELoCHHgDkun66t7S8u3l1cXTauluF25nu54Np3zuaBVA9YC2QVIW95rLqwszd+5uV3cFPVm - NhDNh2LxVDyeS6p+FwPJBGTue6lJAgDuCgcCtxjiuiQBmA1eLa1tbDa4gh2BYCQpLKuyu3trfn59 - q1BptOLxWD4Vz6diAZ8HKZodOJAAJCxANp5nQb2+udda2iovbhTKWyutcsGtUncoHst2h1P5YCig - aoDsrEYI4K02fIbao+scAAsO3ACwgMHm1vb8wvLcnaW6Ybn8wc50PB8PhVwKxs6l9arFnaFkUHIJ - LJsOqO8uXf7pD575va//8cypJ0584XdPffpgpbC3tnBxa/U2a+kuVe3q6k13dAWiMQ5gATABKgDl - RSjOvfTMX/zZyxfPXi9//f/8Dw8cGQ840fyNSyubm6VqXXM4O+OpiYFht+YSGjEptNpnFlAQqlmB - atHaWltuqWUlCqFszA0hFSSrzprVvZ1tvV6uIhf2JaVgJKKCBhzxGiACSLIsaLVa21vb62uryyvr - e9W6YTEJ045MLpNIRhJJp89DVCACKDdANABjDrRsiNJe+dbcteWF2/VaNeAPZtPZsaFBr1MFvbG0 - vFE0HaYzkcp6AjKoAjBYjdpeYWd7a7vAmeJ0hhOJrNtHKQWT6QgJigmAQIIisT9bjgzQG80aq+y1 - alXDVFGoI4EU2Q0gM4AWgMQN2WxBywmUVAUU9GW9vmA2W0Ffzu9OadQpLBAMBAPggFUQSs0k1Xrj - xs0bSyvLe9ubhBlBp5pPp7ryuXAkDK6AoKSOgAHIABLYX1kH3gSD3VovX10qLixvahTHw6HBno5k - 2K057aCiCpCaBhRK26sbS4uLdyqFktUw3aoWjvmynQlvwOf2Jh0e774z5t1nx21OMgcQGBNhAGuB - MAE56ly5uVpcvHVjc2VRxSIUCg0PDUaiUacmg9Fo7BZWdmpVrhBPNBby+zSkEQDB9zn8bG99qVrc - KrWYN9WtRhJuGRSLEasGEgWGtsvVa9dvriwtV8tlr9eXyWXSmbTLF2hZaK/W2ivtxYKeXDJKkQWg - A28BYM6dlZZ8fW5hZena1uZtv0fLZ/tGh2cIVewiQQAoAERwsKW3sD2y1QLWAmSZunxnvXrl1ubG - yiIRZmcm1dmTj6VjkkTArFYKq43S1l5LUoN5LZgKuYFykDgAMQCa0CoVq+zOVquBfJGAL+ymXkXI - 2ALMATMQMjeUagPvlvfm7txYXlus13cJbzlVFI+k0sn+RLzX41eQAhaAxVqqIMQUm6ur8yu3bq/O - 71X3FEkOB0O5bHc03u0PRikFYlspCgsLAIEABLPKxdr20tri+nK1sNZs1bFMWTTsjcdDwUQmEM9Q - J5Ix2McHFpwgC4ABpgKoZY/bt+MzA26xanljpzK/srWwsVMo7HR1dnbk85lsWlElQgBbpowEMBNa - xsbm9s5epc6II5pSwzGXC5wINPv8bOwYpY31ra0dU9IVfziV83mcmoIlAAkAMwDTAqQDNQEJw8Db - FWt5vbh+Z3H11oIqU6ef+hPudD6eDuUC7jhwxCjUMZgACoAMILcjAhNggeDIRlUZa9Qb1VqtWChd - vnytUq4hTP3+YDKVyWSzoXBIUYmAfSMu4EhYwE3g/PbC4tz8nRs3b2oOLZFMjA0NxQJ+meDdSmt1 - V68JLZFM+J3goCCZFt9buvnBmd/9+v+6I8X6jn/+t//Z/+LRxMbytbXbc2ah4jBxX74v1TUQyYYs - AhYGE0DCugqbAKsfvP7Knz9/6788deE3/6d//4uPHpgZCmwsfnj7zsLC0pIkK8lIfGxozOfxcVeY - O4huv+bAwCrysjB2THPHrGAz2JXEQacTTAkaVn1ra9farUsm8rs1KeLTPCpChIMwAEwgisVooWps - bGwuLdzZ3tiolksehyPg84TC0WSuMxhJSjJVKZIwAFhg6aJR3SgUFtYLC+uFQrHgkFA06E7nO/2Z - AWfEDwAagGKZCjL36TNYYNkEYAAK45i3AExABBBplWtrhd3bS+srG4VGtaRKqCOXSXd0RpJpqqoq - CCosO4rt1eqrm4XVtdWV1TXOhd/jGu7rS0bDxOG5s7FdNYVDkTOJmEcjlBsAdVHdWtmq7DYVJodD - oUjAQx0yAAImhECmAmZ5e7m1u2kJmasx7Eq7fbJCgAAAtxVTDEKAC8EtLhMAMMBs1LcLW1Vrz6TR - VIfboYJpXL388Z3F5a1CMRaPZxORjkwiFPRjV8CuMxUAWVhIGAAyCMp0Xq1VtrY2V9eW1zfWdko7 - mCCX15fKZhOpdDgWd7o9P0c32q9kfx5iAB14HaAF1GEwdXXPXFxcWl9eaFR2JUI6O/K5bC6ZiNks - Y0CitL21UyxUm7onkvRGUw4Vy9ykVh1siXzGWMNc2a0vbFVW1tcbhRVWK7odij+WiWa7I8msz+fT - VARtlxsOYMvRi92d3a3N9eWlxc3NjZYlQrFkZ09fTzzm0BQobC9V2ZYl03A6EQCvAhJvcEYIVewk - TQiGwER4/ysJgIbF6vpOvfn+5atLxR3Ore58rqsj251LgTCBGwASo64qh0bdWrx5ee32zZ1CwbS4 - 6nDm0umuXDqTiBCHG6iiIyACqLEJa5e+9eff+t7r8ze25N/6Z//bfSenOzt8HEyFY40TEFDc2b50 - /eN//e/+/bUbm/HE0L/8l//7ieOdDrlJUBmYCpbCKsby1tbHczeL5b1mo8YtM+j3RxOJdDYfCkf8 - bifGHLgFAjOgAiOEwGy11leW5+4srG8WOaaBQKAjn0/EYxGfCsaeKG0sFet7wsPcqUTU45XBYT9s - i4NgQGFndaGyV8CyStxB6gm6XS4HYkgYAAi4ZArKAbbWN5eXFje31rd3tiqNssvlSEViHel0Mp52 - +OJYI0yADEBRo7yzUSjrO1VTcwdCbl/U7yYAAgMnwARDAuhdu95/WH+b9fcE3XLObYF5gjEmBACE - EIwx0zQZY0IIggUBk4IOgEzuNrnL4MCxJahBFVCxLCNKAQA4CKNZ3mvoGEteWVMkzT7gdAqUCAms - /cPm/xfW7d0pdADbTsamt3DAFhCzfSlBwSJgATOAtcCwwMSmiZug6YrH0gBkkG1sDoQKCLehWwNw - E5AJTAJOQUiAZUH2oVsOIMCSoApQB3ACOAFkwYDjtqP7XfgOc0C2nYHYlzATtnEZBdqWkeAI23dE - AFgAhmi0GgwJg5n1VsPhcqlOhyJjLAxktQyLCckJsmZXhohZnIkWwy1OBICEmExsUwgMmCDgBExg - utlotrjMkENSVVkCjAwMDAkCggAHS3BTWE1dtwwhLKQpmqaCJAsQhsVVncstBhIHt90rpmAhy4KW - BIzY6B53g5Dtb60z4AgkolOsA2qAkDiTWya1DAsDUISYJHNNtitbFUCx4VUCHCwMBsA+dNvOqchd - +1MLgw6C2a1SACoACwCuA1iAKWBbyomB7WKCZIHQXcUMe8eBHd2RbAG29nHbtkhQu84QAhgHRtrI - vwXgsECzPy0FsNnj9g8kAAI6CB0EAkFA0PZ/hPg+Mo/bkM0n8ecTAHcfROCWqVtGy9SbptGiGCuK - RCQHU7yMYBOAcqAMJMQxN4HplmXpWLUkB5ZBBkCmUAlHyASug9FsWbTKJS67VIoVCSgwym1wWQfs - AuRqcto0oaEbOmOy1XJTcBMOiksoionb4Z/wu+q/CICBMIHpwmJ1U7Q4YkJIhMqyrKkqRiCY1TAs - EytCwjIB4IAtS5MQM1pms97UTeLwSW4nBpAYI2YNKAJEQRBgnJlWwzRbpgkYS6qqKIpCAANjhllm - WoVL2AkuDCq0+QKCcYI5RrAP3TIQHAQTXKoYYCJFJsghU8zNls72KnUuiEaRgiyXhMHpYxTrNvOL - AQWDEt6GPwThnJiMGKZlGCZjlhBACKaUyrJMKSWkLVL8PzqxOIgWQAu41bDkkkWaBlY5uBH1KMr/ - y97bxdqWZedB3zfGnGvtvc+591ZVd/X/j9vttrvTdseJcRvbRBj/yRAZAUK8wBsSDzwgOYhALEUg - RZaQIIhXED9SRCQkeEuQEDwkIZLzkgQj4oBiE2QSG8du211V995z9l5zjo+HMefa+1SXHSdq9Qte - qrr33HP22XutOcccP9/4xhhcO/yM2M7b4b3HYouvC5Yiw5moCDcB6ohXuLw869lDfXZe8Yw4CdCr - oe8o4PjYy+sN/byhP2h7jNaK22E9rKcTa91pXbc3bADjDCWDbQUWqKm/6u3lpYHLG7bccUw86AUN - vaNtuMR7m7+Lw/HFsjhcWClrr3F+V4+P79mzx+Utv7MTsABsF0hmo74V0ft5e9z6eesBc8NafV0K - lqWbt3mKbQBaQI9z315t59fbeWttOSyH4+FuPZYcYCBEawRZLMgObMAZaMAz4LB1vHyFh3fOevXg - Zxye8fi2lmcEilCFBYA2xG+gXS5x91rPuByLwx014B1ogDbY5dEe39F29rXX+4J6n4q939gaXuCv - wAeoPAR/+3U7vwbiuNZnp9Irz6cFOjzbHI9ABZaOivMcWozIXsaAq1VswAUgml4/bq9eP2whmtey - VCtrXZbjKSNjtbbg0XFBqcL6oHo+t+3xMS6bmy2lrHU5rA5siAe0/tpOD/VUHHfopb2Ldvlr/+Nf - /i//8z/3N/+3X/zBn/yxP/Uf/tz6xnMnzg+v+6VZlMUO93f3dhqabUWsEM6PeP01vPsP/uOf+/f/ - /P/8i5/4I//cv/hv/Omf/qlPXl5vrt8szXmxRdWX5Xh/CsdZ2EwB3iNWnfH4Whte9nIup7qUWmmG - Qw+0jvNZ7fL1ypfHspb1CK7AIsPZBwVlwdm3l+314+X1ZXt0+VHPTnhWa8EBsQIIR/folnF21+Wy - nbe+XZpg7qUu67KspXxAe+pvgG633s/uAk5oq854vJxfPb7q2FS8nI5+WN9gsF3Qz/AVfnyEP7Tt - 4fL63M7lEi9sfWYLF8fBUdFQWxIl94QfcjDN+HTTBnbEGf2MrXcemp28rJBi2x4ezg9bu3Ssh/W0 - lNNa3GcaOKDRByAdhQ3REcvLvrzutMsrbw8FrZcj1nuu1ee89CXHdvXLPuYJzKFecHWdXxOBuqjp - YWuvLu0cUKl31e8KVnRFfTi7WMsdesEFqvrtV7/6t//qX/jzP/Mn/7Ov/sS/8mP/0r/9oz/x1Tfv - Ufnb/fE9Ni88HA53flxRrANdiMAK1PYb+K1f+ov//X/3X//Fv/GX/tZv/uzP/ad//Md/6Ns+8Xw7 - v/ewtXPrEu/K4a27OwPCsRnOMViJFTrqNS4v8eqdhhevTx99veKOOAEej4gN7Yy+bXZ3Xp6fHSdg - BSxeZUc3gAi11h4fHt97/bgF6ctaF3QdSj09O6KgG9jh/cH1gFrE+gh/vFz65bH3DRHF61LXu3U1 - BnqLc7yyZ4/Fy4Jj5qLQoz2eL68vWyNPtb4oBT7q+/uAxyCqcs/hc4Mu2Eo/43zGZYny4tiBE1Ab - cAYqLsv5EQ/3WO2y4B08cPvagpfPDx8C3kBf+hnqiA5TprG7fOt43Lbztmk7l94O1LFWXyqKww9y - viaUShgocTFdoAtkj5fyO2e+euzV7HhYnh2XY+mwDYoELQO4hB7bw8Pjazz20rCWitK6Xda7Y13e - ID0mXRiZwECkC7yFzN3Z0NJzOzU/fP2Mx5fvnl++e6x2f3e3rGut1Q2IDe3Swh+wbqUcChaiCIC2 - 7QKiFsPrV/31y/e2KG9+WMdTBerWfTtjcRgviteP5zgHOt29LMVXC1qgZq1xpVbr1QVsiAtowH2T - vfsKr1//9uP5d57fH07ri7XcmTFqshWwACUC0UGEUaTrjMtruGDPHtr69QdsD69ccXeoh9NaVuvq - 3F5XXOLx5cut2N1HtNaVKMoG1ht4Rn912erLfjr74VhxNKzYgEzVBFARqxrODY/9/BiP6o9Fl9Va - 9YP7W17W4VsBQK9wduCybds7l/bOpZ/DDlzufXm2+LFyzqVyRJwVBiskjS8R754f33n9cHp8vJde - VNuKtePB7HCHiu7DbTbAhOknu1g6aJCle5asjt6i89WGVxddzq1YOa2n0/NFhoYLoh25WDh66PWr - vp17XR7Ww3ldS3YzAFbB+4btt3B5fK+vr+xuffY8a4AcWAU0YTvDOkqgWGDZmj88tHi88NJ6a5dl - i6PW+8Mbfqp9RacK3jVciMNAzYZxFjYpPcwAoNBl2y7n3ja1LbYW7mU9nE6nU6ljyOyAbtmpDdoQ - Ol/a+dLO20a3WuvpcKgielwaX2q5+HI4jlNUtgve/fu//L/+pX/3T/8Hv2Ef+vwP//P/+r/1M9/+ - 2Tddr9p77y6vcHcpzw4vcLfggAsRRDgK+oJfBX7lb//1v/pf/be//Gf/k7/yr/6b/9G/9i9//4/8 - 0Cdr/NrlEo/nHp3Vl+d3z+FLlOXsOM8I1/FuaRXnite+2daP3o7lyM3xgP7Ow3l52O663R8KDgUV - F8Q2ZklbBZZH+ba1djm3y6Vvl8VtKWZl8eXE4iQoMLrnxBh1tP7Q9brpsm2ubTUtp/vt+GIjAjgA - R0WJ8zCNgW5rM+vA0lHiEeyDxN3VGl5e4tW5q10KdXdcl7uDLSUAD3kHYTCG+rlvl+3yeL601kz2 - 5rMXh3rojnfP5zNVzU9LdQGXh6Vu6O+dH/GyHZu9cTrZUuDMnm8dbCs7Lu/h/HJrvvmbvb6oKwpB - IQJggBc3EJSgdvE8sD1a1NdRy+H54mCPd975+uN5g9myrMfFjoubW/h6AXo6ihC0QT4xBPV+uWyX - S7u0aF09zOqylvVQ15Wwaw39jGT9NrzUhv4KfEQ5wU4vo5zPcX79MraLUYd1PRzWtVa1jQpfSpwf - Hx8fXl/acvdGvT8ZYNGsPXgxIBtQIjoeu7atoT1YP4Pclhf98NwWHC27TbbdwxIswNaFy6t4fK+d - X5/lKsfl9PxFdVC4XITjOyyPFUfH0bDgMbRkZUY2fQQuN8QnYAuc22OLB9l7l/7w8OqN5/fP7o6n - taBfEBfIW70/k20DtkdcXvdtCxHmh2U5Vvdi8AKWC7EIaL/ef+nn/8Sf+JM//0vt8Ok/9u/8mT/7 - 1a9+5H6FYUMYHu1U+d47X/u7v/wLf+pnf/av/x9f/8yXfvRn/r0/81P/zNsvai/6B9gW8IgL1fWy - x1lQNERb3Hw5+HKkWyWcHWpAafIwFMKk7fHVy4fLwxZhy7rW47qWYisu1CO299rmL/HsvNwdFxyY - 8UvqpA70/viyba/DPOop1ru1lAUdcYEA1a4iIrra5XLZHs/90nQpxY+lHkutZQ1fwxHD9J/7+eVD - s3OUshzxktIAACAASURBVNytZguhho5AAR2j/+Y/JPL9g+sDrm9dwwQzk8QZ65D0a0FQMusvwAKa - x90h0APdSncDu8MHVV+AcDydluMBtmQKZotGiyt/EjfNO75pD5B/XhNPySAnwEG9J8KhBcsBtVZ5 - 5froOBe0VHypM24L2dI/GUokQN3W1uflA8Kb/2KM/7AXMOSfOWpjDxv7nOqz8zKVrRNQBPajFy6L - wLvtGYvBKbUIufl6XGVLAyLQFQU0L2uBAwJMbmCCxxqotsOWeiquKiy0MZKOnm1SrSskFdob9/fG - MgpFpB4XWmWpB6B2WOxTyPbFAWCzMHt82wetx0EHFrBYWU62RJUBIMN5BuIWvb/+5WORxL0H3Pjn - +Ei+X3DoU5g0/MV8ycTa9ryHgFnRpRtgdVJurzUyQ4x4k8i8fuK12ybG38xp3fNuc+wOOOVwQDaz - s8T4lBAiBAWhUtZa19PdM0UnRCrkMNMNsmw0LxXFfAnHshnGTxOny8709XCoC7Bs7mXMMRuDj8eU - HKoa/IjTcelA1XER0C9SjWvnC5AzVgYgAw3uNL8/1JMtYxy2EL2FZMThcFiMA92KXCErdSlkXaV6 - HAvMLOHRWDxzP5Rnp9MzKMgAW/TWW4G8rqe1QghDvZLTQQ5q+rjTMajdWZe74he5qZMy99OplmWl - WSWwbUDAjftsN4LjXTWaKpHFvdbl/m5KixBZoT+5tr8PdZXqocDLwdc31/X5HRZh7YOkjy64L8vy - vLIDNGQiJ89biAbBKtbTaifzQWxpoQJOSWwRzb2cDLbUYpXxTBEUSEfJMyApq7iupS7j/Oz9RgGw - kKdSShFla9h0kdRbjzJakdhpNdC4t0QEYQXLib7e2akU67uImmk/iHky1/Xu4Hc2tWP0FBqzefaT - Spx/Ote6luNywn2M5k12g7yJWZjAXRXABy0cgGM5YLHVD2s5w1exXBKPFS6CgJUV5Q5lXXAsWMaI - EiAEH7k6R10PxTt6RTlnQP0Bu+yIAi6wutb1zRfEfSG8AIWu5maRA89z+3IE0OQ/A9nMC1kWaJAD - QPHT3boeTqLR3K2od2oUSjkgMyIHSrhghbRDvVtr6gcEFBGtGQNWcFiPdkQWnUhgQbRNbMCmEFDd - V/e1lLtlAcAomfHs4zz0fE+woqwoywa79B49GL0Ay6kecFpwNFU2Cwz7Z9f0o7IRBg9+D1uswGf9 - lRkplMq13lWGje6fkUJzVcooXu/8/rgeA91RqmrZAKH7gL6InImjMWRpPaxHJ20cXo3MzvuKe57i - tuMkmDlGN25x4XFdD/erEJ0MZxCMbQL3ATQvfrfW4/oioArULF5Rz6KPfA7Np9k/dxwOqUued+YL - ijkXRw3BRCzLUpc7IPWDCZSiB5gV87hqI3HYMfO1eCHq3TPnPaKBtY1WZTdPnS/OBiJPvXFagTpE - 1nJa1sO9bWAbuHMgNqqe3ASE5wGQpcaGByHKTWvF3QFHf27HFXKggp5ZbJuqkSHQYFWsoBnJwRCI - w2FdjoctRNqCkhJIwYU6JrKPeefwiuN98fvjMsKPaZIdywGq1Y4i9mwLWEa6XARRarn30+F06qhw - L45+gQGljk8hQXOopBPitMOycKlGOSxj5mgbe1Cw9XByd0PfHQmJZF2XZV3JEwkFoiPUSk03QHyf - UEoworrTTwuL3wxpmH4WoTrqiR1HHNxfrKjIPsA5+TyVzFhvJ1BhpR5OteBUsiGoAr2jC+oNRZl4 - H40siDDAQT8cDh9ay/NnsGwbFgjQggAREWEdpONwOBwOS+3mY+G2C85W3TBG5wx9YrsvRpCWzcHS - voSyLvduxZ0/1/1xMZRas/5P0QmiLMWWEy1zOgoIIiefhYb14ObPA7GuW9oFElYgA3L876mcqk03 - rzG2iIE8FrhosjnroKTjXAzPTzgd3+o6LosXLGwApmO965D9gEHY3RvauvDNCt7fm+AEM/KhmS80 - d7P7XrXUltIQiAii0wkudTnc8bAw+WvDo4IMsPTiaDisWHw9YQXullEfVhBrdGwNCqi0QkR06+RS - 6uFZ5XKnHioNRVhs4iFjxBpTrjtHD6N1Pb2xrs96vycwggIDDJugjsDMAQ2PIofHanbBS1EZfqnV - er/Uw12y8eQgDGfgggKqCosEkuuhLGupDoMsLNnAHVuDe8H6DMvhTrViSY/7ap1J+ALbMrtAoBbz - 0+LHJeO2ZtulbgQKyijMJwqHC/3ExItQChiyR8myWC00q5L1LshoNJ+d7myW4+RtwOBYD3U9WHou - geTtAMDifmdey0S9AXMPqPUeEmFOq15WYuGpvijHk9tWUuFmaGi8riw2tMiqxG0t27KQbr4cj6sf - n1V0QpZ81bzJ1DiB5gBKASoM1Vbzq3cIW9b16PWuE8VQAMSMpmToCoab17VwLcQdBskjDafF9P0G - hsChro/0A105BwlNdBHbXvLIPdZL0zT5twbAR3e56KCVpT5f6+kZGLOK3BUIops5wxDMkU3rWtd1 - ub9Hj0BntYKAhONhrRYGG00PvIIBX9ZTZZw2Wk6g0b6fqdiWFYYateDUeA1958GZ25/l/TJYQbXC - 9Q41cvHdnj9/fg+aOzlZRIpIrbPr+EQgoqfu8uLH5XS0O3AMc81RnLvvfAvdXsGG8TPCSrLSISyG - erS7w/NxixkJcwg6AFuWk1s9AMs6LY/TZq86BQTzspayHug8ZZwRdrhw4CcakgpkGziEhGL0dcXq - iPtnKA1V6Wz0DdXp5c6Qri2AUEgB2ZNnGV9lzEisOFRb6+EIbpfnS/HqTP4zRNEIFdALvBzqoUwl - RQroDWpo0QwiurpvcWkWqK0/Prz++u/81q99/XfeLB+pq9VqYXWL6F/7zV//xf/977x6GW+9+daX - vvi5N54n39o77zwPfyGX+qzUE8est9yALmyB1jaimwk2OkMmfa8u64vleAeT0aYQMQxy1ENZ1nuc - qsEN7KPDKAyQQeHrwdcCsPnSs7+E9siOyRIxsvh6OK1iyDRkTIKY52lgZKTXw7GWBRVmRSNA5zyM - 31yU7v9X17cOuv3dtmn+KJt002c07QYzODPomTMwkmNairOmZ9ATBLEY9OGBx33zROIWax14Wcyw - Zg9TqDCGX8cv0sHRjBlXAqZuwMRpYWcmEaMn1g5xDaxxeT8KmeWSszJxdNB9ClaHT+R24JKhbqMZ - ZcCCNavqWGvpiOg91KEuYsnB0JCihyAaDcMecH9kxpwVGCBY6DRNeCaih8KH/QqjAmqtMg2mSehQ - EwEvggPLfrfXJbcZkNrtk3nJlUmWamSgB4zIcwB7k+txq6OnTfQpG3ZjRbG7//uHza3ya8ZgxHdP - BpfsWMIEdDPCkmGfpHl7ab7YMAgynJZwYlvX25mCk4/Byaie08jmxyZsapzBXX5MktlJ0A1pwc0D - oYg8Q1mlYISPJck3pOdkjJRYuxF2q7Cace+NhBqU/7EnrDC3cgilOMUINuR7P6f5XIM4DHF39aXo - vUOSsfj4HaUEmjGDyWqlQrDk2dtwJuY50FzO7DEWEb1FhIji5sSBaPt2p0eYA4yvnHaHkOermIeA - EJVFxVyqjb2sFVPz2FxSpvs/Al0LKRSuAXfv8jVU1lNX4ve8UrSVDWUJG815EyO08bhORAAB+T7Q - U0rolgQLYJZknJ7Kc74Kravlxxjn4rnf3ICgTUEko59XGXyC445JORVyGGjDTQ31iECX08gKgztW - DgrhdPIdvsDltlRcTxRozPXtESHFkBru9x6KHkGY+3DQCUGhgKaskgXUta2w5oS/zDNdn4ATup1y - WuCCNXCDOnGpLETNuDYG9/AAbCANzYSgR1IntO8dBHOwwDrU0echuvkbjqggAi4rBW5Ow+j0zeqp - EGx2YiFvFUZAIDMfYoOilMJi5lbGivRO7moQRJ6psp8yTqOSmcqIiNYVjQyC8NFGmOkn0gHfhHOP - LUJQdRZmzi0MnuZDkQSi5mhEGdCtLQG7hLI5KXvYsHdN2KRCgxn6ZOkXsGf+LF9opNlq+S3EfpRo - cHNj2Zdlh25nEMCMg8H5oKMLswJg5D2TFuohKUSjhTKRNwpbIsj9I36Pi0xKJgFEOgb55uRsdMAZ - rIWCHdGy22WK7NBnEcRc+XlYbj97mK0IRZCwiWkhR5yn9iSRDzwOJHqPUcNrGJZ+HKjBhREiFJo9 - oPPI7bbn+tECNE3VPJF5rlDqkHSNb+9tK0kbKFjatwA8PRtrHeeOjjQC/bRi8bTZJlII0CQCA3Ew - wiwggy1dDpibOxDRt3ZxE0hFr3V1XM24JXQ76iwYosHgFV5wtQ4aocuNg7ALlrFQHZEssFHmVEpx - 88QWSwWVNCuMLqzmiJqMOgOMHpkRG9ZRLRShkiqPo3iWQELRAswyr8CUxgj0aO5mdiMXvNmktFWF - EMrt2N0pSdaRLiEAVLDikEYcEYig2+gk25+4oru5Tf8hlOiGoIjR3gqj3ypghhh8ysXGQQygCz3L - KUSForcuhECjmXl2fe0CrZTDMCyCdfTRKmx/XgIc3uuQK0mEVEkWWKkMKQJmghTZuGk8TupYSYFO - kjYttRXUTMzubIi0oYD66AE3H1FzRmBmdYbHOUIEB6EIhGgoDic6j4E+lOgHTui44k6GsqR6GtZ3 - JvBGZgF0r4nbuy07dyGEiAb1xQirTNc/lyyUSRzAulwxRwH7uI3h2073pgttOGUdJSvIVLxcPW5R - XUKIfuPQaubENT2Dkv7kOInzYGm+RLhJs0GQQmmstS/07FR5E5OkP6QhVxcYWUKZVMiG/gTlzN4A - BwJoaBsu5FIOQDFwBYSW7BiISqDHDVZybpoUmChnnpDiZRBdMBEQG4nQb8jrGRChmL9OknRTCEAp - w9FKfZMpWPHm12dBQ7bpDabjQafBHUDxIX6pD+HeFefLpfeAw83XspQpLeZ2k4C61dzRxb75pS0R - BPpxifVgcDTQRKOPXM44dOnj5gdPZ5Ij4nUfkZFgRDGr1WiaDqMxwzdIIQYFBG2m/rjr3usKZoZx - 5KSns888yDTIu6hAVmfZCPM4XmrGkT3IT/c98ZW+jjmyFfl8ZxGdaITDiECPYcczIeVmZo6OCHTC - CuoUaBKlFsSWDu2CMdU1uQQgbgARh6+wStQ6AQwAZgl9ch4SEEnlyTjC9vEYIEop+9ZndkBBMTIn - Oy8bxznadAg8oe2MfDQtOZ56F9+olADCChhJLbru1/BuhqzDjNk3nAartZpgo82gkVwGdz7HgyiC - gZyiY8RIwV2RjTmmmhowbLcR9RqsAMXpQWDH+Kd9dwARgUDE02fR9KyNECxTJSX/r4fCqwYi4XNI - SyYxY4Sr5H5D6BIj0EVXNHTRj5/+tu94/v/+X//Pb/3a3/wbP2/+6tPf9vHTkXfeVj1cXr77t37h - //wrf/mvXR7Lt3/b53/wB7737Q8fB2UIJ7cGBSzgPm9132JIUA/13hEDAUkAJtKVoLnXJExoZG9h - hj4CeSfrjEluVBVnpO8AfIaxAphZ+em6SQjFTQfyeUgzK5pxFUYqPZk5Q66YImOY+dH3S9cfXL+/ - 61sE3Y5uCU9QAACwCdRGqIMBD1lJyzkYiQ1sIZNKarVihmiILpVMQS22RIJ3MbOH39xrOjIj+kLf - bTQhwozOHBUSs7v4cOIHH2hPak+DhNEVbuTDNGNP41Nx9lGEMszIbHnwOLq+7Fzem9MzMjNzrpcE - iYgQm2fPh1FCYYCZg7BgqiPjHNBgwFKcGph67wjBHLJBjhtouyJoQImIGrJk+xK11CAD2eHKSi2W - 7519HdzNjL4MR/z9BJ78yyfrQE9O93DfGDBDSdBw//Xdeu18UnFfGU79ZOMHuVgziNl/vMck4190 - 7CVaV7s2fvUWvU2HQUgOtjFxlR1LvN4GYzhm+Z2MyYEbR23aqpw5FMNdzbdL0FzTI8K+SNyZ2Gao - w0kbj9+HYfZhGeePbHdDNQd7U/TqdvP9kELmA5rmdfmQbFxEBVbHkv49esC6Mi3vtfgkHI2Fi+mu - PVXbJIHee5qDZVnG7t2QiOg59Gk8skIpmIN5aSXzt5iHM/8j4EbON5QGNTcTGQPams70jSAm2XHI - USHpe/o+APQevfWlVIIRiHQWbZeO3OIAaO4mJxmT/0fSLF8DAYrA757curlM8FA0Za7Fs1gfjuFI - aQsFaDmLvAxkl+Yp8zYSyBEKL0QxmCd7/TLQF7fMmkagB9x62ZU2QcqhASslrtfnPj5ptZ0OBBAm - giVoDZARdBM8emGH+fAZAhvgo0qLV7+cqRySIJdaXYS50eaJmRsytAor1HqHK4NvullO0ZZCPQby - QBoTlAImuMjrm03BmQo6mzMllN8aeofLCtxf5LDJGCWdBxfRN+giGOxkXixPYbqrYoiiABkinzMn - ZyDKzQk5gCvtCksQAbUIoxXOo+SCEU7ekBGSaAHM8zDyW3uUFWqtXS7bcT3SPXrABiCuIVrqktA9 - EUZAIQOsOGhjixP+y6wPHRFgaeK5h8xA9K1Z9I54eHx9ON5n2iPvuUBENxjkCKLrvdfni2i1mpsP - k6aOh/NjL+Jid7aMUDwVraFDiAbrDhIMqBNG1qFRLCGk0a6SYIHNgEL7Rk9/0xJStpn3MUI0cbZV - T+B8TKPjIEMB7hg55g9wP6/I2PzZVLeTHC0plCUHHLa3LFlRYmYkQtElASFzGhz0ism63eVzV/97 - 7QaZ72FgQFDrXQ0G9woQob5tQcILko5RnXJeD28eFowiBnOyLLDgAPENkmlGeleXY8jb+43dvgIz - 0o7etG1mvRTLeZ2yxHOiowlMVhzs8bK9vnQWtN4u59cc/YvQW9ukTnpZC5eEiGYTqZEoP28Kcak1 - yabH06Fg64hsagCgd1ggT54RbVr3TVp7DrxCb2CBZQ4yg96cQBA9vNjM/AbcjWC7gRh0XQQNNCry - 4xwjp2S75xY5CUZkRJBGsi4LBZMykVpSOeZSuhM9wNYbYiOcgBvcq+3MT1wlU0ySUocaaTOnmOJt - GnEUPFOBXUNtMEkDjz3U4WeWlTS0ISEx8mbGJ4IOGObkwjoV4wBmxgvtavo7EnvyAh98euUMpwKE - o78/yM4lJiELMnPPw9UftQe46idmEoBiRNDcCIS2bWu9r4eVZnQqoeKty0AzN9CJYESY20io5PtG - dG0qMNSRM8SA0Ua8IUAjze1mcwzxnt/CWAl39muusivO27YoDrUOj/361ExGMTFPJHxkuCMky+IX - gRm0EwBMYVTRTUKvOMSiSH/RAM9oIzqMDdZhVSjak0TTSU3Ut/fu0eAFWQ/jgJGshk6/Dg6DChBG - W4rdBpXCyA3bOBQ9ItKPUCh6V/eEVW2Kj/kIYcgB26U69zxtOdopn9kGohERLTpbT95JwHvxBizI - tGdHzEEZCLOEbmlYzbAZWp5imGGzfjaIVoylC6O9ATHwKEIRvTVjkYYfH2jwBnap5trNGETDdGPI - JGmgKyTFrjR775dLdyu1rIl0SU82IsGQQMz0AdHi3LYgUH3xNTVJZEKTs8aEALBdtofHc0iDcjBo - kGrRzudYNwdrxoJGdEFo4GasfnwTvCMcrmpnYgsISErwDYqeBTHCMnIJbiOb0CFvDVmkOeu0XBo2 - VBhpK84xwTlNdBogRYQiPE/SxFufaoQdyZfQSQakQPIql5neRhIkAtwDY4xAmjR4wRgcndopJPau - 6OFevAQsiCaVHADpDpkLXeiXy+ZezB3cu+5F4BJh6NXp7lCHjagABGKO2uY44YlZgjEV8V6GOV+R - V0AQvBTAR964R7CZV45z1XsoIsy90Mw8s1i2V8iOE56EcGUnqJ1KE7v/8L6YDN/wTc7F50zYwEaB - WlJhAU//OgeoJkMpdymiQ8HRIm7weQalAWSpKGJCLB2kTEaW+ZmxHwqaD0saEBTRe+8SKC8LjCgF - feu9BYo73JF1+ZJRTx4Q4KiZpjiLqrfLJpTido0IvGCwj4YET2x5ShRgXlgKQMGC8Cjg6fD2J3/q - j/8Lf+/V//QLf+F/+W/+3H/xF/6HN1+89VYt/fny8Hx59c5v/trXfv3y9a/1L3zhj/7gP/lDP/Hj - f+zZh4911A9U9b6oDXycLnieCjej0Q1czGId6cKZRMnRuJinrHf13sysZCiUlP6AGIZp5XYrTkw5 - VMI74EhNjtTXPIVmg/weit47Md1Om+Q4jkQ7Ro11Gyn7YrnnItMLnsv4B9c/2vUtgm5TF5s9oUhf - v5ZmwaprL4tBepCpajnqY+SphiEOB3J4JCZQEUNgvinXU+2Ja5C8Zz935bvHhDuUMBJzN0NMbh5s - dy7Rr00YNAyubj7UEYZO1Gl0fHQ8w478+pN3BUBcffgZSYk2eoBCYECOG8IQRzmcC71Fj2iA1bJw - 4gRmw63k8JySxpaOADVy+5P4apqo1W4RMO6Z3K3FDIhJztD8eoT3pX7yZEj9TwAy+Fj0RG+J3YJe - E/bTvudKaERAM/7OhZ67zAn4XiOwAY3uliv2n+y3JUxQYf4gHQoiiHJ750+fhDN7RwB7OSL2gPz6 - e7mZ6Xtihg0zeOf+BXYB44DDrp/0gcb4ejN7/G80eSamrwxeklZmRsS4m4UdyEkGnwyCAjQU68JG - lhRO7U87NlCD25qQzJ5rySTHKKZIKeFwzHAlwZBQxMwVj/TJwJkyFsw8Qy0j6JKyjIOT+Jvuv9tY - WdsbHczFmKfHKEdnDBZeANGjJS/AWMxuCGzz9O8Lq7GEvP2JZSnmNZ2AGNWbep9u/KCLkeQGmiFI - UbKZ+gUxBEMk4VnQdrPre3E8INBy6OqceJdHMsaR3P1Km9y9jEsbxAbfQECuSDWwNx2YByj5xMPe - 5/LkEc8SfZOZJn6JuX03T77fNx0ilRVYc2+UJ26s1b5nUzgI+pCqq/yOgy6zufgcNZhPIuVxKU3Q - ADmekgoqeAQCvgRLBuALQOxHvcACaiPbMk3FvEkq25DMT5q/RSjQNDiHjjDG9QgHk5iQ0jJWdNBD - nooM9wBzqgXXKEsaC+T0pYznNia3SDMIGtE7QeNAL/N180bmwfchXJLYAV/e+NBHvuvLXz48e/6F - 7/zOpXgBBPlgBk5FmyYjWbcjZ1l9PX3bF774fV8/vP25z3/ojTsfZLGSdLsRBQZikmeSDjx4ORxi - atehh7BhT1Njzuquycodx0SWlOB86rE6tmNdUJcGOXvG3bm4ulGyv/dhff+VxyEmm/Xa4mtKp83K - 54x76aTvJWU2bi2vPfH2RLEPR8l4E51oHOBdfU49w31HdtnOsQczsg1EHw7bqNsFYAxBCsZ8kxtz - uR9D3X4TGArZBxDmtLAbEyf2nuE5CCCCthFYDqe3P/6Z7//B7//CF7/zwx9+XkbiwJ1L9949RA1f - piMMYd0H9cveeOvtz3/+O7e785tvvlHK4C87BIfiEsHKemvVufsaVuEBDO7PjjyOBzKDaHSbQOrW - 0XLySxq/GVnmpgqz9HtnM08IM9+A1HR5UkuS172ZFRkJOOwWWfOUc2r1hJppiVzf4j7D0CSKqOsb - 2BC4q2CClpWgV2cA4egwg5ywJO/4aHaUtJmr3p2rd3WDdBVUCb2js4cNKmqG98PEkOiBHjHB7IGG - 9t25Qq7NICTM1YJTmGj9+FAB2TYjNBJMhEkcTbfkTsEjxIxI6YOud133hEbDWAF2hU/zarRppzR8 - 26B8Vp9cF8LmDaYTEMjglRnu2/R/cxPNSrW+k3WvG4Jp4DSXQWPaMIcwz7XKzwrlcLUxJNB41Vw0 - iq4bnmTun8/bDljPXmQ7OjKRTrs6nFDWl6RyZWcK5SicXzSIsdidwxYQgyNASQ5/Eyyy0dlIuEt5 - DKZGGsU3A8IUCI5mSdMTEWaem1Pu5PmIA+01G8PrEhFuCIHZiYgm+jQlNJSSQ4wTzbguEZPXb+iD - ns+hgMiBxHGXQ4wAV2rAtZ0RGuR8QlMgYZOuNHINJIvTuDcPxPX1011JNyLjLBNBFi/d8mhPXXot - megRnqZwPawffvvt7/7KV94pH/vUZz671oWAw4zmfjM0BWBkXqARIRTodLr72Gc+87kf/IE/8vGP - nNz7pu6snpyhkePxHVS95g6HpYN87kaAI0woSVKxwHwWgKbs6LBHlHu5/V7pvbtqTywOwdlNYPxp - MktnbD8X2RyLJKeNsXmiMrSFTU8UAOQZnigNdKpyu7XSY60lt2KjnR2y5RdgDjeazCj0SP+5INNw - NxmcKcwSMhNarmd67j7H2RxOB0fdg+aDz4BB6orxfINXzF1N5s5i35ykT+X6TgswPlPSJAn5k4V9 - 6iRPhQzY7sCOo8Abh5q4/uoNUuMzlMtnbQEEGe5jjbdRe2zeZQFmfDMYNEnpB0gTrCdISRGbK7LY - bNcI+RPLJW6Ad6bfqBuG17hFE1xTywKRCZLd7ZVAm5m8AfQ+dbxzQ0Z988QWjOiOw7MvfM/3/fTl - 2d3HvvPvf+3d337v6+89vupxkVpXuX/+kY9+9KMf/+h3fOmLf/TL3/uHP/nhU8tu+J6a06AFEma5 - 1WCpc6gO2x22m/0Zf01oxlNfEKBCycEuOcnceOuH3W5xahvtbKI9wryKy1jnfHRPrRzXqIc3ryAl - H/6MFKMIgzeR3h9c/xjXtwi6xUQonsC1uzkb3516cDfK6TMBucvDF8ny7fk+mmiEgJBce3T1zbie - yOqOtQkjAss7yIC64PotzFA4fzlm8t1u33bat359Al1JmxruRZ/NXnYmRQWfTLW+ucMnCnN+S0D6 - ctPzjBv3/pr4yxtF69FbI1hLBQa8Om3X8Jw0gnDY7LwL2p5dG/gP4yY5axEYBaxZ5KcQAuRNA8PY - /ZT8b+IE04Wn8nenJTImSVlzaAtHeDK17K0/PH7npihtYms3P92L+G6ipLlMV7v6u8rWjNv2B9/z - vrtHM3oC6ObrOXhu9gXAvPWxVoa9Ym28kc/bmN7u9a52d33UnRHXonI+lZN0QiTEfiwzb7Y//F7Q - uj//ngAAIABJREFUYH7L1hl9baGAQsPZlizFnAApsAGOZBdoLMQwbblKV5cM+6Nmim/fIM17GLHw - 1AtpgmiE0/ZHmmFb74re11JnFj1CEUjWMAVKQUWZvVW/8Yr0FJUMF0jwIuZ79w0IyqzQbBSojpKg - uZ6YxPCEf+y29ePOrE1PIR3+302enl7pA/ekWTEMbUQv/SoaO8Q9cK/xSaNEj4bpIE50XsgYZVYZ - 9jxDIzTHVZoU6BGwBmxmlFxRFKhlHjYRLDPCuoEsxz+kSKeLJLPNydQtut0EgVmXBIiS72ir5tbM - w0DyxvO4SrdN/xpP+SvTpxU0Mc4pf1N+xqZACQ4Ce73vsDsOHlAJK4Jne8uCOb2SyPR4ircG2eTG - nA2EJY9e7GcQANSjb0brHIPNpuYZxfm5Z5oZwQEoS7d3D2LHBvLZsznXWJzUm16KT/NhtKTZSsGU - 1SFAlm8gXGOnUER0iYCZxrdDW0et68c+9Zkf+OF/6kvf8+5nPvvJQ11KLvRSd9x8Xo1oxFz2sh6e - vfjKP/H95a0vlmef+/hHPpTGoKCWmjMkI2Q9EJwzNxOPKTZYeUPfj9XqUOFuZq/o6Dw9+V8BqvrQ - 0BwORggbASjnkwmmgURl9dksSLm6Hbvc/UMu3khjlvg6/f18xWwzJ+UBlCHpJhM0iVkz+dQ5+sbL - mPxUCSbSfEdYB2GXpUzwKKbMJxlrL/gRyQacu8qMKceW0SJbmkYnR+j+jc86XTKMrHaPCWEKpI+Q - 6sZ/G9EoCtDUgUbo7tkbn/uu7/5nfxof+tiXPvvZj9WCMYvT1+JbYBtrEbOJMhvRIcHqpz7z+e/n - hz/xsnzqk59Y1kVAoCczcItN4SjzCOQzzzoQsqAA0RK61b76IzfvMBpLKtcQtgYCbijUKPwc+3MN - BLGXgU2VDyKRT4I3SoI+NwYYbR6ZAei0yNIebsMsTeDTSxPq258u+2DAxAH9QMndm3I5vfDR6eva - XKQD3VA0G78E1BklO31of6R5LPZbCUiKQZPmIE0iusJ2Xw4diR0ZshNatJCxMsvgr5iCJk9t9J7c - XfIExPctyvXJ2DbQA1nXQiZ4mr4lzb0aWu8K0F3AXrHDuXz73OYAEQMgRp4k7KF/g9SjhF01bCqT - m8UPZZUHqYieCpZzuMV8XTF3YhCab1m3I2jW9DsZebsD4dpfOtVgIEnbmImWm/MM0iKiz9oVT/gx - taYiDf9AFPt0sTPjYDOlREgTxxyNXkaLE6EkR8PT5ikyO7lFB6O6zxPRoAat4gG2317PaqYJCt9m - PcbGcti0qykROfGnoZ9L5qKT387hzA8UGNusqkZib76/M+AFkS13BrF3L4cLowewARAqOIASow8k - eVfBeZaDwAZ0jvEkjj7zgfNRBiZ5lTgCZvRluJH56FcZGP/W5Bywt6iJbNViVMut0oChARDdLNAV - UeDy092nPvPpf/pHfuR37KN3n/qe02GsTGEpZeY0h6CB6MaG7M/fDy9efPq7v2zn8+GL3/Gx08mb - Aqwa8VoW95gwff45wGKofQIFplHwDUu+v8AyHjsL9wECTcyWy2aThsP0oYaTFhHDb7y5RM4KvD0o - JGasymyVEkk3zy7iu1sw9Iqme5Nc/slelNl+TpPeMAZB7GQICQJLWbATaQ1Xh5EOZw9EDy8+fizt - 3tg80WkPsLc9vEZe3NVyfiMthQlMjpR72YkeoYgepZRidnuHmEJmGo43xvmOiZ5IuPEOhIiEaMSy - 25ld0Ke24Xxj3WSypL2f2e2rr2+du56pp/mTAFqgd5O4ElUNugABO8CWrlEKMz+4Q1tm26TayA0I - YAEXc+R0Q5aMZ8kEKQ1CbOhqwMZyJXhOzHNQ1kAIHgnFSOa+1ztgNDvKkysgfB7REVbk7ZECe7Z2 - UczNMfjx+IkXP/n2F776wz/5S7/y9/7Or/zy3/3V//t8eeVoh8IXd/ef++wf+spXfuCtNz90WAHh - 8YKwbm5Cp5lQJl9jJjXmR1OhCA2E1fadGB7YsDEqpkzwBNQjRCOZaW9Tf1/jkXlZll1NYzchuZto - I028wUi675hOjIDdZjsfImXPkcSTiOjpuuSi4Q+uf9zrWwTdmrvNoWQAovc5/2No8g50WjciYA1l - HNnA5E1OfbejWnQfLH0ZNAYB3KjGb4JUpHHJhJmle83BHonZdVSZWgxG3xv+pL4HcgQqENnhbFiZ - K4EicduY3576fHKEypim2nKGhHTjMON9D9hxO2xj+LEczj/9WtUFXL2EHYfOE+VGWK21ljH38Rs/ - agQduL0PAfTM4U8USdGB7CwfgKSiGAyoPZgu46kjiyQB3oTW17juqi3QMDpbp/uByCHWDWgdrg5s - FKWVg8ZK7lSr6yPcGLXrIxBD0AjYTQ+oGddwepNPrBKFp9gJ5lbOkTzyW0vM6+de9f7EeSco+r63 - G+htYEa413T/eLdbObhhDdy82e2Vm2oAKSgI+jc2GJkqGLpyBMen59Cv+bmROTeOs5EeMtCAC+Ch - ugUh1GRtWwRjhMmceV/zHPPae8QY0zCctlmqrKeLT7ObnoxXv81B0L0k8Dc8EkU2KZDEnv3zzdw1 - QdmdtD4eN5WSAZntAAoGkZ8iuVgJNAmjpUtSIW5yGxyOXZZhj86D0wd9sglInGW2I/j9mLHJz9/T - HTldwLKhajYzyvWyIflq6NoUrS9+KJnyjjw54xU91D1gGXV1Q/Mb2buKrqGY0RyD6GGyjKDzaQKk - RhLesgZnKpvxmt46BDOzYiPQGbn0HBMBmu2HTshJ34FsaqvJdripCh7uaX+ag7lxXbMj7vWgDFKO - KHFvCnlVMVkjtPdvStdjj+MCrYGYfaCsgQF4DJYegFF9nLoi67MC2VERGO7Q+HKsWYcQoJvBaavn - A242UlGZbXNcO9mYcZ/4B7WU1/Gett+4AElJ0IZxDqHo3TJJetu2KJvSDldvSNckTsfokjPfk+Zm - Nnw/BLJJqleRH/nEp3/4+RuKdqi+ZM8+cil+6X0QnsbpelzsApzGM5S13L/4w9/31c9+6fDQX5ze - MAtE34ItmUWIc48SqjFB7llhoemaYsQ1w/JnBVbAihl3zGBkJthnp7XFOTIHeQV6w6OLhgOt1GxM - eTW5HGby1mX5YBfjA5UuAYXU1QM0hcFNU00p8Tlv2aUZ3bJr6h5eCE3oQrk29rj5qFsLrQE+9eQ2 - MCN6UdFbgjMOIxCIHuqSAiKNXmhl5tUliO7lMGomIpqpK6E9MxPGkCBG9gt9n3G9umoZ5JSCnk5S - BxSOKBYjkjYSpY51MAMjoEbi2Ys3v/DF73n7E99V17eWw9tLmaPmx4z7LqhgzRKs4ogcJ+KO+xdf - /t7v++SXj+/q2fL8cDoAUNPmiEquxagrtxQCYksHSSPHPvtl5mNFzrMSxKvnM1d+WQDC5aNRzzeQ - BvbYdpqBkeefzuSQTSWia9xdsltPKScCQsxGemAXuzPHsAFIsLK5iWakCVe0+f1yeaMO8xenLHFA - 9Pur2YiNqKPeFohM72KS0aFsFDS9ufmmBiob7IeyCBsymGcnzgCUXfFD9C5rgBN1XSzfr/fdyxzX - rN3SVbRiVHFwRpa6IZsBA9aduEQWjCC5+Ukty5jXuRtTqmd2EYD7AALpPm3QvvUCAhagmZcrBKIN - IKxMgwljSC3Z9DSCJnqMXGCWFlsv1tKI7ALzRKsMKzdAe68SenT0bhTNR9XNZILTr0ukLmgTstdN - dkljpqKR/UiztYB669yoxtwyQB2xYbZPnY88dtYGHyE9sBhRV2rVNIVd+VF0L4vrWgEYI8ijx2Rc - mgJxBmuwbCAlUzeEGUb51RPPHXu2LcO94cFFeFZn5rwQhhK9TT5ldOg8RTQbGtDBFm1U694ufQzl - N97afafReMCyzQoHkNMnm7CoA9mQRN2xJTaKIDpQZiI1OQuZTsWtFh9qJhQ9OQM+hk9obj4DuVrp - hioVSSaLorcorLwevp5cxOFqLMvp4x//0R/78Xf9Y5e7Tx/vwP08cQpLeN5OVrF3RKCAy9sf+fyz - 55/+Q1/6yvF0Z/cH2NL38DIXNxA56zKmK8gOThgQ5jfJpcljIDB0XzCHhInu9N3Xit2nzHVTqLdu - JnPfO4MMPZkYcgSiSWoswWIGV/e4IDqsmFW5Y/LaJ1FGpImWCql3SeFqhd2gTMwLTmWtXiE9riPN - kusCK+y9t9bdaxI0ssfn4DJycD9TH6RrphnaZ+RrkI22A2Nky15plc8+TUDm09m7WiiEIIvRmY3o - Y8CjIEenUWT/NRt1/bhaAtJLzQKbHnHNShGcCNsMRHczrj3yyre4BsWyxA5ylkfQIzHma0ptRtS7 - y7JzW9L+F5gzLQu60B+gQCkqy96VlkMwGvJsmRHqwGPOmRAsVNQzI6NAU5caHRVBuAmhruia1m74 - zCHOVGD+L3p23lAPKOCGMRwmYHmQcxtHenOykEY90axYJAcPCygrzNEDXt94fvzSt3/2k59646v9 - S6x0FoMXq+v6/Hh65ulBXHA0oFjTuXMLLEKJiXdcq4DmDY+5A7SBlmkMRBbYIiKaUcXBOR4soKFh - DMaYE1FuTO3+7oMVM92LPQu2y6aiKzIxzPkrpiE/HNHxLGAcQqTk3u//fv/n/sH1j3J968aU7V+P - JMJ+/vMFmOSEvftGmi4JjFDv8mBasOkMzCp+7fCC3eiZb8KVnkgTXMh5WrtZsRFcJc3T1CnN3Hke - hTmmKZAdYIc6Hx6+AYO3iiz21H448nFifDkx4hkUjd97+oizgE+7548RNiHpLkNn7T+8dQ85NOqE - Bs0oInp0ZEP5UTF0Q/i5vkXm6ibVy8YtR8BdQDhaTi2ALchyDQ2I3QbLr6ALUeagtvjgUy1lGak4 - NfmTe/EchwbKOJ2HNC6gcIX6bkO2G+dp/pnfnUKFGURdE3A3yzt+94PQ25uqjPdfN/ZoKMeJwd3S - XZ/uztWE5rP7NUT5IEGXQoJN3uAeyOW5SCqaSYiWhvv69CN4TU8Uc9kGfNABKEzdTNemDUSTkA2J - rkvcgQuw5DZnU85Bx+D4kOEwDyL7JNYLQkj2PnXBaFAHDZZY1s2KR0B9rFT2hvOxXkN50Ac327Bb - L1Of0G2aJcu5F7ghs2hfkNwmy2J32qgs7gBC2dDZhJGa1y4zHFu8+18323p1FxAREb2HF//GVuDv - 39kRs8IUY044E9HboR7dHvsADKbMG83Dex2rckUU7EbCd622f2Oei0QTIQx/ZrfZCYf5jUbX9bBH - 1uyYW4F8OFhPDsuT03jNZUWmQHZhYYRab2Zl0KmGbM47H0Hu9bm4Z4v3gGFqkL32Hxyh6cifpA8L - Ih36MeQvgA0GsMCKiDPwABA4QWUgEaNZrYxC0XC3btATJhlg/ivJBvAxXxvJleyBvqlsRB2tGNIO - OLrBnE8EZFRmJUX8qg8jxopyGvd8Jruheg72Xf4owZabE5dkV0zbsBPl6RySJsRGQFjMLIDlUN46 - vmkA2haXB+9K7ombMkzBQLMnL2cXrVIPb7z10efPH5vF6HXnpiIC6LBOmmnEzzZKCHKjAwGEAWVW - XglgBLyX2VIhxSLLO8vIpcHAWTp2le5CHOaHAIQitq2ZD6z6VnEDN6yW34enEZFzJG60+dD6u17n - Bm0DGsN8QA1swK79xfdDPLTM7T3lFxqWL4sKU+PNcH9g3ABAZV7MRBlvJRNIFrbfxAoCIjEXDF8u - 4TCjFd5Wet5c1+8N1RP5+LaTqaDYnaqJpP9/7L3tkiS5jSV6DkD3yKyWdGdtfux9/xdcmx11V2U4 - CdwfAEh6ZFZpbDWm1TWTW3dlZoR/0EkQ3zgQUeAEupBv387/+e3fgP9hDr9KtWI0V9IIZk/hHdEi - AtADf37/N/z5oNiRCm5CCk921ue8OThhKYLBijitlDNJrLf1X7hExVECpcy44JtB6zZcspZlugw0 - 2QO8amcdoBNZQihh5+7qhDtoXfwJAjiiK57jTnMv6h7Ltp4cMdFhSjzUKbK0rRBinFMAwWW9y7Ph - TYHDQMFF7eBANTSEV8QEZia2VfdIvNgw2MAw+BGIMoGX7TMpPO18+bS9MG2E2sZzh5e2mtgjVdKZ - OUGJ9S21Pxyle2NuIaU4xWX6rkBkEVHmF3ALEALkQDpJI+hpYA/uuon0AcJMexF5C4npjmGFab0d - JWdqj7xun5cVZk4rwoU+XxqYfy1TKF46q7rqcpJOYqr6UsQfkfIX+52LBquJU34c3xiseniB8GPW - 11EQ+PsYRypn5vABKA7IAW/qaFaMhRn2Z1l+2QKAU7e2wLpFcLWpLtYgC9oEtS1JSgO+BSgWSzEJ - dgrAKa4KNXIU7R0YUU5UNeDmHm6obDhGAvJI0LlgE3Mu8pUbRKsJGODjFhvM2cwQoE+lJ6KrpbBg - T6Qvce5mDh8Uo6i03LZIJ/NNz8/oO6kR4nYQOB/v//O3d/1//7Phw+EFG5fzvXLSARpwDIDGptpU - z/Pxl9/+ApFnw/dcTfERbqqkhslt5qg9uYiHZhlfWYR/zCRwqzRfeRHWpLgo7Ej6jrS+6EdQ7AyY - nD9oJ2hbqOGG0rL80n9atDkMcFP0KYtzvyjhSjfxSB6qLJ5MCwnroNLESckuhRQ20UFJ680CGtQT - pIoyt2Bx5vmWkdy65p2LG99Wf16XGm34/dO3GiVQlIKV9Dq1pP1GF+4cDsK01aYdpfHWA8mZjTzn - pgh1SaVim+b0nHxGOg/zDonul0h001WHZD3u0anBC8spa4qpkAcAyGGVNiExWTaGU+Rtpp0GcKTG - 9pTmeEssDkLIIWIwg4dvtlFMpugpBaqY5xJbtVKzBSgYBtQMkgLu9JGLT3GHZ6MSqWvjdR1wQzNp - CDwl2J+k/Yn/NvQvQ2HUAXEcAQsfbh5BhwzQZTybYlA78CTU42W9lAyU3yArXgzAcBkXOKAnoRAV - uHCUolkRFWSdbDHYewLTVAGSB+cJmRO/sabqiJoXWMnL+fqV56AWATUf2dRhEfbKi/jX8X9w/KMA - E+qYsAmUF2UhcwuBCbqEiq6b2eiuzmrUO/WTSl1AkI1uSd1//1DT6rscZlWrtfh9WhuphDOdi5Et - OxWh4sdTZy6rrQpplutW5s0XMp+nH7RKhcLos02b3VRerWkB5q2Qk+T7qG/vCEG4G1gaXexGt2EQ - hRRMj1u4MWq2iw0HKr602PDldS8FDxdhwIONIbyHRfKPE53oMNhQmlAiP23At67d84Uixpz8BnM2 - cgoBqLD56oLhXlwlC3rmyF8kEpN1bV9sDwfXy0yt2O/cJm5dEmHqUbbbBdup8VCUfjqWjTWfUNfF - bE5XGwCDeu3ZyWw5aSsEukW6oYY4yUCsOUBRLWoYC5uvIsMhbmijRtUq5y4XLr4SopqtwCDdDOUY - zKmxAV7gmGlEiCdWMV5FooHcDkGDGTEIiREv7nAbA9bFOiMbIbDTas6YrltCfMpllJIAiEjUdYUX - MVpMu8c7upUdmkrQ9MSE3hthFziE1jBmbi3JKDSPzooeOP3R5gkIpOfiS5Jm6J20JkFFTsHzuk6e - v3bdpvYJDIOGwj09w8UGzZY5WxQk1ObUzAeNtZsupIiQZ8VWidZ5scEqNMGl61ok6Q9rdKeasK90 - 05q/irnBzQioiGqAdK/qgMm7uMAgy+GbimmxRgZ92vPqrbGhleofOzReJxLfcuGjeSS3BQ07IDNY - h+VWrm0Hhn29XGc2hiMMxQ65IAfYnHgS34HvQAP+jAH8DhB4g59uGM7BFv7m6ry2dmnubHMxO8gE - HJ+MxvuAX7AnW3Qui4wfeOEDzHzBDITIpnvme0TVkhdAae7wABWwxSQSlzq+pdhGYz6/JTEqD0QU - pdC6GXons3FOuXZTobcxlAHrINHurCP1eEXNr6P8ghJUeB7oDjpUG+wkRwDBKx8RQTVDiyyDFI8D - g7ADmDl/nlwgEzaG45kizhTUtLpj18lwtMmxCBIPYgoaN+vX1dt5Qpm+0w15L/pxcO4j/FwBddhI - l1A6YCmJMgZkjqFLd3uqEK4Z1CTcEMHqm767VgllGd2+gQMQamSYxI0ErhozwHTEpGM+EsDoBdsU - Aj5qcKJkpswqTEGQODLWw9PyeRj+5a8sz101o8lkU0unVlClsrEAbA1PxRsADIxnJCcZ5AJoOMrX - OB9ibp4tEYzD7XKJZmBMwnPgion10Rk9yCYXLZkdCWTunlD6ERF0DwjRcByErACiwRGG4bCK0Kda - 4V5RQAvZx/BzOQv4IZTAYuFCZmoyuVQHd8e4xD8gAonkzXj4pjzn7uNNG8bSkErbWcxxRToQ6XHe - HUYJaInI+e9y/cD1DmsGDLQyKxOdwgn3QMkiENnbiwwY+uEAbHg3ekPVclAWRJhUwlJFUJf1j+0t - Nh6eKotnuUldUFzQYAHhx3Ss329SIfxIK6giMRRpM7n0TVZ7gAM43DSkO8TAK5inWVGhWKhewxRA - E0AMEpxrQGXX4nI3Se6fBf3/umfW8EvzgICiEpmtyGYC2egPU9aE0hgAHMXhJvqtj7IHaICLqBYl - l7QIuk8xPGOivojLzSwCakJXH5xtNueU+iCfEmeSg23gAPRgzX7Om4QUkwTe0tLtKlvFfURDM7hK - 9JKrR2TcEeISwYDIvKXo6WAvYwyC0M8S8piAKs9Z+tMAxQ9ggCd4wDl8GEaD0NN1C2LwAUBCQx5G - gSb5CvAWDtgFyhmK7PRdztg4I0dgLhgcnkHNz80OMkvfRjgUhSI1dXFPUjWDyx55D+yACJookqEA - UIU2AqOjKVQxOwjBBXhmEZEf5NEvCsfRxDBoji6i4s0HeocbtA8eFk3jt8hKkWnRsEfI0FdMmMPA - YZxOe0lPdYZyuHGkrCOLXNzNO02EKbOC8UThPIkWdGdiJ3ue4cU6hgMWSQ8OySz4OguKAzDYKKbs - cEb5qKu7DM8UhIr5ACSOFuRsiY9oYuZyTE7moabdpqbodtPbxGZGEmpKN4aQbHUrJAISri7KHi03 - KCO5ZLHhzKykO8ZwcEj0KQuZ8xlyx9zNqNMKKOmxvUBM/jAHeAgLiE1YbsKolJP7G+YyW8Dswf2Y - 78rlun0HxSWDxM0B/4H+HDi++0FpB1cE/i3uypDrTQA1kFBRBzuuhECRwJeO5nIlsNKmKY0pG30E - /VBk0g8g0EoijUmnmbtDySAtN3eXCj9K2tfmYA/cQGFzg/9AuxCVUH18F/tQ6eCB43C0EaG+C/gD - TjEXPR36AfwATmJiIWfCThpHCckShO2jKwf0AENtaQLAe6lacHC4V3zZM7yUnieZXCUcNZU6HZh+ - UrVtdUTEoPRhHxVGyECJ+ehOUtUMNKMPRZasF1mxSOlnqvO/jl8d/0DXbaTWA5g2D+DzELXqOdAH - WgfoUA81pGmWpQpAk2IPSTpMUbGnLvK/gx4c6I6rWE66nJbplEUjwzHGEYAJYrsa5obRYQatXJ7t - 6lLIEjRhq+JLSVMCuepUynaaCkgcnP/ew7wvE7BHw2LnhgB0QeCbj/RTmcBDJhnVgqNWjCw5MKxa - C1BFCHXDSG0cKmjR7x4GdOBye+/hcxdIQ7ksL+ACVY6z2tBcL6bUXYsdkezpWfMJejR7n4p/1Ddc - bfoYwgybfnJfvH7e/GbulJwKHn5/+qfh1CTPCHCdUbf3+uvmWpmaNTeNzsrxhNvgilCYxJQE5tui - V+Yciuhds04nrw/lp0boNjDGdcDKaRlRNY/MpII2s/ImOzwUYkCgcih84WKAAETX9K5JoYXTuIPM - R0XKbUIaByEZKncAQFjGmmnTY3SAQlHV6iwwpa0H+p6E3pYY2bJS2RKhBCHkbJhjiKRzTjXarYxK - JyHL92zl8xzevUuTaK0MQsGR/u7Ads48RQEkQgrqmAbSLPYxwPpos5Y9XsB95sYJeR5Ha+1zKOvL - g0ATNDTgyqwmU0dAbKkyDax4ueSfsTieSurC6+NO/ZmWteY4UnZlge3VGhtgqkFTkRmVRTfBGx2o - KRJtAlfvvffRjjNofxi6+KBL5oWyIKGj4KySsKPM3gZGqLouou9v78GFrgsMNW61GJIkqbo+PAgW - Fn1ux6ISFh+MVcntNKWSjT5UGsn0G9l30CCPS/ED+AA+wqvFgfGfpf6fJAbkBwTQcwaEgvjVp2gQ - 4tAFbTY6xJ0caC4qChVIdHxnCrVcMjMMhRg0Ag/hDlueeCAaUwFBlgbAEmaau3CQ5T2bKutOFDqV - 1/S1RuITLTaDqBwHAGPCMsS5veMUOd7egQEaRnelA2OEw6/ox61faIh8KO3D+ni29rAL3aEHwAMC - 4AKehtPxIDNXiFnNOiADxwPjmOI20sNmbrHhcvwhUMVDRTc8IaKZaHcMwxHg0bIWi8CAXar63h7O - 5m7X1UkItWm6MVdF6twaO5/f9ysR4MLm4+KofuOaKDNBBgxrIXZgwV5mkrG4I3rHHMtj5+naKBjK - 9Txy822DQEtTJLe4uzHaFserOEG6Z2Ct0Q9CRTrTm3Mg1JwIwkRdohOBlLDR1FfCMoWE2bSYwn4L - w0khOoGZiWHo13W2Q0VJFdBhhhGrcwaoAj6Ay/FwnER5Sh2wHvwhOYaosj2IISGuusAN3frHoQcI - Hq2cJ4lpYmCglHTgkLYSRNJLWAmxyxWziTsWP5mZeLJcdUqAKllAbcEuu9HNGqJfVvYojwUxx9Wj - IhdNeBwKE8Dhw8ZAm5jPN778eRXSJqofrIoSB4ebFDQmwm3W2MEz7noBMuTIGm06otuCCa4V5gUk - +nlZQ7iP7tRftHpQQ10YMBplMl43+HA0LzYV3dTgsggHkdsV8z31sXpQ5cPfdX1OY7APjDHO9Dmn - UCBom0OpBN1CjzW3bj7TFIYP90t4SkCFZBZdn3jjFm4bPiGiKkfNj49ITZBZj+KB7NUvjRIi3UeM - kp/3dwQzIgWOxLkSYISAd2SfKDClg2kqxqmYxufZtY1BfG3VoDlg4Z7qKM0ytb5h0aJ++WCHQ5ir - AAAgAElEQVTcjFbhKxW1wtROdGEXCAZhgpZP+yB4CA1ygU9opOW05E0jfS3k8PnilQgWGbIUijZV - 1+yVEJDNO7OZ+o2ZmXikQzcDLsDh7ENNAUoMMgIjAtILGK1Zh/0OAajg2yCgQjnMHdY5BkV6OyKB - 7SFCswnOANJxhLdPAUVXe2YlFFqCWc0dGGkK0zQBMPf97rUNHsmQABFgU0JXOtMANNn6VMRTB8l8 - o+HeNvwbh0MEjxPNYOaeraqn2PsD1oE/Ux9nU9AHfghMtaFnJs1AH6F0y2SeVccZQ8IABtIdilC/ - +mUHJISQ4hAyzd1tCSvrpShfJnBsBXLcpuTjzLKeNRRuHMN8DH14eZOQtYMDNgZaGKuqaNLgAUJo - 3cYQna6CdIX4QCAO6ak8EsdTaDIMI9h6xBVzZygMY+ASbypNMcvFrbJWyqvnQdFp7DCTHqOd9dzu - t188k4zzTS3jSNt0mWVYnoDTYQHfmtZSVicYGEBlBMUr/23WAUQJVtjVEjoJygCMh8+QZIpwApAm - yLq6IT5cm0dbSEFVLm3vk5nUwT4k8dKL38RwjXQ9fBE4YD9gv4Nd9RRpHzU3RyJJGoCB8wlcwBF6 - 1TRjotImLAIYApWhrB2iRHZ4vQ0DcLXKJwfMfRgimUPLYHIcFET/AMcwZ8AiAyh/h2RCQsbFu+P3 - H/gzfrzpH2AMs4noqfyoziU0aCja0jH+A/1Ae4fTiO+BPAhcsOYjbNVlpHkY0AAhLQr4BtzMI9Ep - K5sRbQkpkUwS41SPKIUE/tskQCvkp9zCCD4jtisdHoUUBQoMlBe7qIQQFVCdkf4n9PAIubt3NzFq - PvcLpflfx3/l+Ae6bjOHIX5dC1a7CLIXpyQUYX4mkLbSdKqzYSmBCpSJWcGs/x56YMBwoSpvliac - RzRXzb2jQOhtCyCfhBzggAIafulDlpoCQKJ+pfKJR7ToKRx7BY7gk6irZnHZ/q+vgSWwZ5iDXueE - O8fNKM1La5+2ZwHSeLD/xKrE7Kq4PWn9zko4EAmG56VfZwMiYWBL+cmAfCI0IYC9VpaIPiiZfiWR - YrZikkw0JbjDG4xUPSqDw1GdxRjBJ9NQbROtPf6vXIq7dlTTkkQ046jxmpXyTNiMBqeXKapMJDir - V11lDAHwJNITiLNm8denOdxMkMxtmudMdWytrhBNNvCk+Z/VLRqAzDLbOjREbgxquzjUu3CkLhkm - Y3l/1vwkWHDmGQXVVapPm+mZBHXacgiXf5DuCXwDDnF/i3GEWcAAZJOGRJnSzKscTHS6wH80o3n0 - 9A0m4Eem15Xi64ximNCBc9wyVckYT4B8sgvI0QKIJ0GIwhpJc0D2OyACSKRovnUeflZWvaWSmp2m - LO86iWlq0oCgu7izRXhUANggDWJDDFD1k/XcelBe7EwHbINEu/tjaYDiUSduG0MiDUfWMBJCqwTW - 5BlZk8WRBTKZ8xMBKAEOxzfHYVLJ1kHYM1slQuZo5MEsswRc4AeYARRf4CpkYdtYBFzYs6N3VrQV - udHTcwsLhXLu/VSN0eAHnBSoOGDmqdwzOY7V/sPqC0I6w3vl4pBYrHQhVeX9Z05a9MTI9RDAFRIw - eRpzddTzHnGZnPAZPwBJrTJNKTqMfsXiOB2EU3qiTHgLDkNmBq5C3iHBGcOLcs5EG0KB5sVvKrti - IzqEImyFXa5lRVmp5nDkanNupEjUzjkQIMsOEaYpgCwIXGI5RovsNiXAMPRIGAxVN3VyJ1xhJyQs - GMEB/OZ8QGEhMulKRqP5lKUEImsFEl5ueRGpKOoUnYNmnZMsMhWDyqfZuSsciM20AzoiWsOJe3SZ - BsQZhT5uuCI9dU3hF8dMXAsNNrppxwo5fIhLc/csE3QTTsvbBFbzIU4MyaFpVhoFZqQwDZHMO5nj - 8J2Gk5FLzf+q4UkPVczKnnHIZIJlzMUe3DSp6BGmwfAXpZBI80Dn2cFKBQhWma8f9DZg0pzSMTwp - OGbAAdCt0RUDLqQo3g3sOMShE7nHBX40tMd83Ra+WjgP4oAM6Ah0Jr2FZkSg2fmNDhlbhBKR7Hdu - pQdBD4hkouFwDZu7h9cH2SQ9uEgLIYWWsj6sU+fhmFqWxPXp/GXJZCxNp04OwRufNgBs0BNuseI2 - htORqWipViEqsaPKEWnJT1EIN4nSR+tkUzJBcymh2EagMTdCbnIqjgNnprmXuq34lugY7IAJTWES - ufe7bsNQ3EKXb9GKVlAzm+iYKd7nBtSp4G40DCxB0XJ05cdZyn9ugvRwkphFctSKWk32mDJj3zRi - Cn+HDNCEXRlzH9coeRJtnY0GvgHNZweMGB2FywRworwsmYgFAMOsu2ux7jblVoxw4+NImshHRr24 - IFTVC/wBvNHPdMDFBi52Hi2/JBsUiZQhLu5uRgqEoMFpeDjefEAcLR+cLggHDKI4IA3e4DxKmiD1 - WskdEBKvtnQtlybkT+qRrdWL5juTRTYFokQ4CSoRrpSwJZajpzrv+kz3xCyJW5NYGXUaqy3EwdhM - LMFA1I6d4uwEEWrMpOGURUJAdCYdd1SzNk+MX+c1DlE0AtZgRjhULNTPFSOZ+SSJTkKMylZy97EY - cJLOgMvIBL9UdiOlVpMgEHU5Ud8vxABDxTAckMDgfgDfwAMFnySEzpIczgDEkcZswrExf5aipeAD - mnUrkvUv4EIyLlog4MQIaE7icFEvwzD8YCXiM8cgpIA5xoCIRbfOLe8+mh5GV8ziiAZBRqPJ5FA+ - i0lCoMTI3N08zFnzqc8bbAAiQ+CKZeUa/Am5gCOwRcgBjUSmAbtUPDpfTfIYqXgq0T2gfBK2zYku - yAqeYqAy5SczYiZEJ57ARWnwc0qL4gsgDoDuDD9b7LrhA94lzRCJxrbiFBMwK9Is3a8ZEBv29CaS - pkbMXy9rMZyNJbUZ2kUwFgN76hulf20MKkUXacSIkvh4nkxIoCQPjuhpMwgjpEkVJs6lLlGGtaGT - oTbwOIqnKFBB0AFAcAb3aDPTTwAMxxVQWhDdqo4NlCjOnYm38Uaxh7zQVSBOH8knI3E3ObOm4o+0 - ceI1nbGdL0DAEwWR1QATSHBRBiU0sDXwDRS0eKOyZQV8gyiUwAX8ceIQnCegoPtJeGPM4ECEJHGK - 4RFUpgekJ0WGQGAHnmALRBeZWjXgOMhwLhnSIRC9e0nTI3EZ6LXegrlzK+FjK87LcrSsMjT4E+zg - IA6hkFMFJUHJdnGhBmhx9mhe62Z8Xq6qLUWuwc2tk4C0yI9MUvGsB1oMtphG6clbUV3lNfqktmAQ - y5UydUUWMf5TH/9QwIRKCFpHYQtOTwcAaHiHvEKXSdTrhD1QIPmvpGo4F/LvPlhquUOnhhCbvHZ9 - cKqDNM1hZD2a5M4R6FmhyG4w4oiocVbrEIZGXMFsjVcqCtOpwhMiMHEMmCZWGLEGcxtyBwZIi3hQ - FoQyGsLSL5qVURaTDxQ/Yw2bnErKzZs5L0k1cFN/CZeZ7ROptoRDG0T9DJedZpo0EhVOBDwNTugG - JCPZoywLOsuSodEY0P9ka1K4QNOiq2zUhsIhYkWMcpCjhj2x9eq/uXNTd0RWmCDagkRHI0TJ7cDo - 6KIkHO4zRFe6ccREm0UwzQEO4Jnk8AJ2lnQUjKXoee6EjQoBoLwAycvAUQijdrviCXf4mWZkqeFe - 7orGkPB138jqglbyZaUXzSHV9twq+aUWB+t9vIRJCDd/FLS5NQjEQquJnOw2cZ3C5KdngNoV1YPJ - YZtvrXTeevkIuTP0E/jGdW9oGg50XIZx4Gyqt/LIQtyU6dhHCZf0akpaPCs6jKy5RizrFXkdxBCA - aIVskV4rEohCd2keGhbLuymDMiw0D9ZCFiklCRKudmEEP1HPTXFENpz4E83Jh6QuGhtrlJSFQGQi - YgjnzDjgT+DIUv3Qv2GAJCh++Ie1RHU0krDI5eTocB6t+rN7kn4gMEbq+Eh3WiDWO+DQdB1HrY8G - kMdcClsq3A3qATH5quG3rSm6gC48C1YOYAXpvbRiz90Vim2bEBuoNAik5fAVikV+q1LZ/AT0zDlF - 2reSKljwzXdAYC1Yf7hwEKpu8UcTADiSyURfxctA4SNBHST8lSDkdJ5ENGV4wgXepsIFnDk1MmU3 - c3PnHjHY5cNGf4hE+48w+XyEkjvMByiBqEJkHxbSQ8lMiNOY7XTdak6/L6YferLUKZG5ICcM8sMG - IUpXCmD0Z5MDrvABnsDpOHhOOC2jyqFiSHvQgawPQ3OcxKE3bjP1z5ru/M7bst9jH8OxUCtq42cy - F8q9PrU9wzXg4g3WgnqHIZoxUyyS8gLu2pHOdMGk46gv6ZY5QoAzUIxncmpEceGRleMWyYQMb4B3 - uyCqEF5AFxw6VH8gX+CMyjaYowcX/UJAb1oBFkEYMbw6KdYkROhi5T6R6ZeZwn2GKBDxZJxe4jPc - kxnVo2c1DDnxalIlSFadJc0Af79gogcxogchQAx4hw24iIocAjPYgFP0nXiMp7HBJf3XGGG665uU - XNMLGhaROEBJtmab61nSSI4GljY9j4uaBcemEqDswmAZOlpYuAP+xGjh5nG0ag+SyYmmACHswbYq - Tc4Z6kR4uQlxSacXsw1cyReWItGUSWcOMOL3HhM++jB3hxznQyA2XWiOAi9EltFjrs8Iz49dQ7Ry - 9dwAHSIf4adxb1O1bYCKQBuOQFZEo1d0JKNe/IidvwHPL7KboU3J1IRj0l3pI1YDTeaV876JcpmE - WPPT0iVqqUrAkaSRSr/DyGEw4J2x8yMcRlTetM1F3tTXWnIoxMhe1eahSWYMZoklNuAdLmZOZ2sh - wM4g+wa6g7DogFHWfW40dwyKSyTtR0CgxNBicEsNDLqIQWbzDDjwBL6DJ9Amry6ksPyvA/DGmXvp - AAa9egaAALtrx6FUDDTDUWmHsIEmhBhkRH0AgOkOh/es3xOiJThUDT6pMdd2Rlio1aFB5htOd/9m - XHWwox0wVctCR8+MdCM6yEQrt1RbpgWSWqpP32f5xZvEMFyyJ0BxSy3CFQr0HXAUX0oCjdwxZyQL - 54h6IKSE3+EKfa4bmhx15RmBMQ//mp45rfeay7TvplbqbgbJlsFeGqZeg8ajLWYKk4iWhEZgE/QZ - DCySN59GASH6yFknImwerJCQQouK2Y9BxgSGodJgltWQggZ8Q7tgREAHSChqRMlfTgcXmLnXcCia - ouoJk84VCVxTm48g3fv4EJGWYAWWHY5iz6D7fIZplPRQK2WYoW7EdbDILVdklQlciRG1TSElIuvW - tFlmLDKyBtCHP1UuQIXhvBpEdxrMvA8VzXgAEPc0ZDsrjT52hlBjhEZcCP8aDN5quKcH8CnC4Rua - caSNnmC2gphTOtV5G7CO1kCB4fL+V9LRiHFFF44OqDexM5Ucmmfqajzu2e07QeIBNIcS0YWyAyf4 - KLablkqSKxHReYnNG+E2Tr6U+70aMIRLQ4nVuS4JTN0FmabsgktiXHEN1o5MTsZpn1EgAbOmWpKu - MklHGYJ2uDQvcZljHMAzTc6Jg+cAB9gsM0VS2GQAQGDkwFHyJQKEuZNShQidJ23Aja/nRuiC3wF1 - nCzsoyY4Hmg4gEd6wL2FaHqAx0zG8gJKPv8EDWCDJ/F8R+sQxbfsyAoX7eATGB1jAA84omUbgTeF - RmVDqasYQCQrn1jRUIuM4MxwgwAf4CgAhdak6pWI2TO0GHwi1zK9yaVOC1f6kZvj6fhBaAqIqYhB - gabpBnTAMwVhhF48QHNrP3709lDNrMgBdB8fFIe89ZyQFOkYG3zkqrU2oBcbjLxiEol2tWyBfJ/Y - KTOHKzSWzeHxz3r8o7Fuf3bMtc1jOWYzwKb7udtfnPlO8+//tkMqiaKsw/qiPPiY3jfCW2XnL/sl - 49vi+Ii0JIWlUSPp54u0oIiAOcx4EYd65uGYiPMUjWygTDvegvHA0mytRAW1sZw5YgC8i3V6b+1A - RaxevBaLvfmKDmN+MtlqPhBZRJ+rZuV3BBDSJe259H8IgCtDUq4wASVyz3SuZWp7FbjzqBYehgsQ - FSUeyc9HKrODpbTgqieXQlCu2+DjROhckYHVwm5fpmupzlb9ZAEhRuOADnjHOCzqp1WFLfU6LbfT - Wu+VsOAzlVCuAlTdXbezSOgmpfdlXQPLX0I3N6CDolSrGg2facL+kenJoWIXHE6cs0UsL0DDS+6Q - dM8EB42s6fLPrhEVj9605W32HCK5wASESv+t6pKeIc2fGXSeXV2roNcH2VnPj4dbuiwxg/STz28O - 2Iqt8b6OZZM5vOMa6IpjzhHqvle2K2dbyV95AnNBr/T+8QE/bvfPQG6PMvFKnTiWQpM/B3ENiIVq - FZRhBnbINXA5IHifT/fpWqysl3DdHgHOF4i+gubjKexojnYK2B1/PEfT8a0N3URskr0DCx0FCHsj - ljDCmkYMgXom9QIowIerqwMqEsCjOI72ls074PBrGIRHef3huEjP0nbfppShfM+PmkwZu+wvr80n - K8C7zIK5rB34kY7LPMeSbrhuhO3l09r1GZ+KWFA6029CZ242jzS2JElNR1Y8iw3eYCcMgLmTJ6HW - mgNOPK9+jR8CVz1VT1RhZtKHGXDF6l/gAZNlQgKRjDoARzv9AwiEz7YP0ebM6GYJcpKQQSCtnSKx - A6AZchY4o0A7HGYOSCucRMpwdEAFRyWL5cOSdcYUZBmdJJ8ckMvR2AR+5J72LBrugms8v6s/eRxw - AR7AYbPJcibN5TSHf8cr23HGTeerbhudqVpx4lI6EyK94FcYGfGRiKOxNGTQD9PmLX0NANGBJwkk - TEcxSIAUUQLdDL2b8iGSMdR0WBPFjCN05YJs/HVdEMMjavmchdkBiA8MYKmSKqLBi3jG238A/wk8 - gN+Qte4OG7g8zOxa9l0NwGSVjH0wAm/UAwsBxMa+t75Uvm36vOMeAZ8XbVuxyC+SnWADOrZ0hdzW - 7oCN3ini7cS7BPcJNm9wH0/aEwRF4eLD8bw4DPLA+VtreGvV7ppzg5RhJRhiTzwdiOwVekpw1OCn - 0UBsLkA4eJVDLE4xAY7pAi0uGeFHlQgY4ff+/N4+3l0OPcOAFa+okCOkq0F+gA2iyIgkpXrEpQyK - FLpRe+xFYQ0HOLecTQwekTNr19XaoXLG7uuOMXBEjk7uDM/EImc3OKA+GlNROUSzhnRcgOPQJ/AH - cAJCqNmqxoARcuAk6OhUtcp5S21NYnpEYmI3jdQzhjuy1Cl9B7mIRT8p+7n2QBF0uYJZ+70+cMIY - 4ci4hnWHAGPKUvHLMYg3RBJCjirgCguZ7K79ZtnGYnrREU85O0/u7mmGBnpE8m5DFwhIw5kKl180 - S+WznZs1awI5DhHIYPVbtsHRQQ1gpjmLLzRBbF2M6OAFfAf+lJsziyKGFXhyFBBQQWfg8cM7dIAX - ZMAN5uBp8m2IEDgaWtjoZsBAU2TRt3TQGaCTNQAJIx8ameyY8/YyeCmHYP4x47XTevJ5qSPgTnt6 - GqQaL5UxUgFIzUyUAUeWTcvkCqxETBgXnB7nMEqxq61WeRwgcC4Vab1IpAf4PFlD+LYDNNhl43ce - 4mwRMUpCGjH8IRJRWUvlpLSwpRZtmisF0YTUDD6egg/KhfZNj4eVpxO1CB3ZxTQ7wEVRvDXybeot - cZw8ZuWc+nS+x8MTudoSOjwSTCxzRv1u1RkapUFGJAHhahFprTfxtXGn2mXEw6PvWZBt3i1cTRLd - v+O6Ji6nkAkbZxhmw/rVnI3CQyE2otzqOuSJBGgJ89IVqKLRARisBeqKgR6An1nZNQCPXMUOnlTN - JQumIGYyPPV5M+vmF9UEJtJaq3BkT+CCsKZmta8Eq3M4YCOAtXqWp7MnUruHNw+sSCNgwAfwO/AG - vi/XbaDXQjP7gRBBvwC75Pj+OHqqKTqYeYjStBGKDlxXl4sR8L8cIA4cJzqeFnFfgDDiIj5Ks5Na - uxGKakfuPAMbeKA0T2a8uSjZysoM3iQyfYZeqoT1C/2H+AP6iLjABRhGQ+R95u2SZjuZrhFHS7fy - dKUSkJ45ahn9N5psYei8ieNpEENTKfYSM0az9bLwrUQ3tzy4uYanmhW2wrmymObjpnWNTvwVOID/ - J6BoKcUxBGlBRJGxKwxaNOm9SrbxDWLAD/Nr8En0hiEYwL8LHg6oC3wA30EY/AdcfBx2FGAEevib - QqjBwKug3VISSqo2jykLBQhYS4NdcIUd0GQDEnqSH0B6ABIfaGlWlT7FcMK6g9kA8kK5ZSrRJZyN - unEyoNQkgYznH3qY6Pn+28OJYXh+PB/ywQPSLLatQ6/C0xDB0epOLHERGz9dtywtN7WUYOcZ0o5S - JJhlmNkByDr/RQj/0x3/LK7bXx7/dydx2iMlPrfh+H1wPxlomJi3L9dN64QScJVRUy2/ekBek4FY - r7KC1birIthu4tGuhVU/HW4LEUDMcfWhh37yWRS3Xq+61O1NOVu6NTCl937Zp3nwed6mst0mYL7B - sg3rt03PmXe7XXTj2dvB+y/TvpmWxHZpaXlYS1PjtTULQjhYUWSBJfxMPWoVKd5eB9hsYb+f8llR - 314qiM5vn6WI/C8cLw/z28+5qHvWzJyon+642wu+vu16QCZPgqWnh64yYXq2py3iz1f2RBhBof/d - njKzD2RelTCu6d7IO9XNV0LI/aHI6pgvHHcbkfovqYvbkrxevp3z9eUTX+T+9J3K/eV28TG3Z7sb - +gBdRdIf7vca0pv1u91iZTVwksErKxOUxxMweB/UBqCPkXXmmy0OIPYHgewhs1Jl86cnV6vc2tvT - WKbXvZy1wFgwXcZL6ge1SOlV9VlRweKG8+zFCX4pVL74bl/rsBodQHjo+jCqUwlAhOpRZUcEoJrq - tiRrNP7FOG7U+Cow/mtH5KsI1H1wOIQ1srnI8yk7pZIvS/Uio+5HMpGcX4bKM+orR6UDLZQa3y77 - fCfMJf/yeS8fbqLvzsEnOa6pLf7hr9eTt9t6YcHOTT8cT8fBfjJyiGSgETphCxjE5oYx2GLKBIjk - AbdhCPAh4jbeEhZbXWjgycPHSNwZoJt1kXN7uQo0fE2+n+diA+v56VWf5vS2Y/jy5evH6zOvEC3X - kwzscBEK0azIbpp9CQcr1RCPSrfMqKDm2yYeZbJ48K5uFCI7Nkpdgg3gcpdUie9kHXnWTCjxiubI - tLYGzIfMuytFVBPv2cxt8IgWi8Vv+KLpLUXnZcJ+EqpdL5JLUVpA8sbARGbC6xd+N9ydtj92bYCs - mbEBh0gbw9Su5LBuM+q4No5PgREiMvyfNkttPr/LC2nMfX4jjvrKZ6zkhaByi35BoEt+3TSPfapW - CuAmG/nFFPN2lzW6Nem/Fui3PyQ6q1al0rbtPNdGFRQ4upnDKRE/AVbiE8qn8KrVVcr8Ck9t73AA - b3vKCh1kJHln5l9iyjCjJ1kckYVfEv59YdaqJ56FA33AR8CNM9+kdkU+3ZDhmp+Khl8c+zL+WoW9 - A4395E7++uunm9Q2+MzRPj3uRSnHvJZV4mBYIzKA6vIGCNGaVr8nQSH7iA+6m2TTbQE+aT3AtPbq - eUMYQLQCP+HZ3s5er/r8Kvzy1RxgSaCfH8m1fK3MiyZQHyORlR3wzFt50Z+jNHts3pTbs0mUCl+V - EHWeUIZdBqeQaKQIVaAJberd2QllgCdmxC1y/w/IgXoBEsDhuJ6XCS0q7RPSM1WTiCK/rcm3EBXq - aIF5XlPRYGE1O2TABmxkVVXES6LM1o3sxMGZkuoKvAOGUSkqeoAKfwcQHd9IqJoezEQE6BIdOUue - sbTQxAVVp/cQKNxhA25QBQNRqbxj1ESkiUwFA0xF35kO//D5HOVePTIwEg/l2IRO/NIzyL6240x7 - AJcAtSpAPBfNGECBn4RLJPmqoGXu2k6YG5HsCY9f0u3UZzY9785ZyFMwmC7LnrPhkYMs5WOIpMAL - CNysMjYh5cveXbeaS47tWa982RP0Ej27NXA3q+bgYjALeYPa0QhXGxCIy5uDxAFaZLQ4frPJol0w - FOomNHg2jyp1xzBk6laZhnVMlpSn+rEPqNbJtwXd+y4Zwjufm103n2HCKC8m4LAB4pD2bZtA5nbz - cz2VAD8wtY+YBxGX7uzUwxw2As0+VOAGGyZRfLwYlGgMMDShhZDtVYEx69vBDAje43fJgsJ1W0UZ - XzjG/gmP/1+4bv8vHrtOVh99YX9+eRU2R8wXWohUgaHnX5IC3lZkhwDtMqfo8TT3YY+zUCe+HgAB - mvkwc1CbC0SyYjhZng97/vjxxjdpWnp22R5ebxj8xWR9uRqJz1Ay/ObHftXG968KiYgv/Oz18JXi - 4JnRs9Tqxa65f/rlXOSXJYH4ql7/RNOZucfzkwg3zdaepDuGOwB99m6Qs+mX7/PZNbYec/v9rnsS - ZQjPT/R2xaf3XO8/H2JfaF/bB9zk334n7n/cBklOP8PPFu/+uSW0BwUeGA0y343cRgHsRj1SuFUT - o/mxAwVnMVA4ZiEuE2Y/0wV4e/fICuFKCtjMv1sRMfBpkl9H+Xle7sv6ySgh9nfd7hr/y1fOuzjH - N+v3db7DW4DQFcbAuHA8cIoIzDDsLqk/H6+5J1HEkFb77ulOq8Md4Bg++mhvYm69PyOFS0R3z5xn - RSIAg7mjFVTafHOZcGT3UXBWwG5i07ENC8slfVunQAHNUP+nbZ30M30ZG1u4PebnHOQnHGbeR5wY - dkl0vwOaatMHAyps+Mfzae19FZ2uH4mku7bDbTShSzl/MYT7W8ZPd5iNaL0+htPMnaSSO6US+6vV - q3C30HNHvEJY5o/5QK2nOufHHi2JxhDYox0lVrhf+NUxg4RfzPUXZ68vHT+58PUV1yeGQBHhTUO3 - 0D3dI+nsOfBjdPB5tkEBpQlOOK7IIScacQrssmz8C0Zukxl69zE+zuPtCM3Q61/6Gla9RPEtsz60 - C0zh2vs1Tr31MJkVyj8h2U+0z6XUY7M6vuQ6/NXafPWo+RIrqILa5OWmGCDZDtjBbcW48ukAACAA - SURBVKlCs26xCdLGF7KhAdLgBp/ZOIEDMxIC4D5Orl8qk8+L4wPwATqhhYzQypBFecoieocsR87K - Ekwzv2NYt8y+FpztGJBTAMD69dH72Y4i60+S4stJ5Jz8r1biVatZfwgAirZGIBpcg6Qn5bj7GNYk - bPBsIU1CFAqBuTvMXZs8P556fZyPAzA369ZHa3V/wh0j2v+G5Re8+tMm8sVCfP9w/ZyLdBOdd1M7 - Lwe/ihX8ahJ3BvYy85ncObnzpyXx9e3nG9944k+G5NviEYEf6y/nkLA+Rld5A+hkN6O7Fgp4SCT3 - GuCWaGy3iRxLls/9AwEeBhcoOBLvu6TvwDB8AA/BKZi280gNlJosnQBVo6poFJIH0MdoY+Bxlmsm - 93V1J/N7tvjfZeLeGHfN7G2LfBJ8r9/OUzZ++rcp6L90rLCzh6N7q1cA4MNNmp5vBifYCGI4s8N6 - 3GA84T7ON4UYIJtjcX9OoLFFmraBAzJIhR0wcdPdY/a6S1KSyG6QsTw824R8NSWvdP7VpuDrjWq/ - z/wagxgmAgAQmACVtJi1UItH3EiI2OuNnCB7h5mLSmuqEvg56Qd2P6MTE4+qtR/fR/9OhWgnn+DD - rYUdAML9eF6uOtphwCBVW7QMp4wGlUgkxdbs070VnrOGp0zxBhNm2nD1ppUWrLXzMnx3woYLW5Mo - OGPAK6cIGwa7zF3OE5rW9Ljw8QEIjnO8H1LQRMdaEM5ZGtN7SwmgIxWq+4ORpjHMmuLM9OtUoLWp - 0OCgQgGDD4Uq5VyLQAJviNT4RVghshN/rFa5AwATxBfsxBVZ6uUJCR6VbXWZ5Z2I6Q2iaHJaoPpy - oDW4QNnInkNhJkAZip7H1JYWqeY4V3ikLOyB6AOavBXkYxmcMX4we2YgEVaZrv6rYgkP4K34HDfP - 2Gah5+p0TAidmyZmdd4FOdKrmV/1motRpnGVAgigHTL8Op+XNFdpIvrufN9vH+3o4IApervc7FQD - nAlpAgF4LWcoW1FDOOv36tWKwiZbmc8J5tWmKzaWhDmBAE74TR11yLZCADA66Cr6m3kjLLEZ/W3V - taBER61yzqRAzsPxHHh292HH4XicbbEXN8OAUDDK7Pc9gwsJdOCAEIdntdkoUOXQ7o4lNLIoR4CT - Wa9lt/SIf+7jX67bXxy77Pp0fG0+cfvlVaG7Ce6CbilLgpUGONWQADzyJm4BLHgo24Jt3Ie4/SUA - w4Nitsljn2KdTfnb+7fwuhDwxHng6nwi7hhJxKWvGMxDX3Qiba7QHdLDMmPKu7ivCCuQQIH7tCxn - WvGQYiKlOFV3OFk35evMfxWcf10VX4omp4K4bnVf5MgbdHdzk+jmQYHDDQ6Hmob3zCH35oxfPZ7r - v413vl7wBZXtp4UD9OtX+/S4+Hlzqn91zRrYp8t/wrb48vPrU0rQFnWkVNncsMR9xVge+iB4hgIb - lJmy0NezZ0A/XRGORPuSTF/Ruj/TG1XZdbdxk5tx8rNjAgm+bLPtdX9tdMb0Evj8pK8khG+/0acz - a+ku+WWY7mDEGd0xOkbQJT7Bid+fuca1bdic4KjVP4HUaPt4Ki/qG1pTYTg5SBc5SGFUAiNxmizx - 5phV2UHyrPSifOxr8cGnCfFt2S1owotsNh3Ky/kCBy3Bml8nMjTLRTqvfOPX8rkq7L/+ZulxpItq - hPLH8D4u99FUoz/M+XjLrt9fvGyRZb1VEUpg4zj2Qe5r93ornz9JNG2hk4gqybHxAXMXxDJ93hLb - kGQbjfP1rBuzcmDgurroOM6+EupEEo146muyLyFvd5j//poB/ez4BUVhbsDtIV5paA2YmukAhkKi - LUlaPYrjaIcd6j03SRBcCoTYI9YEoCDPgblQzvOANwPGMOoW4UhX29rXa5UTRbQSQI6WjX22QxKN - BJ8X4ov5KEe842seiE+3IH7uRvs0y2ut7rKq3intT515DzNNUAUt/KnXBfsBOaDHENAmVCVhsJAB - BhfnS470ehwxa4W8eFruoMB+1amDYM5e6iazy44kDGjdldWdq01n22Uf43k9oFBxHMfxOE6qLsKt - 1htYvAa1i16YDrl997IIsp98n3l32OjDYY52nFRoOIuE7Tjyzo5n7yDbeTgwzMbz2VRFtPdxHEdr - BA3uFD2kPQFU7SgwM1eWyuSTeF7YaSp+vI1wycmNr22MexP/23KuX+OUL8g0p20F4fDFfVIk+v71 - vOtGqvaitm283l8HttTZ1FN4f19LkPaNgXuQVEpvh0pTuHkf1IxBWOoqs1HiwiXzeW+3aH12T7qk - 45HdFAL1048QuUKQRnTBSST4wTkTbWcHsVSzSVhDuHBhAA3tfJQqz6kg+BpAj95ZIDEDz3/Hsa6v - jE/eFoa1v+cfr9ftxxcK2t81qijZzjhUFSFbNgQ536SQf8z6GN+FRjaRJtIECsPRTrAVqtnLVvko - 6DbC4cNJohnGd/AC3+Fv8GNyiVKMNhumrK7KQtu9LZMGt/d5FRa+cfsv04FfDDkA7hWic8ATNsAz - srVkQED9BjDRUjVqNNOHxYnYPgYIaMPRHuYIlOARMCgBOZDNt0I4JNYnxVoLKXMBT2D08dv11Nag - BOV4e/9LMZLsKycNMgKCpwIetfEZ/fD4hipaJUFP0ClK2BUdGOFadA7BX4H/7TipB6BeKDHEW1TE - 56bTR4To+4XrCSXoeHtEv+Y34KOcMAKgJFJHSr+YruiGKK0V6pJVNqQrDdlPC2UaOcyYqCxMifJ8 - gu1uHZAoEMIil6zci2bpNZKeeo8c0ynPwqAjUc5WR1YMtYWDVbTnDuPz4/qrQR9skANswCEbThrw - SBUhs0nsVRKU9Jy9snOEfAID8tuEOySgeBCDCHSF1X4opH4hZQUkcTjl/0QcDtkoeT51+0Ssluxx - e8fbSVdSFFED8Pt/6wob48f1v95/E7b/cUI9Wug5EtkozGgJTJgOB1wgvx0tuta5RHpvAw6PLho+ - +Tu8AMfvuTybHUQAzKA1wUCnnVsDQLRSLJHaMIEyyyHhu8ro0JaBJMEDu/zYEvG31ewrU5nxju4Y - TtPmLbr2PTF6NGqVi843FIr0lXj0oY5LNBLG9L06RhimNRmMsAQzkR+wYApJw0zNwT8pFf+kx79c - t3/z+LSKXy8rv/iTd9OsjIt5SKITRs/M3DZ1wkB0mBeD80d3tHbepOB6UmWJlmSPmIQ5MxuNWRtu - HGOAcrR5i+iybGnS5I71EWhR5VJDimpfDVJSR7biplX8tWUQbNnB2Yz3rvl+0rVIePilNhDCcvj6 - 1DJian0vk9ZXtnibZmIZaZv655XUz9t5jkijYHr/MmM0uoCYqBFubh+Xkcdscj5fad6q3lYXn8tj - V8W/xMP2W/rFzcy4OZR2NliaCF4yodd4trecxgpvp7z8+/ked6VwH+/tEQ7XtRyczlkAqxFaIRsj - ukOU5UsF3gDMcDOw+/yPWjcBstmCtiA8ztmszVdpLlNMrXdhRgUqC8733TrZ933avpqQl0n4dALv - MzM/WBA8Tr7eO9Pe57g3t4UjQtSxyekG3TxiQQd7HtMXtLK9FyeSG7DtJyZPUQdXhxoHvMrxI9XL - XSTbA5jByYrXWuyeGcQsdYFL3n8qXigfwSR+r7lINfbzVikldtNIt33O9cwijW2mf5Uy9AXJbAvw - EmgmVcsqpgvpkKyTJ1WTNdbMptp4W9RX9rEc9/Jy2guh3CEp4lQFhnvv42gKaUyDC8gOWizcT3Cf - psRlf7m/7KRXT9w0Ne+wDg7KzeMkoFIlnznZ+RIItUqLTFls60vW8zePz8SErViT84x4+FQ/V+tI - ZfbWjqRKDINrAA0rTJcSKMsZXNtbgYFrQBxQ+im5wBwY7hJ+FlnjI26L5vX60dgtXDumR6I1LmmR - 3HFj0q+v/XLMfbudtv71+5mvk/n1d+uRNyYZOzFhRG0yYgM8oQh8uW4lUArzbiEjxCI33C7aANVd - J6/wKOrOk/c9ETO5zWey8chBir6Iba43lmOUxTqAFVe0NJiYpXYaMNOBRUATQtIqIIQisgVYljD5 - aq7q5/r1K9aSn2/r5fv3JDmiVQqp9DHsunprrUVTsdhrQpoP948fz+M8CDrFqRDxYRIh0ai0rQ1p - wPMaJ0Y2eQssVLlrWF6zxjnPse4s3S+/mpW6LwzL66uJ5jipkNvL/pKYsfrO3iZ0EgBLp96eXaJn - KrdIX/82tmK1223XtyFU071YKeV5Y9Ip1Z/UPboPikNISPSeuggXqItYV8+eceawyBBfNzPcVGab - Dt5tvuNbVSA9hxzwbJQGQOBHge9HYq8LyANuw8zpTRvGAAKldggMhLkOgzsOJezAGCApW3u1PEb1 - 25BdW/h7jpcNwT2kOZM7t5OZK/GzGoFf1g78rZHUo7yMmW296bDvsAEe0DM8BWnxBNA2hwuNMjAa - pDp7St7Y91dFIlPOxwWchTs6IJqd4RQQjEhL4PJ7yG0TzTBeemxWYKp+udPr7SjMrimAanRYKNH5 - WRDqyuj1dMetKrj9mH/KugO8IEp9jjzD8qPKqgQCMzezSJE7A102BC6Db7GDlxCAgqfZBT7BiyD0 - ifbuig54t9YOpCWL2QbG6BCT6GzpNV86cV3V8YiEgexCapONnOBvkAa6Obs9IeJ4J06iOQ5Pn2YH - DgZifkyWFFz7JrsC2B4yDJ1A9WWdkP4JKr46rMLcO0XN2Q30UikIEIlSntvjgouLjniyEA0weL8x - tfpl3Gs645SBhLoKigx7ojEdpgixufbL0iVKt5TqLj//G2zyZzeFuIlTIvBjkrboJj/WSO69WNcv - cvtTWvpG2aMRLFbmZLw89+SheQIc5DcmxMcbcGSVMe/D4cvTUY7G7dv8qwHfaoQdDCfLloOcW+BK - HpZBxs4WHc9dGnpPgo9JGYUiR8Kco+vUg7xkRioxQkA92+qY45mv5g1RdbpPLYq/OuAK/y0gkZZq - lVzYiYMrnHvcVqkKcb16slEhks6nu3S/cfbiMQf4vvIFE9jidCQO0Wxfv/H0rPIrfjQyR9hfuqUy - VOU5i9OkKhaEal4C2t2h8n8uQP7Rx79ct78++Imn/NdP20ThZri+XBHOE8uEmiTJsj166Nm92//6 - j4/227//5dsU1/uxI9SGXjjMzIex2k2RYsAY/nw+hWwaTVdDxS7WuTGsAZMt9IaVoO7ATKTdn7tk - ulfxrMR7WypaK7ly8XzfZynUsqxbFHAmagFIZddVF1Dm5vyR4mOe22+ZPxPtbrr1KpdzavM5IoeH - s5sOgpJ2st/uRpijf3xc//G/P/7y539/tBcNNm9aRg7X0q/R7jqU4+WDPGcvMJDPHl7fzt1Estze - 637OluYn1QruhWQ/0/lnc2plwPr9bQgnnlUvE2RnN9f4fgjgPdI3gGaAR08+gI5zPrZislYNVB73 - l3eqU1Jn9ZnqGzuLUjQIALI1EXQUDHT85dxopnRhFsZ5LfE+6S8T/1MWIaiX5DpLSssJ8OTt2jmn - Icv3NFVmjgeOaMlgNjrdcTR0R0RrZdLfvGiNFUC5GJZgdQyH0wVV7MdotUoljwiDMpspc3RzFxCi - MHgfvR2nSFrvkX47k1MSPDLfKzZm+nkFKCW+YXN4zL1eKjO/yrpFKcL5CraungYOObkOsDx0nht9 - dlr4ask2Z+lGh8UpttNqQiWrSb0JIQfnrT9l380rSh/bZcR+alLq5xS8V1IEtsRYB2Ddnj9+/Hh+ - /OnPf2lHK7TZQNCRWIKbOkQUu97CbvO7mvSlpjvXdz7gT6io4ArPF4Dc/0qEuzNyKApI6vbGtj/v - 13vpk+H5868cd3Xsk0sto0RjomkLGnGUs9e9jwscqiqR7KPRh9YHoouYA8AgRFWiYYY9n3I26KFS - OYx0QXc2q9RzuQ+Da7O6mwkJFXwMfHS7Bs73WI+626f3Q+2A1+3xQqZ3SfBqD91HVD9e+P4Lsysy - KKCCQitZT3CAsFl6N+DmPOv+0XNCkWCggDk60ATXBR1dRE02fJSVjxgP0W0gzHToxUS80A/6DBvN - Gt2d1Vh9fmc7E8bbG8DoSC6OJm/tdCTc2qyNvE8zl4606zv3k28z+cUabHJp3xkEQNWZeOfj+eP7 - 77+/f/uNx0nR53W5uYi01jCu33//65/5p+N8tOORbLGJm8EMbhhP83Y9zmjneH3/8db8eFMI0QfM - Dc2qG1CMhOXpWdxtF0833ZBZsOIzIpMTnmVcBQZzs9q3mOJPNjvva/h5FqUCIbWxF7FPq8yROkVD - cYaqDpm3ldvNfQcC9VqhQuiRqZC6mcF7i47W9PH8+CCe+n4caIQqIyvAkUCMcynjEXdc/oBh0cq9 - ALDegNngyLK1rKfPXxBNXy/D03Eiek05h+vz+VRF09YN6qHcG3AJcA3vo8FxhCbVuxEQ3qZ79sQN - 1N5fkfDfOL6+shI0f3brfdfYZ4V45/h/3xEET3hUc6X67xfGH7ALfHeqafYiBqACkTOSRy94h7kb - hmZPsCi+Xjg5McZSPmlgFFCPVHP9gChowz96xx8/OuR4vJ8zGBLmhLKoOr245m5wkCYz8g/gKw1+ - vmhtXuNtw8Vfdgscs8LNuV8rOSjbwWaSz7KnOL2Qk/n1SrmNZ61OtpNBOAFcSoMOOIQHhG6l6znG - GC4X9SIP4jA7nk93fkjrh1Kl8zEc+uzjel4PeQgdMCWA7tYZiUriKtG7MaBnVHgCMiDdABzKwqR2 - jIE2bXf/BgE4uv3x7EPPg3yEm9VB4LvDgCdxkieI4bgwHH8IA/2hPZpMJAkjHD8cT8EpOJjl3pOB - 3VbMje6Xws2PPiDBrxRwmKMPSArSgev3Ph5o7+4wOHhBO9hoQlYzvRSpBjyBE3s8GAIY8UG0WjI4 - FDg82U6bVfm15cYWAw2770e5lhsGrwtKHNZU/zKIS57VnNhuNumLioNJa3cK9o0MMYnt/2PvTYMt - O47zwC+z6px779v7vdf7DnSjgW7sAAkSAEGKEhdZiyXbskxZ8hLhcfjH/J6/82/+TITH4ZgtpLDH - mglZEfaYGkISKZEEQIAAsRA70Au6gUbve7/ufstdTlVlzo+qOufc101S8kx4NBE80dF9+y5nqcrK - yvzyy0wPeCWNXkzW9dwgp3W5kjyz0Lg2O7ETGkiBirAC9ECtVr3kADtOh9JU9GDcls1dGTVBt0D6 - YbO2CFTmqhQ+NkZlI72iqwiCAGrFEiLiwTCc5icE4z0MAQ4hYGjhOgjAyKETt5+ElweFVwSBTT2H - 64WZ7iIlc6TdXAApwHXFQYnU8vhVrns85oVLtd0XtaRAYs6HSRU1gocbgRmGYUxjYaOW63rwQ7f2 - 35zIqAooC5sLi0RDAwac2gUjrh6CJdhsOPAYUmJCje5AkSrZpCSA0HIWQ4JuGxEaN3b//3D8HLr9 - mcdfcSZ/4tc0fZqFl1prSWOfG4pp+dp4PtmzUEF/+eyl5Zff+Wh2y9579uzcs22jtetOn+RUMxjH - BDYEBhGLc4PKld1JtiBD1pZc+zkab6CFrymg0WYUjbXfs5maSUfaXLCpRZsHIGrHZrvXvOJNA8HE - 79E6wlg+B9VdWWsTP98apzxuIMeLkF0jrLPc1p+2xbOqnzMbpQ3KpdmQz+htnI0QCAFk2UTzJVy7 - cunjTy9+eOTkgw89cdfePfNz3ZykR/WZWpdu0a3SpccT4O7gpmhWNK1vtDeA8Vc0Nge3DWn7g8av - uYOFfJuRp+s+bSvFse+mh3eMAaHbomYnJ25sI64vQxWIFR1Ng8KKUEKNUmpNaQGTUiNieaEeEtJY - b82xfhJAKUkpQ35ABELqEZGc3pPgBmo+0tTkcr3+jlsbt0d3fMh+pmYYu1Aaveb2xiZrXAq0djfz - N+tTEpAGlSI9SrV/5tzZVXdDyy1379+0AYbrKxHuUDijNSrQmjAXxYMIBAcMgR6hjDknzIFNRVQY - ayOIHlQALYoiAi9ExpisgEAxF0Vjsck4wNkebRljTWmu2x5dchf6xrrA+i+lf6QxKuIFTW1dACnn - FKlLS6N9408TfXj9lN15QWZ9Ja1vpo+CCiGRoSVICKoCtoYNOR/Ahs3YFGJsWnOspX6sBqwGrZ/9 - n3KbCkg1qk4cO37x/MXV4fAzn/vc4ubNhmFIWSWvsuYO8qnSwzWwQVSWWjevwO2/o3rJIgxu3ji/ - tHJpebh5194NG+Z7JQeARQrmOkoENeORuHoWmvv/6cvpzhNz+6Gt0zd32/oxKcTHVhJKtlXGUAli - RIiUSlsUqcO2QhAUasFlIsUKPA+BEGBkiA6XIGJbRuIDBMFDGbYUQohZM1pnDrTigNQosugUKYaj - s0dP3Dhz6ZJfu/vpxzvbthYoUBPzNZO2CdJkGqJRa0oY98LH/kNobSvtCM+4Mh8f8PEtRuul1tJq - zULQRngBZOg2Sgpr5JYQIr05GTl68/rxM1dGxVw5v2vPjgVbFkY0sV2zMlBEFFFTHR5qJ1fcpkZJ - E79cIyaS3BHKAd76i7XqyDpKWncfO9AEiORRMN7DGQ5KHbYiCMEXhaVs9SApHUqP3jLM6r+aras1 - CWPz03xU/7yVsqMt9QntFKaYnmRjb62uXbh89ZNPT1++dHFl+dbTTz25d8+eTlFAVRK3Mz0EMwME - L1c+Ovre+ZvLnbktBx+7b/t0p9O15ADN+VE8PpOZbpcWoUSanLYeu/6q5pZYYx/lf5P2rDuCtJ4n - a8M7H40q+gnbbn6Xs1jfyWAB0IhDeppMuIs/pva+Q+1T19Mj+YzcEMOhSqpERGQAcoP+0pXL735w - GJOLm/bct33n1rIExIM05RjFVSy11tX2/RKQsu4yBBfvk+pYRKw+m1AArZUjEwFewgCiRESmSNVK - CKbsMnsFGWvjWSV4pREzqQqIrWUo4EnVqJBmEnBaXxFhBNAgI//vHfn+I3ZB9eC3dFBb17TUz7qK - HVj/n7/mXeQXChEmRq6NDRIUybxUioA4RCAByiitqI4cGQcbUJoYH20ShGv4wMds+vR4SSEHiEOo - IAbcBboI7srSxXNXz164cG3p2lpRTG/auuWu/XfPLc53bMaiNMtEjpaS4TpDKSU9oYmU1+GF1j+1 - 5VOT/FqxW81fafbTuFGm5yEAMZ4JaWIi1K5okpk/pBkE9PWlG6o+YBhBUFVQGrFdKwwzVEldIJFJ - KKxJELc1Ro1VcgrEXOmimAAryCucYgCoojTWkunmWEAR4AzEcgCCpGKuFcERKqIAFIpSUSomiIu2 - GKiC4IgSIhkcAsF2gjFVabrAhIBC8+hWsEYYEEqiSTCYYYwEijVpAmAVE2kTSlVQq8hskoRG1USH - ca1FcY4E5NgUhkGACFgCmIGU8qQA8QgYSIZiiUgwYozAHVuWyE3h8uGBEUA18Tbz2QMhAKO2kGlO - PuE8Gq2lElDn12kB8sAwnllRirHqOySFjHDtJq6sXhry8q69W6Y6RYH4W0oOqaZcwiYMoA0SMLZK - dXyIyACk8IpKoRwJwpo5wk2lqxROjN5NitzWZzbxKVcABWZbGmEECKjTWj75T23MJfkvUtlicola - q7YJVWh+0AQ0O5AHVOEUMghDKHVtCWPra0TzUTMibiyKAHjcPINjV26e61/ZenDX/bu6NgNLClaU - wIggigroSd7FE9qSpn8Ya1I3G3DUcRKzBQlUgR1ggG6jpRpVUu9TqqA6pEQsipEiXLpy/aNjZxCK - LVs233tgLzhV7chapAI8Qq8BtQ3Of3ri1JUrfcX2u+/esW2zhRJ8UFVPxkEpUtRBBI2kQoos82xK - aasGe9saUQAGTCBpxY3iY0gtHunLTYTm/8EW8l/w+Dl0+9c6fpJh+ROnWptvtL7TGOkBjb0Mydsj - VFOUW91g9dbpU6eee+EHm++6zhK2Ls5PWHPbRRQZ42Aglu1QDUvXrl2+dPHcpWsLm7cvbNmxdfMM - MRsNmemOTCLIxm7eUmWd8Zr2bFp/zeaxWhZWs7oF6mMpUiUTaoioscHXVVJsnzpAKWZ0gaAm5RnH - 9Z7jaCEniqTz3Z5IRfkW6+DTuO7PKo3yaCQDhBOdCBRUSNXYbCkGd/3q5Q8/+ODb331ZzdTU1NSG - uR3js53HNsMbY5Z/0pR/Fd2gYy8aK7aOGyZVzM1jm6yYxkQ17Y1pR2xIl63T67hHOf4sLS8uTeud - kN9sdkfxqTlTDd+0tguzkVgbtunkklq7avO9nEUfz6UxQxBUB3hb1jwBWmN0sTxVK1tYE48gWf2g - lqJuLNKx9V2zEpJMr+furTco7nhEs1m58Sc5l9KgxgKm8ZIaefHxeG2/dJOiSspERAx4jIavvfrK - m59cuMmTv/z3vjE/s7kcKw097tmMyU8cYKOpyWbdigRQo9A4owooM1DGsCzEDYaj4x9/fGN52RTm - 7v17FzbOM8eKi7WgaCxw0kCuSZra0FIr23i9YpVUv5igbTQlPoA2stc24dIPKYt3ROjUg6xQ4VvY - fCOlQL168jjftgJaF7pddalqgIhKLDpcVe7C2csXz18WwdYd27bv3gECU2v2CdFIjb3m6ssoJeJg - XiNJt/L6ufspdoUAoRoNDn/wwZH3jywPBjv27J2aX+h2jIEg1S43AqimfmTjktGGbmM2W3tkWzqi - uR0FBH508uTx197/6PCnF5/68lfvv/+BHVsWSGM6hzZDPq6YW8oQP4FotW6x3fmhdfz1+OCQts27 - 9F5cywFhWI36N6vVFV8EEGPINLIiXSonudspewLLpcluW5l/CAAaFGFgCzXaVRTBUQhuNFq9dWtp - ZZWd73V681MzMj2n3Ulhjh4/CBCqCwXUG09G5ojgZdTvH/3wwxPvfHhmcLN317Yd2xZy95K8nSD6 - cBAIpdJyaIS6NRTxqcefPYY+pXb0WgM79sXWL9pf+gnGT21KrJ+VXLs/lTpqTpVsAAlYvXX8yJFn - n/sRZrbvOPi5hcWnpwrYiPjXA5VL3mcdvu75svqPQHFcX2OCUG87jc7HHdZRl0oX3wAAIABJREFU - /XkqcKTwiJXnCPCjtVvDI2fOL418r9u7Z9/+yYlJZtKaRprPedsYjUmo1lvnndVMQ4kCkJNE2rtt - /Duj0kysevrTj987euLN9458eub89auX+2srSzeWnnn6yWee/Pytm9cvXFn69NylrTt2b9+2ZdPC - DAGxo82Jj44999oHy52Fz/c2Hth+qCgM5SoKsapKJNShNWhUF0/MaltT28kxwdP0HNEIaVZNHvMo - 8k1Gdv2TnzBudPtbuO0zar5TG3OS2Jla99qlLBt1nhmRphLvdWg/SULb3dVWckCOCihYiaVW4SKG - QMwEAkm/v3b2zKnvfe+7vY27HzHTsxu3zBRUSAACTDebNjE+yfniWhtngLRgeq2fPYEoilTnjKIh - lDJ0mOKTMYswqir4/qC4tUbCM1OTmOiQZU5PRIBCRNUoQ4wBq7dcriz1R6ujoNKbnexMd+PNIVKb - KDHOI2OrHf79zzn0tl0/kzRM5tQqjYE4lHvQYEzVS41mt1fIOqn4a91oW18ENxoMXX91hcNqyUPL - qGg41L7wZLczOzmRGowJjFIR2x6mSlzUGiDKpxtjVccHyeWuYseCQtC/ef7alZfeeO2D48fOnbu4 - fHOtW0xs3rbtN3/r705M9WynLGKQXHNmjtbGCNUCExPONBcn0/qJVFuXTn84kThbj13vHCmDQQEW - sdK4MpStBF43yOsCsATku8j/u9MPk5FPEQGcHK3ZpWvhzNkrgdamNizsu8t0DIxRGA9CQKnosBoK - 0IDRaHLQn1xd668NnQsyMVlOTvHEBNjAlrCWRJjIWDLe+dWhWR6aoCWrIzjWiKgWIiZGoooCvR4m - e7FZoxjjwCPQlHg4gRKMlj70+v3ucEhVBWH0plFOoGcKoEsY1UpPAUUxkoVqiLUbOlih4ZqWhia6 - mJ5Hbxa2Mw0EoJNr0mbgEuuFhQShmry5NKjUo7BT02CDQM4wIr6W9YSFdgdrnRvXAIvJHs1N9Zg8 - YJiMop2oGv/JdWnHNWYu40w1yVuBwPWqN3U6DRQgC3QAgtpUKI8oIr2pyiIXOsLKVRx+/9aHZ0+u - mf4vfm3Hnu29uR4ILpviGcyvpa8tQ1QLeWvDb2Q5hpU4oiiMAmqTmZMNLGmwOs+xRDjGe/AqgALo - ouaZEnJNVYDKLKP1sIxtba3RiCZExhvadm96aSLCG/d3RU8wVQ0mg+84wzdvYGUFg0GYmjaTU+h0 - UfagJcCwsX2qx8Vz8uY7xz+8cPbzvPXA1m4n34ukaxQKJnQUXSDbRM3QxUKxsQRdkXy6PKQEAAwt - oRqL9abtvr3uAYIKgqRnLLKgMmCClOfP4EcvLIkrHnyke89+EIu2MlzTWdoJ2IqPjyy//N6xFZWn - eNvGjcQFGZR+iOUruHrW31q9uW3H4sImTM3H0jFNdpTWJpRG8KOFjDVOI8N0PQrAm7Tb2zZzZuz4 - z9/S/ksfP4du/+pH22yojzsbMHrb61YWbv2xQEMNKSggriakpDegfrB688zpUy+8+OLOa6PNC3Of - feQBRauSQbJBk46o8xag6kbVieMfvfHaq6+99f49Dzz66OefWVz8rBEg0ogo52vWqnHMCUl9mWvN - o/Vem/qJa3N9yuz2TLnN3xSoR/BKRjl1C2kPWMvgxvgTBcBDGV7gORY8kqbpdFSctUdcd59uzJSW - wtTaIdJmN6SETJDGVvDpW8l74ZirxkaZKTQwJCBBfXXj2uUjRw5/93vf37LrwF17996zb0dtN2Fc - GrQNc465eOPHOoGqpxX1rrruodL71BTijW5IbZu3t+fWVtM4MDl5V+tr0fq7bblUknVjFjYde6B0 - QcplcXKyVZSu7LE1D5b+W/cJrR89QlaaehOAkGGmZnvikNPYOH7ayp5tMQmgtZGIlJ+jXG+l2Xhs - fCNkW3TsZnms6BflYUrPfNvCp9vnNl5EmoFK459Y9qiNJKK6+ViLd0kNbSmv0eCDEAwbNgW8x9rK - n/3pt771w3cGvcX9jz556N7N2txOc0MtQ5myYCLaZHlpKOASmS8UAUpFABtmcKR6KKBuNLx19erS - s9/61odHT7Clf/rP/vFnP/9Yt2uZU8d4RA2THaosX9H4atDKTIZrhre1PrQxtFpiAyTXtG02rTfh - amqdBoiDVCAENo6NyXglx2HXkISjufS6yUtJVvVg1ZHjbEtCRIMmORNxK7duvv7qay+98EoQPPOL - X/r64sLUzJRlSlW00g8jmk9JiSU2g1HUs4+8UFs1nKj1950PBSRCt6+8+MqNfv+LX/3atn37Op1e - RHUZRogUCFLX5s/n1MZcjsnn7Rq3rZFpVnLm6QQ/Wnv//be/9a3vvvDGB+hOLyws7tiyYAmWWtOb - Cym0JBPZfGzTz28b/7FbTFfW2z7+CcMxDt1qrWwULHDDpaWlTy/3L9zyAWy5KshZDVNFb647PT+3 - oTM1YSe6valJMh21LC5pDAlQHbEOy4KYejDFypq7cXPp2vVzHx5+9+zpG8O+vWvvwd375rdsm9i8 - bWpqritk4yIXHSN+tjZKAlRDGK71D3/w4esvvvjJyvXHf/Urm/0B2IlG2bcmITcXTSS1luqh1rfG - locmNa6t6zabit42ni2Ja6zg5IdT6+3bd28k7Zv78MSMh6YvZNp7xN24cun1V3/0B3/wB9M77n/i - a/zwI4+ZuaLTVSYVochVtgZCliJ41IBcjYrO2rUhuaUCHa3diTIoa/Jv1+uX7KOCjBJUOYRMxyTF - cPnU6XN/8s0/P3t9ZXHjxt/5h79z1913Txc2aRKkNvAthK+eijxQ6ZqNgdYa9lpFt0Y1hXLaSfT5 - ZVKwCqiO+i++8Nx/fPYvvv3CKwLDTBMde/bM6f7KzV/64lPnz576znM//OP/9Ke/9Mu/9re+9pUN - TzwGggkeEj7+6Njzzz2/3N24+f4nw+cPpSCnRJ6yhyDAeJv0o7ZsxPyWQQSu7xx3iQ+y/qMsYzSm - SpvVmaQqC16zUH76kh9jDzYjKSAHRSsMUIf2BWn3MfVpQ1pCSR3FZLTczGhdypZAPMACE4zhWGsy - BFvYXDtB+2tr586eeu77392w54HZPQ/cdd+Dmyc70IDgFB7Wonbuo8mcMbIYj70dt13/4Jpb1pBR - cOyNzVFeQgESWHXL189fHJ44vYZy+97dG3duneiky6YB0UQU0ZIBCETOnD178dz1otPZffeuTRNd - w2AOhMDRQGALJc2Z8zwu3OtvsD3B694de532lFrqY6xCQc30oAYV0CbGZ3uvUXU/e5P8CccdLDli - QIdry1cuXT57+tPRcLm0WnZLzxOjUMJOLs5v3b3rLiYUJQwMoUcgAxIwgThj3nnhIxcwza5TKjLD - BJvtFg9US9fOvPnjd//Nv/nD1z84trayalRKa6ZnZx5+9KG77r6rJGhZRtKCKlJG25jYa15BjYF3 - 26Fj5lm9Xsa3fCCWcQgIQWE8Q6jIOpxyZA5jKzX+LIuFGbtGo0KaItr1nBkUBom0GIqbl3D4LfsX - zx0PxfCuQzu3bj3UmQbsEKgE1mshoWABebgBrl3CxbM4f653/vKt5bW17bvK3XsmduxC2cPULKY3 - IARmlGDuLw/PXTKfnlMn4FBwKEy+NecBoCgwM4tNm1HugOmBWcAOGEJsFbo+licVrNzqXL6Ia1fQ - X4MW2LwLC9vQnUOBKQOvIeVYBcHQ48Yarl/E6Y/o4kl35dK1menJ7dtm9h/C1rsws7k0OVjEtdmb - xGZUt3oDOqTsBjh5bLBSLXdmZ+++p2unoSxMTtFlIESYHSWUb1znd4/eKCc3bN+KqX2WbYdguYbP - Gp/AAGUNAcWLh2zaZfVIEFNXP0Oa01b7lkS87LbUVQGymjwLBthahBVcO49XXjj53NvvrpayffdT - GyYw14GhWHI0YqlSdwVMglGLByNVPc4CtE6aFaQwkijprQrMFOnDRmACDBKhmICQUMt6TChuarNQ - 2zKS6tKoQ3Avo8zcVM69o/KLkAIUlF0MbdsaBJSKyBNnRRk8Rv3e2jJGAxw9IqdOXrt8qb937569 - +7FzL2bm0ZmEGt+bGIEmAVw8f/ntN068fOTUxj1fdU8CkwCrV03hUph61cfdL+tqT03RkvihB/XA - eWQb4hNDe2kzrC1Eqq0bAlThBS77+0WehdKNcOHT7qvPOz9EtxzKr4F4jTDVUvFF2tOibnSAw7H3 - Bt/982PLHDbuffrhh9AxsIRRH6dO4KW/PHX85OEvf/XpRz+7sH8OpgDIBVSRmyPoRRFqd/5t1FGm - PAvBs4n6ytQhaR4j9MVhuvO29Tfy+Dl0+9c6xiwqALebocjherScppz4nI3UJC51KIg0swRN4q4L - OHbBloIVkNW1vldjOz2lsSBzvoy0by5KsUq4fOHC0Q8/fPXVV/tSLO46oARrwcKZXoHkY1FNQKzp - cobrUyXrsa7U1zhntbuBqMNb4CJBERxGfXjn1IgtpX7gxndsnkIBFSVKUInAkScdgV3pjCix2DZi - rRl8aRimd4j3tl60vM/6I8mGVLbI1cN7FaqEvartmLJTmqJgrZuoBgrOkKpIfzAkUxRlJw9Sy7/I - AqJ3YNiuE56fdujYXbefrH4/AxPJWDOog4k69oOWiTv+P2Q/YSzrsT1g6cuZC3HbMzVTSVw3PoYi - Nn+83YhvwMh19bDi9Shb5Cmwxo2DGNGKGnFTgFhhkdJ1BGjRB9AsRc2G7vjzsSZETW6bkajck4n9 - EyeM7vCa1k1X9M5aQWxKBa1aALsAVDfiaUcdamu3fVpjLRkoNATHIYCpLIxhdk7W1qr+AFOdZszb - w946V7yoxovW4Wigj2Cl4lDxCBW6jrooMemESWATdDVYWV46fPjwa2+8X3TsV3/5K2trB4ztlNZm - 1q3ELIImMRVUQ7fjc1O7Ga1DKesJbX25PmpxaN5vrTatlRpJQKjgh0LkjKmMMQzb9K3TxOunsU2/ - PWvpj9aRoXFJVgBgZgZ8CAYI3q0s3zp25MgL338hKC1s3vTEF54qJzrWxBpNEeLhNlu8JogpUgCp - TkPQFJhp1cpqrdAxfC6tZAECqZCI6w9Xbi0Tsyk7uaZzkxtmTEsW2vtROo/WXW3bs3L7JBEUGlQd - UVCIF2Fj2VgALoBFytQnqk1lgzaMrcTGbHVDWn+V8f9SvvR6+vsdBQVJj7SUYXxUAtSt3rz86o9e - +d6Pjvz46IWRV4uRpcqI74C7bCc6ncWNi3vu2vMLv/TVuw88uGHjfEVggVUYRslcggC3dPHi+x+c - e+75148ce/vG8sXllevDNSY/MT3x8sS0s93BwQd2P/b5X/jFX/6N7tQGRiyg2DxMmgKNlrAnULcs - WVGNRv3VFWO4tAViE4g6oNvIenR1opwwUIdvx+W5JSeaCFTakjKqg77rhrQ14ppPnt9ab3yMXap1 - RN0cZ19gkkURFMEFEwCSqYletyyG/QHWBkNPvZmeLaHkgoQgFgqTCqixiE+LKIl6bXRR3gTzHpXE - xLTvkmqtoVEmY1M+ouzH5FMx4lIhGFNoiKHcMBwtX7x47kevvPLx+aXtO3c886Uvb9q2faIzla39 - lLvU3mBaaZr1Kq0r8zTf05bloG0cHcg6MOuF9i9ipvxwuHTl4ntv//jkpydn5xf+zj/4vccfeXBx - bsr1V7cuzq2tLh87/MF77717/tLlD458tGfPnkcffbjsGjAjiK+G1WgoJYgLYyACeA/xMAw3Gnrn - OjZQKr+s67YSoA4A327DrCcaUUvc6jcTE3bdYKRpQLNtt8+ybngbeWzeytttHj2f3GxN2TY6BvRL - 3SOl/mosRBRhpjxzmmWkVswC8QTTHw6G1JmaLqyBIUuEIEFUC0ZhTae0ImEwGq0OK2HLJm1tlIhl - EEAFxsA22q8Oq4w97rpnhwo0JrRahantaiDm3xcwI+jo8qUTL7700befP069/V948qFf//rjUzsm - gpMO95IQGQZbQBShWu1fvzb402efffXVw53exO/+k2/84raNECUOBjHju1Aqo5y3KLftfegOx3pV - cYfP0z9NrB6ap6fVCk0ViAT8doo6AMkY6M8ypv+ahwDBjc6c/uSNl3/4w5deOH/xQhUc2cJTx2kp - 1OkWE1MTs3v37H3g4Xs//9TjW3Zumu5Nx1sT9cOR9LiMlOiMQteR/5grXcYeD6wMZbCFVbC7fvX0 - +++9ff7C5d177//Kl7946MDeuamicv7gAw90CtspymxmEbUqjI/b2IrWxcZHfWyrFNzhaKwAROaN - g3cehWNSKoxtCIeUmQ3NQWOlJsduB5TLsLBk3Yv8ScrIF08AAgY3cO4jvPb8YFhevOXML//KoekJ - WLsKHYHmiDpkcOkCPjmKt3506ZMjy5dOB191hm4wCkPYazMbeH5Td25j+OxTm778te2zc2wN1OH4 - 4cvf/+H17754pQo7bFDrpUBqBeCDZ1NMTE4vbpx95DPFb//Dqe4EAA/tg9aAkkw3lr0aDfHR4Wsv - /eD0O28urd5amFzsP/aFnY89vX32oY61sJiocxoHA3zvpfOvv3Px5JHh9dNldX3RMthc63SG3bnr - 9z8x+egz809+4dDERFMNKKtaAVaBIZI6nXVu8uoF/Ol/+vGZaxfntm78nX/8KwcOoFeqw0DQjR1A - 4qD7kT1+7Nq/+7c/6E7teuKJ2R3bDpjJjjUmNqitpwkAwEC5znqO+W6mAb04dkHUJrgFauG2jaWM - NsHH1GnEEn00gymLDnZX/TO3+joa9XwFcXUZqcjVjdWTScGp9Uh9w4xI8+QUXs2BgAxjCEhRaGZl - tJCVClhRWEURcr0IBggBRCmNYGxJZGWcJrHGOpNlRcmANbhtabUGlqAxHlMBLjOZDGCBIhPajcIr - zPItnD+D7/3FkcMfXLh2JQzXev3VibVb3YnexamF69ML1xe2rh58eOrrf/sQd0Y+TFqFUat+eu3m - zHB1OnhUHkXH19V70hHakVMPjChVwKA8ZyGTqnIRDzR5pmnNJvUbK/PGaidF7EBHEMDXGxOjiOct - GDLavHb1gPopP2ARMK0qupACmVejsKBAsYhwIAxh3N0Wd2sYCLZDoQE+YPkaThzGh2+Fo5+szcxc - X1hc2H0XCoaxjlABEjCS4AwWOBuDY7uAZAYgYWgwjBCOQZeTow2AayZFdk+klWzzN/z4OXT7Mw7N - bktusaOIFdBSwmukvTfJr0EABo8rt1atFQSCaj3uilRNjWNpzoSPcibnS2AVQCvnnaoCQSX2/RIR - qJqotVREg2frGUW+kiHqdcvZmcmF+Q3zixsnZzYk8nxmDaTbFggrRA1Qsyk1+b0aS1ZLqhlJqkLx - t7W5lG414X8tQ02Hays3L57+6PCHN2lq632fWdy1d9Nk7lykUAhlV1MVsYEDgKh3CaG/uvLWy4dv - XujrhHn8S0/M7JjP+ywUQhqgHrAQCKVGMkq5fLaSISAEaCBbIm8rcalKCFw/H2WTXxQhYDg4duLU - B8dPUtnZf2DfAw/dn2oy1N6UeoRKxENUuSBjQDG9u+lFmvrw5lw8ars4TcvSOGqqAUh02VxwzyTP - gVq1phRASK2FW5tGIE3+oyA4UgvDOuaQBwhrThrhGKeniNtly1igqmKcIjblqacwzpPmKCYLbKJQ - kQsOtqS2bU2G0QW8wBNcssg0YYTAWP6/KKAGqmSE8+fx1BqIxAINeiQIgmCSTFoCQ03tBTflLmAB - MIQgFHNdY7EhqBr1UKgaELwABgbi4Q3IpgFhRcrTb/Q51UsY7VfpRU3wRKu/TfPjGB+J1WABl3IB - JRX9pBgnZ83Jb0brgcrVgRlKEAlBvbEmO7p5zDmOGaWaSKLkAnxIXinV4ycBakQAihXf66eRnHkL - AdRLAfJVdfrkmdMnz1y6sXTfow8t7tw2M9UpqDSZWV+YYnpiesumLTu2L3HBk1PTbC0RBQ8AzDnX - XyENa3/cG83ecO4CkmMojasxhrloOziq0Spq0Fu0V1auVqmqbthfvnz23MdHz9wcLew5OLfz3s0b - p1uB9lhuhVWDMDMQVFg11oJUgFLPNc2lcqMcghGortuS/A9SVVU1jF6nnJue2bSwCVzMzc4XZQcc - wYJY+iq2tspQRNRTOXkYkfRGsekZCUQ0MDHB1rhZ4+I2CgCtFehVAysoaHCxjbiNBjjE1Q+STNNY - s5FbTxiVlonwWE6VUigrCAIRcOqOIiokhjTacOKrELwCyrGybZxKTbou7ilZS2chF6LEHoxbSOqR - MKbckAZtbGOJbTDT7IcAAAl7Gje4kttQy55kujMU4qrhypXL5w4ffv+td04vbt6xZWF6enoS1UC9 - W11dOXvq5EdHw9EPZkf94dNf5cefeUYMLCEoepHKIWHp1Kev//j0n/3lWz985f0rN84sbJlc3Dy/ - ffumQiZcX26unDt15sSVa58urfi773t4x97uhskebj/icITkRJNCNTYZjZsjpA0baUqx9qKOpbUt - 5aXV6hSn2aFJnxMU8MlPUq57GubNW7LZMOa5qEKDBk/MzRaV/HACNFdeoqbTTV6xaiiblwqOQdbW - 9RAAsRyTuMkHCrCmiBJCRFrv9JxAiIxXioCKlkbhqMfqwgU5JGUAC/GAwJqEMkrs70wAISioazKv - EgKoAdug8LEvNTFTAXYgzxbdXmdhYWG1Kjdu3DwxOcnGCgAIIyhIxMTFUA+eQI22JFIEHAieQBJS - l7Y8Z6ldnpdQqKE02wSowBOIamKUUmMBQWTYv7l09cypkyurK9t3H3jqS1/+pS8+vTBl1paXqepb - 1qmJzuLC/M5du+c3bu5OTFHqOxt3RqciqhTESB0mk4Dh2icfHX734/M0u3nTvgfu3bfd1CUS8zAB - CiURTSajZrOrJdRZgupaofVbpKoUosXT8hOTaGvLMK73Vs3GdloojbFU2zAtnREEorBGoR4BCaEA - oAhkzDpwSeAVwSaGm4XGcu1BJRBznAQJSXKJY2LWaPnm4Y9OHr9wvSqmn3766Y1zk5MlJeqsKkBE - YFJoENUAdiEq2xhhDj4UidDL8KmqSFw/EpuZtRquZnGox1ZBQQEbNbWkZljZx1aCFkCAjAiB1B8/ - evjG4JLV5Sce3bN96y7binoqsYADpNAw6i+fO3XmnXfeeee9E3ffc8/ISVQPRgOogkKo8BGDVKRG - nJrDRbGohAjAuWNnbck0t61BucZiY9Qp6RryudgJqUAD2NY7kgFydQiAiDj1xjLIy11if9scf1Ql - IhUBau4rVCQzyP4qLjkFRVAFsLa8dO7k4Q/efOXqymB20/aZxc1c9MjDEJauXzz6/lunPtl0+vzx - C9eufO1X/9bB++bKJEJByYMAGJCqiCqYa/6HgDxgo12NbC9FZXDt8oX3339v6ebKw/fv//Xf+K37 - 9m3fMMEjNwoKtsam2jGJk4/803otgBD3Qo3DldvviYKb4lvJqq8tslS1l5HBLE1QFQTwl8+fPnHu - 6icXru858MDePTs3bZzPa9ImL1IzqkZwXr34oiwUIBWbQhXJH/YAjJFsPUeZhRVV50IwMEQlFEWJ - qRksbLZucmp2U09ihEUNpAeaqjyuXcVbPx69+uLJN390YnBTembDtq07Nk5Nw3SX+zeW15ZOfHzD - f3wTdveuvdOHDs5MTwFD3LxsPvlo5Y03zm7YvLh1Q3dhqixpRCTEYnxF7E2Hil6n6BCnK9bNVZSs - giiMcOMajrzXf+UHp0+dDLeWgp29Oruls+f+BdUOCaBl3IhuLoWjH689/9zhNz+4NbxVbpzYseuu - qfnZqdFo6eqVU0ePHLu63L2xtn9x/tCefdiwABCY0QJYLVAmHwoToUL/Fo4fuXH8/PmNN/3yamwa - zoqQC9uk6fIOS9fch+9f6kx3t2zhIBCYZEAGpLoCFB2PtKnGAGYgDCGByMRcGXgEAy0gJUCe4TI/ - hmsHJ0tTU4ch6Vaj6GqriEHMniFl78uRFi5A2npNAViQF/hU3FWY466XaklWCicIQEkoOVZ4k/rX - JLmbTrxDU1fAEQ/2mj61AiZwADOUgif4qGoaz67pkJVXVyIYKeAJVmAJRfp2YwEF5mwXgSSoRKOD - SyilDhw5E0gVPsS9oLt0XY992H/1pYsvPv/phQsXbFFu2bxj244ps3POOV4bDk6fOvvxpxdurHT3 - 3be4n6dnJmEFEKvCqiqEQHAKT4FTT4U8plzvc8IIAhCKhvAPjZIDqKiDFmacqYG84ys8kQARbOE6 - vKCJsk0BKlIVzLEYGBFUUI1Ki+noyihKVdUWv1HJt7IsgAATZkhnBNZjIsS8SgWXmJjB3EaeXy1m - FslOpa52BkowAQSUIKsUq9SMF0bK7C/Xx4Ul+fD0pWUp9u3buHMLipkaLoCJDRaNAgKOSAzl8lx/ - lZ3i/8vj59DtzzhU4b2wyXW+oFDx3hljyBgIqQRVsGEAIqiqwNYUyVZsmZS54psgEeVTAoOKIICY - YBI9P3VeJogiOIiHihJckKHzVeWClIbJOUciIDUFw3snOiITSrIZULKlPbB/H9xgfuvuA49/cefB - x7Kq9QgObEA2Qp7Oi4bQswaGQSSqQhGEif1PIQohZnAI3jqHokBtdxgGGVEIVFTYxEFSgtxcuv7u - 2z/+1//qX55bM1//xr/4+t/7R4v3LnqFgUIhIZh8uSBK0MJaSpygQJCl69f+9b/8V+/88Gh30/T/ - /O9//6Ftc3GUs0byEA8WhNjqGyFAOTAxBARrGN5VLJ5NASIBnIcxUMC5qjTRkVGgXTDBD9dWv/2d - b/8P/+MfzG3a8nv/9HcPPnT/OMlLgSBuGLyHLTw4RPs/eKFgLCmiVgwAgSkIAsMgpcpCoRrIaMZy - VYJ4J8zMjAgWBYVlgCho6vGrCiIVIe+lJDIm2mlQqIRgMwTvnB+S9IrCQDk5uqQQ752JeaDeqyGw - VUAEPqA0jbcbfBh6Krpsa9s+m+Ya4VgFSTDwxAGCQd/ZnrGlAcWKxga8e0aoAAAgAElEQVSSquP7 - MGBbmRjq1ISqxDi7CMAjpk4ICB6qKDvC7AiqYA8CjPXW+joeCyCE0K+46lCsQ5S7UiYsRogCpY2Z - BIWBMJyGyooDGQQBRIyOfBDVni19VQHBdks3rCoOnemeJOg2Bn2j5dHGMdLy1bpSSarUkU0VCUE0 - CjMAHwKILVP0My3HzbsCCu9ExJSd5LWHEEwhNXlCY6zBgkFB1HCs3EPeVaMhJicnYlqreFVVY4mN - ARPUezcK3lNpmMvYciBAWMUQQURDgJJ3UPalibinEhCCenVky0j6dX1vjK0G/VdfeeGb/+cfv/7e - sf/mv/3vPvPFr27f2tswZ41hqIcPtjuz666F3/zNv/vQY095+AcefHB2w4YCnWG/8s7YmOsC9j6E - MooDcsnWWKWX4h16UAhiSQqGhmS5ptLfdZWI7JX7lGMKKAMWsHGdoZVsH7w3dRUQkbWV5Q/ee/s/ - /OHvP//W8a//g3/2zK//zvziQ0VewzlIEoJXb2xhyDlnoGXZSaabCEUs2BjN0G0IwuqNLdtggYKC - ilUpC7N1+5Zf+NKX5ie2lN2pex49tGnLIjFy7F01YDTSTsekMF8AOHDUhlCAfABICyYFiYh3o05h - QUUIqWVK7WsFEQMG5cQDA0AUTsUbQkm2NKWCoyEs4lk9TInYb0AQu4uLhJgeDoCCsAcKVkaoeSMA - QlADJfIiBmLIRHDRazCFgsCk1WjgXEXMIhBRBQqDggxcBUicLOcQCIVJ4QJDASwgCgIlbnoOJJFp - Bldz48HsgqqIGAMCq6IajYis7RqJXKyWOSONx48EetaLVz1TNdE1RNqbmPzil37xS08+dnDf7tHq - TddfvXH1yss/eP6dN14/+u6xy+cvr+nUnkee6c2jRxCPkmHEYTR866WX/8M3X/7333rZYfaeQ7t/ - 4+//yhe+9Ll7d99XuOLquasfHn3j+88/+9qbL77+2huf+8K7ncmF+b27CONoawQUgmgIZC2Cr6oq - BGHDnbLUID74YAJgmBLyCgEKOOeG1vdMyQl0RYZLOe9kQMRA0JpJhSMw2MORd4aL5OtHBkVQBcW+ - wNr01hTxrhoOut0OuAAIMXBssocfA6/K3kOLZvacq9hapgIa4loH2QguWIoEXA8NIThS7XV76PRg - unUbYEPMFpCsFiOgpZmPYYoozBG6DaoiylHPEDwhCDogJgvvHHuwMRzL9VUgH0s76qhSVtvrxbES - L2wMFJUi1FXyuAAVQFXOTh44cM83fvsbN1Z5ZnHD/YcemJzuiCpRADyBvYeS4Ywfa1w3orkTsop4 - VQcTCNZ5B1+UCTUW55y1loirkYcxZRMBFO8dyFiu/ShQCrcrIG40WL5x7daN62VZ3nvo/sVtO7tT - RoDJ6amOFIb8k599rDO3dW7HR/se/OzDB+8pO5FDHCDeshbGqJCrtKrAJWAtwmjp2uU/e/ZP/pc/ - +r86m/b+2u/+i7t2/25BEBP9V0k5vEoatPLeECsjiLAIwHUzM00SRHm5xSSupFZCEOsFxVjDBgG8 - F8Ma6am1noaqiBAZZQuC8wJQWaZFHZwWlnKysUZ6hfOAeGtUgkPl2ZqY4RtR1EgZy16ewGMoXm0Z - G6MWokUALDkXPHMRNyf1UAYzRINzxuDyhfN/+O/+7XdeftvMbf3vN2565OC+3twkGyUiZgMS0SC+ - ggZbWFt2h1UYOTNpActwYTSq7FQZicsugBVltP8goJBZucgF/dMCjiCLClylpS1hqPIVoMbEZOJa - eZaAh9fNm7d87jNTs5N/+fEnn77z5vDSpa8MD+6c6XaRo1wCeBBBC9IwXD1z6pMrV66Ybu+zT35h - x649Nm0wAnUIGuxkRXCKXsqPFUgQVTYmthDwzhMzc1EjiW11LgLvXFkUKXygARojvVBVF1LUFN4z - BbTKUyYgO8bo2AAmBHiDIiN/IhLAYiwBIgoVNsaHAKBgBqCqPoTYANmYdW1C7nAo4INUEibKouRg - 3C0Mlndu3/XFX/3tQ48/NTMzMxz0raFjH773nWf/5OzZMy++dOF7r7wxt33/7t33dCdQgJgN9Swk - ttctnA9BpFvaxFSRAHghEROblMGAIILgUejVK5fef//9wai7ecfehx7bbxyYhjPTU0FCEIX4WLZC - JDH9U4UyhXhhqwyIaJAAgi1MzEILIbWY4lypGipCHLdEUYgP8BVsyWXRzBoifh7ee+fNP372u3/8 - 7Pd+77/6r3/7t/7OwsI8x2g6Z38tkoEUYDMYLq8NhxsWFpQYQawaaDRT2XsdBm8nWJgkSEEMleBH - xnKQajRwE50ZGAvCwiY89FmMJh4tNvKGPTMTG8AG0AmgQ4qVZbz/Hv782Xef/4sjKzf6jz96z1e+ - /MjTT89s2o6ih5srePvtwYsvvfH22+c+/vjUm29s3LHlsY0lMARXWxFuKmYPPfTY00/QQ/ehANjA - WnifSA8bN2LrVnQnoxZTioVcycQI4miE82fw4duDw++ubt/+iB+a6ytLw5EVdIoCFKdTAMK506vf - +fO3XvzB8eVq2+cee/C3fn3vEw9h0zxu3Zh4/Uc7/tffv3D4+KXllbUd20KnZ2YWAECoXauzB/Qi - Mq6B4KEe6jaIn1GZMQZkIUgB1QiniQAB4iEyybygukg0V3bi5o6OgQQIRraMlkRHpAhCBFiGKiqH - ZVfxJHVRKgIJ4A20iEGVSjAqYBv9HmBjpIhJiKRFxScE4YAep8BJAyc6v6oE4p4XaOKR5BhCQmC9 - IAgMBe7E4mviInQrGAUETb1jGcrw4LwtCWwMgjJYwMGjTNuwUxIlBkpFGfJeY5VChQ4HKm32NQKM - hhhJgEFi8HImmcZFxqIlAuq+cAoE9dBhwalNC8NWXnxFE70egyEdqUARa0kUqNGwqpimmXHi2PDb - 3/roj/63N/xodve+LU//wr2f/dz+/fuxuIjVFRw/vun55zo/frN/6uSFN149MTX94M67IohqodKZ - UNuFGniCp2BhA0JsdidIucsKTY67t6wFWQ5BwGBWjR3qQCM/UHEdM3Fb7psGcZBgLYcQQhBmGI5Z - 36QoRUsiCjJ0bmA6TOhGy1MI4GCtKcoJaxF0WlSJvbKAPKU6aUaV6xgAhVK81aLj1DqFGhQGC1vw - mafQKe4+cDE8/vT+bXvAnbo2rwWYYQ0zIBpxpHznNYpOhJu3+m++deJ/+t+/c2U4/c//+TfKp+dn - Z8BAUIiiJDU8BAaAKIyHBQpKlW3+ph8/h25/2hHtD2OZKAIPkSukNuKjEkCG2JJwcELMzCjLRFwM - iU0nhtSEAAlQiCmCgRg4h2I4ZCsojWV2EBIUBI42mCG4Cq4POMsoi8JEXJXJFkVMgY1AJ4vX0Ygg - 1nbFUmA4gQFYPCRs27pppvfwtn2Helv2mfkuEpKiiUchgqFDUZa2AxNR2ljrhok49U+gaN0ZKAVo - QYzSpCS4yLEikSBkiCN5S0GqpMoEiHfDNVJHZBUsVORQGxOpzRXimAi5EE8IEnQEGpAZqYRe0Z3p - znR6U4ZszQMLQBWqrgZIBcewnehtikJFrWUYRoB33hLBGuQG3cYmdVsUBalH8DAWqk6UNSWJMBSi - IagoVVVYWRt0u2XJZc5mF1gqDFlrUHZGQYdVDCRzq6QiMqfQ1B0wkp1JQpkwGIKPdfGtZVV4D2PS - VuRDIFbDBQBB8EEkjIBOUbBoQAhs2IsPkJK56q9ZLzw5ZYvCEitYNHAEBVRBKKzlIAiODBObpOAY - JvVbrUwYoLDMhbW5LYVCnVNVZpZIPymIAfJGXDAIMOXERDnwWBv0ex0y7CE9eECBwhSWFU7hIx89 - 7enJeAxKQy8g6hgDIjJEwNAjCEqgIBTEqe8uSgAhhDUqfQFROEEpAiPN9gJywNBDnO956RYFiFgj - uyKW7SOAVZWM7aiTtbV+j0s2FgGdsjC2kLiRQFSECCBSDSCKxA7nIUE7nUTXUIn0MgrOMTElvh8Z - wxlmhLEsAueVmVThKlfYAHIIauwUqVEgOEBQlEZTuXoSDRJMBLEEhtiKVKSeDcqi5NjdHgDAlkTV - e2fEsQRYmugWhbVVFYztFmW0J0RDMEysMWOeSiIhK6pBgkiAimE2NqVbGkWvM8keoVoSt0ZYZnaD - oROdmpqdYQPFKM4flIHivgMHt+/aTyXNb54FTEDoFNYa5uRFE9syFt/zQHDOuBAbeBhg6MFWGNYY - UiHvnI3lijwMAy7oaI16BoYBBAlKXFgajjxUpssSHjE0lIDt/MdYG5sihuBB1hqyJBRG3o+CArZr - i6Q9gqjxFVDBGmutB1U+WGMjzOK8U1DHcOTsQ4IyR9a5MWxgAfjKszfcIQkIpEVZiO+7aljY7j37 - 9m2Z3U3c7SxO5eZzAnhIIC7KTlcZEhCc2oJALCkdVQEyFgKqglNSo+gWRRzPGM7SuKjUWUGXiwTW - 1RR6EkCYVb3noIaMgpxgJMGEqtQK2h2FqqIyGvEawIYrCRXECCYoxu1ARAEY+hGjsGRhmFQUsFyQ - EkKAKJissYCHd340MAxrDbGBsarkBQlsYkbwQABbUyQvUQEmNQyoiJDzwkVhEo8O7VhnWt9EGdqW - +F/DFHwgUsOmW3ZE4CqwydlP+QSSXZoG8E7iQoAyqrWVJQnV9Mzs/v0H7j1w6N67d5IfhGow6i8f - vGfvi7t2fPub3zxz8dqRo8efe/nNx774+K45lBYBw+HytdWzJ1594YXD7xyd7s5+5W//o2e+9sSj - Tx5a3DqzoTPbcXZDd2LT5u7BQ7u/fOwLS2vV7l27JyZ6SZkIiBHTTlO8jpkicK0qKkVhrYnRtQBV - C+PFV4FK06kLrBVlGSgmJAogwfuSitgcw7tQgawtTSYnZg8DXtVZWHAH1tjcB0lFhUFkDAkhOAwG - o26nwwbBOwNlpm63A0PwfjQcFWWHixJE0Y+JqIySKSwFRRVgAGvEcgFQFUSrqjAEDkCIvAznUCbO - tUCDr6qVlbXuggQq6inTGjqoX0HhHWQEW0JVgwRiJ+o4FGzZCCRApAKGABsEBYtB0TVkEdNgwgh+ - CJPSI6igDnRYCRfMlILlgaEEjwT8p7ReNwTLwuL8k59/cugm0bGTUx1NNxcbxxfWmpBB+QD1vurF - aL8PznkmNh0TC4ErvDU9ASqH4ATGFdaqqkgoy9LUJ/ES1FnDJuJiXsEUeXvwAX4Eo5a0MMQkIFJT - UNlFis2oSoAJ8wtzDz04v2H3wXLDjoUpji2VYBisCC6EwGxBpUhkswoYkxNdgl9ZvhWmR6Km7IIZ - Iqics1pZDrBl5M8XhQWzxNZcEiAOgZStIUbU3QJ1AZBouI2q4UDCVHfSsI28JfF+qI4KGxu7Gxth - CEHwpGKgsSY3x8rcBAVswZGkXlVKKr3S5M5JghBESk+xp7TtD5ZLG2zZQVWBCOiCWYDURjuo+iEZ - gjHdshwCASgA4gLe+2Flio4wj5yboLq8qoLJFAX8YDRYW125VbmqVAydF1FmBO+VDbEBxFrT65bW - UggycsF2SjLAaARUbMrJXuk0J6zGosFKCJKMJAXUp0Ug6hE0tgGNwSuG7dh4Q2xLZS9SqThjNGJM - oALkYDu9bm9+lu/dt/vihdHKjavnzp27dO2e6R3dVFHSgMjGlCoN1fXL5996/UdXr16dmNq178DB - DQsbo+UG7xD6KLqitCboD3D91pIuXzEy2rCwuGFxo6sqNsbYorBWgCBKTEHUVc4Yu7KyfOHCBYCm - pya3bN7sg7fKZOrEEx2trK554YnJ1YE3o7Upo9NdC+45xUBRKIyNaSgGQP/GzavL/Q1bd5oi+kmJ - NCiqkSxhidZW165cvrx58+bpqal6E2FmEVHV+Ppncm/ZFhYWqEhGRVidtFjcuHjPwYfvPvj44oYp - cf2S/P7dGx85uP2P/uj/+P5rxy9cHr39wcn77j75xcfvMoCqH1a3yo6wmQCMKQojDELwQJwpIiYa - eXiDkoAQy90zqn41HDjnRq7sOx1UmLEo2ELFEIwBQNVoBJiy0/m/2XuPJ8my9MrvXPGka/fQOjIi - UleKUplVlVm6RVV3A90QPSCHADgkjRuSKy5I43/BHcdmODQOMCAG3V3dXVqL1FpFRobWysM9XLs/ - f+rey8XzyALMhiCXoBneIhdhme6Rz5/f+33fPed3QoEwROhJXaecg3IqRSARcsYi4Q2BEiIQUjGm - g5COyrJz3zrNVKBABSgh4Fwq5ThtMAYZqNCPmRqYAkPoO67r+EEQCCGEggJjlCgaKgihdBmajAIC - SoShMkzCDCtUAQg3OIdgT6PdOdV0rvkCgsCIvutUMB0gIaOwLIsRjhAqgGFjYBwvZNIyyZCBbkGG - gK+BEM/H+ip+9XfXnjyoJOPH33rtmVdftV88h55+aDbAYHfDSlnjU6+de+k0oWJwMGdQhA6oAx4w - qnoU2c70kZFJHDoKnYExaDqk6rifNB22CRrlGCkKxaE0EATKa4bazib77XsLq/N0dPDC2WenVpbx - aH2f8pSCIQ/2WYQolzH7OH/t6oIUPWfO/PTP/1KfGke6G0yD7mPqGP7r/+aX//7fz83OGle+bo1O - JgenELeiwWigIIjSIQ+EDwREQAYIXfjthAiSSiY9H34AxiDhEpgcNqXft7dhYLYdi5OY09YdF1wD - AwIfGgHlFKQtVEsIwVgqMmB4ATgFM2DpyQAQHaERAdEhGXxIAWJDAh6gARoBIUoilPAJKKc6FIcU - oQoUlYxbIB0RRaggCXhUfghwTeimoZMU1SGAQEDT6pAJSAoJwSIpaEBBuMY71hwSgvgSroTnI2CQ - GliowKWma1p0SOgLBAwUkkGGaENolm5CAVSCUEIYARdgURsuACEQhjC5RiiFVAh8gMCQCkEIT4IT - YlEY0exHQZPwI4Bux18QDX5o50egghCpEAoECoLD5LrJqAF0ztoPRhsQEoqDcmFYtFXH3i6+/XL5 - /q06EydeeenCy2/i5beQ7Uc8Cd2EnYOdQn//qYuvnKq2q0aykYwNaxTwwZRJCHzRbIclV+RiOghI - ZN0GoBCEaFIEFFoIKDAGm4JT0VkJfYHQD3VdoxQCgnYUHa5QhCpGKTsQbUnCFFFKyhCUMmqQTlOs - cGB7FBKUGIYRfZhKKQZlKAA0DGXoOEG5ZMRyum6EgENIO4JnU3AKE8TwfeguICF95nskJAwaNCu6 - c9uSZ7L99nMv08P+VLIHegLQIJWnVChhKBi+YEp6pg5CvCCgnMcjva3vgwImAwhcr1ncr4L26MZ4 - 2896ISJV2NPsFQWHoAIowFawVPTQ/D1Uzz/Z659Ht//Y1ZniHxhjoYAoJZaSzmJPGYTwXL/ecJy2 - 53qhVMqK2Ylk3IpZTOv4YgEJETRL1ToxhJ21khqjEIREI2EGhAiFJ1pNFjTBdD/Vq8sO5I1GKicA - jDHOOWE0DIXrtGqVstduExnYOu9KJ8xskhxoCUAQjQe4padV3KFSWWbID1pZIkAiszKVQOAHTtOp - 1atuq0ZUGE+leTzJY0lqGAQEpIPDZ4oqdWBXk1L5vtP2KtVas+2EUqRy2VgyqVsxyhiDghTNVr2y - X6yUC6HflkJrtNrFUm23lDRkI66Ftq7HLfOgkz5AqkgZtR2e57S9ciFfClyfCQqB0n5pJ5/XLA1E - GYbebTAQCRlChOCIGsJqo9Fw9tqOQyTVmJEw9VwyZpk6qJSERoSzjrGIUggceCuVJIBSynHCWnG/ - UKzX6kIoKdFoOflCMR63DaoxSbNxi2kSSrBIG9RZ24iUaDWb1Va12qhSTpOambUSlhmHHXsqlEOk - HejooCgUAl/WavVa1fF9EGIYupHOZOJx27AgBVOSy+jxiMj4SlEiGWNSdioMhUjyhlAEbssJWk2a - zig7KQCn3nCLJcdpWdl0vKfLNAwKPA1lCgJVrNbq9Ua75RoaS+hImzSZjCvToJxG9huOyO/ckb0I - pcKQ1BwVVPNeZcemoRbP2plewS2NPQUMHIxMBNpu2Bau5wXN1n67TVSIZCyZiqXiCcZ1CqJLQSgF - YaAEUnoiaLbC0IERGPGMZukKzEOzXqm2SgHaRtrWs3EaNzkMBaokZACnUXNqlZbT4Andzsb1WKJj - e1CRQ4yySPTXriMI27ouYnFlWDL06uVa0HIghKnxVCrJU0lokdCSBqGv2u262yo1a5IR20rmcv2d - vUp1ZsEyDAkFITz6UFUoK5VKq9lq+22lpG5q8XginkhyTVcHJxOQBERCur4LxxVCZWIxjSqoMHCc - Vqm+3/Tqmm4k4tlEPKPrJunoxzRKQiIFhCJE5xpCiVZLtlr1er0ahi5nyCasLoNABJxTxljgKiFp - ZxJMSGQ7VmEowkAKNJqtWqtZd5pM57ZtJuOxeMxi3OgMJRU4R9hsFvfylVLJa7kylPul4n6l2Gz3 - 1MOGroVp3YjRKCtQ2pYFLUYMxjRNQhGlNE46M0QAYELRerO133JblYrZbmUgcpmsns4iliRQSiEk - nIJRIgkkhXTbstUKOGUa83VGuUDLqRer9UbbI5zZyYRpWYYRAwEIFwfDnY44LpqiUApJoCCVbDnN - eqlYr+wH7YYMg5bj7FdrO8WQqTCms5whdCKicWpHc6/guG3PabZaTrVWV4RkE7GuuJ0wNRhUMS0k - CARMhk5UkOp0I1HTzUAVUQohoGzD5MmEgK4O+GOABALpt1tt4frSshMaB1Fwmo1aq1qqFbnBbSuV - SuUM3SaMhBKEco2CkhDSk4IBPKo4m22nUi+59SYJZMqKJRJpy0ronawLJRGI0IcQTIIRSglXFDIa - 3csAvlsoNRyW7cnYNgEhinQS2EgHAOE5pUo5tE0RN3XOJVFQosNdUZQS6vlurV6vV2pe4IPSbNrO - 2JIQcEYpZRLRCQ//Pk0qclpBQinXVw2nValWnbajkzCbsBMxnZsJxc2DdIVokTyQBRF8/6fqrNwA - VUqKUNZrjUaj6bTahDDONV03stmcbZtPM4gViUQc38O7/kElRhVFEPpNIQLOY6l0NpPOZtNJgySV - 9FSYPjQ62CwW5x882NmrVsq1ja38MffpYM4tFXanb9x8dP9Jo9E+efKlH/zoR6/+8Fxu0JCQGqip - AZplZ0cGDvWPjA8Waw4x48lYPDrUIAdbAWiEfnhaakgAlHESgZkOfNcEpFqtFssN4fiWZibMuJVN - IW4QxgUkAQgYlOjYw6TyvLDhB54Xes22cEOD86RtJ2MxHrMUoxLcg9ABnVD4HqgGpvu+V2u51UbL - 80MhBJTs7elOxS2zI+DozGchO7mCYRA03Va11nBbDSoCQ+M8lrYzPUrnBJAUina2dCoVUQAlECr0 - 3P1Ws9gK/UYlpSNn80xcY4zpGhehkopKcPk9LvZgz/wHn5uEEgi8Ztt3g7ZmJ4jOJSeO75UrJbe6 - ryQhdk5L9iXSmsYgFGWEI3LtSUmiuxQdkwoROO1Swy/W24qbDERJkYgljGSXnrDAO0qgUIEpopRi - TFGixWxTC1LKoJR0uE80kgWBS8FbHm22W6VqsR04OiXDvb0p24r+eefoUklAEEippOvTRqtVbxRd - t6aItG07Hk/EYxldt6KxftSHcUqVglDRGBkHXg8CIUS1XMjvlIt7MvSFVLWmky9VdsrdNg2SXOo8 - 5DQElZZpZZhNElTXIqXBwUsoASkZ1SgzIoajdBy3UWpUS06r7gc+df1KzcnvCZOFOlwdfjrGuSYh - iZJMghFCA180HadaLknPpTIknBvJpJlMxWPxgw+MUNrJz/Jdt9VuB46XMuI2NwLPL5QLpUbZiNmx - RDqWyBgGi0oJKSVVokNhUBQHtqNAgnCqFFxPFgsFp9ngBDqDpWvphGWbJnS9YwOnkKCgDISCCDi1 - ZqsZmnFh2zYjoes3SnvVUkWjWtyK02y3SGcCAh3oWMwASpkiCALf0iLE1MEpRRC4tUqtVAxcl4BI - RYr7lb1CUZOOCj07mbISyVAKKUKlQqJk5JaPPGH1RsPZ324JXRgpI9VjJFK6DQChgiYPjvxlCBmZ - UJgUouW2q02n2fak72pUpeIxO9FnxiyBqI4FJRFRTTB54BhmANVBTUKS6YR36uihjcWtuzPrq8tL - G9unJoZ6Oxb66BsKQkA91yvk9x4+fOR6/vBY36GpyVTaJjjw0QuhQnd5d+3xjlsolNuFVVHZ1pSX - 6erq6RuIJ1Ojo6Nj44ei5UoqFfiiWCyura2XS+W9wt7u7i6USsTj/b29iXh8aKD/xPFjmq6pMGjW - 6/fu3Vvc3qWxRMMPuNtMcpUzuZbpj/eOpAcnBnL203bGqdVnHk0/Xt548fW3c0PDcQOUCA5J6PfR - zvV6c2Vh/vq16xdfvTg5MWFaVjSoja6nR3j/35pyAlCqhKY8TcE29HRXb7q7vysNhoSGkPfaR8Yy - c0/uzqzXdjbXdwv1YrEWgXhJhEhSoYIulea0/Vaz1ag32i2HqqArHU/HTRIzJIcgEedewHfhtdx6 - pVVzCNHAeL3d3thp9toq5L7OlR0ziEZBuILyQ+nLwGm16/VGtVIxDC0Rt9OZpKZzTWeKcikCJQXn - hCiBMHTbbiCpJFrMjlEl/HYzX9hvQyd2JptNGBHuuLNmd8p4BaWUJO1Wu7pXr5Y8z2WcOa5fqlTz - +YLOCOW6FYtTRknHCy1BBCFKCL/peoVy1Q2FGbIEjJyRiCUzmm1Gue4KOOjFFCFhZ7EjjHP9wOQO - ymDpME0WGAgNIDpvlQwKpT0sPMHd2/tBmx2dHHrrx/aL5zB+CDS6mQQmkEigvx8Dg2nXA2OwY6AE - LELzqnaItplEugfZPpgMjB04ug5Gr+wAeHkQswkoQ0mzVFILC807t2cseeK556aOHEGjAbrFQagg - nZSH6CXKBawvYXmhOnDk8Mmz+tlzsDUYHAwwbQwNo6sL164Pz86VZ2YW1rfO1FrctCLUoVBKkMhL - FdWOT1MxFBh0Ri3G49EqJWFIWAR655GOTpcoCOWhJEQxCSpUBw4dUN4AACAASURBVEWiIiKM0hHq - bjPVaMJto+1AShgGEgnwLEgMEghATVCEFD6DBNpwFJoSrRgAtNpoVVBtBsQSRkrvSpmdt5ZUSs0N - EDTRrMFtQRFYCXCLtHykJZRAGBKpBDSfaaA0+okp2lS0IShIihNbFx0wAwU4Qg7PaLVbri5Vgkkq - dZgMXChJVWcQ67jYqfosrsfjPKaDQEEaAGQbrkcdJ+35tiO4T6kWRywJ3YKk0Gmk/Ggj1CB0uKTV - DAJDCZNyGlM+3DpqtZBxZcXsbC5OtJCgJQSohArg+2g20Wqh7Ya6wexYMpGCZkiueZJSEF1RInFg - JfveKRwtDpwRvV7D/OPw/u3CXr7ePzR+/jVcfBvHznSsS1EVbJvo78GhQ6i00pVWOp2JztJAFePU - UIIHPvc9QKLh2fVq6LZ8Bt20kcqkDTMIqQjgEYQHX7joSwepEIZc+HCaWrHkgEmuU8ukMVOLmcS0 - oSjp7EAQgPQDwViMMhoG8Ntwo/+4BwHEU4iniBmPCdKO/FQH3yMipBSi47gFeAgdUGHIvTZEyBmj - lql1PFYKRIRKCkkIOAg/qB0k1RjiMVCbadYBJYsIIiWUAcU8B8264Tq+78lQBOlMPJ5EIobIgRcK - BA3UimZhR/PaXQHrq9RQLCAbB2fQTegmuAbAgvBApKCmgAXoqvP4/VO//nl0+49dHRvW0wefQAqh - VMA6p3IAlOd55Upje2tvcXl1Y3MnFHJ4dPjYiWMTk4dinVQIBUIgwrXFhR0XyA0eOn4sFoNmx6Fc - EMEgGKTTbBRWGvWia6VxqnuSGhZ0CdEKRdhut8Mg4IyahqGkdBw3v7Nz68b1vd1dKv2TR6ZOnzw2 - 2DXUOYqPTsuVlKFPw3B/b3dmtRAbVubQRCwTdcEBhIDkoAa17FZxf3l9Y3r64draipTB8ZMnJw4f - Gxo7ZOs6IVSChTIkhGiUSEGUglRKhaJWb+zs5u/cvrO9uxOI4NTZs+NTU31Dw/FkklMqQ7G1sbm0 - OL+5vuK2657Q9wr7swsr0q0YstGX1ga6u+3BIcq+zyCVUoa+rzFGKWm3m+uby7PTG/v5guM5qIrH - M0+qhmvGTELV8OjwwPg4ZHjgY4YI0XKxtb0zv3RvduaxCpFLdx2fmjw2MTrc28VimgQXLGr9oaIP - teP5UYRQCgJCS+VSfnF2Z3Nre3tbSASBKpdryyuriUSMKQJfnDl2OJkCQl+JIAwDuB7hOtcMIbC9 - vfVk/sn07GMzZo51950+cuzQ4CiPxZ46vwkB4CkpCWEgTEkZBGJ5ee3e3Yf5/L5lxvsHBo8dOz4+ - Pt5npTmnQuiBH2g6o4RTTjpq6EioBShITnnUoWiMNNrN2ZW17smj6fEEA9nc3lm6d3d+bu7o2TPP - Xni5p6ebEEAJSChFmm3/4aPHMzNPtre2Bvt6Dg/3Hh0bILJXz8WIxsNI08oAjUdPPYWSQjVbcmV9 - c2fh4fb8gxjx0/1jx86e7xudTMZtCl8hIESAaVAQgSjs1YqV/WK5srK+kS/s+453bOLIsamjU5NT - yVSCMUtE8Z4UgPLdRrtZ2W/5+RYRsV5zOKn7vlffWVqYu/voUcNzT507133oUGIwkbJNAEp6ntta - XXoy9/jx6vpGz+j40bPPTZ3ojtmaBPwQUDAYp1R5bqW+s+40GmEia/eP6tT0HH93t/jw9q1mtdTf - kzt2bKrv0FSifzACFsogLOzuLq4tP5qfgUYHBsYuvPxmJt1l6B1Em1JSiICAMM6ZpkPBb3sry6uL - i4sbm+sCQXdv19ThI5NTh3O5bsPgUUXFdQ1Q8NuNuru2lQ9kY2J8Ih1HGAZrqyt3p+9t7GzEk4kz - p589euyEjYQGk3JGoukzI9J1FTPAdT/AXrG4vLwwM/PI95xcJnHmxBGtN50iAYGgjElFXE+0PcRj - MMA0RgmkW3eaTcd1/cXF5bnlpZXNja6+3qnJsZMnjnKeMfSYpEygQ+gulTcX52c2Vjaq+47vhesb - qz0r07Ekcxq1VNye6O8xU3GmUSgUC4VCxQ+5Gjo0kOxOaJSSKOVPKjAmobWDcGNja2ZxZWVujjv1 - XlM/cezo6JFjvSPcsG2fEA+ggEmIxoEgqFUq25v7lLLe3lT/SI/frq+ubdy6e2+vVNItbXhs+MVz - 56xUVkYypadzPQUiD8zdpFP5gtD87u764+nVpYVmpaREWCqVZheWCRSTwXB/tzHanYgTQCH0QU1K - wRjbLhQ2VpZX1lbX1jdB6Phg33PHj0yNDMRzuuSWoGi7ilvQqQIIZ/xpIdZR9DPKCANRpf393ZWG - gJEe78klU6pT+/tOq7q1G+aLZGzsRG+XZRtke2P34ez0vel7djI+OjJ2+tTZbK7LiiUI0xiL9PeB - 8tu+UFSzhELbD0uV8tzC7MrcYmknPzE8duLE6YmJw6lUkoIAMpBu22lCCA7KwTTd4Do0UM45wrDt - 1BfmN5sspx09aiQN6AQEnDABaBQQolUp3r51S+/pSY+Pj4+MECkQeGC8k/NDUK81NleWZmdm8oWi - lOS5syfPHh3szuiGphFCRKgI0zRd11g0sA1BotxEGYqgVK2trq3df/gwv7trUnniyKEjE2P9I1Op - 7qSIcKFP8YXRje20I52upSOYIkoI4bScrc2N2dm52SdzmmZks13DwyMnT5zs7e3TNC0yUEdLvAJ9 - iubCwYtFDw2jISWhCH3X454fem7guzCM6D0VOEun0/39A7H4km5YhmEdUBNDhfbaxurvfv/x+sZe - d8/Rn/zsZ889/2xvv+FKBAiYYDbjEEopnzDa1dOX6eGSmR0MktYJdgmElFIygLLINBpSQghjhmlK - pUIhQiEJoZQyBexs7648mFl+MteTyU6Mjk6dPpUaHkLMinx7GgjXKJREGEg/dByvUK6trK5vraxV - i/upWGxybPTo4amhQ4e4ZRGg0RYaCW1N+U6TcEuLac2Ws7S0cu/RTLla1zXe0911/OiR8eFBuzsD - AIEvPIcSQrimx2wIVa8317d2Z+YWdjbWQrfVk8v0j05OHHsm2zukxwgFgpCoMNQ51RgBMwCpfFmu - tR7PrSyu7eznt7qS5uRw36nDY71diXQqpWmckO8Dlw6C1ICDRI9O46MxSFWvV7YLrYbDuvqHU105 - pvH9/ersvbtLj+9TwvrGj0+cfMGwRi0bkiEMKCVKowQQoApUg/Dg+W7bWV1dX1jdmF/e8AWEIlLR - sUOTY5NHRyeOJDM2N0ApRACpiKZpoMR13IWFXdeJGxl73BrXI2d0NLoVrO3KfNFd3Vi9fe9m0633 - deUunn9pYmTENk3TMAilQAARAAGY7nnefrWV38svLU5vbixUKqXDh48cOXK0f3Askxu04owRaJxS - aICUQgVCcQ2KRnp3EMoAsry0sLw4t7a84LuOH2ibO/n707M0dLtibGowl0gbYNKvlNZLhYV90TV+ - aqQ7xjhUZCskYERRQijjmm4bBphCpVzaWJmrFLaKhTyj1PWDtY3tG7fuJk2VsVlXSk+O9cEgCLxQ - 6RJMEbRaztr6+r27t5xmDQhi8cTA4OjI+MTkxGFwCgpmRDQnAUjf82qlcqvhDPYN9uT6ypXq9MzM - /OJsuis7NDoxeuhwd3d3ZJqhJBqWqs4sRCiACCHabmDY8VCqRqOxsLi4trxYLu7FDK2vO3v88MTk - ofF4T4oReBJKwbTiHICU0Ei1kl8pNvTcYLx/TI+zark6P/145sFDJcKhgYGhZ57PHH6GJG0T8ANp - S8VNS1IiJcIwVJx2hn5KBX7g1GuFjfWdrQ2ihGmaLsjS8qpFvGrGIjIYmZgYMG0Vek7babeaIvQ5 - AeNMKrieqO2X73x3aafUoFbq2NnzI4dP5ey0H9kSpDQ4BZFQAWQAooMarXaYL5YXV1aWFpeqxZ24 - QY5NHRo79vLQ5JGAI4pUN2igUQIaOSmUIpAEjGqACaqSMe+5k5PL0/dv3ynOzz2ZWjn/6rkT6AB2 - EEUdUqDZcvN7+3MLi0b2yKGpibHxgWQSUAgC12AEoPvFyudf3PqbT249npnz91e19p7FlFAwbPul - Vy788l/82eDQEAiljFPKHac1Pf34vffe++rrr3e2t3VNFyJUQkghxkdHf/LOj/+X//l/ymbTTrM5 - Pzf3r//1//bep194hIJpTLhW4KUYMqOTr/70T378J38+1nccAKT0XXdlaemTDz/89LvrxM6c5rGB - ngThPtdCwnQOrgAobG5sfvnlV//7v/k3hMAyzb6+ft3QNV3j/MDYcbC3PL3+k2NcoRAoaJRwCp0I - +Ah8zw9VcLAvqeh0wKAnjk4cnirevbVFmMWZGVETQZVhG5Be4HuC6Pm9ysrywpPZ6Uq5aFJ28vCR - qfHxrhHT7rYVEEhoSginXsrn93Z2y8WGbSYMYpSb1XuPHgzYdMCm2bQ1NNBlpUxwS9N1J5Tl/Up+ - d2djbX1pcZ4T1d/bfea5M4Mjo2k7K0HaniNCNxWPUUa5L3bzuzVHKC0+OjZGZVDI5z/7/MuqR7qG - p958861MhoVBwElINMu2LElAoQE6RLucL8w/vLO7sxn4rh2LN5z26tq6TgiHSqYzh48dTyZjhhah - IQQIGKeNWm1xdePe9MxusUKafo+Vemb08JGTpwZHD3GKQEZzwejsOyJGUCmjFMuOiyrCrrSqWFpw - /Uygjxjxfp1G7BAPa0t4dA+1Snp0NH323OCLFzE4CGgQAAgYQSAABU3H0CiEjELowSIWDxxf7ASq - GHJAA9E6itTvD4gBAJJAiIiNRiEjd4jJCLY3ycOHm/m90hsv9PzoHQQh2AM4bjNQgSRKHQRUQKK2 - j/2dbLOuTx4dOnwaLAYVRlkBsGKAhUSAnj5mxpy19Sc7hVi5NtHVpStAgUklKAIQAcKUihQ8oAya - DsvWLTtmWkktwhcBHF1R2B0EwhBMgHNwjYIRbnBuMqp3vuycHwBvQjSK2FjD4iKKRSg4QyPm4AjN - jCM1Cp8h+ovwmWyCAmig5iFfg98FPY5GHvkZPJ7btnJ89OjA+edgHGBMKUHLwe42lmb84lYgpDh8 - Itk9gHYVR/tBgGZTNp2GzwjXoetgQKtiVAtolsFMJEaRtC0fDgE4CFMQLloVsr1tOHpg9tmpvpjB - iIQg1OuoZ0KUS5h+XOoa6h8egt0FnRiUInRR30dhB5ur2N/Tq67L4mbPGAYn0T0CLQUjYpWICglS - lBhBHVtF4dtE64p1p9GuYG0Wd+9uWTE2MTn8ymsA5QHhbUfoBNJHvYKFOSwvOfl8IZtLDA3npo6g - d4imcpY6MFcZ2gFA6OCwiEYUV+hQ2N/F/duV1UWPMHH6XPzsKxg7CuiQgJQgEiIEBTQdiSysHAYi - l10TLAQD0ahNVUoEqcCF9LG9jtnpen6rqFGzbyB+4dWcQXVXQGiK6S2FUAFQerQfKgLOUCli6Qlu - 3q6HpG0m+EB/cuqQPjoEXYPUIGjEP+JSBm5bajrlHG4L+zvYWcfqMvbLVUnoqWeTo1Po6gczTI0T - xkAi9xuIVIrrRioFqkGE8ENTKdNtobqPZh3ckP2DSMQAHfDBSMiYohqVtCMWoLCVMN0mdldRcETf - FIv3w+RgoKAgASMKXhM763hwr14q1igLJw4bk4fjU5MwDBAFt4HKDvKr8b3NeKXk1XQzn8fKEmQD - ICrXS3r6YXWBEBuhoXzPY3pgcPb/kD/3T/D659HtP3bRp2Q6CQIJqjoROzIEYTIIy6Xid5euX716 - K7+7v1colasNqWDHY30DvS9feOnlC+fPPHuKECkbtcra6ke/fW+60NCGD//8X/5l4uiIaUReV0mI - 1CA3Vlb+3f/6t05ZjJ8czA79y0y/HdNJdHBDKSEUfuBtb2999OGH67MPZqanq+VSu9Wi0r/alZka - H544/fLFn/wyO9rbWUkZpYyszs1d/e6r33xz5/Rbf3L89fhQpldIyVUARsH4xtrWZ59/9XB6emNj - s+W0ytVyIP1L167H48nh4dHX33j97LNnxg6NUqYRRakEI9T13L29/LfffP3o4aOV5dVatdZ0mkEQ - XL52NZHtyvb2/uKP/+jo+CHih7/627+9d+3T8vaTfD7Yp/tXrt64tVTL2oEhqxeeO/rGhQuDPb1G - JEcUkmgap4QyRgnZL5d+9/57d+5+u3Znf3u9KmA5de+D9z8UN7kkwrCMV1+70PXznx5KWIxKwCxs - 7l15sPnJlx/uFpf2q2vNek0FytCMrkS8K2FNDg+cPvfaiVd+1D3RRwDOwDtwx6gEE4EMPAVOMP14 - +vNf/Ye15ZW59bLvhXuF/a++/ubJ8pymU5sb3anM//Df/lcvnBkFAyMicoUHQi0try7evzZ989uF - laW601BEJZjWG0++/errZ17/4fCpF0iCdrjHNCSKAGr6wfTVq9cfPppeX9/a3y/5fsC5HrPjyVRq - YnLq2Wefff2NNwYGejWud4prpSjt9A/R5Fx12O0KUDtbmw+uXvm79z964Uc/7T9++uaNmxvTj/aX - l5r12pO1lXyj9tOfvDuSzSAMdjZXv7x265Nvr24XS/Va3fNck5GMiZ64Pjw08MzLP3j1J39qpeMG - g+95OhFgDISuraxcvffkk+9ubBfKfmkT9T1LtWGlzeyHU888/9L5Uz/8wQXbIghcnaFWqM0urnz2 - 1bezSyu7xa26U26HLSZwPZ7OWMlMuvfll98898LF08+OgUBCEeUYOrYKu+99fGm9zuy+E+qNl2e/ - /f3arS92Ntb3Ko4ntU++u9o7eWLquVfefedtU9QKy9NXvvx0aW6+sLXjeAqxrviHlyeOn3zt9Zcv - vHreNDkBfCEYJY16ZWH2wReffmwOTL35J395+9NLC9OPl2eeVPK7wmskTNLXmzv87Lk3/uCPByaO - NAt7v/3rv16fn9sqbJcaFWryVKL7o/e/ePedn7/x+ivJhGmajHOia0zJsN2ob23t3bh++/Kly8Vi - oVKpNlpVSUI7YXZ1dw8MDj//wrkf/ODdTLZX04jnOxZvt+r7S4tbf/fbG+2g+1/95X+5uzE3//j2 - vQe3t/fzNadJOP3wo48nJg69+faPnjn1/NDoIcXAiaJEUp36frC9m/+733zw6NH9re2NWrUEGdgG - yyWsc8fG33j2xMrqcqPRIDRtxZJWDAQQkI1Ws75X+Oz9D+7cuVuvN2r1erXltIKAGkbc1jNp++jR - ydMvvPH6D//YiNFm03sye+vOtx9e+e7y6sLK/l45EPL2nZvTO5vp3+ekp45NHX/3tfNvnjvVnTHc - uvjoow8fze0y2/gXf/Gnz3SfAAAiAB+ULs0v3Xg4c+n6zc2dfKFUcWpVw28nqMwkE/FMemB09OIP - fnjk7PM9I0NBhMQgEtJ/dO/ub379UTbTfeL0kROnj3z8yQf3Hz7c2t72fI9wZcf1kbGxcy+88dOf - /lkum7NjB5Lbg9EtJRH/inmuV9wvf/jhB5c++L+8wmp9q9Zq49btOze2vGzCNqicHB28eOrQn71z - sbcvDsIb1crNJyuXL11eW14s7GyXqxXXCxQlcY19HLfGenNDUydOv/bj4ZMvprMa/fu2BkSiMoAg - QKhDEargeXdu3f7y/ZtMT7z47qsXh17XTEiEymtuba5++uXM15cW//wv/ruupLY0d/fB/RtL6yt7 - 1RI4tW0rm8n8+J13zr/yxtHjJxUQSkn9FocwNC45+/zL69989+XCylypvNeuNkPHTVuJbLY7l+t9 - 9dU33/jh8139BjcRsy1LNzRQEQjfD30ByaBU6Ozt3H689h8/vF5D7q1XL7x1/uzUQE4Q5XMeUMaA - cjH/+Pb1//Pf/R+ZySMnX3+jp6cvbjIwgEhCtFq1dePWrRuXv56+f8trO82m6/nimy8+PTaWnRpJ - lyqldtvVDNNpe23HBcApIAS8FoS7tLbz6aUbd2cWNzY3avWG6zhcBd/ZejYZHz186tUf/OzoM8+N - DKYYe1owRbJsdWCSjiQIymk5Dx8+vHXz9r2795qNVrVSLVeqlDDDMGN2vLe37/TpM2++9fbExEQ2 - m1SdcT5TB7EuT4UPRCkIXwlX54pRKEWUIoxqelQKEUYYhfA5Y7F4Qki4rt92XCmiTsGpN3Y3ttfn - F1ZajhztG3rlwsWunniU2EJgRNg4wggBgxSglBIahmGgmFQRZwmRTlkSElHMQSmNIggJpFJCylCI - MAyLheKHH3x45atvq5v59l7ZrdUsTjNxOzUwdOGnf3jywquTh4YFSKDACPFbrY2l+Y8/++LJ8sZG - oeI4rlOrhU7LYDQVt/u7clMnT519+8cnLryim7oGHdLRLauwV3py686X315+Mr+8Uyw3W21AWYae - TiWeO3XiFz9959jhQ8lsmul6lGoXNJsff/bFd1dvLK1ulqp1r1VH6Fk6s5LZ7r6Ri2/+8NVXLw4O - Deg65YQRouA6rbbT9trXb9385LOvV9d3ak2PSB9hO2Frw12J1184XVib44yDMAl+IPE9KJ7/vggM - iOgcjVbj408+m57d/KNf/heS0aX19ZvXL+9vrnjVghSSJ3rS/YeefeGli6+89NKLJxgFi0JYqIQC - lNpaWbt9+9ZXX365v18qV+v1ZkuChdB8cCuRSaaz2VzPxdfeeOn8K0emBhgBIVxjHJB7xcJv3/td - dV/rm+j/k64/7RvrikpBVa8uru//7e++fjC7vV8plev7ofJtXf/8o89Onzz59huvnz11sjuXCdyG - pkv4zn65+JuPrly6Pb9X3GvVCm6rJEL/2pWrXd09/YPj51/94fPn3xgcsBkDVRJEUUJAmTwIFqGA - 32wW1pZ+8+tfPX54t1YubG+VS8LYWVgs/vq9bxJaDO2/+KN333n59OSh3v389pUbC3/1ya03f/EX - 7772UmY0p6SA58BvESU4ZZ4XuG7o+xAUd+/eff+9v9nbWt5ZXwhC2aw3r12/s7OxqqOd0MVwb+J/ - /O//1aljowo659by+s61azfv3L69srxare27fksRX9cM20xMjB9++60fPvfCi2Njw1HokVBhGASP - Hjy4dvX6Xr743PPnx8YnP/roo7m5R8XCjmbor7394z/4o0wylbY0jXSQnBEBXUEqJQShjFHGOf/6 - 629u3r798OF0pVptN6qe09SZihtaNmGPDg8dPXvh7Z/9mZXNxAwoMAUuvGZhY+2T37536cHi63/w - n9FMoVncvH3p873VuWatJEMvYZvW0OGpCz86evHdN86O2pRC6+A+GYVt25T4kCEkAeP7pdKNS9/c - /ObTubvXlnfLO47etMTv3v/wiiVTzNc18taP3nnrnXfGh/tithWP2ZxTAjRbzlffXGss3V698YlX - 2mq0Q0+x5Gdf9k+dOfzsxTd++O7xIcakBhVGjAkQUS8Wrj+c++ra7fm5uVarWauWRatkUa83k0iN - vDh0/K3TF3/xwplY2kan1Q1CSAVOFKU+hQZwZUASQsTkcM/UoGVzf315aXF5u+mB84iXppQSIJKA - 7O7k19e3Hcc/fHbs+MmTdixSZimDkbDd3lyY+7d/9XdXnxTrfvLnP//jZ0ZTY1lGw2a52tjeKywu - r+7u7Gxvb/X09plcazmtX/3q119//c3MzMyFCxemJqeGBgc5Z17bLRWLM9OPgiCYnZ09efyYrnNG - QYGhocFX3/1p//jEQEw3vebO3OP7K7tXrlx1jQwV7WePHepJGpzRhB1DKMqlMiHUsGKmpWlUgfg4 - yGSQEqZpUkIKhYIU0jRN27Ypi/gMAKCUkkpS8g+ACf9JEW4HYAMS+l7g1JmExpmmW5Ig7EgxoVRI - vKbn1APfA9FCwXxP+i40CmgKMhB+a35x7b3ffze3VMjn1+q1vSBs6ZJ/Y6UydqZ38vC7v/yzsWfO - jOd0EDx+/OCj336wsrCyvri2V6g3aOLuw7vt6l5KtI/1Zp87ffgPf/bWYHoIKpydXfrmxqPPPvsy - aDtOs14v7zOImKml38uOHzl29txLP/npu3FT1xiRoaAUjXLxo9//dmWnwuLdb739w5X56W+/+Ghr - Z7ce8lhuOBTkrRdPHB3OAR3CjucLSI+RcHt96cZ3n/3mr/9tqZhfq6Lp0Os3b60uLmRsy+J0cGjs - tTffOn/+5ZOHeqEU2q3t3c2Pv/vqzuyjxdWtYqXh+NIMSIZbl/VEKtszefTk8y9dfO7iy7pGJevA - jyL3J6WahBaGqpOhS0lYxdIT/O53V9SAP3S+f6z/rEIHgbS2iEd3EXgDfQPdh47CTIEYUAxKOgRm - RAoE6eRCRZrHjo6PgfMiM2rEbgcMPukQSyJtq4AvEUaGBEZsQg/U+6EAFSpEsYrbN6s3byyOjU+e - Opsen8DaWpT05DONEI1ESzQUlI+9LZR3s5R053oTyT74FIYGJiAFOvAPif5BfWQ8vlryWl6h3LBD - DB+EehGQMBLrKGgSGiE6oaAUYei3Wq1ypdFy0HahLOiMR7IcLYLUEHAOxgGKQAW+cN0QdpQnRbC/ - 68888r/5fKtUyFTKen5XBn6CW7tWYi+WKqYmxLl3pw69mD6eG46GZ9QAAjy55/+Hbz52e2K5M1On - R8au/Hpn7ebe7v6WnsWzFzHUNTbWBUOH5+D6o/r1R7sP7+TLW/CrCc5ZLEPNhDIoTo5O9VqW5xEJ - 4YUlX8BzO/DcO9dbn73/KNUdP/ezngu/6GVcAzrz5kIeM7dbly892hd7ucn0Wz+/cGSSc0YMEEKI - cLGx4F65v/77z++dOf/WhZd70jEIYHUWv/2bu8VN3q7E3ErMrdlN5SgLsZ5E7lA4csp45qL10hlw - BJQ5RMvAwfx9+Xeff633Z7qOjk0O93/74d7c7Xw+vxNL8BfPGyPDPd2DsNIxy8T0/frDO4UHd/Yq - RaNWMitlxzAqsXghkXGPnjbOvpR++eJALAHKIKMAePiQfqRUIowBhpBwmtjexNx0O2h3jx7xzr3W - 1TsBLRFB9kONcgJAgBBJGDgLFPRO6CEHNAgvcOqhCmOh10lDHgAAIABJREFUh7Ul/OqvwrWFW3tb - +0FLajDtZPjVp/Hnz02de2Owa8TW4SqEMkJRE93zcH+u9vXHK9sLdnkr13CCVlgX3LFtZ7Afp45n - L755eugwjXchDGExGIxbtlWtYmMdV7/b3VgIipu8WhKtdksRdftGPttfGJiov/uzZ8fG+iwL0odU - 0HVThbqUpNmCrYNxcIrNDTy4Xfji42klk0dPJn/5nx+xzANQMQ25RgiDK9H2kDTAEPc9rC/ju0+8 - x5tfvfYHR4+eTyf7s4Hyld8ul8Kvv9m/ezdcWii1ami3WCjL2Z58zwAbHU3+4uenenuxvYgvfrMw - cy2/WMROTavp4RdfVR7fcnvshh0rHj9jn34xZT17yLDAJSPE1inC7xeE/x9c/zy6/X+5yPdad0Wg - CAWkUjIklDhOa+bJ46+/+urSpRupdJcdSw4NDoPQlutUqrW1tfWpoxMSCpDC95xqef7xozsbJbMa - vFxteBIC4ApQihBBEJbzu9e+/dapyDY57QVh0Dl/BGWccU4Iint7Dx7cf+K3WsXtZr2eTMQsw/Ba - 1YX5uY2l2YfLeZ4bOcXfOTasy2gXpijs7c5MP7h86Rtj+GTP6bJEr1ABpA9u7K6tfXf17n/8zW/K - pX2N0YHh4Vg6FShAqfWVpZW5WadeoZQmsj3ZTJooqUKPEJLfy9++e/93H326ND/vue2xkZGBzIBS - quk4S8uL1elHY4fGU6Y1kO0iSjEC09AYCQAFysB0ygmD/rSWAjogx+hOMxY55qSUISFS40xnmgzM - EIJzjWhcMcY1DkKC0FdSh/Dh1G5cn/n95/fe//R33f1WtkcbGhpiioZe4Naq9+Zn5u+rtZ2Sb3U/ - m343l+sAGg6y4yWEFKCSagqEEMIoNQ2DcS6lUooqUEJphPjnnEspIEIw1WHdErq4soZmsbrxBK19 - Sml3T0+tXt3fzc/evuPValWlvTs0GbNyOoeOAMQPA5nfLV2+dO399z/Y2Nyx7XhPT7dhaAqy7fob - Gys7+e31jfVUOqdpL/X0xoEoiZcQCkDKp1E7T+VjkLVKaWlh7sqlb2vMzG0Wrly+YjjNHCPZbJZS - WqvVRCjajtPY3b5y6dKHn3715bU7g4cmu3O5WMyWviPqxb29nZWluZ1GqHePnH7xQrzLiBIzlBu0 - Ws7NGzc+/OLyx9/eSGT7RjP6QH+/JZ2yi/W1zd2q57qVF184qetxKonjeNMP73z65dUvvr1Zd5SZ - VIlcMmtbmqJ+rbW6MnNj99Z+vhU6dk9vX7pH02xfiTZnslkr37t9a6EgWXZf89sL1y831maTtpnJ - ZRst78mjB7Mr2yvbpbjOZbuyvTozc/cBPDcWiyVSxupOaXZ+eXZ2RtfJ4RMnunoylgaqGKA8t1Xc - W7914+sguUxzY5fuzpe2t3UpE4m00GS9tLmx9mRhZ6/NY4OTG+3S/nffXaa+QwzW1Z0rN6orKyu3 - bz7SWaI7lz579rhp2gAjjHqun88Xvv3m288//erGzVujIyOpdDqTS0oSukGruF+cX1wqlEqpTNeZ - s+d7enqoUoD03Mbe3s7Dh4+2i2Z/3/DWyqP8xmPHbeqansl0Oa4zPz83Oz/dbLcIszLdI9TiKopZ - Ztjd3b58/clHn3yyvLwIIsZGhxK2Lvz2zvb67caeKG2ur6+0WoYwFagWZeNKKKfd3tneuX/v3r07 - t+PxRCKZ7O/rg643PL9Szl+/8WhlZWGvEk4ePzcwOqIRGgahFJIRYuhc54RK6LpBmOYHwtB0pjF5 - IImToZibnb9xY1ZP2D/42Y+it4MK4dWdavP6jeu///Lq5eu34/F4Npcb7h8wEVC31axV5u8s3Lp9 - ve60XGo8nxu0bCIJVTIkCHe3N65fvpzN9uSL28ubq9du36qWy5ZpJVPxen1/eWFmfvbRfqE1MHzy - zNmLQ7GO+JwqsAhLTyUARZiiLBqIMUp4xBVWEFJSrht2nCsflImIBakkRDA9/eTTL658/PFHCIO4 - ZWRzOU03Q6XCZm19fXVzbtp8PF9R5jkzd+78UQACkigw8L/v6FcdyYWSYbC+unbj6nU9lu07MR6E - keJBSOGVS3vzc3OXLt/qGziZssKlJ7e8dlkS0t3V2/TcvcLOjRs3fNFWzBgcOWzbOgeBkmCy7TSf - LK9++unnn3/1ccOpdfVk+nu6DXC/0drL783OLllWcvxwVywznDKJoXMeAapDGQQikFAMBNJp1Tc2 - 1u7du1ejPZNjI84zU0A6+s0jGHezWd/aWL5540pv04mPHfFDBRBQAaXqtdrC/OaHH3x289pXhe3l - o4cnM+mMlCz0Wk9mZhcflQzbKlQowISEkOpg05QQfn595dr1O7/+zW/36m3KWF9vX1dXRhOBdJsb - a+ur25VSE++qeDr5QiZJOuEPBFBERRlVT4lTBJ7nPXny5ObNGw/vP+jvH0wk4ulUWkq4rteoN65d - ubqxviGEMH72h4lkknTCJ+j3q+XT0S0UEBKEGoemMc45JYwxjdOOYApQYbtdqFQ28kUnUGnL7stk - 4p3cZcfz66VqbS1fto1M9+Do5NEpbkMKaCyKz4PsZDIxqBCkM4CmStIDZqYiirAIaAKhJCWqsyHK - KNEJQqp2271z915Jl7cuXRtOdXXFErmY3SjvbW4uXr53u6Jojdq5rv6MxTXGQNBqtVZXlj/9+KN9 - J6R2KpXKJEydipT02uVCfndj4cnyQlOPJUaPD41kImYiCNbX1z/5+OOvL11rh6pvaCyRygBKCdGo - VwuFQqVSdp2+ZCoBziDD6v7+/UfTH7z/wc17D7gZS6S7+gcGOITw2tWmc/XKt23PlTJ46wc/7u7v - SWoEIoBot53m9bsPfvfxl59++lnMjuUyuVxXVgqrWa8+nH7ilvfCWj4UIVNQYN8HlkTEAnUQ/dwR - Tishg3a79WR25suvbmd6hpuet7yxUSrsJjXZ1d3tNJvru1sPZxa2Njc1Kg8fnkwnDY0DSgAyaLvF - /eKXl69/+cUX33zzdX9fX1c2MzI0KKTyoYfMrDT9rY21Ozev/9/svVeQXOl5pvn+5rj0mVWV5b0v - AAWPAlAF7xpooA272XRNUaREaTgzOyvFbsTebGzExtxsrDQxklYrcmkkkWo2u0l2E23QDg10Aw3T - 8EAZlPcuy1dlpT3n/P+/F1kAtRMbmtvdCZ0ALipQEajKk/md73v/93uf+FIsu570eb6Wl295PVyC - Coi1+Pqjzq6FKVWVrDq5dipf5IO5cLMz4yNXP7v74fvvx9ZQWFocLSjUDEYJVhaXJqZnZmZjDfW1 - eTJApIBtL09OfH7j/nvvfXJvYC5aGM3zW9FwOaMqkUzFZma6e4eX00hT76ljh80AeM5/DEIY5FOO - fA486bq6plmmkTX1nNQNzSCaSTiFyCqllBQQbjadmJoYv3HlSknLvvbtLZTm0RymUEmqcuh3KlUO - FQgCRQl4LjwXijLOdVM3PFwKSm0o6dhZCJtQNjM7c+fuo/Pn3xkZHIZEZU2ZoAGXZonA7HgsPh9P - raUAppveaGGEcSUhpRKzM9Nd9x4MjYwtryRKKoY/u/aFctIBryWVEK7rOCK3XEkAkjsiy0FWSE5n - U/H42tDY5Mcff3zt+vXJ6enS0rKCcNDMDysnnVlfHR0ZHO7r6R6edY287fuPN9VHJIgCd6W7tLLQ - 2X334qVbLL8qow+vTA+tzY54IfLz8pLrS4sLUyMj070rYo4WNBQXB8L6BqcPQC4/XdqQEgoQQrhC - uC6BYgRESSmEFCBM0zToHJS4QgjHcQmhjFJGwQlZXV3u6elJPXhMFwfZ0nKR16dbJJ5Idj+486B/ - smt8ubimuTyvzucBshLSBnURXxkcGD7//gdX7vbEVxdrq8rz8/I8BV5NJBIrc12dD+8MrK2qvPzQ - vs2NIcW5giBi42RC0afMUwqlg/KSooKqioKiaGBuaWFqfHJpQZl5xDIAJakSOnEZyNjYZP/IVFay - 8qrqxoa6XLQ1UzajYmp+/u7DxxcvX8+aJZt37D17+vTeTaU1UQ3O+vLq+vjkzGdXrkYLi3JchEQi - MTo2cenSpce9vZG8vMOHD+/f315RXqZxzc5klhYWrpWWpBLrnDMpBCQ1DINzGolEDh4+3LJzd12e - 35tNjD68a167/+jN9x8+6iqO+GpK8vJ9eRolmsaVEJl0WqlcfGyO17AxnOX+cs6hkEqlpBCcMV3P - pV3kvkkpKKWUepKf/V8qtk9H9ifHJKAkF2ypMTCihHSEfJogQ11XOonU5PjUXGwehuH3eb0ea6Ne - EQXXHRkcvPLZg7ffPp8RHr/fCEUKTL1Qk7DXU6Oj/Z2jo8IbOAAjb1ezoSsliVKK0413ERQB8TDd - yxzJONUYNCqRjq+szF354ur7l+7cuHGzuqy0KC8UrihncOxkfHpyYmJuYXIpHi0pbW2uK84PETcD - 4abjK4O9Pff7p9MskLLV5Mjjx/fvF5eWenWfUCSRSmezNlhOapKOcIRUlCilZDqTEq7t9RjrGgMc - absglGs655quMc65UkqpjQE3Njz82c0v3jr/1uj8rEu0aGll2BPwCWpkxerEbE/fQFffwGJ8nQWt - xp3bzCBTCjoBI7m0d0ngqqeoQ8LsLOZncO/uAKsSbil1crfDBVzMzmJsbMkRNFoSqGwAtSAoOBxC - swSMKmMDvUmBHMNLZSilOUMjh2REI8TrCgh3I2RIEVBCiWsqQBL5hM6Xm65ILnM2ncDAY3Q9XJiZ - XX7u1I6mrQgVgM3mfl4BJhVRUm2guqSL+VkszTHIgNfrtfzIvZVyiQ1ALvCTh0M0vyBkmHoqnV1d - y4jcP4JBcQBPU95/LyRJSFe6LhPScF0IB4JDUSgJaUPnyIXf2xk4Dij1SaW5km2EHAEAFhdWH/eM - Xb12x2M2+/0VoQIf0xTjViqDkZHp5bGpebF6xGir2g8fg57z5gvEJhPXrvQ6xQWBNXOxJPDoTg8W - RCg/kMBK0kllspAukMT4OD7/dPDSvemZiUShryQ/4PF49Xh6fn1x1a95Hi71mY4nRd10UsJSwEaJ - 1RgWYqn7t8d0nxGspdtOFHpDXgXmAlxidRGPHyVufr4wmZqMjMSbd/LqChCdgrFcdzw+tnb/7ujN - GwN5Jfsz26EEEgk1Ojp29eanxC0s8NR7fKV+wx9gniwT00sTvbMjkXkj5dtVURJmEVicMuqBwOK0 - fef6OKJJ37Q+Uup9cHsgPZ8OhrxgaaHW05mo6yCdwOICbl2fvPb5yPDgYmG0OpQf9AUNIZFOZ4dG - JhfW7eX1aDivpLYRoQgUpIID4gAuFAdhhPBcTq6dxfICxkcS2ZQ3EvE1bQqG8kEZFGwKQcEpcphu - RZEF0hQmYG7YpymoAoROZGhyFDev4/oXN4maCnkiActKLqenx9f6H08tz3s0y9ceChqWLnPjkaSw - MdiHK5cn3jl/W5cVEY9eVlUat7WkWJUOGR4aW4ktJNLuIWxt8OmMwyDIQeUnxnHti/kPLzzKxInF - 8v3esDdkKiIz2bnex31D47PNzTV54SLLelpKJaFKQQkJx4WdQSKOu18uX7ncc/VqV2PDpiYapAaI - thECxSEpURLEkXByAEtowsXyAvq65+/2D9VuLynbHJaAdAKJFXt8OHH5066ubuo4tLysMi9q2UKB - LMUTC4NDU/F4a0E+IEGUw5nweT00oQANTCM8SXma61nGDUpzo/mGy5dz5HI0/1W6/W/vyj2yKSHU - tbOc0NXV5c8/++z+vXvZTObM6TNHjp7ctGkr4Wx8anJweGB5bTkvL59RRqE0jftNwyCghBBNZx4/ - NXNoUQooAikySTedJI6tspKBhvMKNEMHEpBgmqYbJpSa6+35ePixF+5LZ44/f+5sVXk5I5gZH/7J - //nXPQ/vPHz4QDv/TtYqrC/fK3O2ewjXydjZZDYrhBKK5jK2BZQNwj/4+KNfvv3Jl7dvnzp++NlT - x4+cOOWPFCvNm0nG/+mnf/v+b1777OIHkWhJWV2rxxuyqJJ2kkB1d3f/7t0Pvrh5L5oXOn7q5Mtf - ebGpsdEyra6envcvfnL+wgcTk5MrjSsdO/f84N/8YPbknqHuqz/72Y9UqmD3s2d3n3p1a0PUx9ct - FQ/ohq7rIJQRYhLqAgBylKOCaP6rr37r7HMHJu+u/uh//dXUvXheifG97/9p1aGmYDjguLblNSo8 - GpdZLManJmJv/OqN96/2r2fW/uB7L5157kBzY53P8MSX1/ofPfjVP/zk9tXLFz/+UCuoIZGagweb - vBqUgnQcyiQgs9msMgyNMQK0te3dWlWcWU/96J/OD/7k14XFJc9/5dyr3/sG14lFuUl4yGQgSShh - GZppGqDs/sef3OeZqEf+T//ue4dPHLMC3qGR4bufX3nr5z9/9PBBnPt2PfNCfijP8EiDZ3SSjccT - ly5d/uCDj+7f79y1a88LL7z07LOnAkFvOpuYnJp+//0Llz/7/PqNa6Vl1ZYZPnJ0F6VP7ISAhCul - YFSjhBMQ9aSHVNKVTsax7S+++EL2jhKQV04c/cqhA4XR/ISUwtDD4dBSbObR7Vuv/dPPe8ZjxaWl - /+7f/3ft+/eVFBcyac+P9nZ9+fmPf/TDe/fvreK1QLS8Ir9BNww4Ym11dXBw6Pz585/eeEiN0Lnn - XvjqyfYdNVFLJodmVy98fuvC5Rt9ff2Ly4v5BQYj2lxs5oML7/34Z79NuebRky+/8u1ntu2tChQo - pJ3RxwMX3/nwN29cvHvrHnGjBYV5bQebCsp0KlJcB9xMZn1lcWZtemBhqPNuc3nkyOGzr77yYiTg - H+7v/U9/88O7PcMPr19am59ZWlkSUh3av/vc0faOHc1Uuuffee/1N94cnOgbGxocm1qGJ1wYgpeD - gEC5SiYJ0r09ff1/+8Mszz+we9cPvvuHJXmB+NLorS8+eOutXz7o7RlZ/FmW+PIsXl9S+NILr7Qd - 2BsqCH165dKF9y5/+vEXd27fjYR9m1vqOAvkqnpyfX1ocPCX//Ta8PBEYWHhD37wb9vb9xeVRiV1 - hsYGvrh2/cc//em9B/eZ7vX48wOhaL7fQ7BO4WYzSV03pmcW/uIv/ypgZHa0lPzp9/+4uKqGmJ75 - lfk33/z5Rx+/87vzv42W1G7ZftDUg09gofL+vbv/+A+/Hh2by8sv2Laj9fvf/25VaeHqYuyzD9+9 - d/nCu+9dSqwhA7gZO512MlkYHBREuO7qyoqlGTu2bX/uuXNbt22NllVmFJlfjT98ePtHP/zrgf7u - O3dud3V3GoHCphKjfd+B7TXlRzsOXbn8yaVPLqwNTJw793zr0TO1tTVBr2XpPABRYHEQ1xNkjHLX - deG4hHJC4TiuRR13NXb/XteFDy58dquX6Z5nnz333Jkzm5vqLa6SKws9nfdf+8U/fPzRhx9deI8G - iwIVmxuby/06pGszKjSq4GQXYrMjU6Ppz0T74Y5nTj/b3rY7EvZ2dd7++S/+r4edD8fGRj/59PP8 - ks3FpXkApADfIGLldqqJBDMMT1lFxR/8wXfOtrf23fj4k1///WxvfEt7R9sr/+HogR2ZeCLk0aqD - NCBXgbhMJ999953zH38xPj526vjR5848c+bZs1TT05ns+nzsw7fe+PLSR7e6BtRnn8tQ2fZdTRqH - gisdqcEg5PfC4oa8AKmkcG07m86C2kJsgCsoQJgSTpoQQpn+qzff9vJENIDvfeflbXv3BwsK51aW - L13+4G/+j7/87PPPBcy2/SfLK6r8Xp1ZBpQ9OTH213/106u3elPZ+P4DbS++/Nzx9kMepk0MjNy6 - df+99z50bHdycrJhcyGBBkglXOUKKaQrhLtxKEY1Bk6JEC4YDYbCpmmAU0aJRrjzVHkXWcdOO7bj - CIBqyLk/FBkdHf3s8s333/vISS9uamr83ve+s7llR8BfsLIw+9H5f/ztaz+JJxFzYQfKNc3UdCPH - Iss5om9/ef3DDz65eevG8XNfOXHi5KHDh8PBoEWUHV994xf/9NaFz9988y1fpKq2usJrFTHjCSWR - QD0JvX06YAvhLi8t+n2eY8eOvvjiVzY1bwqFIq4jZqZnu7q6/+7vftjb0/eLn/+iobG5urbONDWF - 35PKnhpvFYGSilBomuJcGYZmWhbnOqOcAsoBIa6CvRqPP+jpvfD5F8kM2VdZdXD3rmIfDEAirTFh - A/NJ2VJXG62stQJ6xlUqA693I2vBdXNb7TQXUA4CnXPt6TqlI1zlUkNTlCgoVziMUk6ZkhI57Dql - iiCeSfz6N78J1Fdu37b9+6+8uqOuhctM14MbFz96++9+8d6ly5+tkGBT89bNdRWBICdgqWRqaXF+ - enry4Knnz7z0zZZNm4KWRt30+vL8lUuffHThncvX7ty+e7egeZ8/eKggAijIVKqz89Hbb7+tuHHs - 1LPf/7f/IVpUYhq6nc309nSpbLKqtJBzLrMZ6jFh2729j//yL/6y63FfqKDoO9/5wz37O+qrKy1O - E2tLd+/d/9/+4i/vfPnFdCxWUlmnBaK+MKSboZoD5fzqzd9+/MWdTDJz5szpQ/vbmppbQuH8vv7B - T9958+bHby9MxBOAVwhJ6FOR5cmt29jr3lBvGWOM2k5WSrm4vPz6G2+kHMcXivzRd799cHdrdWFo - bnbq7Xc+fP3Xv+t9dOd+VUXHocONjfUWJ0o4hIrZ+YUrN2796OdvdHd3+by+c1/95rlnjm9uqMkk - EkmpESvUMzB25dOP/v7Hf3fr8ocLsaWi0rodezt8fjiAFG7WcVxHZtNOOpF2bUcKV8JR6dSju7fe - eO2XsVim7chXvv+DPy0pKwqG/YzRh/cfLC8teXRGGQVR3OdRiyvd9+7+7X/+q8ezyWjznj//H/7H - tq2NlYUhCGdgaOTy5c/+09/++JNLl2IpuWlLS74n3+QUwiGM5KyVwEYn4PH6quvr//zP/vv52YmB - 3s7/+Jd/nYwb5fv2n331B20t1WHNjpoiyjNwkx5D83k9IHCEcBwXANM4iAnNIkQKITwer6Z5CAFj - OHTwYGtzVXJ19le/+PHg65ei0cJjz7/0vT942UszXm77eNqiSSVtwq3r16797vynFz/5dM/O3edO - n/nWH36TeuGwrJOyz7/+u4vnP/7g3Qu66TN8wY5D+31+jRKqaZwRIm07sRq/dOky8fhKS0vOnn7u - 5OH2bDZj+COhYEjTtN8fSSsFKTdAuBoH1Ojw0C9e+9WHn1xOptNte/a8/PJX2/fuKi4Ip9ZWhvu6 - P/3wvYsffXD3zq2hWOLfa6GGhpMb2HFCKQOhbjyZuvz55/MZvxfpP/uTV4/s3lxSGJgc7/304/M/ - feeL/oeP0uEv2xobS7fV5YUYpFSUSwLbsY3ckQshcO1wOHz69OlnOnaNdN76yS/fynZOpf2Vf/z9 - Pzm0o6G6wEqsLWkevxUKM8aSmXQqmSCQQ4ODfVO/g9JPndj95z/4X2qjPqIQm5n+j//7f770aHzh - 1q27D7saSiPRhoiTzpoyBQtLo4M3bnz5u/cu6AVVB46c+JPvvlpfWVLg58yJf37xwuvv3/nVB3fZ - 5x8VhrNVZae9QZ3AFcrWpZBCgKuNJCoAioJqPBSsqCrftKl54d7MwvTM+MhIyKz0G5wIV8vxg0AH - BkY6+yck95ZWVFVXl+scBgNTAq7T2zvwxa3OZJYePXHkhW9+v7m5KKjDdtY4EMmLRPKjjU3NhDLd - sBjXxsYHrl690tPTHY0W/cmf/pudO3eWlZaaJlcCHsvyVVaUf/0bRAlCicikk4l1n9fLKFOA5fF6 - fH6/L6CZrGnHDtdXeKN3on8x1dPbm0ofUVBQcG1buS5RcB3XEUr9vlCoJ085uI7rug4BXNd1XYEN - a8gTAw7JpaP9/l32/5qWQAA7K1OOsLyEUa7rhmlCKbEeX3JsWyK32E5tW62tpu/cetTbNaT7yqsr - SirKiy1P7qklYNuffvjJa7/+cHhk/pnnv/nC889vb22NhEKayq7MTf7m9Z//4ncXX3v9V2vw1xdG - rKh324621uaWTHz5d795Y+Jn78YzoZ0dZ/7nP/uTMjNdrKfChtB9JBMb/vJO92/feuvB6Epd8+bv - fvOVEx37K4rzNDiz40MXPr38+jsf3bh1lxnWd7/5cvGRdqJpSKdVNuXRmJJybGbm4eOflxd4d+/c - +corrxiR8lVHr6ysLopaACCFcETSUYY/YGiMghYVFz537tlXX3n2/bd+/YsLN8c+vnP85KkXzzyz - qabKpMSwPHnRPM4B4cK1r352+ae/+Hnn8Ej99tZjz5x9/pWvF5RWeByZmp278u77b/z2fGff4MVP - P4zLzPdLoiW+cpVMWR5NYxzUBWwCyTiH4hAbYA4ni/iaoyWRzjIBuC5guzLN19ZWVtYWXZKNFJGy - WggGB8JAJpdPTggsI2d4zLVeDkiWgkDqcMClRUVIZiOuDeVAU2DORvOoAZQgKygMgG6EogMAD0I6 - 8WV8cWVscGDNsvz7OsqqmyAZqAaugetEEddRWaFMSgEOCszPYXHOla6psAFlZADLRWhKAsmhYGgw - Da/GfdkMS6xTKTYed0TpANuA8Cqa83dJAdeFUtQ0g6Fgma6B01zOFXJeLKgNaubqIuIr0LQ8B15C - DcNALpRQCawuJ5KZWFGFe/hobfuBymgxmIZMsmRyvOTN1z2/+vjtd88/ZHnNe6rhz4NXeyJ4KL9y - 8leXgj3XVu9lP24tyXvu5T2nX4zcHxbwi2A+DI74OO5fn7/0ycjjObRu2f7K2eb2HQiFMDxRM7Mg - qM0+Oz947/LYqp1dtDKRknyNwTTh8YKmEI5EigvrJ2JzSwtqbRVakOkEMgsNSK4jNqEvx/Jja0sL - toivbuQgK+iEgHPMz7rjo/HVNXh9xQUF0BhmFucXV0aCRen2/Y3H9+0r8iOiQxlYs/Gz17Uf//ba - 40HXelDaWOWzWqSvQPNKCgJdmE4yNDWM2OisQcdEZSqpAAAgAElEQVTaNlc/e7zt8GE9tgDdI8L5 - 4Axzk7j6eeziByPjw9mqmpaXvtbWcRiBIDIZjI/hk0+Kbt64ffP6bCgyqljFtj2MILtBeqAc0AET - Cjn3l5JIJ7EQs9Mpk3OrIArdfLoYlnvO57CpjIBLEAXFsUFPhYCp6z4rj4PcuDLb3zNdWp5+4aW9 - Z49VawKdt3Hxo8FrV4Z67lBbddVu6QgUcq6UpnSAOWl8+F7fex+Nj4873/ra1tOnSnbtQ0oUxe2i - 1Cre+GnJtY9v/Own77GwitTsLC1mFHAFkuu4e3vqg/eGenvmd2yvPnG8Zfduq6gIhKK7u+bji8n7 - D2KTI8nqKqekRFM6AKQzcU68hAZNC66DxRiG+/GbXz7o6u70+b3PfeXoibMsUghOXEgODgappBDy - afgtckVAOHBt07W9UBEgTCSYxEos0P1wuqdzTjO2PPPs1tPnUFYNqOLFeUyMJ8eGe0NhBAKIbkVL - xabZgU2X7mLtwsikKHv5a3zfVl99aRmn8IfgDSKgg2UBOw2uEcoJ/lW6/W/lyrkzc24gQgiUzLFP - OKeAjMfXujo7E4lERUXl/n37Gxsa/X6mCMrLyn0BXzwZD4a9JLewKRyVTYlMyrFtuMohXBC4gM44 - IRSQOoPFqcVZWjmO7WRsV0HXJTRXumKj/QBkNFr0/Ikjpw+179y+LeDzMKhoyPftb7/6jsnevny7 - t6+vcWw8ndlrUFcnAkR5LMNj6RoHoSQHdWacIJVaW1js7n08t7jU2NJy8PDBjgN7i4uLlB6wCXQt - fLDjANZnXnv9zf6+gWvX7xdEK8vzNI2I5eWFrq7OL+888IWjh44e/MbXXmyorQyHIhrnLS0tut+3 - afv2/IJofWk5ZSwvHLYjoSmdcwbD0PPyCgqKSgpL4KNhzTXNnLdCSEVIjiWM3DGnFIoor2VxPZwt - 0DnlSgKSRCL5hYVFvoDXVQ4j0GDDSS4OD164cGt0ZLS0pHzf4ZeOHD3c2FSTFwkalHt1w8+2Z5af - C3L55rufdj585K/8cuu2Jn8YJgHhHMQBiGEaWUptQAN8fp9PReN02ecP+ANBzg2P1xctKmZcWYSZ - hJnKBrGhlGNnstkspIRhbdrS/Mcvnzq8o6m8skLzmL6g30+wPjNz68rVlZXlnsd9W6IVxUGPUq6U - qeXlhatXr87OzNdU13/1pa/v33+goCAKYmuGp6am/NQzx1bXl7t7+ru7Hzc3jh0+vMuVIARMgyuk - og7LgbSlq5SgTAECToZTeE3dMrSFVMpvWK989ZUzbbt21lVZhpamxOaaaRhDszOdDx9MTU42NW0+ - 9dVXd7e1FRYV6ToxqFlSUkRaN+9t25W4N9jV1d0/MNhQml9V4OPA4uLCxYsXp6emS0pK9p984eix - E83NVSEfkKalJb5TJ8MVjduVWi0tKyUUi/Nz1774vP9xj2GYp5792rFnvrl3b3OwKKuZq7rX8G6u - 4/ZhneT99o1rIwPTH394ub4lWlpTzCWFm+Zw8wJeTS37NNpQV3/29LHD7btL6ys8yHCV/aNvf42+ - /tbl63enhvsrm3a07j307Knj2+sKSsOcOWsduzenl0Z/+daFmcmxa7e6guW1BXkQQNZWdjYlxTqn - ACCEeuGrXz995OCmTZv9Opw8HvQcHR15OLXmji2vmuFAbV3jH33jqzs31ReWF8Ige/e1OVne+WBg - fS0xPjq+troaLfBzjYlsdnho6MubX66urG5u2Xz6zJndu3YXl5QaHi7BykpL2zv29/T13bx1+/ad - Ox0HTtTUbs73BwAJZQvXTqUyWVuFA8Fzp08+e2zbjl3bzGC+4GZJtmx09PH0bP/tu53T09MDQ6N5 - oW0wgExqbXF+oK+vr39Acf/2nTu/9e1vRIsKLY8nWFl55szphkLfvSL/Jx9disUY9/hANbWRsk5D - ofC21m3FgbAQTnVVRTg/Aq9HI5yY3ubmlhPHT6RT8bHZteGRoYrGLfXFlWDcEyqqKEtFwn7TlIyp - YChSEK0sKi7TqdC568uZArOuSGYd21FK6bohBBwBS9PgOnMzIx9e+N3j3l7LHzn3/EvHjx3Z1NQc - 8luMCEPDtl07V5ZjXGUuXb/76GGX//ObhaXl0XwwTpDNSiejXHs9nghXlnbs3X3q3Jkdm5tLoxGD - uWxLC/321//2h0tdA2s3b98/eGpls5tncRD61MXgQhGh4JIcmAbBYNAsLlotLAj6LUNfD0cikYJo - OALH9Hk4NB1wuZicvtk52N3dJZXas6ft2Wef3bd3j9/v1wzTH6QFfs/pZ57xwR6cWhgfH793/8Hw - 6MmasnDYYprGiAAcQEDkXmvkyPCScWYahqmbumEZhqkZG48RAleKbDabSSazXn/hnt2bXz67v21n - U0F5NbMC+SXZVHplcOj4g0d31uJrDzu7LV9RodcEVGZupvdxz4P7D6Q0t2/f+c1XX21qqbU8VkD3 - 1NfV+XzhsrJqjydYVGnqhpZF2rYdopTBNa/lMUyLcAgAkBQyB7p1hUyl045rQ7m5TUIJSCUphcYl - 3fA3akJSF44mMyD60MDQlzfvppLOwY6Or3/11N7dO6L5FZoWKAxH9HMvFAfJG7/99dJo3Aa1PF7d - MF0BCYhsOrO8ePvW9ZHRoU2bmw8eOdR+YH9Zeamp64YUMLUDHR2LCdI79vajzt7Pr1wvKXxO1zRs - GG83/Ca5HcRcU+v3+86cPr3e0c4or62uDYXCnOswSElxMaTatqV1bXl1Jja3traWTKVYICgIRA7g - 9RRVlpvfc1q/tNOpeDqVWFwU1298ifX4eFkJceIrq5NzC8NjEyOPukeyTD/14vPPPHOmKqrrAFMp - QdJTU8PjszGbeqSviAci3IJHEqWUsJXGCSNQT9KyJAEhkkBIF1B8g6RHKQfN2lnojFNOORNSOI7L - CGWUgdCMbTtCGNwMF5e27tv/1XMvbq3fVBLI50gbtFU6c6MLyzcez01PL3R19ReHw4XBMID8/PwD - He2BUDhSVl9U3ZKXl6fDpq7wGXlbtzWvLI7f7epZWVkdGZ3Zb4MAEHJleWl+bm5tdXXLzraWlk2V - lZWmR+MU0uOrr2+Akwp5NL/Bqc4ANT891d/bOzQ0VFNb29Z+sG3v3sqq6lAooBFl6aylpfmlF8++ - 9/57o7NzDx71BIoaioP5OlXp2bGHj0ZHxyc1T37r1m2nTp1q21YfCBVwI0A1v0XcfEs9vPr+pYdL - CuRpBhCeKDL/ZTcmXFc4CgqUSKXWE8l9Bw8dP3W6o72tpigUNlEQCcbjiXRi/b0PP52eGL1x86Y/ - HA6U5+uMAJienfvkyvXxhbWKxtavvfLy4QPtVbXluk71oNfLfMII1tRSkdyTXZ668dmn8yuL165e - C5e0VJRHABBGNcMwTcvUuMZ1XdM4ZwxKOpn48sJCbCaaX9/Q0Njc1Gx4mG6AUrS0bE6n0wxuOOyj - jEI5w319PffuzU7M7Gg7vPvMS9t37yoIWYammGnU1dTYWWd0dumTLx8OT051DwxEDbcx34TGQJgC - HGywMxQASsE5DQQimVAg4Nc445ru9QejxWUl5ZZfWUFIS65CZNLJ9fX4GpRyhHRcVwpAuXBt2Blh - Z13HcRzpCggBxWH4fIW8KOtBXiRkmSbXTY8vkBdhAcPrZV4Tpi4JUWuZZLyzs3NkdCwcjhw/evzo - oaOBQEBYghPN58GhAwflcrbr4eOB/oG79+63dbQ5koDaJqVUKWU7mfWE5vVXNTV969Vv7d3WUlWU - J11XcA9Mv65j4+bnPvTsSSSmwtrCUndX56cXL7qu2rVz53e/973GxsbCaIHX0j0azJbGoEGUnUx8 - 9mAgttTT29f1uLGptsRvSQoSDPu9fk1IxOYXWva1P3ds35H925tKw0Ef8sJcOoszrve1S32Ly6ne - gdGO2hKE/LmOf8MbpgSUBOXQNIsb1KDQ3EgowCghIFwzQuFIKBzJy/MEfabkOjSTM0EJIUpK4Uoh - 4PUeP/PiV47u3NzaFOZZDbIo4v/q88+mrXtX74x09Q70NpTvqI+YpgXXhli/9+W1G9e/TNuy48DR - c2eO1Tc05vs0Q3MhsXPXzmU31D+dnl2Zu37tyt7djayxJOyxNN0DCEK13EnhkzAtgFAwHi2Kbt++ - 9cFAfHlu/t7t2xXFgWh+wcaitb2ejGfGx6eX4k5FbUtpRWUk4uUEVAm4SSeT6e7tu9vZH8wvq6tr - rq8s8unQKLjOKTRQDjDD0EEYY8y27ZGR4StXrmia3tTcvGPHjmhBNBdD47qKQOk6pRqHq4SdZZxp - GncdW0pBGdVNg2qahEI6A0IioXBNTW3vQtfo6FgimXCFq4ExSk3d8FoezjWSy0X9vdaxgZFmjOma - 4fV6NV0jT+XafyYH5Giz/5WpT0HXqZdTRpVScF2VyWB1euLO7WszaZIf9MLNpJbnlyf6p/sePLr/ - uKK0bu+Z7xzct6ekyLJdmFSIxNro8OO+zp711bV9+/a07d/TsmlLYVGlx4SmpMWdAwd3zqXlP779 - +dh4rLd3pDq8BYEA1alHc7w+KLgSmuEpihbqeZoeZlznaSA5Ozn80QfvTUxOFpVv/oPv/fHeHVuK - S4pMHZTSirKiE0cP94zFxldu3X3Y1dG2c++OLREvh5IaETpDJp1ei6cCkYLDR9u/8fzx+oY6aUUT - yuPzEZMA6XVwxXTDozHCqYIrpPD7fZoHIGldY4wRMMp1wx8IFJeUeigBI4TCdcXqyuLiyMBAb28i - vt7c1HLs5Nlnzr5QVlZteHxehQhjx44eMv2+v3/t9aHJmTv3bh0ZHvSVFEe9Hte1lesSXUiakbAp - DBALYBCMEegaTD3EDcL1gMpFtVInmeDpzJqibjBiBvNhhUFJXCCdhU2hEcWUC85MKCHgKOpQZBmy - BAzwA4QJk6kQJc7Fj8VUZ+L94vUAnaFgVFEnm9U8mYIS89Sze7e2ggBSAC5AgQW7/7F968aQZWzd - ua+2qh7BAmQScCRcCUVcV9qutIXKyW8OlJZOCCfDTT0/GPR6/VCAIyEcsKfikIJlwDIgXJbNcjtr - Srmh1lICKAbBsBGXCKJAKTgDITy+ll3qHv+bv/blRSSjSxDLOpOWDuJkNQeag0yS9c/5EwnKQ5ZU - eiIFzsEUDKChvsYfjuzuaImWhvOLYfoADuZFlYGOQ9vmXXXh2r34cnVsBjUhwGPD1eEArkZldG3F - oyJV1dX0hbO1x3egsAJb81naYB4DKonFcdy+OrO2HCotaz37fOH2nSivgK5DC6DcZjSLEKkv9daf - /7h72XUgNceGECAMjKOygm3ftmvu0v3FBTk6Cm8B/F4whvQ6Zqcw0J11ElUMxEmnY9OYn0dZJWyq - SIaoNGYms8vLKCvdVF6hR/PBKUqLC/d1qIJKb3FFWUUhAgQaAAamsO+gdzK7+/WP7s4u8bklqohG - aRACcKErGKQsGZc0Ut3Q6D18PK99N8pr4ckH4WlfyEcV5mZw5dOZxdlAVdmWV1+NNG6DPwrLD5ZF - CccRmr+8uvX6lfFbNxarmvyb9uQzpJ8AePlGii2AHPfRgZOFnTENnh/wm+EINM3FBs+MUQACRIEy - AEwJKCJABc0hlSWU6wqbi4zPFy5ubCp47it8517kFcGQ2NUGk9fHxgqHe30L0z0zk4g2ZIu8AY2S - 7CL6BvH4UcpONO/bu6Oto6RxG/QwbBcehUAQBw5VIF70zkerA4MzDx9r+cXbTSCbccbG3P7e5di0 - UV3d1tZRtu+YVVoOnxcE2KwjGDl+5NDxyioUFsBxwSm4BtPiTtpRSnDOl5bx8B7e/W1PbMrX1NB+ - 8tnd2/eQQB5c4kjMGLISAJUuUYoyRjgozzX8lBIYHKaer2v5SoayGaQSMAgWp/XHj1YTa2bjlvrd - +xAuAQ2CKkRN+EPeioqWkih0DVTBCiIYBtVWJVYVLbX8PK8IxZXgBFwDYzlXjQ1tFYyCBgArR/n+ - rz4i/r9w/at0+y9dGylKKpcCBCUlYRIAGIVQwnHSqaSUwtA1y7IY47l65PPB8ocjdpjwjZw+KMmU - JMJ1XVe6wqU8x95WZGOgBqROYTLKASlE1nGJgiBUA3UVpFSMERoONTc1nTt3dldLYzQ/QpSkUH6T - Hz58aHyg56Mvu2KxuemZ2bV41udT0BSgNE41TgmBK3OdOyiQTSeGR4ZHxsbSjrt99+6t21rr66uY - YaYlpALn2NTSLJa3v//u+empmUedQydOCuTpSmRmp0aHhgYnZ+bb2g/u3LN3z942jQoKShTJy8vz - RiKNra2ukJ7c/G0apq4DglFwzhnXTBMWhwHouqVDQCpI9QQw+vsXHERREIPpHktAQkJSCZ/X5/F6 - ORgjDJCADceemhi//sXVlZX1qq17X3r5qy2tpQX5ug4QKMMwjNLiA+373bWFi1/cnZ2dffy4b2kp - mW95vSYIpZAKkOAaJHGEYoxA0+CxdE3TNV3XDUIZ5ZpumpqmDBADIFKDpMi6wslK4YJxf01Nx4H2 - l196qcCSzNShccNjkrq6PXt2T/T3P15IjU9MVK0nGTyEyGRyZWp6vLu7y7Z565ZNe/e219VWMR22 - a3NOgpZvc2vLo+6GYCg4PT07Pj6dTudmNDAtt0UsNxCpUFJtgJSVkrnRghGVX1BQv6X19Jlnd1aX - F3h0KOFlLMOoku701FRPT3cmnaqvrz958lSkpNjUNziMPp+3sqJsy5ZNnRMrX/Y9mpicXFisq8jz - AGJhYeHmzZuLC4sljdtOnDjVtLmpoABwJWweDFgt0dLS+gYg7fe5tju/tLR45/bD6YmVvHDxiROn - 9h/YWVGJLFEKRIfmi+Rbra2aKrx7c7T70eKD+3fnYsca0iXc1IEkI1mNS0pUfl7RoYNHDx89un1L - lYdKkhb5+eFDhzqu3nxw41ZPyiGNjZtOPXN2f0d9oQXdScJ1G+pr4gs7Prh4Lbaw1P24/0gG2Jj+ - CIjkzOEMoRApbGo5fux0+77aiAUmAU9+KNjU0lx7p292JDZVWVnb0X7w+IkTeQETXKbdZFVVZWK7 - qCyvHBqYmovNra2u2dkoZ5bjZMfHRh/cv5fJZBoaGk6dOlVZWWlYPPch9wd8NWbNlq1bBkdHHnVd - n56ZWV5dkxV+CpnTkWzHMUzv5i3bjh07duzoFisSBDGyoH7ma25p6h9qfNTds7y6Mj0z5wgARNqZ - udjM7Oz02lq8urFm85bWtv07UokMo8JnmYHm5lIfKfKgr6e/dyWZ5TohLFdYAHhMy19SWlRUvKGK - EAUCQqhl0MJodPuO7ffu3RyZWVlYXFhfT26cDemG3+fTOKMElIBxphumxwAB4wAnCjIL4bqOI6Ui - oITkduRBGUQmFZsevXXzi9VVVrplx8lnzm5tri8Ic6IAEGqaBSXF+/buEcml+129k5NTd+51njz7 - gsjXQQHpQDhECkPj9fUNp599bk/7/sqigAHAXi8pivo9Oy+8X9w1MDA4PLq0mnIETL6xg4bcR2CD - SbURUaZpGrMsj6nrnDJKLMujGRYDqAWes8pSMj8Xu3f3zsT4uC9YeujQob1799bWVoNSrmmcUJ0H - t7ZusZdmL924f2NgZmx8YnhkLC/Iw5afEb5hA5RPBsKnoItctc25FQjjOVxs7u7nlCdXVVbXd3S0 - nzv7TCSiwQjYipkBb31D/b72tunYcCqbHhuf3Lwlo2BCioX52dHR4anp6bK6Xdt37Ny3b78noNOs - Swjxhvx1fn95eZWUgJ6UfEkhqaQQrkskNK4xxp9gihWFYoyAECGVbTtCCEgBSVTuGwhhjHCqSC5C - QdENT5N04LqjY2O9vYOaZm3Z3HrkyOFofkjjIShi6cFt27aXhNA32D+W6B9PcfXk/wNBMrE+NTbS - 39+bSq7vaT+0bfvW2roanRsMhFNQ02ppah6PZSLvfjE9Pdfd1Zs8ezIc0v4ZS4Zu8Ik2viSmaW5p - 3bzhxM3VPyFBuc/rLSkqqqutGejrHx0fT6VS6UzGK4O5QD2J3yu3G6vluSNY5UK5wnVTa2v37z9I - Tk/1Bv1cJheXR2di/ZOxaU+kvLWt/eTzX9m7oy3iAQSUzNosu7a6uLKeBPe7nnxleRwCQxNUEZkV - 3NUIgyIQuReBEQVJNiTcjZ1rUEoYVa5UKodBoYIIqRSlEEq5SoISyrhpebZv33HwyNEDHQfC1OAC - kG5eWVFra2PH1EJv7FpsLTUwOL6ndYuUYUVg+vxlDXVl9Q2CeDLEpAxwFaWKGXpJSUFdbUUw6I1n - snNzy44DBSgpXdcRriOl1HVd0/Wn6galyM/PY8JnEJcyBaIgnanJicHBgaWlxQOHj3Z0dNTV1Vm+ - IAClFDPMoqJoR3tbV+ednuHZ3v7BmtaVPc35YHJ1caLvcdf80mowv3nP3o7WrVvKq0KAKYhVUGxF - vPv8WKeJqcudl0A2bEMAnui2/0y6VRuBCQoyh6/SdL2opGR/e8eZs88VFfiDOkxkPB5tc3P96vyO - u7dvJeIrA4MD+xKHFPJAiEylx6Znrt99lIaxa/OOUy9+rbw46jMksivQGOOaoiQcDm9qqg+q46uj - PRNfDt+9e29Le6xNRHRGNTDGeO5mUlBOGaOEKkWUgLCVYzNCWC4mg27M2/n5HgUPVdAJIDNwnNGh - geHe3mwSrVu2dBw5VFoeMR2lnCQ48wWCdbW1hw4dejgW+7J/vHdwaHORvzFcBi2XereRlCQBKOhK - EUZBeI6+xDmjjBHKTa/PQ2AQaKAgDIo42XQ2kwZjlDKyUZfkP/ujpFRSEeQ8CpzBMg3b1DhVUIRS - xjRNh2nBINCVTqWGRCYWWx4c6F9bi9fXNezeuau5sRlEuURKgIHW1tasbdlaVVYRW1zq7etfT6V0 - r5cRKQApXOW40nHLyyvaDx46cuJEbVHIgICUgmhZ+qT9e1JAQCiUgFIQcnZ6emhwYHRkpGXrjp07 - dhw6fEjTNJ1ISEEZDeRFWoKtbWODvTOJzok7Q2Njvf2DlWUFHlNDLlmSCqbBn5e/q23/8y+8VJ1n - hDQBuu73FWzeVN+2xt+/Px9L2hMTs+lMFgg8NWaSjXq08VaklIJpgG7oWq6uUK4ZpkfXdU3TNO6V - hDu5JEsCSgmFioRDhS3NZ8+d7dheW5iv8fQSiKv59I69ux9Mp6/eGp6OLczOLbgKRNMhgfhab9eD - ocGBQKRoy449O3btDYY1DS4gwbRwWfXWVmtL86OFG12jA8vz84sl5WVer04oFBwKUCgNijy1HRIC - qvmjpS1bthVe7pueX3p458uD7a1ObYFGCZSL9ZXp0dmZ6bmsMrdu211aXunzghEQlYWbSiXXxyen - J2ZX9h/YVVVZWxB6svdNaY4jmHttcgdtjmPHYrHe3t5otKi2tra8opxRBpVLm1BQCiJXgpVSElSj - lGazWSkEoZQypgApJaSAlJTAMEwQZrsiN3pJKZSUVOU4vWRjwH7S6+HJWybXxrAcVlYplZsv/jlm - +mk5wb90cQpFAYkcQct2EYstp+7d6JleNDVN2HZ8YX51cnhpdKilrnbXgSNnnz9XWpcfCEDZCtSJ - r68+7uqeGp1kYPv2trdu3VZaVubx5DadYZpWU1PT3jX+24v3YwtLff2Dh7fV5wV90DSYls41IZWU - IEy3vDAodGKA2khlYlNjt25ez7qh+rr6Q0ePl4V1jwbiZiEdmHpdfV1DY2O4e6yrp3tqJrYWX4+Y - ARClEcWUcB2HMG37zj1Hjh4/cPAg5zTDND8BAXgWIukyRsG5TjUHkEoSJTXTgLBhpyiRhCD35OJc - 83iYRSEUHCkBN5FY6+p8ODYyAkHadu8/0H6kdctOyagLMALd661oqjMC3oe93bHVpdHJkeHRobJN - m0oChcplUjKmoCRVuQhYpUFqkGC5pXGiEcpBPFLlnOQ8m4FtC6WY6fEwDYqAQQBECg5mKEmVEKAC - xIVyc+e2OQtjLnGGSZPBANFT03Odi6OrE7NBOsOUTqSWTWctv11ZF961Z5MQfp7bzhSgKYwPOF0P - YlPT8w27I3s7WEExdAuZFMTGuCoVlYrKDfC1kkoik8k4jpdrzOOFYT0pafLJ4ZsACLweeL1wHOE4 - RLh6LnkCud80F2JFkVNv1YaUBUal7WTm5+djV+OcrhO1ArHmNRD0cjsZZxlpCE7hX0Flwi33+QkI - k+r3RsJIMSJlIdCQDbgEgrqSCKoZPj+qq9HcvP3yvdl02r+6CiEAstG8EgWAukLkFxbsOxDadwD1 - lRAmomEkAc2FvYyFafR0jhAtWNVc2HYY5VF4PKAE+QFECGQSgf3wKNwfyl+YHoUUVEEJuC44RVEJ - mlpw+Qadm1/p75+tbikmXhCC9XXMxTA9tRoONbmETztjE5OYnEJpFaQitg17DfOxbDajahqqomXw - +kEJwgFYoaKS+iLFpQ/QbMAGAI2hpopu3779zUvD8aQZTzBJAJg5BwYTIIrbbipSlHfguHdPB+pr - 4PGCWlDENDgSK4hNoadzVudFNTWR/e2w8kDM3GIDAmE0t6CiovQ2E4P9wzPT2UwWzOD602ZGbcR1 - 5dIhHRe2AyFtv9/w+by6lmt3JQGlT+pY7tFHGKGKEdCNpJYNcIMLZIRcr6rBoaO84wgKy6ErGBKl - FSAuqqsDM8NYXc7EV+1MRhIvoQSJVTXYtzY9Fdf02j1twcZm5BVCEYCBMlg6WjZhdUT/8FLR7HRm - ZHgt0w6PgcSaNjq8ODWZyGS8DU2Nm7ehthmmtQH3KypFYSGUAyk3cj6e1FlFKXdtY2URXY/Elzf7 - 791/VF+7o/1Q4zNnSKgQzICCI3MTlASkS6Aoo5RvSG25g+oN4pkEiBSAK6A4XAephC2FxrnHtKBy - +eMUlhdBL4oLPBygT0KlOYUU65SlOMtwwzA88FhgT082gY2pUUooF0SSHHTi/w/a7b9Kt//SlTux - lWpjZer/GZSkLMusb6iPxVbGxydu3brNuPoJFkkAACAASURBVKe+ocXrf3rcC0KIAoR0GSV+r2Vq - jIBkhRSEPT0XV0oQ6cDNQro6pQZhnHIhlCKUQodpgRCppK5pZfV17fv3bt60KS8SAOC6ghNQxsLh - cFlZaXlZ+eLEyuLS0vzCQqEZhA4oKYTtOlnHQSqVTGezuZ89vr76uKdnbn6e6d7mTZsKi6LMYIAi - OceTi0g4XFGSF/DqC4vJ2NyqhElA0onVvsePZmdmdNPbtGlbWVUNoUzCVVJAQggFzg2uMw5dqtzv - TykIpMYpcZFIplyxoXgAUFCO42iEEsYpobk+LBdzlgt2zdmclZQAGNMsj4cxZkNoYIBylas52ZWl - xeGhIaKKKsordu1s8ATBoABXSkElCFGRirLWzZsaG+ofTqeWlpZisfnSYGlI0ylTcF0lssTirhSO - cDnJ9eJE45wQ4jjCAFUKrit0jRHkRGYF4SKbVlIwzmBZHQcOHTrUEQwGDZoBJ7aS6UwaQHlpaTgc - pkuZ+Pp6xrYBcNDZ+Zm+vseL8wslRS1NjS0Bf5ASKAnd0BSxpRIeyygujtbW1PT3zs7FlhYWhN/P - vD4ogPMcT1gQME45KCMQALiuZzOZ+NpKOu3uO7nv+De/0dDY5NX/b/bepMmS7DoT+865g7u/KebM - iMh5qMyqrMqagKoiCygABEgQJMShKbKb5EILadFSr2Qmk/QHeqG9uhcymbShWiK7m1CrKY5igQCI - magpa855jswY3/zc/d57jhb+IsFumVFaqs3olrkKsxce/vzee853vgGIFZhFpIohVLM7t29f/fST - XqezfnR9cXGJGaqNXxBgje+0jx/bXF5ZkRAO+v3ReGKtTdPx3u7etatXB+PZmSw/c/Zct9d8dwRr - iTJnUVgQZ8C0jv2Dg4Orn9ybDLKNs6cuXbq4sQkQkoRmB1VIp7P41LnW00+fevigf7D/+O7th48f - XDhzPCNnCNPRaDcJTpy8+B//5u+cOdHynAgzoCLL7fZC0Vpu9zZXl9ZffPHlF194qpU3xU0FS92F - 5dWjJ122MOnXO7vbdd04ncJ7tFpZu+2swflzZ77+27977qlzef5EBmVg/ebm2vr6EdDDL33pK7/y - q7++sNCBAbQMIdjCLi4uXrx48dH9Qf/gYNDvV2XZauUppkePtj795JMYsba6dub0GectFDAwbBni - nB49emR5ZUWh/eGwPxgk2bAszOqcJeKj68d+9df+wcULp7PMgbSuQylZ1sHR9aNnzpx03tR1mM5q - SYBSSrF/sFeWZbfXe+nlz5w9f94A3U7OkkIoM5ZiZfXFz7x0+vTJzs17O2Xd5HKXFXyWkqpWpcXc - pHNeOBAUMNauLC+vrCx3u90kEBjT1J0Uoamuaokg4tlsWseZadRejfFVEmiyhp21RKYOSXSOW/YP - drfu39p6eLBw9Nylyy9cfuGZxRY0wjqAWchKrI4d3/zMS8+fOn7s4e3p7bsPd/eH5fHVhUxAiZAc - 07GzZ1979Wfe+OLP+YVsjtsZMqSsaX1teWlp8dHNWRU1KRSNLB3NLTWNRZpvLdpMy1KoJVSShIhE - NAC2KQgAAAf7e9c+/XQ4HFx85rNf/vKXNzc3iyJvkiIikFI0reL0qZNvvPH525PvDkK4c+fOhTOr - 8Uihc4s9wMGaOVDebPlgDnU9HAxzyesQmocKKEisYTbsff7GG1989bXPtFoZjCDFaRldO2u3OydP - HstyNxjXZVXHRKJAjHt729vbj5Ok02fOPnv5ss+8I2u9kaRGCYyshVCiSilre0YOJ3VVVbMyqMSY - 5LDYM4Yz55hZlUHMzICmGMQrgSzYGBAlADGlEBUMAwONg4PBwwcPd3f2N46fXFldy4s8pWgpEVkE - wGVHNjZee+21T/b5/nsPR6PJdDbzFhY46O+/++5bg8H+4tLCSy+9eHT9iLW2ilVmLQAY01ta2tjY - PHp041E/9gejOoQk84pwTrzVJ4fF/OCIMRoiMlZjhBI1QSQM79zK8vJCrysphVCHGA4bejr8jEM+ - Z3N2SwJSt93KModquHX7zs61T/OqzKlUGipX4vDlN77wn/yT//bihcub+Zz0SJQyxNwZk7WQ9eps - sSQznE6WWzHjFpxFDUkQi6AghjsMGCbDgEFM9XTqvUfhjWGh+b0ZssZbAtWhnpZlt9fr9nr5sPjF - r33t1S9+qeUyC6AG6hK5Li22nzp/ZnHxg3v3dWtrdzKp9LAvmJuoZYWxCAGZIWMtUBmDLDfdbntU - 82Rax2YRiy4uLKysrLRarVu3br3//vsvv3br9Nnz3Q5rgnNw1nNSUIQkhHD3zp3bt2+lqMc2N8+d - PdfpdCK0rOrcsjPwzhw9sry82ALkzt2H27uTJIBJk9H21ta9WRlOHz3zwkuvtlo54lgIiTvs0F5c - ufz8i3c/uED8Jv59Nty/C902Bp7GOGeJSEQ63c7Pf/WrL730crfXrWqtSXIPxNDO3PGjS0eX2g8n - KGczY6y1DEnbOztXb965df32sRdePfX08wvrm+IRWXxeQKZQqeuKuVhfWzzWeeHPV1qT/l7/06v3 - 7j8eTS6t9CzDKWg4HE1GKVQ1nmwj1ix2OkuLvTsP7r//3pUr77337OVnl9f8E6LfPClBElXlg7t3 - dx4+aOc4eerE8VMnAZAhKw1JW7y1m5vH2r1eLMtrt24OXjiPPAepJqmVGyp3jIgRGSVnGAJVUdVm - XU/LslGRY47wpuafYbJZVrRaRZ5bC5ABLMhnmbPWEJExlg1iBChBRUI9m07Go0m3Do3zSUOBJSJI - PNjb/fTjT+/ff+B99spnX9ncPO5yKjUBiNCYyg7Z1aXlF5+7/Obf/PjR48fbOzu9Je+9rappXVYa - Umb9a6/9zK/9xm/4VlFHyQzmGCiaGOx5yQ0c4nCqiOHu3TuPHz1qt1rPPH3x6acvtltZTCopCiVO - gRDh3JnTJ5966jx/8+2tx4/v3L/P1ntrQ42d3e3h6KDdsV/9xa+9/voXNzaznBuz6whK7VZ25Mia - dRlGqT8Yh9A0k9ownJy1UINEUNGqqomz3ILZGmYiYwycs87znATawDKaQhARYzjP/aVTz7z29V96 - 4fln1440jWIz3JL11aXlxQUkiYqoSAIQUM7KrYdb925XZfn0q8+tHz+dd1wIUKOwFlBYLQp/4kh7 - JRv3Z2AYMl0lzAABZUhtATWDoygAwQDk/PLxM09nJ0987/HDqx++8+NHj74+ri60CkDj7GD7o/fe - 2dk9aC0efe1zXz524qS3jcK6RpyOBvuD0VR959ILrx47diIjNKW5QusQLMOYuRpbRGKMs9lsMpms - b6xvbm54Z8oqqYiz1lomRR2DtwbOWttCCjGEuqpVlUCxYYWLRVFgOO0f9O/dv8/Wnt48s7q65jNf - DyblbDadTmfTWV2HGFNUI9QYwx2iuEBd17PZdDKZVnUVY4wpGrLNHT6h3/5/uVQgilCHlEAmE8LB - AR58+M7Bex8hArAIyae46rq/+9v/2Zf/we+sXzhVMgjwBUFlNB5+/MFHo93hcnf15ZdeOX3qfKdt - CQgBdTVzknrdtRMnZHFp5dFo8Om1T/b7r55YNcgYWdvZtqpBihE1WVgDqIWQDPrbWw/u3R0dfeGp - s09d7C1503gaWYt6iljB2fWNjc0TJ6+8+95gNBmNJzjSA5Mj1RiY+MjRI7/1D3/ntdeeaTJFiObT - ICIYa58MzmJShtjGfp0J1lhrrDEAGWONtQBSggDeMmAOYvXxRx8+uPcg98WXvvCVi09ddoarnz5L - QUYrK73PvvLCp3evX3v88NbdW2cfPLhw/GjLGWMKkBAYcKo5xbwpp5iQBNPpzJdFHUxKzaJxKlDx - IojRjMdhOHRrvSxDxxtmGCCCI0gMG0KTzRAVIdXG1ECCbUTrcbL03NGXz/VePH2ulW4hZpwKiWTy - WXcJC4tZVcN4WAdMMdrGuz8c/eTH19qd7MJl8+wLyFqQxsyFYQzYknXsMuuoiVFBEtRhHJKon5IB - WzDgGewOMVkFgIUeFhYRYi0JTV6v4m+9yiogbkhQAJIgCoyrs5za8Kbb8cZZyjO70vLazqQadWzN - beQSi3K0UD2eZTGyo+4CCgcLpAq2cfUSEMMQCFZgNaGeIdWQhFlJVa3NWH8e4mbAhKTjols8+5nF - X/+HWGwjCGBQATVqsn48wu5DPHx4d+WV5848hyOnwYQ6NfbfSILxBN0FnHkGL7yyfDeZAapOCyqY - jJAX6C3i6HG4Yrj1+O677+FzX9nQo0gJB33s7Q1ms/Grn8M2HXl05fbV63eu3dj83BvOASFi/xH6 - +2IcP/3cRncFQZEEKUEcXIYKHCOyQyaL1LCC3AG0WstClZAUUSkG2ASpUVZ9deMzz2W/9rs41oPP - oUDRRlPo7m3j4X0c7M8uXVo5eQYug/eAnaOp1sB49LpoFccHt+4c7JvxCNYUuY1ABQ1P0FsiEKMK - qMNUadhdQF5QOQUTe0eukdICEMQAIngPNpljTOeCbwOPLIPLxmLuvfIGfuO3QRkiKqas8cQH49gJ - dHp4uPOYWJ3NGxPkyRAP7u9OZ7Peen7pOfR6IIEIrIEYSEBvAYtLUO1NRmZw0CsnmNbY3+VbN0aD - gXa6KxefwcZxuHx+0lEDrVooI1RgA+eBhDpgMp328k41wYdv41/9/lsffvRRq8df+/Wnv/QVrJ9A - IiSFQ8tgCQxEQCORMnMzrsBhw5oSJpNqON6FHZu8MHkGxdIqzpxf/+G7e1sPR2/9pNteRWsF3s1L - MmNADW7rgSm0Bol2utz1YZ5bCCSJSYnIEAPKiDlSBBgZeZD9DwK4/Xvo9u++mJBongvZZEE3DNnm - Z6urK7/w8z//aOvgu9/9yTe+8Y33rnx84eKzl1948amnnzp2atUYgCFQxwyRWM4YYowh4yJMfGLD - RwSDxu+jnk0sGe9cUg2CxABxSFJVVQh1kfvFhZ5zFkASMcxMgBKcW11dOXnq5IePpmVZDQYD2eyC - AEgKtaSaCM5b46wCghTqst8/qOp6e7f61nf++vHdj9cXuQz5BJszOtYy5WK6Mbv/3ccP746qY8Nh - GI9jXRuNs8l4P8Q6K7pLqxvt7sJ8lshExCCoMc2kEESICVBruJVnqkkkeZ8RQw71Igy2tglUkqhJ - rG2AxKZhj6gJgYiKPDewdV3PyjKq2DnkrYDGEKbjcf9g4JaPdzq9ogBzE+koholEECo0edm9nt6b - DEfjwWAYwlEmL1VNpGQMCNY6bx0DEAZURJKoKIiYjWVrfvo21DU0wlmJsa5K1HXRanV7C84CSSUp - W86yTFqtTqfNREmS9xkbqwAgs3I0HPZF5NHW4+98+68fP95f6C0ZX5OdJAxDSiJ07fqN6zeuHxyE - qoopknMgwmwKX4BZBMkczoqiRiBagrMm89YatNvtbm+hEQIgNTZ5htlUVT2dTsajYQry5ptvbs3U - tBccCzSgnnR02sH01vVr736yBdXpbFaWJTGPxuP+oF+WZavVXllZXVru+KwpLpqSglMCDIhUUCaM - 61D39yvE5cXuunMshKRimRNshHhYWFsU1Oka56ez2cFkVJWTjNABDgyXRLWxzvrFvGgZUsIQqOEE - 8Oxa1vfILIgWnXZvZRGeYDiBU4PZwbTy9rKdVCkm1Xn4HwEqMdTjEMEG3d6id2B6UhwpkDLPeZEZ - 54tWtyg6IKCqa4Qsz4QkhqgKY5pQjRRCHesqpVBX1XQymU7Sd779nSRqjBFoQgUb1YQIvXbj5gef - fAqi4XA4Gk2Im7DsmGXOWsvsvc/zIufMQZO1mQNEUOR5t9ciEmON9wUxmDmmeP/+3e3txyKyvr7R - W1gsEzIDw8ZYC4lNDHYzb4ACxGzgMzCYSa11EEU5Gx/s3Ll3+/7ucCJm62C0vX334f3rb7/9w8lU - iR2znz8SjfNRlXBjUEhG4+EIdM4ZMDCZa34dszPGkwGA8WgwGuykgCLPivaCcWAGHeKaSsTWkOXM - EpPUdahHs/5gOisDMoWhzBpvOIVAREUngz9EWAkms0sL7W47L/IMNkbYcGiKBAVigNSY86EAMJFC - k0pSiZBIADGxsQbz4rVO6kM1HY/7/YOUkne+aLWstWiSoogJMMYgaJFlJ44fy7P8Xn9048atn/3s - 06Ypeg+t1CQhEgxpRHJSI5ExpigKn2WGTZqX41FScJ6dc8TWujzP8ryVgyYgynOfCN67I0dXnScl - zYu2MY3Vgh7s7+7sPFbVotVudzoNmNIgr3PMWGAMcpszyogYY/TWeeMQq5RSnKvwRGKo61pEwUTM - xAQGKzdckAjUdRVTaRjMjMNtNoZyMDiYTCYEe/TI5urqWqfTduaQrGsBeBhrrQUopMTWsjFJoYpQ - lf3+QYr1dn/rL/7iz67cvLvQWwghZta2FK4OJui1h9NbN+9MpD0eT2MSUfwUiDy8tMEKoEQw1kgI - 1XT28MHDx1vb+7v7dR37+4Oth1uffPzJp598KjKXsrA5tCF+Qok+/DSgGbRAUlBJfmn557/66y+f - OX1qsUf14Mbtd9//6PvvfPLBRzdu/ts3/+ofLZ/c3FiYM2VSDQ6kMShB/Zhb0eW+kxlMoQZRob6h - o0kz6AUSgipMJCTaffjwG3/4h/fv3e+Xk2g0zH0V9NiJ489eevYLn//C0tKSz7OyrsuyUtFOp9tq - tRIQalhBowFrdfOnnjq7sLAwu7Zz69b98aRqOMTNfzg3nUzv7R9cu34VdR/h4GD77qP7N25d/fD2 - nRvmyGrR7pEBAWxs1u0899yzv/iLv/idH/7kW9/+9k5/fOHSc89deua5S88c3zi61HKHj0yhMh6P - RsORCr7//e8dTMr2wgpcbjSRREfKmFWz/Xfe/sFsNukPJ+OZJgGoHg+3trbuVUHy9trqkc28yGBL - hhIhadOLuixz3oOZdV6u48lQ+8nXf8icnlOolQBin+VFq9PugJUsG1CE4W7Lr3QzjlMNzAw2BkCs - w3gyGZc1yEnW5faSazcLk4H543PWUhN7ZNNa2yy2swdVNatSFREBA0kKa30r51bR1igpRhiF95ef - ffpXv/71/+1PfnTlynv/7J/98/MXzl9+4fKLL7+0eWyz3T20OICSynjQH+7v1zP86Z/8yY8eT9pF - a4Wll8pUzmBsHeLd/uTKBx9CdDiZ1nVoaKdEpIQEMGAtHMOIhQhI2bA1HGNMyRnrheinTm2qgLRy - n2c+1iHFmEQkASZBBKHWlKBISRRkLaw0PQxSDM6aTrtgY431WY6YELUZ37GkMB4PU4y7O8Mf/OCH - s8FkY2WtctXAjCfZrC2uO7Hlrf333rpysH/Q3tyYzmZ1CG2wYXbGZMYWzi8uLq4cOZJ3MiZAAnAo - PWtCHe3h/YvMQ0yA0WDQPzgoy+nqysra2lqMwszOWoOGnEsgWeh1l1eWjbP7g8Gjx9t1TAlWAZ85 - l7FxbL23vjgEqRjMIPWeer1Or7eA0BFhVZpjWs3WrfN0K4iQ844doEgxxUCkIcRQB2oMeRUQUaME - YmOcs86aGIJKtIadAwExiTEOGpGk5U27VaDdFTWxsUNMhBCq2TiU04OD4cHV67/3+//6ne8vL5nK - VQciwRoiyKS/9/H77/TvfaydU/394WiKxRXUcwUZm6Ydh0ACCIABOW2trWy0Th4/ceP9Gzcf3L93 - 59ajnWfXTq6ShPJg5/pHHwwG4/zI+fOXXuwtLkNBCJBSqtGwvz+rQuSWzRetzeZe1AmRIjsLZYgY - a8AmJdnf3+/3D0KsszzL8xwE5wx0ruAhAlsjKTJ0HuNoOPOOiVWViNhaZsasfPj+lf/re1fefvvt - 9pnLr7z6al4UIPLe5XnurFWFc95a88SxVg+nJM2PnHOi4qxz7olnwnz/0DmC8v/emDfkYmuddTm7 - wnhcuNh65sv/0cr5y53OWoj2rR+9c+Mn7zz86JPv/fXbxdqZXz112uWwFoIaMh1PRns7e2ESHve3 - f/9f/Mvud95Z6LYIiRFdCjZWNtY3D+q79+6H9tE6VtYxLEEVZUzBWpPBO+FUBkQ0fHuqZrPZdFhX - ePT48be/+72yrHtUdWSWpUmWJplMSy5+cufgg+vbqONkNhuORikdMZRIooEwsfPF4spau9thFiZt - zjQGrAGch5RIAoZhYjJMoimSRBCcNcYwJKUkkgTUiJs0pIoo1NX0YH831rVvtZ3JmDIcjloTAInQ - aK10elneMlGq3b3t4XhoLaTBMYmZWoqclOcO5wDPfQbB7Ilbh5Nb1CWgubOZMZnPXJZBYASOFJrA - sMQKjZI4gtg4giMU5nA9pxopRphw7qL53Oc6b1zutNISp2zu+WxAGY5uwnlEETJlXbbu3cJH71SP - HpSf+4XXL38WC2tgDyCwzYyFAnWo6lgFCfMpF4xhOKdsy5hGg+F4NC7WF4wASDXEgAwUqLC/h4MD - OMfGOkKmh5TMw/S0eSWkECXTnHoxjlwmx9eP/twvv3jyGHILz6AEDSgYhSKPqEv81RX8j9+4aqxL - EhMQkKxqbg3S/Dns7WBrB/cf7gdx9bB773r56G76YGtnNgO7nM0cg35C9PR5bPXqYnHquy1fgG0z - y54QSsXKoI/RQcWQlXVaPjGTomCCk+BIBBkT2j34BOQQd8dkfefyWMMQigwgdBeweRJHNu3jh9W9 - u7t7exhvIEvYfjzd299XpMvPY8fgbx50H+9u3X8oEWcY6PfxwRXsPCqdd+curi4ehc1gEozCMALQ - n9WP7snoVsBeNpjy1l71cLd851EYDbPuxkJZIymYvHVACccoWlhaQHd9ZLtL1IIiViFYUzSzqekE - 4yFisI8eb/3gR+3+bM13H4m/U9YTpkWmJYSTH7+rjx9JXXWn49agj4WeIzigBqd516mgBu43gJkI - 9WfVdlUZQssx7DxhUozAMIxrsBRGYkAt2xoJoanmS6U9396hDGLR6oLRD2iztjLmvI21o1hahR+l - GKtQ26bWKce0/eigqqv+1s0/+uNnfvADdDu1ZrMphrWd5bKO3d7jj1BX+aAf93faEuZQ7M5jHQ6h - lC+vodVtDuRaYFSMacpdgivQFJZKaGTWs1n66P3JYNj+6L2yu7L+la89+8KrWN5AmWAzGNPQyjtN - NU4qKYYQ6yQ/rfWaUsY653PUsq8GrjjOEcdO4NWfOfWjt6fXb8/+j3+z/c4n6emX/Gdf2jh7Iltf - Rq/4W/s7ISNkxsUwnOFBotXmww2TgSqiNlqQ1MSrWT5kZf4Hcf09dPt3Xg1In2BMwwfgxgy9YXwt - 9JY+85nP3rq11T+YPtja3nq0/e6V96/fvP78yy9cunzp9LnTSysLWe4YFqp1rFQjsRiGSgJgG5Bp - 7nDiYH0gDsxpTtpWxnzIyKIpChnvfNFIgUhBdNieWu32/OrakvO+jjqZVkmbgQjHpJJAgPe5c14B - QtJYVuU4pTiZ1jdv3Rts32mZWVm7qawGs7FYIJtdc5OPW+3OseWjG2s9o5UkG5MOx7OqDs5Sr9vO - 87yBzQAiImMogeTJQ5MESkxga5NoErHG8JOCGHOUqbFeF1E1lpr8TSFlmqe7srJRiyDEMYQU4R2k - Machrcs4m8RpjeW8cO1cGuMSJNFoyYAJSKA6uTrrWKjGaQyTkEJKItBkWckyQBY+OzSIB6WIWiWx - kFFnyNlD40IQkiYDwDomlqQItTHsnWUGYlIhhnWcaZblecYkIslYc6i5M3Ups0nJROPR4MaNqzu7 - e0Wr4/KkNIk6CjElwXRWArS6trq6tpQV7DzYINTQBnOZGyYkBqkSCcOINfAuWQtjiTg7zDOxkEAM - A0mxSqFOVZxM0o3rN/dShqJjKSJWUo1bmHU5zCbjsnSbx4+tLnQL74hoMitH42lVh9Wl9vLSYid/ - slMQjAPZQ/5CIqQQy+l0Op6UisW86FhjGlafkiSoNEQ6w84a74yhFOqqrOoQmzQkJYrGErEBO7ag - plslnYvbAxnjrbYoOmdc3sCMpDAGMarARC3YWooKbbBbacIARFIUDWC11hTNTDJSg9/V0NoadcYw - O4JXWDkcy3jngpaQmlPpURlDgKnFx6gaahvGGquykk9vPZjJd5iSakgaYBIZFcPjqirrtL55bHFx - ocitQYIE0uisIeOS8TVMQ2yDBjbwhKTIDHWssapMhpyPjAQOGvcO9oajEYR6nV47b1Gan5HMDlI1 - vFSQNEQRVlgD17ytEjWUe7fv375379a9u5/euH5/e7dUerCzu/Pozv7u/fFw4JaOAybAV4AnGJMS - JzJiKLKqY+cwZ5A12nNQhKnhHUQosYNjmGYTmk5Gk3FfBHmeFa12w7znRrUgSIYMGRhmEpUQQ0hV - mJV1qGtIBAkbJjZVHWNSPw+rQFRYYliLIut6kzkLx0ERI0gP3RJSfILiHm4vFMiIqqoYSoYgEgXK - mOdFINWIdTmdDAfDlIhsYW1OZEhBqqKi3IQTgy23221ims5m2zs7VVU1a3D+7itED91YoSKJQyAi - 77yzjohVmmShpBIsg40V42tyyVj4DDIBacaoFZ6xWBQ5iETBNrFNBDANhoP9/QNSbmftdtFuJlsE - MnNuKlRABtZ7wBAMhJzL2FoJpaSEuVkAJaGQRJrRPasSgS2BTBPQIbWkECUlhlqvNo8KhVCqYjmO - sQJT3u7k7a71bUKA8JMQKZCIRFEBmIhJVSKIUqzLyehANU6m6eNrN6/tznLnNYpnU8TgqlkOOght - l9nF9uLiyqKxTKQ/lSoDmIsFGyyXVGQ8Ht+/d//G9et3bt3ZerC1u7M3m5Z7O3tbDx4OB8PxaCzS - HDz2yYf8O86PjfqPCLBCLsGJum578Wdfff1Xv/i55891UcqHH//gO9/tjkJ5c3vvm3/25gsXXzmf - vXR8JYdUIIHY3C4U3EKd0ngay8rACkyCGKlBpuGhOUAUChZYVUNgVu2Pxu9+8OF77767tfeYMhtI - koiqPv3MRaP0ykufWVtacdZUoS5TcvP7XgAAIABJREFUDSZL5JRYIc20zFoQw2erq3nRaifd3t3f - L6ty/hXHKKP+/Uc7n9zrf3B7/5Orn6A6kGpv5+GNvUd3Drb3d/exspqMd2ogDBgLU1y8cPFXfvmX - RpPynY+ufetb3/7gk6vXPjr/+JWXn336/LnT504cP+5tAQJ0GupJVVcRuHHn8cH0b8hal+fOmFDV - huBN5U05m4w3j6xtrK0stTMLQGU6nR4c9GNMzmatVsc5C7JNXgtp07orkfHeCRE0yfz0svOv7vAl - /6lqVBTKCa6mvIJjw23TDL6hMGSdd67tjUdU9RE+mkIIovVssl/PxiDObJb5ljv8YIAhAkpklQjN - AdtyWctnWqU6VHUVRAEypMYab52x1s03RGPg82MXLv3CLy3cG9rvvXPnnR9976MPfnzt04vbj+6d - ferZ02efOX96lXN4IhgzLuvBRCvg06tX00SssUe87UkoRyO2TtkMEqU6LmwcWVteKIp8fm8MQ/PV - ZgDHIHCjEFKeu2iLiAFZIdssZzRkRGFvObPQVKYUG+pac+dBNTGUkkRBVMbchiqKJvVkW74lsEYt - EwQIBEE0nIiqFCsopuP6zu0Hs8Fksd2SQnfSwdjNFkxrYWazfhpNRktLi8c2N7yzBkxgVsNkLFtm - 450rcp+ZJt+AAG7sT0QOxzaaIAJRNC+KxtHoYDgchBDb7W6n21EkMsTNCM00kT7R5y5vFbBmNJvt - 9/spRkLG0Mw4x45ghExi2/DJYIAmY4FN2/mWd8bwIcTH4CfeHTjcOBjGzs9claiSoDGGFGrT+Noi - EURViNAEDxJzTAkpCZ4kzQKGm7reWPbeI8vxxIybSVSqUMcUyxLDrcfDd64c3DJdntJsN8ZgmJ01 - RspyuJvnrndkpQnYpSccKDD9dMKhhyH15E220KGL509eP7rwwSfv3blz5+6DnWdOrvsq7u7ufPDR - R7Xo+vqxzVMn2x3wXHwjktKsrKooia1ayySHQHqKZBrXIBWZB38lLctZOZtJTM5aZy0w13U1pzQA - ZpYEUeWGZ03srGOi0XB45b33dmbV/Yyz0d6NH3//B+/fZMZzF8/97Csvd1sFz1kUFtYwwxlkTePR - sDVhnujLjSHDZIisYWOYiYjmGabS1AiHaZH8ZAdoYsz+H10fAdaSMURERNg4fuoLX/ml57/wtfWF - 9Vr06VPf/k7u/2r74UdX/savLD77+ueOnDnhO1BIkhhjXdVVFOkPpm+9dcXc2s1zI1p5Ry0SrmYu - 1gdS5Hm2fHR1bW0lLzJYaswiVJTAzMYAEqBmfqNVWdZlRcBgONq7cWs2Lbs6a6WxD6MWykKnU+SP - pFuHVr6+0estGDakQOOVxExESuyywvpmmttIpcGAYcA6xHq++XLT1kVtwEimaIwyQYRToqb3ZJBC - NBJCXVeDg0Eso+s4ZqtEqmDCfCysAERIvGNvoRoPBv3xtDTzn2AutHnCL22Yodr85syQt2Tn6iWC - EhwXBQJVIgEBqOGK5pSXxsWeoZSSJiUimhs48fz7jqJJauawcQRPP4UXn0cbGSUYgBSJUAuMBxlE - mSXU/UHrrbeGV6+lUC09c+HYxgriFKMpSpNN+5iMUVaIlZsO3HQvH49hI1bIikHe7mZF0FKqaRUm - GS8YASJFC4UxAKTGYITxCJbyts/bGTeCMFIIlEmIUyP1JMy9hAgQqHVYWml//ot46TLaOSyQStRT - LLXQVqBCHGPi8S//op04R4oaIS4gWWZChd0D3LqPa3dGd+/ff7j1OAqqQX73an+8b7YqxBqWrPnb - 7HQCDNiLyYLJS5O1XAZLSIge1OAiVYkyzMhKq8dFT4TBAJtaGx0FZy6DqZtTaUioGC5GgOFyIMG1 - sXoUJ04XV/t2Z7fcfozRCZDDgwf9g+FOe7U+/QyWDU6/vXFje3f3YX8yRirweB8/urK1Mw7dU92z - Z7PVRWSMLAEBgyGu7oTr2zv9G4PdT3exz+MpPXw03u/XN2etOGuZBI3NuteGI6IM46XdtXlbD6kD - M0KCFs0zqGvUAWzTcLx783YYVldNazuZe7NyTGgzd40eq0bH252NEydbvQWDBNNoFJpHSXPPGBDY - IMvhvYViMp5NxmWoW9l8HEIiBBVj+afWYckKlGAU0hjAJIlJKutFoCGQYzgIoVQAtu099dpYyJEb - jjHWyTR/Uah0MBiFGA5mBx98fK3IR7kfcV4O651oxj23KXsrOFhdP5pny1jM2xmQMUhwsD8bj0cu - m7Q7qz5/YuYhRiE6B07MIaG1oeIazuqA4XBmtmQ4rLorfmVlvduD86gCDOHQVc4251aiRvIjpDAA - K6jpVADn2GUmah05MEAG3VVcuoTPv3FRzOjq7Q+/+83rN2/5/fsXL11Yv3B27fSp9vIS2gWMAgbR - QZ0kmWoYmghOYIHjxldQBAlqQKbZIv49A/X/n19/D93+nRdBFTHVrjlCqSGXN+0zkc1WV9Z+93d/ - 52d/9o3/80/+/M1vfvuddz+4dvPTf/PH3zhx6uQ//if/xee/8PmzZ44DjS+j1lKmVJEGraYUVrJD - AUWKyWRe845bXhv2D4ahss7kLBkiYuiy6WUtwAbOlK2CLBE15h8EGIUJ7EvjhaxJ6uo0P4wAI2ol - OSi8LRy7BDCioQCpSaXd6pw9e2FjtbPYYpAvQ67cW+766qBN07WFxeV86dyRUy9trpBlGSe7N4rD - 8STF2MrUexYgwTYdlUASzN/KBxQgJZVZHYOyKDQFc+jaHQCXEqjJDSVmq6DGBwo1xMBkeUKtdjSr - +hbjotvx1iBxcGBERsXAeBJmI06A7/S466eCDsFCJNRwHmSQMaQq3Zhaagyb4Fz0oYxVqNstC02i - qYmbddL4EDFMjDaA1anxyXrKHCMAsXEazyzEI5g8a2dZjubPpNRA+oa5OWiZyFpiI6ox1LWkZlvI - 08yFUp21vYX82PEjF56+1O0tGadRyyCzJGqtr2OqSix0j7z22VdX1+AcAGQepELKjmyACiLBec6I - gDQirZiHZJAk1tE0vnhggvPEUVNFUrKqhXWEkyfOPPv65ys2LLXR4DRYKU0sCVpTkVz386+9dObE - JhQhalnH8axa91mnlfknPSEzNGv6SaMAogGX03J/cFDGiimQpVar3faoyIzLCfK6jRYAsJIhw9bC - EjhKDIiwgCRVeO+TpgjxOayFoS4AYAauYgREC81ZHIUUE8TAQi07GCIZ+WpqJ0MKKqCKGvMiJABk - HHWtgtAx3NKGQa+wtraYQgNTgmqoNQQTxQjDFZ6JgcoQMk45zQoZJm2D88DLqpFD2Ur9nKPNWqun - Lrzw2vOaht6WzrqUEsBibW3MJKa6DF/84huXLpxGnEIrK0FSFOOCLUq4muyhr1E0agwqHypfhSyo - RpklKQkVpEISA2ZjyZrIGWzHzdshASuxUYUEQWp0zSbpYU8yravpYGv7X/3e//Jv//Jbn9x9GG2e - tVzRyZPWUg5NmHUIxvmQeBTsMMEZtBnBRJurMxVCzTV8aGWHizpBhGs2U9RGU+RgnDgNIgHkUVeT - 2WwUI9rtdre7EAKQgw00pkAahZ1jMmwciQaRSFBDJBJTPTOIAiQypIZgvEEEkmCWpG2ZFYihUPFM - ZKmUGCIc4KTJklAYC2PkkOghQAKREjEyq9airMZlPRUsMeCBJjQ8luXB/qBOrLZrs54hB4UBxRAT - W1hqiuzpbBpTbM4CEBSakBw5EJDAtpnVkoUl4zTEUNUpRI5Jk2gzPweMRpWQmKLPS+MrsjDNUkqk - yYF8quy0zGulWofj2UQ1ArB2VE5Gw5GF7WXdxXbPW6cISRLgDul80HnNYR0Kb8QYn5hCijjs+wAr - lCmskiqCUIpMzdTEQQhsKY1YI9MM6LkCvltFAGqMtL06k4LWw2oyjdIkbbiGFNOMQChECWByeR6C - hLo2AuaoYTbq76nE3mJ78/yl9uaFpVbXw9gkRRjn1ahjMPYr++jS4rFnzm8sLLWtAwMiyRAxUUP9 - 0KYRJAp1dfXT63/4h3/4B7//B7PpLM+L5cWlGCIJCKTaTGqkVqpgI4DDfo3k0H9UQdKgJ7ZEoX4R - pqOSpQCVCAO06Nnnn1k94sZl+Ud//tYHH998+5vfOds1x7/yCqgGOMX2au/cZmcbZa33b4/uHZ+O - K+54IgpU5SRAG2qy1LDCbUJX2SibWsT2ei+//vrCkSN7/T2fOyVVFVU5emTtwpnTncwbiSkGIdHM - +MJrjLaOhQNZ1tQ4CjASVWWEMZx7WziROkUYA4Ty7rWr/8P//HvffOvap4+mRbvT8bFlKq/TNKtZ - kDkoaBrLmhCAQMYlHNnc/OVfWDl5/MSf/fWPvvGnf3Xjzp0/v/b+d//4958+d/orv/Rb/+l//l93 - F7Ouy0EHzCUMJ2otrz9z8elT3Y7NcmLOYiTAZDwrXKXVQXIbJy+8/vzTx9sGYJs0D0ElRqRSJal6 - IJvTvBRWUxViErIuC6QplaFqYOUciM2scm4gKAy2UDZKIlSjGHNvLJlozAFGpTAJxlImqkbqXit3 - dT5O+RSdBBROZuPH1WgHsV60rusy22ABDagmCg5BZ9KgXcZLsjEKs4Y4KqcTStbZlqMZ1NYhVFUw - 7BxbkIJzHDn5fPfUf3PqxTf/6if/+n//g3eu/Ph7b3741g+/V3SO/dxXf+u/+i//8YnNVtYxKNqz - yIMIGJw7/9TKcy8643rMLZFU1QqCscln+1G1t/gzL7906sRxwCBFIXHWHIIPzXhc4RiKimQqtRgi - QMo6i5qDMsAFQYhI9UxTzQRvKoOgwo08UC0kYymgRpACJpWiJuQcATHELjBX4GRRopyg04UCNaoC - M7Yz7xWKVr544vi5c6c3j670uGv242jMZSZmBUV7xoPRpLW2cvrZZ05ubnSLtlXEqtbIAoopxVhp - LAltAGos6XyWb5qBOyVohAiEYBgaJMz6o73xdGSsB1lids4ASZAkJdtQOoGkKaqIMUG0CjVpyJBE - FbWgIklMNo/OByCgmeASlBHZJ6VySjU1xxCYwXNzYUPz1hSNhq5h1DOL5YAkmgzUMxNENFkDTSlJ - 7R1UNEYRkHXeZTnmVHee2xUzJWOVGQo7NyqDEkVCJSkyeYflTu+ppy6ePb4g5XbLnbHEEkMrzx3r - bDLQWC+tn3/+8sWNFWSHFpqmsQ40DBUYC42QCOZMk0nVKy9cvPfR6T/51l/eun33+u2dN14xk2F5 - 88Gjtz/8uHX69bPPPNNZQObhFAgRxlhXsMvUmMRQFkIFaUwEoZxHMCExR5XUiGpaReGd15QsMROh - gdKaQ1kBVVKx1lCjVRFR1WZ9375587/7p/+0zou2hCKUK96sn7n42//oN3/m57768rMXuh6MOiWZ - 1aGGGscspYtjrx0iFrQAF6IKUcaIoZIUvDON7IYawj6IieqQksJ7kwSq6uYTZ4gIqR76Ks51f6Kc - QMpJpY7VJNQQLkz3eOWOVACl9HOvv7yOUbZ/4wff/vYnn7z9p9/8yy/8ym8udLoOzpJ13uedljr2 - rfa580+vnH62s1DMqqHPqUNRxgeFVFO3fNn0WuvnXzq72um1QAms8JYZqapZNEs2JzTRfVCtyzpF - LQqkot1a3/jMK692dNpKoyyMOlzlMpuIG/i1fV6aldUrn/3sieMn2Hmkitk45wQo61oYaiBQQjKw - +byoafoFB7JoerQmoMA6qEcqp0pRiYhahIyIgCQQUm99Qgx16O8NZqPSrSDvFJRxmgu75361iBQk - EakjYZXJZDoua0WDtAKACpRFiZvVBkEdkAIctR2cVSFFAIJDawmdrFOUO5PHg73tfHtQHDvCACwd - po9CQVZVYoRx80agJrQAGCRbE9cslRN4RW5QsIjlxjpZFBIBhiVYQ6ry8FH4xh99f7R9Ye3cC1Rh - eBe39hADjEE1xa0b6A+AsDR82Nr5tLhzFAOHtIyOQWelvbAEv9PKtJtH18Cy0dtYiyXYBktwIAGH - bLndXl90BcMRGEiJiRO5FEOlKSOTW0FQkMLaNvEoyQgMcjAehuAsigK+6asjmGEIniUiN1JRhBPj - 1CJguoef/Hj63/9Pf/rJrVkd94+ud4hnXLVyXWS1LM4kawI0IjWKLgMYJIfIoYxBWTodWMAkZLCN - v2gW4SyQx2iqWkKKzaJHAkUNIJOAFDKb4IGcMiSpQ6UENYgMo2CDooWnLnSvPFjaehAebKHfx8IK - bt/qD6vdk5cXeyfRAV4+v/j49uLw4WT7EXpHce8A377yYbAL505unjmBlQx5BBLSAT78uPzn//LN - 9+6O693dvK5XnW9lixo8ki+sR60uwKOBSmcwHVhUBqVMFcaoYwEnONMyTpLMtwRRkOm3OrXzdvXI - wsufOUf5YzHL4KqcJRFqZRtaL2u94LL2i5/JVhaQGdATPomqKkJjBmLR66LTWmL0JkNzsFcO+sgW - 4B0AJLGCZADhRDAMBnFj50pg9kCNqIhimQpDZBSo4b3zyJkMKJFaquBm4CAqGg9dCEijpJhEi07v - 9FNnjqxV3e7QuMnBIFeerHWPp36HxovGrSxt+DOXej0LK5CA0XBcVXs232J3CowIRHU5qTNazybG - 5N6ZlKAMM3cDAqn3rnX+fPH6l7D/L4rbt67/wf/6FxsbX19bgyvQyK/5yYBAEYyFsd65jOEAk4A4 - NdJigEjABOsSZwn/N3vv1WRXlp2JfWttc865Lj2QSHhTVUChUIXy7aZpghw2jTg0YkijkEZvDPmJ - CYX0or+iB3FiIiZE1+Q0xWZXk83uqi7X5buqUCh4j0R6d+895+y919LDvoliKBSjVyqC5wkJIDPy - 3rvNWt/6zGSCPz2Df/Vf83MvT73x5gt/+6ONO9fv/PmVt39QTZ1/+tlf/ucXfuFXi2On4Rk2YMti - xCPr0VPrA1yETfu/J1iy0mp/8mmQsiklPTZu+Ef8/BN0+x97VEGMojQ8uekN1GJScgCktnDTpjh9 - xv/2b//mufPnrl6/efP23U8+/fTWndt/9qd/GlOamv7duZ6vFMLCHs4Teep52zGwAagVBsZ1U2o2 - 67A8qreJomWlZBEgLeoxRiPULcEG+KBMxBJbSeSyQFgS4u7W7v3VzYdRU1ENuv05GA9EkDW2MqZS - QQoqUQ1gIJZax8lZnp2ePX/+4vPPnj+6tGAIoU0E6lVO6pek3WFmV/R6U/OLMyhL3ikGtjPvitLQ - BslQJwZn+e6nNrStncwKvUoWfShUiCMZBSQFDft8W8CwhQSQgq1n2wg05QIWlosa9QioY8O2VWzW - Y9/WtSZkDSchMNTbTqdY6Nr5nbrZqHeSRRvVcuOtYQJSDGHPFeO2HNc0VE1ddA/2F6e608bFcRxa - I9YQwJRg2szEIphIpQApjYMJrAFNi5bBhDIDzyFh1KgawxbQlNoUWwJAgkm2D2UbWWOSYbDhfX+w - slssTHXnJKbFQ7Ovfu2FF195dW7hoCs8iJJKEpGJo7LxtliYPwDOzgQwCiJieIXyfkAlkrPJAGQo - MO2mhKRJqZTcmSc4UiUxHKwRR1Rw2Zvtvfj8K9/53d8fEbzGkpKRljSRJkkRZG1RLS0dnpuekhjL - br83NVN0eqKIzdgk8cKkSALDNrNIzMSOUnq9/vzCQmfQqRNCatu21QBjuSiKgBCRMiVPVUmz7Tr1 - Bv1yUAoix5BSIuKkIUGynCi2RkVdKUohMktMpuFut+vJ6GQywC0KDwDURxpQLNmQtdGY3JsJEhvr - uW8S2saNRhIThGAcBHvACKgNJUPM5JlKJddK7h5zLyhWGxt3fNqskx03WmthrIO0rl5FGE/NHjn3 - 0rd+8w9+3dNmyVuGHJKDuIa4sa5m5pROHz48P90xPIKKJ4FKEK3JRd9JefOmABUgkpEupC9UCpFo - C7QGAUa9rfo97wuEHQpAo9TAeEQgqDi2xmYGdvLOzgymPFgCYhEZo42VO2//zWvvvfn6cG/8q7/x - e0tPPbd0qF/4aGic9jbX7t1460c//OSREhfiB2LQAIQ41nEddhmjjqOKCt92JACTIlgC14UOwb70 - vsMdtLBqDAHQQb8zNdUB0LZNaKO1MDmvxZIhk4DRaNTVQKRlaYvCJmOdc95ZYw0EAgpqiD2RNRmU - ZAhzhPVJUNc2NBbCzlDhjIXLR18mX1sGcz5Y9qFbeOfBStqoIEkQlswx8YDjBIOq8L3elN0Jkbp1 - 4NAKXAJba4yCJbYMNc52+z3vfdXB0uFDZVXKRFm3L8gQJIUlVSipEhvvfOELaz3vZ3aZDAlriiKq - 3NgyOh8BKxEiqoHZlBLKELpCBVuyriFugAroTg9mZmZZ1mWcwriFCGUNakoIAAw5iIEKJCYGIZo2 - SFBla4iUBAqokFJpyw4ZBgWhFIEGhmLrOXoACM4QFV4L07Kr1cOCAYSx1DvQ1lamnOqjqGrAw0wI - BdqAGnA0DkrahmRdUTjvAGgsDPrdgoDB9Owr3/ylw+e/dXh2wUWUSXppux93uqg33cyq6Te9hQPT - vtMvmBIBhET7ZBNk9XLStmnuP3jw53/2F2+++bax/rf/xa+dPXv2wMKB2AQmsmzefevtD997/4Mv - rqlxweQhJQzAIvvhRwYwJpNwqGy5uxddGz1p6aiY+CDKEE4XFma//vLLN65sXflw+Yu33/v56akL - 3zpelVqAof2p6sjh3sFDRakrDzZu3rp/e3nx9JwrvSlDQmsSIZWZFJjAgkn8lxbcm5+/+PWvP3nh - GUnBMBEJqWiKVVVMD6b7RUkpWSYhqRGa2FKKtgnJBGcdLCakoxbDYT2qa2HtTffKzsQ/6dblS2/9 - 6O/fe/OtcnD0V3/tF1585WvTJUodd22Ie6v3b3z+b//sr3dVa4ktIwJiDcijaSzk3Knjtjt99IkL - 95Yf3f/i3esf/mT1wa03fvy6n3n6l77ze8+dsb4wosM6xIDqzNPf+LVfe/XIUlX6WqgTpWyjcbIz - 8K3T3UTTnf6R6YW+0YgkxJ2y7Hs39lYtE2CBAmoo898ZrSIJJ1E2VBSmU8EbWAUhmzuJirAwK4MY - xARmLtT3Yzkf/ACsFjuQsVCnpl5SYwGrSZpRlK6Ws7W1LSBoZqbMTMci1DQcy3CU6UyW9kFhy8zS - okZqEaFSGLbMKAp0Su/JOZQsToWVDLNjthA0wp5LKqZg+OBi/5e/+fLRg9XNu69cv/X55etbH3yy - 8rM3P/rfq3/7n//BLz13Yam0lGyVioGk0QsvvfTqd75Tlp0KxieSkBJAxPB+zBq9Ozg7e3B6GmRh - QaScIlvLyE0RlDQTsaKBeqOWmKgkUyYuAJ/glWEcjKtV9lILkoY1ZUamMsRCC9YOk2WDiPFY0DIC - kjNkjDORZBR9MAVKZybWNAVC0p02boqMSTHdP/jM+ed/8dsvnTyxIAX2tK1N8sp9sVVLbQjcqbpz - M3NTAw9jIrztFrZi4xXw1nQKY4EEjGOyPKEF7dsdZ74NZT8mUGCrvUHZ6VYxSd2kNgSFCBoonDFI - OWAkkiHytlWUM7MHFg9YVpNqjQFtpGBUbJNoTNQADnAEmwHaxF3iLlPXSGEJTIFzgZFLTex7gsqk - jmMDMuoteVuUnpyVUKsGsAGSYVZiTYGJnPMgE0XbNLHdmBAAlWA4mWyzoJSERAEkSCJkOc5gUB46 - /cSv/MIvv3DxlMim5ZFR0hgdc66jAC06/UMHZiqGlczFhstZN0yTvjgBKUIIEGvS4ky5dLA7P9+/ - euPmwS9u7dXYWdu5s7K+stO+cPjI8Sef9B2YbAjHDBiwc2WPnG8h43YkaQSqgQQqZWIrb1WCI4CI - oNZYZwyD2qapR+N63BRlQYycNEZMrDyh4NIkLD2POQ/Oz3/jN36rf/jodFUW0Mry3Oz8xeefP3Lk - 0KAgA4VkCR4HpqTBaFPKyEovigh7NtkWJcu7hCGaAlQISoqYkpAaN/lP2UBfhfZGbeGdt0RsWIWy - YlCSpkTGEuekEgEiaYCiVb8n/T3lBPScnTL89KmF9Ksv3P/yzfdXbr/2k79feO4bJ4485T0oxLqp - d8fDZHHoyNFf/83fXnripf5MNY5DU0hPxzza7GJcu9kdDNBfOjKF/lQJM0bKlUtiVStkI3ELMxEK - UafqFkXVtJifP3j2hZd/7z/9gx5Gnbhbpr0y7ZVp2JrOlp3bMnOqOLM4NTMYgCMSVDT7olCecBtE - CCFlERLtM76hZkIOz2xEicjW2MaN2URVSsmnyCmmFuyhhEZaw1r4ctCd6rieYaeMyDEpqAUJIoMo - GSIYJiSrsXB2aWlpeu5A2FexAlCNqqLko4IAq5O5mtGSE3OMEhE9UonONA7OYKnTv7W9urGydetR - MX2A5wHKsGXmLZCzxoJAjADUaNhwxzlYUCnOq+foBU4zLL7KVAmVCT4BSTOuJIqmHo82d8qtYbG6 - 0dlIQ/2Lnp9qwG2UEZsQwvTySrp/v0nN1N3L8UfbN2+8H597tvjWNxcuPll1plB2EGqMt1LcBB3L - 25/Im9wyxxbL61h7BCud6aqa72mZqTDZapsBRDWRqMjxu5oQA1QdSGBqGCgjZbSXwTaT5QGA9iAB - Gsa2hKfCJHhyToAxPnh777Uf3rh6tTx59reeu1gcP4mygA/wETvL+OD6+E9++IHUYJ14mOajv9Zx - i6ZVhNS0+ThRmByAA8IIpAh2NNI9YWUuaCLRIyIOaANCURQscAlO2LN11kZFUESCNWCg08Ozzx18 - 59rG29eWL3+Js4cxa/BouQ1mdOLJvp3HgPDqOXz+5smwefnqZSwylndxe3t4eOH0/JHpTokBISe/ - P7y+8dG7q59/inLp1199bvDEERzsY2DhI+pNfHwXGz+40lNUDAYSRhE9axAskgnE1nJRGFiF1Uzf - nxDAfQFX7kZZP3H08AsvPfvtXyTb6cGfNi5KiopkqaLELLDezC5g0AOSJNTMyRBn+w82WQwKKKYG - OHn0mft30+726N5d9A+gqrIaHJ8jAAAgAElEQVTjObOBYBwxBCqHvv4DNtLEkZIdmzKJJ4UnlICF - jRIMiyCp9Aqgr+iwZzKtohZ0E6DRGlJgbuHA175lDx+xg6kuAyQnnal7rpv2wA2cR6eH/gymHSgC - CVVV9frUG+y5Ipsq5QwnSlp7B84ndJpQ57PMFvAENzePiy/g4cbX3nrLffbZtdf/buSrzkvfQrbR - zsNRZZBFNC6CxvW4HUNaOAdQ7ajDipSaNoaoFMknIAo8YAtMFTj3NAb9qXOnf//ebdy9l15/4+rn - H3W3h4/c9LHQw7GDcCVGFWo3DmFkUjtl0CF4ASdERsoXu9mX5UkS1NZkR9z9Bu8f8fNP0O3/x0MM - Z8wki5ewX1Dmz1UAYTZTU/3nX3z+wnPPbmzvfHH5Sue7f7m8+r133n3n2MmTv/jLvzIopivDxntX - eqK6HQ8tkidwXonMcFW9V++Mm92mDgxYVk08UY0lhJSt45o2jsd1pupIYtrPL0dKW1vbDx8+bNtY - FOXU1LQxDioAWWvYsgIhpBQzCYuNcd1O11tb2eLgwcVnLjx37slFBhDBqs4QkUBCOx6JJsPsOgYg - MkV/aq7T6ZI+2tteHw938ZUOFUnyG8SCzJMCREHM1pNxRMyUncMm+4GIsO8jRsxZ+bsvcHUKG+GS - kq8cc2zSWKWdqNygufgti163O9vpDtbGe1t760HgpFULax0AlRhTkpT22nZjZ0cE/U53fnq23yXr - XN1mwMnoYzVKrlcY7C0xNAVWgahklSHrJOFRgaQpIYsEJIUU2wk0sC8zIYCZiL7SCymQEnXKqZmZ - OVVUHX/4yOLFixcWDx/1ZUWGFUiCkPJim3zsmhKxIQJUmIjAsg9vq4juu04QRLVJApAS2ywizHAS - oMzqLDlrvfNl2Tly5MgLLzwTHCpGRROHCwJiaJnIeweFphRCKMtOr9cvq6qum93trXpvu7AzZPfv - j/xKCQQSUFn2Z2amp6Y67Vazu7e1u7dbtwuuQkGFYJQQFIQoe8PxqG6DsCvKqel+v++zRbnAKFvi - SAzRieAvRWaFkoKhIpTEghg5AlKAHG1nQFwwWxFS0glnIJcOUYUInmChVpLuiyGRssM+kqrmlAxi - +9iImb4yRokkLUsNiaokBGZ2znpKUPiiWDx2/OJL5walVthkWCSP5Bvm2qAhWMAmlBrBCiVDrKIh - aVBVY5RMHsZmN0OoOEJJxk7WkWZJuhrT7Q+Kskwx7m3v1MMhYuZGICSxRaYVhqZtVLVTlqSSAgRB - 0K6sPHz9xz+6df16/8hTv/P7/9mTL3/72BIsgbGXdtbvfvnZ6s2rHz24TmzY+Qx6BiBBwMqsltmR - NWqwT/HMqeSgpBKNMZY4tnEiuIMOBoOZmTnvsbO9s762ljdqZi4xQAkpShvbcVPHlLx3ftDtdztV - UcAa1JpE9z1KacKbNbkB5Sx8cNl5PEUYsjZft4IsQGPaL3oJj920XeHLiphFkjFkzUQeOGECEfV7 - /cXFQ359dVy3a2sbx2crVBasho0AGgSQEMNoPIopVZ3qyJEjnW5nYpaXTz2GKlJS+1igb5jz5idV - VUlgp5TbCEUUhaRkWNlk9pXqBFi00JKNVzYgZpaMKoCmpudm5xagV4c7uzubWylFC/BklQsIxEYJ - SUSiMrEqhyQRSoaNmZhZKMi6stcdOGe1DaPRXkgpgVkJKtAEaWMMISmMa6LWbWAGg7MfhTEwjppQ - j9umibCPSwYN2fymjTGEgBgZsEyWAdWy8AcWFpxjX1SLS0efvfjiU4veCsqETgK0hewdt/1NuGGB - Tm4jM7iRN7g8vmQZKQ6Ho/v3H7z++hv3Htw/e/bs7/ze77/yyiuzMzOpDYYou5auPnr0ybVbxEbJ - PFbF8mO3SgCUHRIJcELFuEVIua3cp2BpBCmXxdPnzp09fW26evfe9S+/vHL47to3DxyZmUHXU9Hv - VEtzB04tzt69+2j1/s1Ln3/q516cWawsCoEYFWR3aLIE3jfsggE6/erMU095a7wBRBjClK0AFVDE - BFVmAiNC2tDEttU2wCcYK5mQpKxNXFvb2N3dA+nC/GxVlUyA6rWrV9/72c9u33rwjf/kW7/2u7/3 - y7/6C1MFXIzWRt18+NkHP/3e3727Z5hY9i3sAM5e86mYmnpm4ejx888Nm3Tv01PvHXB/+cf//saN - G3/9N393/Pw3zx5f8gX7wrqiSDDzB4+ev/DchbML3uwG7SR2dQuXQrcIwB6kQiiECJQgsL7X7Q2c - 3UhhPBzuptSZ8LwnHYiGGOomNG3wkgyLtzCPI2Gz/lxkX3eYTUiJicEepkxkoQqtgYZQplzbKJFI - alsBcdENnHvmNDfdmx90fYxha3O0tRUa+Ew5UwUYxAZgxBiC3RsNG1E2/ane1FSn16kcK6mRqCmJ - Eow1lg3n6Bg2BGcZpjCHT508/NTSL44v3rj96Vs/u72y9reffbn8F3/+H1558eRTT8yWVVF0emV/ - Wutw+PCRF557dnZmwYNsAnTfK8BlCTAAdPJFayxphESG5f0q47GpiBpm53Kgn1G1okaJJ4QpC3IR - aEWQpz0mf/YCJbAjskRsLBkWUD5t2BkD6y1ZEjWqntSbx56HlCQB6rwzxpS+mJmavvDsM09fOCqM - htFmQUMOeQTAkDy6ioAosSFmUYgqM7nsqKQaRWjCtfvqZYGQkyWAnPdn5xfmZ+ZmFbS5tbWxtSmT - hpqYjcZESWC0btvRuEZKc/PzR48ecQYkgVUsjIElmBBjFiykif46u5+xI3akDpERFZomopavOgCA - Ju4NORlTDbJhiPdgim2rkhhGoSCwqsSoiiwmwz6L4asfqAoRycp9UfM4ZVAVTKbwtiiKouwUxbnT - p7/5tRNMJxUgCMskzsw5myvGGEUhLOR4gntLztDkfZuZbJ9JCkNTg86hQ/Mnjh/+fHn15rXrq+s7 - t27ev3JvYxhx9Pjxc2eOdSwokw+ZQVZNp+wMqrK02m6s3N3ePok0DztRxk5GXfltBBQoy7LT6Trn - N9Y3NtbWYxudK/KnDCImgEgkmayiUAYQY1SRAwsLv/1bv3XiuYsH5+Ycs8ZoIdPdriNlmVTdRMzW - ClFKkTQZjQaIQkoM7F9zCsNEhJQEKjRZTJOzP5Nqs4+T5pBnY9VOhgY5wyz3VgQlyrVHXvj5ldqE - Iq8NB0DTzEz/1Zcu/OD4gXce3nn/ow9evXl7/dzR+QMFlMBsnCNnu4P+U0+dfeLZp2cXEQAFuoCP - 2w5jsbPb4seMAeAxho4fwwWc009UeV+nCJWy6hRFJyV0ut2jRw+/9NKRaaALVEgI20hD+F5DM9sE - A5RAmST3VAIOSRSwNmcC5rpTKAuTH3sU0f6amSwbmYwryJqy43xJIohRY5RJncUiZNgWRbl48MBK - rzNO7db25qgZz9BMijCSE/6ScQSYvd26GUVn/NEjxxYW5tLj7ZA/kmzAwElBypYtjIVIKwmIdf4k - xKHq4dAhHF8ql3eblYfrV6/400/MpRIQB5mMa5UpK7iTIBpEGAujDJocg8RQC9hs0IcWQEJKiAIL - wwqr2eM6kvP+yMklE+daXVlfu5c21qMGZVUjIQ43NszubkHim2Fcvb8R6vWFhfmtUV9sNXMAg1mE - uLO2vLa1fJTOQwyEJPewCrSCB8tYfiAGPNUtZvrW0iRTlRiTA4OJYHLG1MQwQSCSVNqJoReBsMMg - JiWewE1EDuo1NojI8ltLoIR2iM8+vvnhB1dinHnhpeJ3fx9HjqKqUASYMR7dAN6tvvcTi7S/JB6z - E6AgAhmoQ8Ike1WykBiIsA7svbIbDmW4oykiWSicQRIEgbIBBLFGO2QN3pSFKpIgTlYhuMDpM/3D - h5Yobt++qjeP0rEBHq2IMh89PV0NMGNw7gwOTvVu7MlnnyzXncWVNWwN5cIzB48c65TZ1i0BAbeu - rH760Z3NNX7lG4Nf/y1cPIf5AbpAEbBzH+XH+Ou3PQNGoBECzos5MhKCqGGNnr2hCYE7a8sSUJXw - 3tXNqNvrHD1K58+jGIALWG/ZWMp5SAJWGEZCxmeTJBW1xlgFK5B9vKKAGHNzOHtudmtjY31t89NP - ysVjBwd9iML6fLQmQVDtMkETkpmcHknBCcoFc5EiSwIrHMEkm1KrToWSCgxy32sYTuGzgaz3NBj0 - C98MegdOnsCZs5iZByL6pSlNlyKohRFMKOKAJoQWzmFhYWrQL1TDaA91DcGEQqcYT9wgs2qZJoet - AqqROfam7Ikz+EXYunnm55/c+uj9653pQ4vH5hePozfI34cksARho8QpCCI45RajsAAEkiQlJLGi - Rb5JcxtPwNwC5mZx/iy2VnH9htnaPPvmW6P3P3jv/NenDp2fWjwIttACpjCqkWLyBCvgPP9WKE2c - FZkzTUA1qZ1YEP1jx22B/avhn57/14f27dJDCG3TAIDZ54WrQqQZj0WEnAOT9W5+bu7ll1/+xje/ - ceHCBSJq6nrSN/qiPzVTdfuj0ejGl5f3tjckQgRwBr4AuG5jCLGqisIbw1BNqgo2cCWqji8KqO5u - ra+tLsfYWJeDbvMidvCD8VDv330UhkNnMeh3bK6NSNkmdrUyRIJqdp4sXDm1sHjEuqIeDTfWVkZ7 - u6FBaEAEYyilBFUY4zudoqyMcwCHEEMMMzMzvV43NM2Na1cfPbiv+2ZJBBSF92xp4p3EYANRJtPp - 9LwvfVF0u5Vh0gl2hhACiNAGrRuoEu3PfwlQITiHflkOpqZnezP9Tr+outZZJGijTY5eLDpTRa9P - lkM7HO+t7m3vENSZCrAQIjJVZ2o81Af3dq5fua9C8/PTvZ51FobQKfqWs84MlI3eDIAEYWsKXzhf - kvWpKKhToethmOq60aRwDt1eFE0iqCpSTemraBDOOl8mEAk0xtg2taSgwGg08t7PzMwVRbG2tn7j - xk1jbaeqsgMwAYZRONjsvAdYi6IwzEgpjetaUlKRNrRRIgDH3lnDBmATk7ZxUh4zUy4OnAPtn0HW - 2aIsrHMbm5urq6vb2yOa+L1mVxowwxjzVUqyqqRERGyMYbO5tXXv/v379++PRkMmGAMRhJChBgCG - 4KMYFZ3plVpvPrh3c31zY6fJjGxDkBRbgox3dm/fuXvn4aOdNg1m5wb9Tt+DWVBUthiAi6KqvDcx - QAFnUVZdQwXBEBs2rJBxvRtjTZSP3IyIGbAFmdG4Hg732mZkjWZjhyBt04SmFWJfVlWv37H7uTtN - amMTQLZtU900KTVE0RjYSQQV7YMEhnLmEjCY6jsPVdDs3GB63lps72yvrz/a2mqbplUwwDEEleAs - HAFAGyD5zgQBJomJCTmLRKVVFZCFLeHKXKUqJs0kkTILAQGhbaTXna7KTgjNrVvX1tZWfDH5/XJM - sLTt9vbOxtb29u7OuB61zTglGHBE3Nraun7jZgIOLR1+8sknpwZIMsldIeKq6hLb0AaRAG3zTWVg - q6o7P79YVf26TikFw1IWj0FPdigBV9dhPB7XzThJC0QmMKjq9PvT81PTWH64fPXy57s72rbIYdeh - TRKk1+k2dXvvwcq9h8vG8onjhw8dnO11LQQ6rJs2GGvZMFRDQNsi7rsU5OzSsuoyMTY3Utvy44uV - OCPHIpI0R5RPmmTn/NzCYtGbFqDbqTrO8mP5dUxIOj07/+RTTznDjx7cvfTZz+t6DF/AWFDOPLNI - aXl55e2331159Mg7t3jwYK/bNTCMfQCMYQ0y65jAYAPmEMPeaG9vuFvXo+wKAzDUJiGR7LDUqiYC - YApyBfkCADN3e30ijrFVaZmSAQDudKYGg2kirK4+vHvvdmjb/YkJwzl4A8q5umKdJ599HY0aCInz - rnCwALPpVr2F+YVu2WnH47u3b4/HYwY558GMFMPecG11Y219O0YZj4aj4Y4qCARTdDq9brcrEj79 - 9KNbN67X4zSZv2X+lKoGWV3b3NvdM4aa0V5bj40BFJ1u//ipJ/pTc6Nx/eXlL4Y7W5wVlMgzJwIY - IpIm1d5XCiV6XAM+FlTpeDze2NgYDoezM7MXL148cuRwp9uRlIyzsJmBLaqaoztJ9avWYzJX06/u - FwAgUYpRNFuLSdJssuU9jIX1swcXTpxaOnF6Tml35dHyjasPt9dJpOvtdHcwd+To4jMXT8zM0+rG - rb//8d/cu3e7lQSUMZbaWsCCsx0KEjSkNB63MYKBsjDWQBR1U4cQVAVsJrkV1oJIRPIuiCntDYdt - G6qqVNWUJhfVzrC+cvXG9tZW4c3xo4fL0rUBAB4+WrlzfxmMM2fOPHfhfOXhDKyzGc8k41xZVmVZ - eYe4z6wSgffoDWAdRFLSTsecO3fud37n98+ff64qq0ePHmxsrOztDRHi4sEjR48cZcbW1tr62qpI - Cm2o6zoGMMM5B5qYeIKUOcMPvuoMBlPTCllZvf/ll5eGw1GGC2KKeda4s7u7sbW9u9cOh3vj0V7b - oA375tUEZnLOGsMgYH+hJJHQtqjHzXgUQztB+8k4kCWCILRCsMYYWE2EBBDZQW9mutsvCbsrjzZW - HjbtxAdJUkJIaANLKkGpjfcePrq3sdEqTp85dXjpYLdLRIgxNE0dQq0SmGGdcQ7Zx0A0tm0LY2At - lFCUp04/8Z1f/86FZy/0B73VtZWmrgHAmPmFhfn5BRCtra4+evQIEBFNmYNoJ8agGQ6zeX/sw0yq - ORBg305uQldjNtZal0RCaJumVomkE1JP3j7ZWBbOV4V3xoaQECNUYFlYBVJY2y3K7sQe3YEsnCu6 - VbdfsYmkYyZMTBjhvZnqTx9aOHCk6lR7e+uXv/x0e3sT+560eWdLnhunDLeBAWPBTKkNo9F4OB61 - IbRtG9oWgCUqvNtHbB8zInJnltOkFCAYf2jp2NLSkaLwN29ev3b1WhIlOEseeXhtLIx/+HDl1s1b - COHQwQMnTxy3xoBgnOsPBlXVIUIMQVO0wL7dcmasMYCmHg/3dkd7e6Ftszc/Hld1+bDdv4vyucLG - dqouEYe2jTGoKMAElpiaug0hhRDbEFXhrO2WZen2OTIiCBF1E2K2GhBv2RnOI05rXVGUVadbj8cf - vP/+owcPYpgcgQRYNt65TLOPIYUQmMk5dnZiDJCSiOr+OP6rOxJgsLFTM8eOn7x48bmprt9dv3v5 - 0mc/eeuD9754iKJ/9swTz59Z7AESUIcaSiAP2+90Zw5MDQbUfPnR21evXt7cGYGsgFnhMnmZDYFU - VERnZmYPHDgwGAyufHnlxo0bztm8aJ2j7CIsSds2tG3Yz1+CqDrvq6qyznWrzqBT9Eo36FWDXpcp - 00UTUoKIqOSZqIgkycsL3n+VIkzIWrdJRHFKIqJgdta5fXMEAgwhyzB6vcp7FkHbhhij5vrLWHYO - PPHgSCklgZIDwznfKXxhJn6ITd1CuZidP3XmqQMLB8aPHt27fXNleZnI2Ko7N7dw5oknO2W1sb5+ - 5csvtjb2Motx0rEZBnMeBORuqGnChLhhnXGODTPDGnifkTJBbMlYV3SKAsPdnY2V5d3Nif5aQbAO - 1gOcMd8Mpec8FZBJZJqoIPbOpthk86evlvY//OKrPzKsS22MIQBmYeHAwsICEcUQUkp+v5AubBda - WFecOH28N+02t9cuffH52uq6NSCGcXBFnr9QaPXmjeUH9/YgxcGFhdnZzgRSF9EQmYxhR4A10bkh - eRQdGI+6fdSGdZGhc1BCEAjj0DE8eR69Qbh3Z/nDt5dX76EZARGIJcQB3LRoWoSApkUSZEcrUaBF - G6kNFMJk3yuAVDbRjgMEhuB4kuHBjrpTg4Nnz7n/4l899a//V/e//G+H//C/f+IP/7uv/Tf/0z/7 - H//nb/8P/+YX//C/vfhf/lcXLj77ZOH1qbOL/+J3X/zX/+af/8ZvvXDmiRnrcfwUlo5DeeXa9cu3 - b+yY3Agh7Y52YvZMUSwvbz54eMvYODVdTc+Abfb1T8QhA0pEjmAzim4tygoioambpg7OoPTwgEGX - URl0mCokjzHBl1XFBBkP63rceAsGQsD2NlZW1oajjUOHq8PHsHAQRSc7z8NXMB5s0Okk76CKEKAp - 5DGF91Xh+pWd6bgD3QIu19ARIUIJvsLUADMzi4Pe0t2b25c/Xd7dRKghYgHv0LXohAbNCLvbWL5f - 72yptJUjQCc/IbsWTc/gwOyB6XJm4/7O7Wu4fQv3Hyqhf+rY8akuOh3MLqA3045G9cfvr1z9DCv3 - kMZ88kjnqdMoS1DeSGPcuNbcuPKo8v7UMTzxBPrTsCXgIAZcoeiCaFOihhphDMXAMkBIijaG8Xgr - tOuPK9AUY9YPM9DrolMsjPf40YPt1Ucggnf77cJ+75S39wRaZHjnLHchXUhHBDGpUs4sCEUHi0dw - 4QV0p7bv3Ln52l9/ePca2iEMQVQTGgAOlaVurIEADRPMlBSIkOhVXQra1qjHgMAb3/EdT97C5NWV - BCkpU+HYeAtjMZgqjx8/OT97xCSsLUMSihK+BFskoA6Am7xXsFBGkwCHwSzOPLlYFv7Rg+1LP99b - eZixfBg0TPWo2WoakMASmCA5b5ORdJvcjq/QncLZ83j+xe65c2c21rffffOT7/+H27evITYwQELT - Zro8qbOuU/X6XXQrMIDU1YQUATiDkqVgKQk54U1hQA4wSAT2GMzj1JP4zm/ia9/oMG+NRo/2dvdS - AgTeYnpqptvpWeJ6hKZGCI+bDGlTE1Umza2xzlaUd9U/Qbf/f39SkhwzYI3JEeQQQUqQBEUKcW1t - bTQa5SmVJkkpFUVRlVVRFMwsIiG0AGAcys7s3IHp6Wkm3Lt988G9Hc6IYRPWH619/wc//MFrr22u - r0GCYYxHw9A2yPkkZEUJKqt3br75xo+/+90/ufTFp6IJgAbsrW9/8NP3Pv3k2ngvLC4dOXX88IE5 - W3rkQErRRmiYj4x9QYbrdOdOnT63sHBgPNx94yd/f/nSZ5sb2xmRzmdWjCk27Xg4Go3GTROgaowZ - DAZPP/304aWlejx+7913fv7xRxurG21IoiqaCLSyvvrWe++//tbbly5dQtsC8GU5MzNnjd/d2b1+ - 7dpouGP2dazGGIBgLTmHx6k5mZ4HZXiDDsS7ogos28OtR6v39/bWLFBQ6dElFKh6h48df/nVl6vK - 3Lz+2Q/++i/v372XIgALNSoIjXzw/uc//clHj5Z3jx078fyLFwbTE+SRkMMf97O2JsduAhlrim63 - M5gut3dXHq3c39jQpJPMRFWFAGydLwwbtE3pXVl4kUkQl4iEENq2jSmB2HtflQUzROG9m56ZPXx4 - aenw0mg4/OSTjz/5+JP7Dx7EkEKYWOrklRWC7uwMR8Mm/w1A3rnMP7LGAiTQpGkiAhaFErOzDt55 - azglSAZVYkqaGNTpdE6dOvXsc88S05UrX/70jdc31kZREAUhSEqZgGXG49G9u/fq8ZiImLmsysXF - xYvPP9/rdR8+fPDGG6/fvXs34+15naSEn/zk7X//J//no+1NMtWB+YXnL5w7stDb3nj0k5++8cmX - N0YhCy1taT2S3Ll56+9//PoXV2+g7L746teOHF6cqgw0Iuk40N441m0I7bhpMDFx5rxcyVnvnDFW - QSlJG2OtSPklhrpBGwTky6qsSucohnoyfGfDbBRGYUQ0xqau0SQAcKYw1iOpsb4oCuctUYpBxyOE - ZkICSEgpJTZGiZKkGFso2ABsT55+8uvf/CYb+uKLn//oRz9cX9vI4Q3GmMzqIyAm7O4MVYSJ8nw5 - SQ7ZNs6qsyBgMvKbDIE0hBSiWOeYSaVNEQzuVP2lpWPz8wuAXrr06eef/fzBg53xOHO+aTQef/Tx - J//HH/3RjVu327at63FZul4XBiyQum53h6O6aUIMMaWMSObmZ21t/e9//JNbt+8555xlTU3zVadq - OlWvLPsK3Ll7e2X1QW6iEzCWcV6PRFZUwVIUlkkloY1NUXUPLB576uzJbre7svzg7Tdff/BgPTNY - rDUGtLez+/77H/7Na3+7ur519OjRr73ywqCXbWcM+coYl1ObchNszVdYRnY1HNdNjAlVp1MV1qBt - gQkyDhARE9NkCmIzPV+0DimoCQn37tzdWFlOjwm5xsLYuQMHzz399NRUb33l4c/effve/fvtuAGx - iMSYYtvcu3nrww8/fvvtd6xzTz75xJnTp6enprL2SUQngp99x/2gUXJRwMSGfOF84bIAQAEkIjhr - DRw5mzM/AGFInvxKiHE0rlXhnC0Kq9IEgGAPHTp+4sSpTre6dev6ez979+6dO8NmOGGBxTTeGX32 - 2dV33v34gw8/GI5GSDJumigCQ8YakdTUGLY1UmLnB71+6f1od/fK5cv37tzb2t0DSGIc7e0+fLT8 - xptvvfHG2+Nx65ytSsu5ubbl1IGlw4cPz89Nj3e3rnz5xccffjTca4HJ5PLe1Wv/4a++/+Zb7965 - fQcpdrwtvc+la7c/fezE6d5gZn1j8/Uf//jSZ5+sbuiEhAZMeBzEIqkZY1TLvoBD8+f5FYBLoJw4 - A2LmlNJoNEqT2RKDuB0O79y+demLS7dv3YoZEFF5DN1mPtY+cXB/jYOIjHPee++czXrbCaIZE9oA - QydOHfrGty50+nLnzp2fvfXpcNtY8qwE4qXDi7/0K68eOdEfjh+9+7PXX/vB93/6xluP1rZjUxB3 - IRaiabR3596t7//we//u3/3R66//+M6de+1jw2SCc85k24kJjEqP/01EUkqj8fi73/3u9773vYfL - y3VdZ6ZUvbH5+eUrP/zbv1t++GC637v47PkDCwtsoEnaKG3SKEgpaQqskAgJAdBr12/89O13V1bX - Q9swksvuXqqj3d3RxkbY3MK4UVXnyDKYDZFt29S2AUhFYcrSw/hjx06eOHHSGP780s/fevuNe/fv - tW1w3uVrPKkiCkLS0LZt07YRoYXS3MKhM088WZb+5s0rP/rR3967d69tYo5X3R2Obl2/9r2/+qs3 - 3nyLGd67snCFgyGoILsba4wAACAASURBVIRJtNFXSNTkHMiCFwVppyq89/ne1ZSSAgpD1ruS2UVJ - 43YoBAKMdbbqHV08/NL5CzaGm1cvv/3OJ8sbaIFWFGzBnsEuyvK9h3/y3b/89NoN3+teeO7Zo0uL - hQdBjWHvnTGcJDTNqG1rEajK3mh3a2tzZ3sbKU3m/GzAhtgUZeG8TRL3Q+3p5MlTJ06dDCF89NFH - 77z19ubmZoyJ9wn0IlCgbtJoXE+g1xiQhIictUyECecSqioQkRhiSqpE7JzrdToZjDAG+XBAlJgk - xoQYIEKkTBMnEoSmkTCJMA8hxGwxxICBdab0xtPW1srm+r3hzr5VAJzA+2Jm4cDhgwcPGI7Xr126 - cuXSvfsP9t97BFXJdFjR2Ma2mVQpRGDDzruiKIqyJKLQhqZtkk6IovtJpvuDLsrQrUITVEH24MHD - Bw8uWmdu3brx6aef3rx5eziuARJVABLC7vLKm2++8+ZP34QxR5cOnTh2rCyL3E02bdOGAFVvjTP0 - VcOjiqZFjAQwkbdceOfsJK1MHx8VGbrlx2QkgigbOzs3572vx+ONtfXxaJRXKO8b5hjjFKygFGNT - j1PYl4QQwRg4Z3MsY4qkwiQExBSttXOLi2eeeHLp8OHRcO/zz37+yce36mZC/2xDG3PFJto09Xg0 - Ak269MmBygxQ5kHkr8Fm8r6ygS8WDi4+9+wzg65fuX/1h699/50PP3+ww8efeH7p4IH5QgqBN4kL - m4wFFXBT09MHnz5z+ukj8w+ufXbt+pd3N7b31LYKCWIBC5EUlpcfPnjwYHNjU0WPHz/x7X/2bQBX - rlz92bvvbm9vM4OyNaqAmGJMe3t764+W4+4QQLfbNcaIiGHOo+g2ZRA1A+TEGWPLaWMqxpiyKgGk - GFOIIUiMSAmPv0VFmblTeSZOMaU2hBBilBj3RxqYlK9tK22bYhJjjMkhOxP6J1IISSIAZmbr2ZdC - iCG241EcT65960tUXXSnnnr6mTNnziC2Vy9/fvnS502IIqg6/bNPnT2wcGBne+uHP/ibK19eqmuE - fVmQIUaUpmkloQ0Yj4TJgDNNNIYYRZJITKlpW7QR0ARnzdT00tHj55852dT19Stf/Pzjn2+sDVOG - bqMiZtqYti0ePNzb260NT9ZAggkCAJZhSemry0b/YRjf//NRNdZa6wCWJLlFGQ339vZ2kWnZyAvP - DwbTTz/z1Nxif2t37c233rz0xeWd3ZoNyEAkscHqysrP3v3orZ9+tL7SnDx+9szpM0uLM1lEBVIy - BkqaoJqAWrARUhsEZNDtpaoSb4MKmGGLhhyeOIevfRvHT0+N9+LH76z81R9vf/gO9taABoiICcSI - ESureO21L/70T376f/3wZ8trkgQwaAK1AQobA0LIH3iPuWdtDyjwmPcPIngDN5jG+edw8Wt4/lW8 - 8Cpe/jpe/SZe+gZe/AYuvoQzT2DQg8j44GL59LN45es4ew4LCzAOC4dw6Dhm5sPa6oMbVx/du4Ph - HghUVYVIWl3d/fCD8c2bV1Trl1959sSpfrcPhoBq8IjQEFKugh/zoENA08AYKsuiKjukCE2G8wzB - EqxEi+RBHSgMoyp9t+MK71UmeRDeA0wx7dXtQ6WJEQc5kEWb8MWV5u13PxiNVjMO4BxAAREISFEl - Wo2FNggjhBoIAMNYENcpodvDzDRmpo7We375bn37Gsa7YCAKBaFW0Db4/FO8/mPcvrkz2jFGe6Qw - DOf34QALEA7M+XMnzrZbcukTvPFTrG/4XnfxxJFBv0RZYGoOR0/5Tndw5Yu9D96sr36+Z7W3tICl - g7CMlIAIAKk9WI/seG+IBGdBBonRKqLBzYf1h5/c2Nq+YTHsligtjHoJQEQUKMTaVLjW23zkpMyl - TQEpoFthadGcP/dKW/PVy2uXL2Fra7JcBEhoGCKEJmJvhBDBhNhCIgwslFQoSa1UA0NgSIy5gzj/ - PM5eGExNdR/cbl77qzs/+bt6axMpjQgpoBWY1GK0g3d+ij/742t/+eev37ixnDVCIfJ4nFS5KtDr - gglJJMQYJEaVzAdgA4BUbKZsAxjM4MzpgxadG1+2f/NXuHYFozG8myhJrAc5RINRaIbNdp1WTRmM - R9nD8ZOD2ZnpekhffHrr/u22HWeAeijYNS49Wt54711cvoTVFSRBFLBtO30RbNVx2CSUPTz9DP7l - v3zx2NGTK8u7P3rtg1tX2/FeHlEH54B8ACSNQTVAI0INtBNmN5M3XBWuT8k1AokYba89vHdtNFoX - 3QFDLUyFcgBbQhltWDZmXDjNAamGUNiCldq63lxvYpioBplgEJ2JoEZTA50oqUX4cVDdP/LnnwwT - /mOPiAAwho01mFg1ZZE1YmjWVlc//Oijfn9qcXGxN5hKijbEEPXW7f+bvfeKkSvLzzz/x10f3mZG - REZkRnrPTCZ90VXRVXV1VbWT6ZaEmcFAgIAxD7sLzO4+CBCwsw+7Gix2oH3ZmdWoR2bULanV6mpb - xWIVXdEWk0kyk+kzmd6bcNecc/bhBilhH+Z1Z4C5IAgCBMjIiLjn3PP9v+/3ze/v7QcDgWAgQClB - CAGhoBq5Qktmdm/85drY4wf3NCVyZEjz7M3y+tTy5M9+8cnj+y9ct6YrGsFSck9wAcQXd6lAGIGE - w/2Z6cm/+etDVN7iHooE49Wys7I49cUnnzx5NAtCHewb6O0uRkyfWS5BeEJygTggQEQiJAWAAEbM - RL6gdbR1P5vZf/Lowd32YtgyOtpbLUNjFEvBK+XDUung8GDfssx4Ip5Vs0xVA4Fga7FYbGmOhkOz - M1P3v7zT3t7a1NQUDloKQYfl8tOpmS+fPA2Gwri7oz+fpQQxzUgk07phlUprL56N9bx8kYj2a4qj - USfJiBE0fcORkKJeCCF8SxpgYBgowVo4kaCmur25NDr2AGWt1vaOcqVqmpYZSlKsZgrNZy+ee7Ix - P7My+/GPf2iCkKVqIhpB4Nm10s7e5qe/un3vznMp1O6u3qMjA8EgYAKS+/Np6ptDJOL+YRKQAKCY - aOFwKJWOTEyvTE2PP3p4v7GQsxTAbjkTNSOGAMCEqggTsG2KscJY3WGC/Kocwf02Z4wJpYwRDAJJ - UFVGgsF0Q+NA/8Dm1s7ExMSn1z+tOW5nd69mmJQpElClWq1Ua3atKjhPJhOtxRYflUAV5rmulBJj - jKXkUkjx+mQhQAIBzDABhBCSQnKQtJ7Jk0ICAZWpxdbisRPHxyfnFhbnf/azjz1GOlsKUUsnkiOQ - Uspatbq9ubm+vnbi+PFMYyMhlBDc2Nh4/ty59fX1tbX169evq7puO04gGBIAtu3sHxz8+Md/u7o1 - 23ekrRBKJRPJE8eH1yeWptdXbt+5KcMRqldiCdD1CvNkaX3n4c27n312c31rO188dvbCuUwmTVQM - UoBEDqdVR9iO7XlVP83ln+U4AokJxhQQSOwRKhDmUnoIKPgyi8spl1xiqqiK6jGKhFflYAIABYIJ - k0C5JEII17M9DwQHIMBARUQFt1J3sTCMwOWe47kqJ0AFSAJSCgFSIiwR4VI6ri0kIAwgcEtr9/kL - 4u7s38zPT/385z+N6KLWnglZAQIAnqwIvOfCZsk53Nse6e0MJMIgASQRggmBMQJCPIo5AimFb5Lw - RSXEheRCYkwQCMltKQQBbBqhdDqXy+UTyejS8vyDh18W25qK7S1G2HKQt7E69+Tzzz79+S8PS1LV - 1EO7xihSVT9QjxhTItHoxvbqxubW6NPRvGDphEagdLizOPV09Be/+HR+/hVhSUYRBscH0Pndi8Fg - zLAinMPExHhy7FFDMWnjikedAK2aCUUhCsJEAiAsVY0gzKUADh5RjXRj87Hjb80fPp/b2vjkFz9V - 5AF32gO6jjmule315flffXL985tfYqb29vacOjEcMHxbFQGmIUI9zoXkPkTbb3KopyUlAkC2y7ng - WGUKxdgvOMQCCPHPQwgQ9q1Uf/+LIKJiLSjR2szUVPLZ6FShwcTcxDxOnExEVWOJzs6ulkJ++dnS - V48e3LnbSkW1oTGFCLE91znYffHoyzu3705OTneevnxkcDCfb7IMBGDDG3PYPxAHhRCcC+wfOClm - KlVUSomvTSAiCBc+roZT4mGfQSuIn1D1P/2a7QgJlGBVwUi6QgDGLJnMFov7+XzT/NzWw4f3um+2 - bR20FeINIao7u+WF9bVHz1/UAOUawy2FlK6qjse5D/zDUgIX3PG4I5FETAlYwWQsxvDC/PT040cP - 40GrrZB1S/t7G8sLs1P37j18OTnruqApiqFT5Ad4iQoRvVhs7WifX1x/MDkx/uknn+gE8qmYhnhl - d/3h/Zs3Pvn5q+V1u+YB8jSGFIp9dZwFgg3ZfK7QqszsPht98uXNzyNU6WntDCpqADkmVHllb92R - 6450dCse0oOFRonkazd9/U19ozsoTNF1XdeN9c2Nubm5yakp3TRj4UitXFlZWhp/9tyfhPkmXlTv - owYMgH0yT30w8TolhREAxVjBmGAMr/kX/ooqOZeEoEw2PXKi/xeffTK/vvrg3leXrnwL8iABEId4 - InrqzPDYizuL5Y3nM+OffGJUtkulzXI+2ZQ0dU24YFdWq7sT6wsf3/x0c23n4vkryVgq29gAlPin - d0ooBgFSSMHRm2hP3SUsASMhxP379wllwXC4UChEYnFTkXMvn9y+effOl/c5jnTkMwO93cl4hBAQ - HPRAyArHJF5YWlp6MfYUA5gKIK/iVvZv3b7z2Re3dvYP1ZCHuMMQEADPdXY3NzeXVw43txOJlBJP - k3BMElJbm1969mxldQthlM01RKMBXWeASENjvq1tP5ttXFqau3GjmkvrvV3ZWLIgmcVBRc6Bc7jB - y7sCsViiMRhOMIMgDPFEY3dPX0Pj7UeT63fufHFyqJ3JSjKZrtXclbWNufHRT2/cmJuZDYYDiqYq - BCgGfzgpuMASIRBCcFKHviMQUkhACCGQgMBQmEKpjyIRsp4mQJgSqiHChOCeW/Gd1lIixPRCU/7t - c2/96Cc3F2enfv6zH+/zEm9pbFC4ySt+qH1vd/fR/Yd/+/HPt7d3Uy19R0eGc5m0P67HGDNGmB/C - dx3XsQUHAL63t7u0NLO7up1P5WNWTNMlR6XDysbiqr25tUkpzmQaLMuilALCbW1tXd3d1vXrk5OT - 7LMb6XRjIdMUC0YZoR7njuOWHXvrYNfFsru9I6YZBAiRAhAmhPiRxDc3hBDS/6J6XEpAjFJdVwmS - yMcUQr3NWggk/Fy954IQhGCfvA2+QRdJ4FzaNq+BUEEwCogCoWrACoYD8692FuZejI3OxHMRUD3F - 2yymlAgLxRKBzs7O+fnyy6mpe/fuKBpq7+3SwgHQGPIErrlQtquVKlapGQ5ls1mVUYQAUUIpJYwS - SjDGIKXgXBAu/AXcH+Gh12uqRK/nOBwkAGbxWDrf1NxcKLxa2xobe/rZp5/39vfk0ikVI16rHO6s - L89PfvHF7Znp2aaOYx1txWymQVMxSFsIYduO63pSSEawguvMnDrFgHMQEmNMCVIoVhlhBGME/DWz - oX5X+r5of9ACCCQwRUs3ZCzTXNguvXj+LBunTKa4Uw0GE6FQUqf+/4AAESEEd2zgb3YKv41bQbjq - E8qBu8C5BBDcQ5RCNNbb1981a4/OPnn65HE4goQ8HksaBlOF4wrXcz3Ptp1KtcYUtbunR1E1KQQH - jP2hok+ZAACJABMAVsdHSQGYhqPxru6uRDS48GLmixvXN3ZKoVjjyPBQOpE0oQquQEwRmPlaPUbE - sNhAd9fKdOfMiycvX47ffvz4gEM8aOmE6Apx3Ore/tb4+IuAGSi2tAYDoVwud+HixYmJyZWVlY8/ - /rhmO60d7YFgUID0XKdWrWxtrDuVskZpa3MhoGlMUYSUnHMfMyQBPM8jBL9eJxHyyQ9I+sBWhBDC - eGdnd2l52eZcICIQlq9zVWGTccEBgDG2f3CwvLIiAElCJUISMFM1wzCDIdNxxfbOzuzMjGUayUS8 - IZ1CGPtluch3qwouEcEY/FpVIBpH4HHBnVo9t4yAMA2wAcRpbe9ub98H/cnizOT484bSlbOqgQwz - 0NHR1dJSHJ3cuH/vTqHjSChhBOOWHlRDRCjOobu3sVHBZRlAVkM6TEJJHTADj4OQXPjODE8KR3Dg - AoAKYBSolSsUz7x19tWnjxdnJm988gsoDdfa8vGAiu1D7B46ku55ykZZrq2tDXUWk61NAACAOaKe - xEICAkF80PibzQb9/b73/zWaSQBMAFMAQajCmEIpXV1bfTkx0VxswZQAwqqmRSORUDDU0d1ZaM1r - z8efPX8av307nYg1N+VVRpFXk25l8vnT2zcejI2+tNTYkaOnis0tUdVg/u1Uz2H6gzqECEiQwvNc - V+ESVJWqTGFYA17X9zCFRBP0H4Uj44m5e0tzC9vXf/YkvNsc2MplYkjq4FDwAMoVubq28fNPv9xz - Nxpbo8VCe7MRBgocSQEYhLK9AfPTMB4Ag3AHg4uRA47EHBHBGIQM1pBQKAJFgVQjEAmIgMMBM8AK - CAIegoAJGyugqYBwORwV6UbI5gARIBSwB5EE5Fpke2dsZnFz4vnSrevNze00mcGmGdzZOpidefXo - zuby0lY01vvWuWhzC2iaP4XxH2LfRJNI3RaNfVsoYOoyBRSKkPQNiSBVf5j3RnpCIIALwLSqqlVG - Ne5RwYFi0HUIBgOaqm7vH6wuwcI8RGKgqoDKcLAKD+4/fPJ43K4ZvkGYEkCozsrhwhHclpxKDsJ7 - HXgkgClgxIUA1YBEClpb29ZnZ1YWdu/fAlYGngWE0F6lXHJqpMKef7458eWe61CCFZDSr131BwD+ - iiwRNKbgSLc1Nb4yN7NTrqRckIlkvDEFhgJIgh6AfCvE56x702v2s1VTdWNhNZ2EaAQwfj2YUcAK - BQNBw13ZX1uCmZfgNYGqAFTBPYT7D57cu7dQPig3YNdgoBIgsg5d4xgQAUaxgpBPqPCh4cJH8wDo - BuSa4NTpkdu3H09Pzd74NHxYpc2doFu+L4CD5OUDt1YWGMmGxlAqRTgHQl4HNuQ/OCuABHBCUaW1 - G46eClec+OhX87euT5UqK5i0xxtrhqFI6WIQTpnvbZHPPn0xtXorkKpkCgGZSgMFT4Dr2YRwTQNd - 9almgksB8jXtGYPEIKEGgoMg/j2uBaG5CKmUOTa7evfWfFtXm6qzXDZkMEVBgCXYVbtyuH9wuBqJ - 4ERKSyYCSDJVh1wT5HJJy9ienZwfe6Qk022JtGdomACpHNjjTxdmxmc6O/u7qBpJAeeAidANZldq - jrvreKZpQa4AoQAsLmZ2P332/MnE0wdbmUxj1xEwTMYIAAUJHheO8IT/HatnTfxUDLEx9gjCwEE4 - IBGsruw9Gx9PNjZakYiuI0YCkkOpBAsLsLP9itFaJEwjYeoPhxiFoBVUaaB6iGYndhda0nEdOAYS - clnECZoUIS7AIUABdADMvTfC93/p13+Tbv9zF3r9+CaERFIgjIAQhBEAbK+v37//4I/+6I929/dj - sdjxEyetYKhacyanZ54+e7G0sto/ODTQ39/Y0KAqGKQLujU4cvz24sHP7jz95V//5fKDe8/7BxXX - frWzPDo1qgb0YDAULMb2t0pY8kgoaOg6SAHCAar6MMpEc1M+EXw6em9jfur69RsjQ0PbWxvTz6aW - Z5cPtw+D0dS1S5dHjnTWIzPoNThaKqg+RKpK31uhxgPJ2KV3ru2U6b//4z/50Q//8uGXt7s6Owv5 - pkQ8pqpsZmZqenpqZWV5eHj40uV3orFESNUwJoZhDPYPXLty+e8+/vjmjesvx5/39vY05/OhYODG - zZtTr1YqEn33u9+LhMJU08CrgqbHM03JVCNGm1OTL//0+398+0YsYOHGpDXSVvzGO+d1ggELqWgA - IEEg6QJyJWIIGAMIBGIDw0dfvXh5d+nFX/7wTx8ujnV2DTx7NtXfd/Sffvs3OuINZiZ77uL5z8cf - vLr7yZ0vrq/NLna39PT1dFEidrbXnj0fm5mbcV23q+PIsWPH+vpbDQsIe2M0pwi4kJ6Uro83ACQA - KUBJMpVsbc9PLSw+fHhn6/8oFzs7mLTtw53f/e1fO9VXRAryBAghQYLr1FzHJr61ASGCiKJSqSqA - kJDS426lXAbhsfoWhePxxAcffbS5s/uDv/qbH/7wh/cePGrv6OztHyBU2d3bW11be/VqaXNzo6ur - 6+qVK4VCHkN9ekYpldxzHBcphCEVE0p8tYFQCdj1kONAtVKzqxWFAkOACRBMPXC4FARQQ2PjyPGR - 0bHxz54++7sf/+jmg3tHerqPHenXGS2XSxvrazPT0zvbOxQj5b//74KBQCQalR5PJpPvfe29e/fv - T05O3rp1a2ZuLpvL5XJ5T4itre2JlxPlSqWzN+85Qno4YFqnT51cHJu9Oz4+PT2x8Kd7t279qKur - IRan1OEPb365PL2wd4gamvpOnDp28e3ziYTli1ZANUIthFWEygqDcAgIBscBhFysYgIKSFRzqjW3 - ZKqGblBdZQj8hlKqmkEoVTyJKzXHth3CbYUI8vc6DXY4djnGAIyBaYCu+B8+BqBANceVNdvm3JGy - RghYFmgEMAEEiCJKGfOkFJhgSgR4dcs1UD1bPHYi2HV3/Ivni7e+uLHwcnSgs6W/u9O1K9XSwfpe - 9eXixtq+TaT7B//z/5A6f4oaDKQmpFoq27ZdEV5J8CoCn3arAOKAOGBBFQUTZjuO49SksBUCBDAG - NWDFenv7zp9f/dlPr9+8+dnMzMTg8KAesvaqB8+fPVLtjeZoNBVL0fXQ1rhXqx7WKhC0pAIsk8le - unz1F/sfj714/q//1389fO5yd0cGi8OHX15/+eQrt3RY3i2JeIwQqWtgYD/QRxTVzOZaotHGag0e - PX64VtWezY4eOPvp5tRbR7tjw91WmHncn+26CHsIXIJBZypIPZopvvf1bz2Zd5/+8vFPf/KjyRf3 - OjubOotFZMvd9e2p8WeLC89cd3/41Nlz588ODzYxxdfgMXjI9aSQkgvucde2QVUAExD+0ytTQDdV - w0SYiMOd8sGuXQPCoP5YJESd+/X6JGLbwBiompFv6WzIt6v69PT09M7f/HB16nlIZxZFTWH1H390 - LZUOFVuD71w8v1m9+cUXN/7Nv/nDn7Q0DfT3pBtStl1dnJ6ceTa6s7xImTI8fPT0yVOhAKozkYBQ - Vj+G+w2lAJgRBRMNXCJAeML1hCuEhwAwIIIUIHrVlrVqFdyy9EpIegQDgOLzzgEkZQpjKhfgei4I - R6FCxQBADCNaaC5evvzOT35yY2xq7A//9/8tkU20ZwtH2nvmX0zdfzq6fnBw6uLFrs73OQAQpgcs - xdBdJEvVMqE4YCohYEhWgGnRcGT4yJGptb0Ht+78+Z/+2eMv7w31d5d21tYXZycnnjc15XJNxVf7 - 04wRirlwgTMOggLCPb29ly7x0ZczMzOTOxur408eF3PpiMEmnj5cX53l7sHIydOBpcrnj2Z5rezY - Fc8DihGohp5ovHTt6xs8MP2Dn/74r/7y0Rc32wutA53dmagpK7tzk88nVzc3ba9j+NiFsyeaGqKa - xqSU6M2m+w8u3dBj8VgkGpmenblz967tOMeOHevv7Xs5Pj725OnoV19xxxVSqorCKCZ1viJg3+Lk - uw7eWIykBMBCEtcD13Fdu+p5NSk5YAAgoKhEYsAyHI20FPMNmcTk2vSL589XllYOi3ndAuGAorJc - Mf+t73zgmOqLf/fHL58/XRif//ivftYYzaQsI0ixU9pbs3fXqttrlZ1CrrWxIZ2IJ3SNYN+szLnH - PYIkAsldV6EEKwwIAc65EJQx0zAj0Ugu17SyuvI//Y//qqevP9eUT0SM+7evz0+ObR84R86fO3f+ - rdZCKmACRkCYUmzr7B4YejI+fePzzydfbZw5e7apISHcyp0vrs/NvNzeWGGqbug68hzkOkIqtVp1 - bmH+Fz/++JOf/LSxMZdqa29o6yCaujP7cuzWjeW5+YauYx9++H4hn0YIZM2zQsm+voFvfuOjv/3F - 7bt3b029+PJIf2tLe58VTpuhBK/sPbrz2ebynBUM/84/+icnT78VieSACy3a0NcfOPPW6a3anZnn - T/7wD//wRm/H4ODgxubm3Pz84tSLfDLc3NoR9LRVj1TLB3YVggooDDDFgMGT3HMdSkgdqUYopux1 - 1s0D7tb5hoQRxBTk48OxK7FAFGOkIE54zQOtXK4FKCu2tn7zo8DE5KufPX741//pT2/c++J4R+HS - yEBl/VW5dICBf/Xo4eTk7P5hpa2ta+Tk6TNvnYlmVADwXJsgV4JQVKrqTNWoL/cL7u1sb//k7/7u - r/7irwvpQntTW74lTVR3c2dxfGr70ZP1YLT76+9/2NbWapoWYFns7Di+tTty//7TmanPb9wYfTrW - 19nb3twajcY451tb24+fPlnd3oymk7/3u7975shwsDEDAICEEAIwJv5Ezh+vIQZYI4QJgTgHz+Oe - 4yDJ65gFigEpgFTKVEIoSIm4KwXHBOp2LtOgmo4IFm6NCk8loDEgoIJ0QeJouqGzp2tiffTh/c8O - amuZYubAOXQOlv7Vv/hHpwabw1HjytWrpRJ5Mf70R3/zV7fufJ5va8m1tkQbUgHd2FhYWpmen5mZ - KbS2nDr71nd/8zdZOOL7yz3Pq1SrlWoVIWQaBtU1LIFz4RewyTdH23oJsKiPtSQGYFYw2j8w+Nu/ - 81vf/7P/9PzZ8z/4g/9laHiou62YTceXF2YXpicWpia2tzZyue5v/9ZvHTt1Ih6mSAoAiTGmlCFM - ACRwDwm3nvry8+qaCo6OSRWBlNzhrg3Sq7sVX0c03syAfNEWAAARwwy2FNvi8a/2nzz5y7/4i5kX - d1pyoZ3N9TOnL5w7f7kxGXcrNccVCFNNUU1d1dlrlrcPN5fgOK7nuhgEcId7jhCgEAKYAcKDQ8Mz - G+wXt2bHnjyeiKVdLQAAIABJREFUnXvyxc2PO3sKTY1ZjSnbG5uLr5Ymp6Z0Xe/rH/jn/+JfZrM5 - QnDNtillmo+89avs3ijOdYFYAMFqIJTPF1qacxOzczOTE0BCubbshXfebcpmMNi8VgEc8LNFvhJC - JQz09JLq9tMnD0ZHH9+bmOkbHDre29vdXKDSW1iaH3vx9OHD++fPXfi93/09IWUymRwZGXl65tln - N258//vfv3vv3uDQ0KkzpwPBwNbmxoP795+NPbU09dqlS4loxNL1w8NSrWYLISghGCECoKlvWIsg - hCD+Ou5x23Fcz7Mdd29v/87dO+ubm+FYnKqaw4XtevFkqrO7e+RIP+fccb1Krfbo0aOa48biSaqq - QqJKrRaLJ1pa244dP766svLFzS/+7f/5b3t7u69dvfK19961DF0KrlAiBBdCMKZI7AfykCewzbEH - QAkzVRpQQa3nOAhCDJCSbmrpaN9pbsqubm/MTE2urW2Y2WQkFDV1duLkybnV8k8/e/Afv//vP7v9 - y6ETQ6lcKqZhZ2d9dXr8+fz2q23n5Nsffu9bVxtCRV2hwFRABDMFEMJYagoyLVBVH+YmAeFUU/NH - H33r+Vrtp/de/Mf/5/++99nPeztahvs6FXC90t7a1u74/Or6gR0JR5Tvfqctk9A0AphyzDwgHufc - qWHh4jpN6D/rLEMACHPHk8KjjGQyuUJzcygUfPz4werayuTMVK1mG1Zg4MjwmdOnY8VULF84dfbs - 5G75bz+/+4Mf/NmXdz8/e+ZMIh4Dx557+XJh6uXywo5CgsOnT370je+kE2kA8MAFQCBd4ThYMQgG - ISmSFkWYKoYrwLXBrhBS0WU1rEr/6VT1X10gAV//dnRdbXl1fXxnrfS3P3j4+IcPM9EwCwU8RtZ2 - 1yrVbds9sOVWoT+USuUMU/VrCRQLNF2XXHtyf39/du+zH2xosGIDtYGUXQ64Zlg43RA4OpT+zrd7 - meXf6wAA4GONKAAFhICAS1XGVDBMCARtTSshkuAC/Lw6xmAFoasPvf/B6T/+k08e33859XKppzdf - bEtns6mnTx9NTY2vLR2ErcLIkcTx05Bu9KdEBAN5gx6WwKXkCHmAMFBMVKAacNhznJ1aLSA5qBQ0 - tQ6+A9/lJQEkcBfKlcrB4Zz0UKUa99wcSKAUiA6F5ky+qfXV461Pfzq9vhTo6NIUZe1wvfL8/ouD - tbJwLJ0GfWgs4gBI9ec+mLoSDhCqqcpeIBDWvDrYFAAIUKQCCMi1wPsftk/8h83b41P/YWNltBjv - zAYSidCLqcn5lSViG8pBIIlyx44ft2furrrrtg2ODZ4HkoIEAAKqBs0FODYMn31WHp+dXtx41dmV - KBSjkSAQCdIDT0JjM+RbLOOJKJc2TdXr6wukG0DV/HcIQAVwoaVHH1zKvNzeuPmrX24sxM+c6oqE - RHX/cPT+o7XFpbUdRUUhHRGvArz2+n1TgGigaAxLLmvYKYHDgCuCMSBEYECSMkygMQ8XL+mLryJ3 - bk3+8b+bv3kz1dbZ0NyS1g3CeXVra2VhYergYKupELx8beCt8+2WJRnxfzxEEGCpSQ4CMQ4IY1B0 - SGbh4rvE8XIvxxc3VqvXf7b+9PGcYXmBgBUJh0ql8sFeZXe3VCofxvK1vmPdkXDQ34sQ85jqGqYL - CCpVAA4hE3RV93cXh4HDwZZbHG1LVMIQwv72ZUEuD++9n3G1/c9vb/zdD5cf3Cb5XLY51xILJigS - ExNP5mbG17eef+3DI5ff7Y1GND/+2NAAR4aKi/OHt26N/ujP9x7cepXPJ7IZU1erjx5+ubCwjqT2 - O/843d6bIxg8F6TABCkICCWgaUAYYARWDK59CJgdX/i/Nn7ygxf7eyv/LHE0lVUFAiyAQxVQVWGa - QoFSIBzqMjQFQVY9sVUpbyMeNzVgLkxPlP7iT76sOjQaL+Tz/eFgk1vT1zZqT58trG85haZ0V0cm - n9P9M6EZgLYiS0eLj7e3P/nxfGWZP2jSKmK6qZt0HgscO9GsmkCYDSAAFMGx6wKVQP+bdPtf+4Ux - 9llj6I2MyzlIAZRYlpXJZQeHhkZHR1dX1371yScIUy5kuVo1rOCF8+fPX3z76NFhXcMYAXAMTC22 - d12+jDak/sWDp+srr27s7FiEqlG9s6eve6DXAnP++dzMixlTVwiSGAAQAcw4UjBTY5FwU1vLsf7u - E32d08++mpl5ubW5WC5tV3dQkKaPD5/tPj18YuR4KmUgAOyvvhgDECmZlCClC1CFerFAEAj0dPd/ - wJmha09HR18tvbp/7+7E+DPLNAkl5XJZSpFMN3Z0dbe2dRCmcCFBSkZpd3cXQt+IxSL3vrw3Pv5i - 9NGDmZcThmHsHhwkE8liT//R4eFsLldP1AsARTvz1vmlSuind6Znpl6uLopggJw9NUQ62xHyUZEU - EyL8fC4RgDyQCpKAJahqoNjZ1TMy+GR9amJt5vGDm68WlkCY/Z0nDC0MhIHJ0pn0Bx9cizaHxp6+ - nHqx+OSrseVXywCO6xweHpaKzT1dXb1DwyeOHj0ZjoDHgQNgApT5ew9FIBDmAC4IH7BHAdFcPnfm - 3PGdw4PHk3OjTx4triylo4F8QwJjgpgCIAFRQzethgZDVUAIzj3KPYFAUowwRRgjQoLhSCxWUlVK - iawbIRA2TLOzs+vb3/lOqiHz1ejT5dX127dvT8/MUqY4nufYDmEsEY/39fa2tLRQQt74sXy7AQHi - CRBY+BPa+t8QRTdDjRkSDATJ6055IYEgwAhjQK7jGIbR1tH+7V/7TqhY/PSr0eml5adPn6wuzmmU - SClcx5FCJOPxzs6OTGNG0zQAQBiZppnLZX/913+tsbHxqyeji4uvHj96PL+wCAghjA3DOHHyxOm3 - jqYSDSo1qFCDMeXiO+dc07z9dOLpwvL01OT66qSuuYrEpa29eDg5eHRg+MSlIyMX05kwYwBuCYQN - DIhixeLpVJJGwqasm1CBEIawIoFyAVbAiCWCRoARJgU4HrjSFxsRAUQkZlYkElM9MxbUlHpeHgMI - iSWwQDhBQmFS59gCAMj6SYwSqlmWlU7HDJ1gJAgGkMA5eNLB2MWExBPJWCJVJqYVMFTVlwopmJF8 - c+i3vvebiQfPvrp/b3Vh/v79r2YnpySvYml72KiCkUgUuttbGtINjDEAAZJK0CjTk4mYFCGFcgRC - CkA+8196fuEIYWo0Fo9GgpbJGBESkOdhRbV6ensBdF03Hzx4ODM78+WXd7HGBEPZTHK4rf94d3Zz - ZZU8r60e7ugalRwEcAosk8levnrVO/DEvbHZrfLdL++8fE405hjM6+sfbM3mJsbGJvdqBAuCbArg - g/sVSeKxxqNHz1x7t3TzyezS0sxOdcOj/Ih6hNFBhBgIxrkbDIci8ZBqUkVBBIHHPYYomLGmQvj9 - 97+uRVufvHi+sbV8//HDqZcTxJa44knPbm/v7u5rGT59pmvoiKWAw0EAB0yA6lYgFE/ETStmmoZ4 - XYRRvySAQKpuRWOxWEbTNYYkMAr1GAQgEJID9wN4BEBlQDBgxCAUHzpx4Wv72p9/+nhpaf7OzlrQ - 1Ps7O3rzA1TVgChMJ2fPnERmPJ9Njo2Nrmxsbn52IxYNSuHsb6ynw6FTZ842dQ4cv3ylpVh8zUDC - frMuIAAPRH2+/bqahwtd1xOpuGKGKcO1GtdMIQBhqWCih0PhZDZl6RiDkD7iy7+PORdSEqoaRsCq - VlUFqVRgAA6IIr2hIfPu165ZwWj6buLRs6/mZ+a25leWJmaJK3XDODMwcOrcWx1dXYYVkAgLhBRT - 10Om4ZUBBAigGIHHQSLNClx46y2HGgqh01PTz54931xbMqhIRczLV692tHdUK9Vt91MzElaoYBQo - poBVkDKZTB4/Nvy97x7cv3tvcvzl2NjY0sx4zFIpOB1dPX297Y3ZJng8NTG/G7Y0lWLPcynmQDCo - Zs/A8HtgcS02+nJha21z/PnTzVevLAWgdsjtMtf0SDrT3lJoL7YolCKok8L//qMXEoADwZqqZbPZ - 733ve7l804MHD5aXl3/5q1+NfvXEqdUMXT9/4YLGlI21tcfPJgKWoTKMZZ0kSDAGyeutE6+lFx9g - EghEEolkjSuqQn08A/IrejAFzyaMJlKxkePDm1U2tVJdWVpZW91JtUeJ/8UD0d5Z/Dp9V03ExmYW - F1+uvZreWFxYWnUdAwkFOEtore3Fsx3n+7qHBvr7IuGwP/tBABhjAOx3JCFGsa9LcgESCKGqqiZT - KSsQPHnqdLlau3//wcbG+tLyMgHvcHc9EIpdONpz/v2vD545FTTAbyRkBFraO9+6cGlrv/xobGJ5 - ce5XPzsIWZqhEhDe4NBwOHT+/tg4t+JhQ9WxZAiYqmSz2ZaWlsZMrlSqrj8dffhygigMlw69/dLJ - U2cHzl09eeJoPK4RDIiqgK1czvjgg68FE5lH95LjT+/Nzy0sruwAM1UjpCJe299KhOLtXR2ZXMYK - 6kAQSArMjMSMq1cuCT1w06JLs9Njz8ZXVtccxw5HgqdOnzx9dACqpc8eTSBbiwQN7gLQNyFviQAI - wfVeFO4B8ac1KGiayWjEUBgIAbYNmAOV2CctCPAQ1QKhsKJFLM2kUgFQFB0kEEvtaLe+95vfinUU - bjx/Nr2xdv/el7sL07K0x+0yeE6tchhLJC9cOd535Fj34LFgKOAznAjBwIWUPBiyamUaDJp+WT0l - OJVKtbW3d3Z2bi5tPfzqq9FxQRTXk6WqY/b2Dw4Ov/vutfPZXNKnGICqtbS1/sZ3v9v+4vnDF8+e - jI6NjY0uTM+qqooQFlLa3Ms3NXUP9jcXCoFg8PV2JYUQdVqoAO4BoS5lgAADIoBoOBKLgxYKWoy8 - 1ha5BMkBcwnI0A0lnbJ0DYNEvl7JJVQroLJwNKwHQ0GFUllnbnIORNVaursvXiWz2/bY9Nbo47tz - S/FAItKciQipetIgitXa3vbeu0LT6MPHj5dWVmdnZueXl5Cm6IoCNVeVOJaIt3d0tLW1aboOANKT - iAtCaTAUSjekTdOUQuA6kFNQ8g/Q5fXffHSXz6fGABSIks3k3nn7osP53XuPXoxPzUwtLM8vhEyl - Vt4TtYrKtHfeudpx6tLQmTOJxqACUGcXIYwwtqxAPBq1DE17zSoVAupgJoQRxrFoJOmJgKlJ4XkO - YPbGAuy/HgKA63QjhAFRzQo2NxdHRkYW1r3n4y9ejk9srxHu1AYGjumarmuGrCpAWCAY0ixTYwQL - KQEJ6TNTEEgkpTR1LduYjgQtXaEEAwEMHIEntGT61Cnrn/+z0K1Hc9NzY68WFza3F01NVwh1ay4X - QlXVYkuxr7fPNAyCEcJAMAVAXNYfcoQEJCX2OQmA/I0ACAHFiERlT1f73OL8bmmGBBtSufamQnvQ - 0gFKhHhSOi4o9VOhBOSBpqp9rc2/909++5PRqbszqyub29dv3Hh6lxkUeYhLAmfPnT916nQ8kZBC - YMZSqdTVq1eisdiDR482N7eeP382tzCn6TohmHtua1trR0vLyLGReCIupbBdJxaPVxWVUQo+K+kN - 9Fy+CTgiIIQxRdP0UCgUCAYXF1/t7O0ruk4VzZPS47K5tTUQDA10d1LKAoFgIp5Y39ioPXqs6jpV - VIlQzXbzzc2Esb6+Pv9Y57qO4PWurNfeXiQlSOH3YyIOIIUATIkeDMaigWCIgfA7AAUAl5gKBJJA - IFpobj45Mnz/+Rx37MVXy/FwMGKGQDWOHh3hNJxq6rz/dGph7dXNL66rAS1IJKmVmFvzWKS12NrZ - 1p5paGRMBen5j2BM1SKxqONFApbqQy8A+zVaHhiBto7Ob3z0QSjbNjr2bHNt+cH9+wvTExQ8wh0u - wUVqPJk5dWKkOZ8jGEnbQRiIakUS6XBks0IUnWGK/In3PzTfvv7TG1OgBACJKZVIAPBoNDE4OPRr - v/5rX3z+YHll7dbNLzBhhZa2keNnKNMAEVD1weHhb2uWlSt8/uT5q8X5O3c/Z5RiV1T2DkyqdHf3 - Dw2cPnPyUm/PAAlQB0ABQt4UA0uQHDwPmMIQDYEE4EAJRMNZwwoH1TwVgPnrV6qAFuctFvkaNFv5 - 5olRsTe2Vpvan1/DHmOewqpCj8TzxSatsYW0HtV6TgQiUZ0jsAWYISUWj0dDNWmHdlbBk8LEOieG - R/SS7Up8qBoetrXdjOXVAEwgFOoRoHp5sRCo4sEhQA3TZsOAYAjCEVfVS0yxKVMxASHBdUCl0JiD - U2caK9Vv3r2z/2zs1eKEsT6jWoHy7p7BlN6j/Ym+/tYjR5SmZjCs+ruOxRucFNQ7uZED4AK1iEJU - C6IJFnM0K1SHN/udO54L0uGMEf9fIQxCETVfMD2GYzGiKPC6TQV6+9M7tTRSDiZm0b0bkwuTbjBU - ChAjoLV1DTXYSuqzB9OWBswv6Xrdq8hUHgyDo3FTP0AoTCiAAEAeSMGFQC4QgFACho7CB3untfvt - U+NzKzO4PAuqWsNam0E7CZYjw039TaBHYNFL24ebiQQELCAYPCGQxEgCJqBrEA9BNu1sVxxu4qZ2 - qyGjUeI/BwPDEG+EVIZFovTAlok4HD2aSDdA/QeE+sJR7IWLon/LhfEFb3updPuTWUYUBUCn3cP9 - 5xXT/PLxfDwYNAhgWdegPQ8Eg0AogAkJKTEqgCHAmAJUAWwJDpA4AhaMQWcPfO3r3Q3J7qmX69s7 - h6N3xMvH+7qmUoq5tDAtxJLNvR3ZbENE0wghJahDSRSQGqqHZjBGBgKOiKMYXqaonL2Y0PCHr6Zh - ZtaenJzcX7ZXJFIVFUAalpNKsZPHQ61HaO8Js7GB+ss506UVwsGw1DQXIcYoYETqbTe+V17lVrSW - yqi6ISgGBOB5QCUQA0ZOgLBC8eTZqfH13TVvfFmde+SqeJ8SLmRcVU90FLqbGnKRYAT5uyQG3YCh - IUrgaDSUffmstD5DDxasxSAyzUCpPNyaUboHGvr7o4k4IASUAgLiOjIcjIRCBsKAMAADLCHfAce2 - w4svvzkz4W0vv3r+la2pajIBgMAMsFjMAJUp1H/C8VUWUAywIqVQRJoGoQBeDQSHdHzwSC8ffbK6 - PIWXXtZMvcxddFg9VK3EyZHc8NlT3Z2gq1UClADDDAImnDleLK0Xb1/fm/xKbE8hLRwIxizkhIhU - pHBcOPRcl2JCCGP0vw7LLQCQ3//93///+zX8l3shhBAgH3frg+WF5wkhMCGKpgWtQCQSYYrqet7e - /kGlWhUgo9H48NGRK1evXbhwvimfrzebSw7AsWUlU5lMsa1mO9h2pO2EAoHWns4r77938fLlrtYO - 7EmN0ky+Yfj4sGoqCiIgkKw6G4e1lb29gZFj50+funDmNMN4e3vt8HCHEC8VzfV3Hbv2zjff/fD9 - TDGhaEABFHCR5CCc5fmZZ89G748ttA4cae492t3cqAIoggBg0wpk0unurk5VVQTnlUpZCuG4rm3b - pmU1F1vOX7hw7vz5nt5eShlIKUFijELBYCbTmG/K6opSrZQw8pkSqKXYeursuWvvf31gYDCbiCkY - wK0BeEBQLByhVmJ9zwaqqwyCpjoy1H+0ryffmMIEA6GIUIEQgIfAQ8gVUsFAQQAFZOo6wp5QRFXU - OHYJaO3FvuPD544O9KkKAAGk0qZ8qqnY0JjKVEueXZWCc84dTWWZTO7Kla+99+43zp270JAOUAUc - ty6VYOJrPgghjv1mSsTr+xsihqqGQyHEiAtov+IQqmTSyaH+vqNHBuLxCGBR2dndrMgaMvqGj7fn - 0+mIhXmNS4QwkYCkV/Nq5d2dLWyEmzv6823djVGTSYmlhwg1TLO5uaWrpwcT4rreYankuK7reYSQ - YCjU2tp64sSJt99+u7OzU9MovA7u1fcmgj0huJQYCJHIj9fWDlbtyrYDaqHnVKZtKJkM6AgYB4p8 - wUJw12VEoYFIU0sxkW5QQ0HAWHhu5fCQuy4hOBgMtrYWT548efXq1Y729kAgIIVAGGNCGGPFYkuh - 0Iwxdl2Pc64buqZr6XTDyZMnP/jgg0vvXIiEAwxhDBgQi6UaOjo6tUBAYmTXDoVwuOcRYIVs8eTx - M5evvX/p8rWOzgZNAYRACNvjNkGoXCpv71cVK9ZU7BweGDIZ6AQIQxJxgRwQZG1pR7o40RDvGW5v - aE643CHAGFKpTwc8PFzb2lEbGhu7u3uHB2KWpoBUgHsH+5XtXafmxQvtxf6hSDrlE9AJeFRykPxg - Y/PAJqAGB4+eLBYKIYv4+6sQVYCaZ3ulgzKWJJLOnDh7PpyKWRQwcABJrFBrR1cokVAIkR4XjqxV - S4LXdBWFY6nmYvfIyXPvXb3S2VoIWhr4/J5aZafEy1wNN+R7ujqaUvGIUe+7F+AKbrvVSq10uLV3 - kO3obu7pyxfyOgbiugpWgqFoNpuJRqOKQmvVKiCEFRKORa5du/z+1YsXzpxQqcpxlGiJE2cuZJt0 - prgEaiE9kEhlg4pJzbBH1LLDK6VdJOyhwd5rl65cvXxF10xP1bNtbZm2YiYaROBhz1G4xJqR1K1g - vPHAFh6hQMEMGR1d7adGjqaiEQ0zz8arK9sK1WPp2PDJoWRjDLijgARMsBrIZZqbCq2BoOWBW6qV - HNuhngirZrE5//bld9776P0jx0/G0xkByPNAAVfBEjDZ3Tw42K9mmwqdPV2tHW1UAYSBSyBIUlEF - p7y9snwIlhYrjJy+2JYNBRXAdZkfQEqOkMREAEIAfiScSQGYx0NmMNm0eWA7iGIpTF3v6+29cOZE - S7aBMgxAE+lsrqm5paUZMHaFqFRKBEuChKmpb506de3ylXc/+FZzV78Z9j2ZQEESwFgi4K/TSASE - cCjyMHjgis3VA7sCDdlcsbetsSVDFamCiz17f7984DJsRPr6B9ty6XTEINK/t7nHHeE40nWWXy2x - cKxjaDjT3JywDOr3qmpWYy6faUhH4pFKtYIZY0CkJ7LpxqHjx9796IORUycL+ZymMgTCrXkba5vc - E3osfOyt05HGpI4lcWpYCtCMhlQmHE+apuV5nscFkiIVjxwdHvyt731vZORkMtl4UPVimXympfVI - T0uUICRtEEhRLT0Sy+ULhqYLl0vPpUhYOu3p7rhy9crXP/ggnmiouchx4dSJE81NmZBlAAiMJBBi - BEOJZKapuVXTDJUQJPzk7KHr2IlkvNjVNXxs5Oy5s/293Rr1e+TQa9ObDxKTICVgjAgxTbO9oz0a - iwGAbdulcnl/fz8UDA4dGfrmR98Y6B+IR2Nlxzl++kyu0GwYjKJ6yhJA1JPXiPrQEy6qtl0qVTyX - m6FIdqB/IJ9LREKaEA4GBBhx18UEKYwBoUixgBmF5pZMKp5LhxEGkB44ZRYys/lC/9GReCodtqIq - 0U3FNFUlbFmN6VR7X8f5K+ff/8b777x9JZVo0FQNBPjwa4pfq0oYE0L8k4cUHEnwOF9aXtZ1vbWt - /dLlS+3tHeFIuFKplioV17Gz2czQ0aG3r7x39sLl1rYM8Q0yAiiCQDAUi4StYEgiZNt2rVquVUqM - oIHBgavvXjt7/mKp5oUSDbnm1r6e7riBNYrClqkxTVc0QlnFc7cP9z3hRQyjo9Dy0QffOHPpcr69 - wDRQXoewNSPU2JTNNDU3pGKS2xhEzeWOx23PUwguFgqnT506f/F8b29PJBokhILEICllaiLTEIyG - LUPHgEEgx3Usyxg80v+tjz648s7bjenUxl45mMw1tXX1dLRYDDTkM445IIkRQn6ckjuAPRBOqeou - b1UlCw70dLdlo+mQgkEC1gRWBAdwqk75cHljU09kcu09vb09GZOYvm8HKaBZxWIxk88RQ/ckF9Vq - rXSAhcQYEIjmQtPx0+c//PZvnD7/TmtnG5cYY1AIMCRA2qUDZ3lpKxRM5FsyA4PdwZBOKQpYum6o - ISvsecKpuqXKAZeuZqoNmZbLV7/xzqX3R4YbDY1h8AABeELX9ebW1nQua4XDlXKZIMI9btdsIYQV - CHR2dV24ePGdS5e6OjuDho7F3xs1EcZSIsHBcyVCDqUCgefWatVyeWt7PxQvtHQMd3T1J8OgIkAO - B1EBsA8OdrdqUBZqz8CxvuampliIggRuQ+VgbXW5ItRUvq17YKSYTygUQADiHmVYs4xYJFW2PZfL - mltTNKWp0Hzq+NG+nq5oJMyophlWNpXu7e2hikIZkxg5nlu1a27NDhhmS3PzmbfOvHXubF9/fygU - RICEx4XHtzY27JodiUf7h44UWotMVXx57k1TKwLAEl7rSp6vDgAwwCoAUzUtkYqnG9LJZIPCDNeR - 1UrJdaqmrmQbM4MDAx9++I0LV7+ezDZpBmgACgCRDri2Wy3vbm3axGobPJFt68vEKJVAuaDCBnCB - 1zyXzG0cKJF0W3NTb0dLLGgSjOuvQ0qCfB45qa/1CAHygIJlhCS2MAvUajXLpAGTJeLx4yOnBgeG - I8Gg5LXy4e761k6yqb2lczCTzQVVxKRLwfMZvl7N3dp3DyqQb2nr6ch3d+QoSCRq4JRAVSKJQn/f - ccOKUSY9XnO9arVcdWq2wlgqnTp6dOT8+fMnTpxIJJJ+BxfC9Z4K/BrlK6X0u1Z9KVcKiQgFQhll - tl3DGATWm9sHe4+cOT3SlzIRoxUggBBxMQWk+Ksm4kCES03c0tfXmCvQULxUqVZ2tw821j3bDoQC - HZ0d3/z2t46PHI9Go9wTCLCmG40NjdlsrrGxkVBSKpcWXy3u7+8zxtraWt95++13Ll7s/3/Ze7ce - S5Ikv+9nZu4RcU6evNatu6p7umd67jM7M9wl9qLd5V4orUSKlEBA1BfQgwDqA+jL6EWAAAECJT1I - IAFBT6IeRArgswCC4nKHu9Pd1VV5PZeIcHfTg3uczB4u93kF7EF1V1bmyTgR7m63v5n97Se/Mpyc - 5HF8uLvb7/YXL199/6c/Pb28XPWdHdFbbxXKKoqoiZRS3l9fP2y3w3qlasW9YS9qz58///gbH3/r - 00/E8+6tZPVLAAAgAElEQVTh/ub25uTkVM3qaL/KYXv17NmbN28+++yzEMI8TTc319///vd++IPv - f/zxx12MqiKCe3F3MyuOu0sp25t329ubjL757Eff+dHPLp+9OOk1CAKhJMpMjCp91g4Nz6+uPv3k - k1cvXl5sVlqmzeX5N7/x2Tc/+27oV2Pa3+/uDvPBx32gvHn54gc//rXf+8O/9Ru/9Xvf/ezVKgZj - pmRyvv3ii89vx8vX3/rez37jr/3kk1UkykHKzJxQC2eXH7359PU3Pr28vMjTuN9v61gUUTk9u/zs - 29/9zd/6rb/7t//Wtz/9xtDFNE1moWR/e30/Ey9ffPB7v/83Xj47iVIUh7DAkjSZO6ZOKHgWE1FK - SV03bE6vXr/+5LCfx2lC5OLi4rNvf/e3f+d3X795fb42PMfzzaff+OT1p5/qupvncZqmaZ7IPDu7 - +umPfvqHv/9Hf+c//ns/+9lPzl8oHQ4DEslakiBIV6f3BUMEJtKe7R3vH/Lrz15+8r2z736XQYlV - OVihu+uG1cuP+fR7XJzIVTg9kxercNVtTtbnZx+8efGTn736nd+9+t3fv/jV39x887vdUOv4Jkrm - buxuD+dXz+3Dy+HlxfkHzy6vXjx/8er04ur8+fOXL168/PDDZ9/85vqHP7DVGjOkSrxksVlkdLZz - uUX2+KvxwO01mfff/eGzb3774vVrMyHnlKZdF7uTNZeXvHnTXV6c5vkj8WEewziOl+cnP/7xd/6j - v/3mD/+m/fRXWZ9hbRhdK+9v8gsiLlIHbZrkkEa++Op+OF99+MmbH//sxbPLCpNBIs+H4UgxJjyM - envwDz5+9cMfXvz0ZyEEzFHn6ozzZ6w2/e1Df3f31vPd0OVXz57/+l/76e/8exff+bFdby9ef2Lf - /w5vPmDV12wet2/Ll/svT99svvmTj37lO+cr6NkhCfdcPE9dEOg4f8bVh5y+PMnTxUpimC0n+e73 - vvWjn5x/8tH53/kj/uB3WF9ynYbuav+7f/DRBy/pO8gJp47tSxPjnvc34+qqvPrs7Kd//eOffa/7 - 6GUrqSKShLt9eH83Xz67/OEPht/7/Y8+/aaenVaABSmQGS549ty61evYn0gph+007svQd7/6q9/6 - w7/Z/cZvst9fvPqAzz7j00/YnCJOGnn3juuHh2cfPv/29z/58Q9Yd/SmSCqMzgEUXVlgveL1h3zj - Yy4uNu5Xu+28vT/kJEH7s83Jtz57/Wt//ePf/4OTTz6z1UaEbUtAFYFOvO4pWg85D4XrrisvX6x/ - /AM+/Yiz07DfvdqsX6yGiy6cvXz2+jvf++i3fvvVf/i3Ln77D84++faw3nR9QQvbL+z9dJuH/INf - /e4Pvm8nK6JS6lgIESa9/0rKWLqr8Qe//tGzb3SXK3QkZIhcPOfZh7z5cBP8lZYryavDtuwe8jTN - b9588JNf+eB3f+/Nr/y10w8/Dl1fZ+0QAleXvH7Nq+ebXq/y4cJ8bRK7bvWtb735w//g5d/9e6tv - f4/TS1DM+OLf6J/8q4dPPvn4Bz9bffsHWI9Iyb5freJJx+UmRunXZ3p2uXvz0frlhQDvfjHfsz39 - 8Pw7P/nmZ685C6hDZj9xfXdgOPz417734qPVZU9fOO/lxbPX8/TqsF8/3Bd8Rem6gR/9yjf+8N+X - v/f32Vyg3b6jBExFyVyccbri9nrotB+iPv+g+8HPXnznR+sPXmOWc76exoPqOoR15Rz6c/r+/vK9 - xJ8Q8v/V65deDrn4PE14MdWui3jhWBlYyn5/eHi4f3jY7g8jomJWnGF1cnp2vjk7VxOHqIQyU3bk - acr6bvSb3ZRu9+X+cDqsw/mquzjpNsMwkd4/lN2YB05enjHI4Ep2duP9mD7fHTi9OF8Pg8n29v3D - 3Vcl7TwfQjo5Dc+vVh+EKzv0jD0r6H0iT0y3/8f//r/8w//hv/1v/sf/6z/5L/6rP/jP/8F/+jd+ - eAKnM7SIIOdSrm9u7rfbaZ6XIdyIWYxxdbJerVZ933cxaO0PnCcvNTlc7m5ub67fiaiLFtGi1m0u - Tq6e90O3gc4n8gEmZGaabvbDz+/0du6ljF0sV2erF+t41huHbcZstTkUStnFkFUmyqnJUHkuKZTt - V9e79zfTzd4P+OkQXq6HD8/XetIdnf2Hfbndbf3+poy7Di8wqkyi4ez0xXp9LkLssUfOd6jFE4oz - KpPUKR1FyYpFSvHx8OW725uRrXdF4jrK6RAv12HTFXTi5vrzaXhbzuzi6vnAVczB9xBcwzQnS9vg - 4/1XX7ybO7v8SC/OL5SYUseIGtlzKdOUHnb77f6wP4yOpOKllL7vRVVVX754sVqvFMbDQfDVMKi2 - 2u/cJturZrSAFA4/94d/9W+u9+XqR371jSGygiFhfpCYhSRkyUYKeEgpfzlON/vDvN/lcezMVEVF - VOVktbq8OO9iJ5DS3McOkXmaLYSU0u3d/cN2N04TonNKonp+fnGy2ZysrYvu+eAJzSrSIdzt9u/u - bm8f7jSqi5vrYP2mPzk5OVuv16pkr2M/ivgoMqXd9Z+9e7jNg69fvnl1tsqsCqLsx7vE3WZ1tvuq - HG6kqPcvNZx6pgTWgT4mGGfu79K7r34xrO6urvrLzQBStlcqq8OB97eHd7cPq8vwwSd5U5sWS8/U - scd33F1/tQ2f3+vmxbcuTkKATiqp3UG5K2O5fXtbDmWKq/7q1dytTvN0Ego+omF0rlMZd2l8GPOh - SHnwdNeFg/SX2S69f74ZwuWJrmLB9/iB6X4a9V/fy61cPL86vYqcSmVXBp3wkXHPw/3bm5uH4XS+ - eHG2udxA7zPjVGemzFPabg/397u5+CyeTYZBX665PLPD26/ebS8f8gcXL1cnl6hMiS9Wc4iy5ma8 - PvB+lIdiPt+W6eZqE65OL85OTsf73Rcpp7Pz+fTsVOmZ1qRVKaTMbu9j+cV2usk6kSfJ/dnqg4vz - IacTPOjJ7dvttJMSff1sbaehkxzyRJ4gQH+Y7Wa/+/L+/d30MGjYzHIyiVDWV5uTZyf0nYdVRh06 - n7t8IPnhId3dTNMsw9npxcu1G7MzzmnVM/DAfJ1/8fM/3p+91Q/O3nzw4ZpNJs57BGKlQtaEHTx7 - 0c4kJbpp29me6Xo/2Z/ep5vSl+Kqujk5/XATz0NFNzrCuni3ndIX7949HCYlRZnVR8t5068uVif9 - xasHGbaGGIPRkyNqRWo/rQdmpXDoOCgHiu4/T/dfFMIqvjyJLwckn7CV/MB+/28ewp/u4tnz1y8G - LgOWau/uDCPTyHTYffn2nXa8eD2vTs9EzkXDNHpBYyzTfLvffXV7e0jJUrHZuyJl1Z08v9LT9SpI - rx5IJHbXD+P9uCWffPhyXsWw3z438FwnUzzMfr+f7u53+ylpmYaQzlZ6dXEZbTjs5z/+8no+OZer - 569WvMBlvsYLYdhJd3dIh+00bud02GveRcbNOp5sTk5O1gW92+ab+2lzdrlZD+uuFowkSHhORfeJ - t9d3++2hJFdUSvI8DV2woZfYnV5enKxXikNRngQwhTpenBBqFd5hPOzHw26/v7+7SyUHtS7E9TCc - nWyC6Ljbf3F9t372vD+/Wq+kQgCRQpkoIxl0jUbI6IP7zcMuv33fbcezZ8/Ozk9YRXLamYoGxXNt - ND7s9u8O883k69OLs251GroOSAcf72UVPMhtHrclT/dlezPmvcfkJxo70TTktCrrF5vT1aXSASUz - jWMfYwyaplHwYGqmRyreNE37/WE8HEpxNducnhVku9u9v76ZpjmYxChDZ3F1Opw9kz5Sx3tkfC5D - EC1pv3149/79w8NDMFMpZrJer9ebjfX9+7vd1mPpTi+fDWshpH0sc97Ph7vdze3dXRoPhsZwSjjT - 8Oz0gvPLvI7bzCrnlRV8hm6SsC+M27v3X/5ZmfZJgod+do3um747G/rVSexXFjqEjhJIWsRnk7v5 - sLs/3L2/L5MHc7XUdWz6+OL8VObpT68P73JfNh98/CpsYJiZp532RnApqAfc8Ak9wK6k8C8+z7/Y - rj58df7BiZ/HW0iwnmStQkgjh9v76+sbPZ3WL/rTeBHZsKPsmIUJbJiLvN1N14exHHZaEnlUn6Jl - JVt3tjl/tdo8iz0ZXIhgHIT9tAu/+Pk9adNv9OrFxm1MeR8i87w/bKfDNqVDSWWPjm6jc3Z6/ulq - fbZekccSZBuHzvcjGRmGQ5pv9/ub27uSCrmWGyKqFsP67HS92fRdtFws5xgjlb3QBdoELdUsssv5 - gTSW+fDllzdbXsTzz4YzziOrgkwFuSfsmO5+vuv+5S3D80+/sZZXhk0zeY+PXL/98hAO8TJcvTg9 - wR0V1hRlZH8778v93m8e0s3hkGOMm83F2epiE9cdXaV8Hef5MD/sx4f9eLffFZPSyEpzb+F0c7o5 - Ox1Wq1grags44+39w939bjxsnl2cPL9qQ7+elv3VoaKNf/OA78DxoZLQIZ4Zx5z2e+7v03Y7lfQQ - dAo6d2pDCBdn55y9OEQdYQUxT3G81TyKpHL3/s/Gdbr4dL8ZzowzZe1YfqA8kO4om3/x+eG9PXt2 - tnm+sdM66l3rJHg6mYWRopRIiRjIHtnh8WHbvbvX9zfXQXddOATl/OzZ+dnzaEK6L/v3X93e7cJV - Of1wdWYb6PMY01Y0oZnMVzfhj7/Mq7MPzjacrv2km2O+5eELOsU+gKv7e252Nzf7t1lHQ9TF0BBi - Pwyr9Xq1WluMairNU65t/Ee2gaz1xFAqJrg0cLHb3t9vt9c7ncNzPTn54Jzz4sHfEkD7JHH2zlMQ - Z6WQ9uRburid+NNdud/u4zStco6CdhZX3enF2RB7ExOXUvCCWTiM4939/ZTymKbDNGb3YLZeDevV - cNIPJ6seIGUOh3dv394756/fyMnqSGYmxQ0nZ3EPlQ3ZnZxvbm7e31yrBYfsFMRFxUI3DOvN5mKz - kjwf7m7evv1SNbhIqfPdREWt64fVycnZ2ZkX3+62b7/8chj6s7PTs9ONqQpF3N2Le8GZ5pyKr/uo - 4910++X76/dl/WL16luyahlBgy5PzPdoocR32/Ll3d7Rq2fPV0Nc+Vge3g69Ejdp1s/fP7zf3s7B - s3pf5i7Ngxf6q+H8TdwMm54oaNrJfEDh/ubzW6459/NnHzynh4EbqwymueCh6LBNdr8/XF9fH8Yx - mBpFKWbWdf2wWp+fngbxgLchbyldXz/cjT7L8PyD81WHMQtFiKAt5Hsa8QJyLGdJ7lOh5BTHyd5+ - efew25tFRLvV6ur5i36QjUCa2N0mn6bevjps7+93u92EW++hK3bWnWxOzjcn5yLsFN8wCx3ENHbl - gHYNmQOEkhkfGIS84/M7phP8goszYqJP9AHEKTfjIez6021gf4d+QfwKSxyMUUnGyZrTU+IaOYUV - wKrQT+QtX+z4/IHRWRXWGUvMgkcSbbBfDJxsuLhgWM0mkUKZKZ5DzNgMU2ZfmAqfjlvu3rM9sDpl - dcJqIARUkOJBRJx5Yrvj9o67W0rGC23qzcDpKesTQocLGtDgQlKiHLcAF6kULUU8MJF3fP4lO2DN - 5oquAxgiEaxMBuSuDha73/Hzd5SO0zOePycIMVObS/d7Pr/m9kAplaCBlXGxZtMzGp/fMwauTnh+ - Sq+FSQFu+dOJmxXTMz6MrMp8nh6IsRaEMw++R2ZYcV34cuTuPX5LN9J1xBOkRzMvBzaBlPgyc2Oc - PmPV0RmdZnKR5L11ZWbc8vk7boTDis0ZbyJX1iqzC4zC7ZYvrxkzwwmXz+iHvBLrCl2BUrnH8Mz1 - ji9v2e3aLAxTusj5Gf3A+3eIsDljc4boLh3WgzI98O6BgxM3PL+igwguLmGHbGEobOZZxx0mTHve - vSNncmIcCUo0zIg9/cDmgjAg3SHaXomC5aQifWuJaamSPHM35huTtaZXOjNtubvl3VeY4oV5pO8Y - Thg2hB47JZ5xKHm1s1OBa97u+df3rF7z0Ut6JbinkuY0a7R16vM1u8/5MrP5Jn7FKawmbG7dIztn - PPD2Fxwe6IycyAl3VmuGFcOa1Ya4wqVx9Vb+2TxVKWd3R54pjivWsTnn7IrYMztTZrVid8P7z4nG - sGF9TrcCJdXpNXvGB7a3uDGc0a85VaKy/QU/P6SHVYiv+GjgdKLbkxJFub3nOtM9gzPOYJ0YRg57 - fvELdrtW51tdDI1sznj2ITlSLAtjpDNCmdg/cH/L/TUyYwXtWT9j9YxhgzEqN+Bw5nm93+cYpe+V - v/Svv4Ju/6JXyqW0cbNeu82sNnTW7jVousFLKY5odRccRVSN7ORCZwRP5AOewHaoxlXIqiOqlI5J - SbB2dIYC5kXn2Upf23GTozYFnaRlpK0Sl6fJvIh3lFhnPs4dU6SvCeE8sn//j//RP/yf/6f/7r// - x//87/+X//Uf/Gf/4I9+8xuDc5IJAp6obOHQWBsRF0WpHg9CSu7Fu6gCXmZKEbJQVJU6urLlJW12 - SRorO9gAkYkywYzPIOjFXuK+kYwC9IXIzLSDQL+eXNz3ZrMwSTkl9a1VTsEPcMg6o0m48LIqM1II - Nco393LrtlfdUE6PLKdQEKVQCjmjAVdSIhhqjRDewf0AB5VJa19ejkioPHqOzraeLSBLD37KWnYw - kudil9tuqEHCgJsfQAuac4k+Ypk0ZjnZ2WZWWUEsOfhUXdOSS3a30IkaIt5GZxczLYWUU7BQMz9p - nhViDPM0CoS+8wrYgs9oQc3R95SvyGEfP7rXHljBqqA+uc4ucwDcmJUshG6OITXzQai1GoU2lAs3 - FZxSkooC7qiFWgZXsjuoSSp4aSRQ5lklUSbc3INLVC2QKFNCk4RifRDIS1RWGvY8CzkQcStb8j5J - POj6oJ1Bnxkyasx5TH4XiFHOane8d/PEtoCwEu/7DLMzjYizHt4H2YHhgcMJZZ0gwVQIw6GLY2hE - CoGp8z1lj8+w2ZZV6YYAMreJBM7ObKsEJiihhH7UeBBZzWmodixoFj8EM3opaCEq+I58M+bB49Ws - SKEXoiSYSQd8Jq4PrO7VIgzQz3hGImITTMwzacbCHLs76YXYQcwl5CIkkSKABAioJmESUppWvo1y - YJoLr3LoJ8cD2E64GUq0MpAjOiRjUsxhHqOMWhEJrES7h4fa0kMeKLEUxkRxYofFZJpggkSJeEhp - KIj1LPwirow6i6e+VBZRww3XSTiYj+o9tknoCKXQQ8eEF6RVyHoKJZNBBlxIuOGBLCQnO10ond8z - 3zCPSV/edhcH4xTWmZDGqpHxkkVdw4R7kSB4JpbJZMR3aJ9sNUnvVH411hDTlrxvgyekQ2yfmZVe - a1H2AYQEyYvHvfX7gCqdEMiScl9LRwTX6t9Okb2yZ4b5lPkEoXTsO0Tz4AdNO8ySntzSO6xhKMiM - KxozbZ5AJhdiv9XhnjDACcQ6YFqB7GhCi2r05ojP6nOUyQh4hyszyYMbBII8kPdShjSfCswzpRB6 - YlckVLlJc1nFHGXGgY5s+8zYywF6uMBleoBMiKOGCZMcxOmN4OB7tODNIXUPTqhzZZa+y1zKrCou - 6mgq7i4qVuvCSipmipJLWXpVF9DWXUArI18pFayqNjfljIrW/mPQWlT2OHEI1EbRFLTSi6vXqUwj - 5cDs2BrtEUd3sM1uU77IbiFgdaB9Gk1FTcmVklIwipYDOUNEQ7HgRoY5EwIx7WRM4kZQN8sWs0kS - BCIHxhyLEtPsZtGENOegGkxKzoKrVBisOWAl59zQOnWvRRSqZtOcEI1Bi2e8uFgWzYBX8g7KTKx0 - b7k0Btg6wlmbZS/oVCSpZQUIxaMfOgpuFCXlpD5HwXSVjawUMN0pszLk3NeRKCHOIklF3Umj4UVD - QotaEKJjDpqgjgfumQOl91CylZEpZzPvA4RA8Vzy1tM0hAhS6O+93wfWQl/o5+w+E6WYk91ccUMK - eoAD3j/k8weni6wLQ7khOKXf5z50Fj3jB0RgfYAMETrZw0R2UkEMW6HdmAC6yJwyPnZdKT7lPDgr - r2QNIFar7A9w8LQuU6+l1d5nRvdZzUWLEikBj8hc2LtOsHFW2VHHE+oPFZEUlBAxzaLF6wFuDktx - ipOKF/Ggqu6aMl7UVExzccFESAmz2Wxy30sl6M8y6YtJwwyDM3ht9r6Heywd7PKa0wnOYJOJacZn - fEYydoL2B4PaYi/0jnpi3pOFuMJtKp6jZFva2Mma5l6EUsgQOsRSKa5STMTxXNQrz1g1BvIYA3ml - jy2ukoNUbNS9FlYDeFlYaB38gG9R8BPKAKAUHRGcPpd2+E0QmZpT4ZJDPAhVffVljumBMiEFyYRn - 97q6gQHWsHK0bEn3pAf0dM/5Qz+sFM10XtQ0V1pgiJKECVdywAMKvocd0jub2RsVgUkWimCeNc9z - sAmbEZtls0VytfhlCmUPM8wQcrnY5khoj9yHMeQH5hvUkRf4OYAxiWebDQlYybkUtxAQbXhm8QLB - pHiDY5YAxcVR8eq7P45qXLC6RD/DAWRiIyVwixTEZglIT4niBIEykbdQchj2YXCs95qJajpXa9t2 - dlWjUFLREIGUc50u6NbKzQRKzuoe6lyh2gE3zUm0rPoRZIkOpLiJqBfxItVTb+a2UDltRBCdc0FU - Q0OuxYmVfirXoauCaM7FEYttNkStwHWnlFqVjJdsqqEuU06lZFUrhZTdxKMmJDHP2HoOJ3VEaaXi - 6YrL/IBmdEDimLWo1HlWcZq6dG+hEFYQi9us7sEOzDHPKxHNKfv64LEYfSCAlok0kmekEC9HsXtF - occ7rgNFqt0pgvZunYtNhewghEa4D55xDyLNnUDQgFgp4koRciUEIglFCCzN9IsJOuZSEuSSDi7Z - gjpeSswl4B2iZhSnCAgZ+uRWJwzoYe7zbBn6QhCG3i1k2mw3A2eC1DEpPXQ5me/boIIaf7l6ljzl - UAtKlUNgDw69EycsYTGhu5K5t7NtIMBmZL1redo5kGixRnHmSFJKhW4dZg7CPjBLU5VWmCEreWEJ - sIpYOcG2ypoizLVjp6AjkmDOTInnJVUmU1IGwaxVDUvxIOA55zAXUqGAaJ251Ja6psraSxE5KHlx - ZRCCEJVYT3bLfhVKZrb2jJXfolMCydgrTl6RI5kCOydHTBEhKDGhM4AL20wOLRYTJzh9gMIkjMZB - GGClhLJl7pkCDhvujPeUyO6MfJozaoiB+Bwk9ZWj7dCxrb7PSJ8IgdlISnD6CZvAOCgPBpEgRCmB - rO6SXUqnLgKz89CxVQwuYLWHAoGiZV+jBiM7xcgclPc9pz2nYYY6NmKhtzkUkiOBIu1ca7VipQbO - qLrzVZrXQU7EKcIhUZTesIyV45G8K+5IVzzMcxDEnbQMs5LKu1OWgnGnOBKQ8GBkZaB0VYdVNZZz - ynkOnTpj8p1IVH+mhTQ1jdgabR3TCmCQIddOavLqYKtqmQK3zmFgZfQQmvOQUPocdISROTKfshd6 - OKncG1IIng3HpgOeWZq+mmKsgihaLQuqqLqXTLUk3iLoyv1V7616BmrkQkrEUDEOqtnJlXajDv7S - RlZYeWwd3OeYk5VCzjs7eehtC6eF80yjn404jJExsIfeOXG6jCemGQcLpIIqZqQMYF0db45IDqCU - 4rFVgFQAzfEKYxnIZMzG7ODeldLlRDCt/Pt/yV9/xXX7F728FCDGIFBKSWlWsToS2kvx4mqggqsK - pbi7B4vNk3haQOSVmEwR6QGKqdJDbhMMm+msYiFFVQQpiIpR+3NqnOYtogTLCTURU8SZS8nZpAuA - s3+4y9vb+9t3f/Inn797P8bYnZ9dnJ2sBFwo9bZcKE7JrYLfa6BRTU+bwt0CcWlTuWI4ZmEyAsGO - HqGpeauuoHYGIEINupBqqMLCY1UppVCIASLVBaerSlrUii8EBkLBUynkoiImlIwJGh+XOOfSWsXk - 0S5CEdXqMsYehFRIKauaPWkTKgWvXBBBIGCxghFeXLpoYnNBbNlKMySSE0HVAlW1PSkb8VLUKjrt - hGiY54wGhZYad1AVpwL8jclL6rRNBcwIIeSMO6b0XU3D+pySQOx7X85VSyhUS2U9YTDa8NjKpKhY - 9lQqSl3tfCsYX+75SV+AmXopeU6mUUzMOk8ziMZQmzZxSsnFUQuhZvlL9WKVIhAInYhlkOJSZmQO - OqS5OBAqkSOVTBaZsFgyqYipeNFACKHvCKnuSLVhEGOvvp4OY7S8xIBp8dLLQsYkiBIEE2v+m/cE - YWqTUKOimnMhaE171CiQomCoxKipZkQMMl7IXtQcjC4wk72YSg+xC4wVHMUCkeKUUrcVUCOVaTrE - uERQNbpqghAgBLW+3TRAWfpDpQ6+L0K0KNZDblIiGoKo4iNp9oLUq1W/LXTmiTTRrbTqlYRnkBw1 - mHaUaoqbYVYhdJ1QKPU01vkXKaAdEqoIuSwqprqZRajzf0Qghl7Kk1opoeZ6ci6uUaRGUYU8u7jG - aISCeykUCEYN13HIQtI2TSUQtIGA3SLE3ooCtLZLu9KtQlwNNQdf76a5S1DcpaAVO8QLQTHrFlco - qqhV7ZTrQwN1RG7VYAnRENqTlUqxp4op2acpa6C35hMCOeViLehxb8od1F08F1WhbwJXcgoq7kIx - NBg2LPBxVVNHV03q5VVREXKHxfpsCmJUdgZHRBtrq0AkmuQ2M104DpSXErQUkZJmC7IKHZ7xRCoE - KiolUArzNHcm0WiWSqUPrXZh8Vysir9SOkKilHRUedLOvGtOjhdhVgtHrMbRVDRoEMBLp+oLQOtO - UaGWL6m6p1LqMtRO2bLU/rTTe1TsIUbHi7uqOe65UIcKqTAnHGLodSndcvSxqM8fx5Q1iCDmQi17 - Xd6BVH/Z1b3mShTH2cNBSEIXtMcFj1RqOek60cJBKFFUQxGEybGA0ls/UbKXeU4qQYPEaEqtFLC6 - LF6yVAVQipppraXJuaaMxUQhmHnN8LXSy0ZgeXy4mlyr3BJmhtVsli2Cqy425yRiHaSCVvdDhKK4 - 0K1W8cwAACAASURBVHXB3GtjcTWNXmdj5WBRVfLoZoHalk1R8RA7wZOTU1bTZRI2i3Uq4LlgIKZC - hqkk7bq+6ZRSEA8xogFEJQ7LaavCLzFgDc9cHqxZNty7wEbJGc/upYhZqdnHYkVFMBHxUkLWKrbu - KhoIjmVSpsxI1CkVkBjdxSEjIkFD70jKS6tgxb0X98x6SC1Tb0HE4hK/qEOtnk0FETUNTXad2EEO - 03jouqEqnOMMveVcN+UsQsrFc7FeTYRg0zgHJYjqYqpzdihmKlLrnjIhBJZ07DEB4lYLycR0tWxM - ydW/C6SChBrLVupClaMXGrA1NXQpXqnfjveZ0Zy9tzr7uaJjBNNUnFwcLLSW/ZzdS9F2VJc7MBAV - 98rIRCkFVzEe33RckeZ5cqzOBRUtZBwvDT5bflS/1KcLG9SIHUWYD1UpeSYaHYtdbsYOiverLmuL - w4vnEDSXppfbgh6D7/aJ1S9rbsPytio7pJQMl2BVYgKNttdq0Z07OQNqso5MGW0UgYIawwmeWvoE - qLBsjURUS84plxBCw7eF5J5zMQteNeORXUse+SpVbIkcqtco3uwNITNP0DvaUVIpIITYRv4tTltk - ngQdMIewWOhcSvYi2uKS2liu1thuglpKXkoLYppOFhUeJzEhVflYJZ314lLJZES0+pbV+TyqOmk5 - vHpAajhzPJzeprNpw+0cVKQaneqF0SofVbAgAsWZc1Fpuqe4l+IhqJqZyTweQIiRLkDQkqQoqs3N - QUzjMis1dyZZJEMuBBFbbyh73PGkpl3QGXdPKSeJHSFaDmWsmGDdpIAVUoUJMaW2yogVh1RKlIBU - XMSlJDGJqsA0F1WtQl+Ka0kEwxQhz1lFROvgFISKtSDaTsmj0B1fVYkIQMoFwRYOei9u1RVtW13X - fM5FTANxwKyUe7csEIj1vFe8hpJQJ3hnXfHGi2Ja012V4TXhDkE0hgFkj8xIVlxrSkJO1UIak1nB - gvZiPkIwzPrlSbrJ2AkinGuGgkrzYhGQhBXRrlVigrA1ds6pM7SCmFxdMJSDthrONvBvKZqS9kVJ - dTukPhwERWuMlUsNxWosWA9eBsiKNkektBBZwRskX4TkTA7CIAvf9BJcgKKRIM0BVmXRrTM+IlDX - E1TpjbluUGnb6lV6Ir0yAU6Z23UwKFunE4uhxekJK3jmUJAORZmV2+JjkBU1WyKO5+wpdELpCgXR - GuP3vXf9XBoeIEIsnZgrkZIpZY4SorQKDRUzsznNaGfWhrUp94YLPSVAwJBAmg+UVR+xlv76Stgp - nbLCA0syqOKLfSQIc6H6u8bkPAhdsEEtwKiMgtdkb3FxkFqJrEg5eqM4VspoloIU6/JUCm6rPiRG - Zzb6opQUCEEEqYCKYg0nDLC4a8yQi6c5JQsr094kOiDZzTwgQhcYJ/ciXQRv9YEhoEaCDu16ONSj - zjpQFjvWvGANGTwVF5fB4ooiWWrmpOLWPiMY7uhqCBmdZ+qdW5u2sOAopep03DMyB0XFU5qqXhZE - 6ITOkIIXL4gFI9hjKEw9pZl5BKljpet61i5nnBJETSemPb30ZjMnB/CCGnSLQpIFc68Pq+A7t67v - QjVwZcwe5tgNXZU+KtUWFkwrwix7CWptkWqY7ULGK+mOOqEUdxecrgv656jFv4yvv4Ju/6JXCOHR - t1aNMS4Wz8WCPIaG1WtBlximeiomDfhDhVLpxKpWb84H2qoXn4D8C2kBKmqtyb/9VrOX1afsehM5 - 4FojSVVDMZjH+3/6T//Jz//l//P5n739R//r//bH/++fDcPLj16/ef3Bqhmf9mGKSEvMAU0o5Si9 - VNuj9dlFNNBskDRPf3HXWLgJF6aqZU1oCIcsvoUuN29B8bLAh8f3hzovwMLybgVCsJUQK+OY6uLI - WU2tELoVYtT6qvooNcxbMKd6c6asVvaUxEQgWICV1E76ZgoF60QDYqoSHi9Qf0fRuo8a5PijNk1A - TdqmevP1ew1PsF1pq2EhaAMmWqgl7Ri0ZX/ydd311arFX8dvWjgO+jSI1XPoanZx+TUVE2J7AlGi - YnZcv8ezVOERVe264weIHTVDc7WbLCznsJF5uyCVimLBlOqjOQh97JIsd9vAEeqRDqFVNlnoWKik - A0hrMmkfZBqHoRZy1oijoVRakdpcAcZKjYPSWl20Wnur2KBiVmP4VqhdYTvpcUOjSStkbvujmHba - EDbFzFRyixEgRKQWl2WjeLVSy8RVungaVlmZWGLLymIVeioS88T7EyHEmn4UESVEGviM4k4JWGOz - ql5eMHFrB3sJXEUCYahwqDhdwBUkaq3D1wDGUomzHOMnegciWii2lKmAEawVIWhNT9aKAVkStE15 - LOJWDLEQpZbgUrUevVG7CKxa9cdMTF2UCsthtbTen+i/BYvzRb6oz1hJ/utZlycKU8CCiRyzZaZo - c1gNIlLFq4B2tkTEFihxESmn6ZJ6+8diOCXoYGE+eiSgWOx7XVxqURZ6VhWJ0is5tIc0egtQrHrc - 9Twv14HWCtDQBmHJfKk1iRLneOx80WdNkdbvcdSo7cE7ulpkgEMfQmAxR9ZjHSa4i7ggwbCTPkhx - skjbAvEW7VhTa4vNAiidRZbBiYsGUSRYELwsFfUsMiohdkfT0L6QWkyKWVMXiouIiJR2UazSDC0P - yNOPE6iBZ905s8e3xXiEweTJn/bBJjwCAXUf+ora+lN9KM0EyBO8ShDDhaK1rqMGQMctkBDotUVf - GYMhPLkTDaInq1AF8CiGy49FljocsScioBZqSYs8PrDThqay2CqOcNuyRA2HdiUu00ka/C193+7Z - FG05xwXGAES06tAqmoYG7ZSCm6r2Qws18SeyKirSRy3LA5XlHLdniB3LpnZI7HtZljpYkIYO1uvJ - 0T0I9T+pbT/Sej6yLsGuEWKlMA5GFBEGpKjGvmpmUZdQzVlY9kAaOpKodSMOeOzjotFVpBdmFrQf - a3G4tZZVhLD0XjZb+XQX21EURWPAvRX/ICzco2rdsGrw37IrLo+H9/hFF6y6XQKodH1fa1LrmXfo - elGJMD3xGes1CfDoHYkRV2gyQlsuCFEaYesx6UWuoxd9WfHFSC9L1zJDj4Id+76mLJ94bpiIapPa - qofNVGo62p88ZH27ShRxQB91CTXffHxzdbTEW17siemiOq3e1nFBNQQXXcLlZcxWTet2kBHtlEZR - U3+xCCGiK3RQbS1e1hFKqGLy5DNlQW/r08ZKdaRP8kFHiRdlGIZanXf0alSI1U2u6eTQVQdBIS52 - V6hVebY8PkfxMVQUQWKMIbKUKUPNBleyYMX0a840zTE/JsKkrdpyOA0GY1gTRKuMajtpsny2g2MR - DarhyZUdFbPmrgui9cwe9Um7NykiLo/7r7os4lGClp/VHM4xQHi8yvF8sABBy1NaeNSHWlWXLz9t - wiEtN7f4Er/kWqvQPXF6gwWvgoyIEvs6PawOjRATWZnmRpVUxa5rqVgRwU0o0Ed6izA376sFhS4Q - JcauKhVHZdVT9JhfFNToBjzXO4wgRnNhNdKm8i5aHa/RXB8fhSyYLSbCEbEYEavlsbXYOobHbMRT - 0fvzXtL1tcxAARXt4pPArumEHKSELi7eXezCiREKnT8FFkJ9koQlx0wsPmbpOjw3WmXRdvg94AHv - MQSvImFgIYcTb2vjEkVXaIsRrVGCiwyyCKYdgS0IUmAm5CBdXrRlWA6iHkNXa7DysizL3/Vj65JL - p0jQmlQUkL5vZYZV4YvY4lE+nuQAtaOgXfHJWtYyDCGz4MZCJ0SOdrG9dayK5JjpWhSTN1YaKUgt - Qaw2/PGDxJCuka1WwRdprr8JSMGIizuhdc28g56hnS0TXdFF6ULtQa3MsuKha0SEpvq4jEzKKHUY - JAJFraNToI+I1iGbRUhKUQw0xlAfqQgBWaFCikC0hTBBY1yZExZc1Tkpbem0HgoJiy5QRGYlBm0Q - JHTCSjFtOiAs/nbziYXGQVGPwbLyRSmhBc0ueNRQ20cjoY7T7iw0pVlFrFZeMzw6RAJkmIQSQjRb - 6WJhl+NVYqxSL11XFUlrTg3NEW9uS921miwWSqAEOXZXQRWTKFjCx2peQ3NqC+bQV4y7ahEjyROK - DtXHWxJpKUkliId6RqKskRlGKEp2ZicotabuUWAEhyQ40rkRBx7tkCB00Alea/9BCREQBoMBhrCo - xCd/atzXaU0quIqycEP3vR0ni1VxWzy/+rFBn/gQLSOCK0klL80DtXKua5L0/5PXX0G3f9Hrl3yI - NhF+OYOPSJoc/3r8yo8uRf1GlSBZKlMbZ+nTq9f/N39NnqCgxyR5ezXI1GFsaqwlJlzxaXr4Z//3 - //nP/9k/+eJPt3/yJ3cvX/zsZ3/j13/8wx89u2w6vzjTTGcF8SPgtvgEjzf/eEePBuYIVdc3Pfnn - 8rCP33oMx1psZo+XOnpWT9awJjNZjC3Q+gV0SWEV3B7vQppqFK2lsk9OctuH0lBqb7fzSDfz+HQN - CzouLDRMCVGpfTdf82/0GN5b28KnT1K1tyDV5ZCnsF7DDuqtLE/9S0vNv/V1+9SvTz2U4+oC1BRV - OCLVT2GwltZtCPsjyH788/StDXI95hUeX21T5LhIv7QmgGutHHVcxCsfdC3CqDGhsyRFhTp/ZlkO - q7VX1TNSnqJTgInqIi6l4r5H4O+Ju9R86UqLWIO5FipLnTz05CyyYFJILSxv31/k4Gvvrf1cDUjU - 5YgbJCXXasPa/SF1EZehK49rVNHtZX0X73uxcrLYoDoiva1IqZZU6pztuuryGATLcnIcE6nFX4uK - aJfWJdq0o/Q+7p48bqBVTkq0FbkucSQIlSgZlVYR+mTPjyJGUbwWUjwKVvvERRk8OWqLdyjHKvSn - EED7bXmiFaCBZZJpPUyLQmm4piCIiDw+9vF69e1VVoo/nR1aV8aPGOHjwj6qvSVBsQytWY7bUas8 - wdDbZ4kuaS04VnygaPVOauHWkjtb1tmb69eKeK31sStP1SnlKLy/BIXI03/VRlpylaZWoe2K1FRP - +yO0gFaerNlR9dqj/DWbJW2zanhcP+y43otOqzf5+KMm9e07NY/fjq3+Ww/BL+MKv6Rlvn5GnCev - +v267OKPdyZff9+xsNDBzaU7ysTXRON4iUf4tTaupccE5RPxE9BWNF6DfMGEol87+HJ8Rr7+iXz9 - oD992Mffe6p1n/q0LG7E408reOG0CoN670805fKFH0X0eCuP97u8z6D15h1PP0WbQqi3+NgO/wgY - HG9ThdaA44HiS6hEOzdt2xCrGs6Oyn+5q3Y1OWZVqvFtIWJtKjzmwp5sb3CKfW1LW7k8VAqDijw2 - qVap/7PK9V8WL0Bh4ZavFZNPdvTPkUOtaZiKWdQnUmHBlpb8znFxlzLAr90mbWU5epkLuHmUCFWe - mKf6Mllcr0fFQhX5mnVbGJ+OZ0pbKhRJwqSEUmkgKvblTwWndloXPYb5PKqAp/sky2l8/NlTKf6a - q3g8A4+a94kqOa6Yfu3Sj6LwqGJ90f6tOO6J+hJoiVttmbea4n78qQgS0I5jifSCAD55tibij55x - u7fQjK0ft+9R6dWGtcVRbFvVMMPmtxkoLo/QY/vLWp3eE54JWX4Nqpl7pCeVxdj9W6v7+HpUY0++ - WGITN9Lib8VHresVl6m1sE3ocH3c9uaNyKOykKM5fvo5qByrh79+k3VzF+dGvh4d/Lue5Zeuf5SU - R+36eOR+WbXx77js14J10eNaevtXPTzVV3Rt8xOp3zJVPOB+tKqPR6ghq0eP2pczbcdjG4zsR2NS - HU9bPIaFO6KtkTaJZrmaF5F6zp4K0YKR1FJDfUyFIA0QWS7v4Etw80uSeTwyX9uTmjzyR4tVTV6C - 8OTsdxUXK4vma+tuBW3JjIqdLXhzfa5Eu6vjtWm5ZDtmu4uwwxzvKF3N0wQQipKwRAGiMGjdodo9 - vRASKQlGJBsWWSmERlDwuIb2KKf+aGmf6uiWw20aFKbCHs5qiurPPb1PzS5f/z7t6mXZbatzVSsM - +eg+C5BhhBEUTrSZmOMu1LvNbWipKJKVGbI3gNogY3kpH2nlWrJk5up6KckemQgV7x9PCigS0Q5T - Ih6QuX1iteTtd+oaZmMSDpAXfyKD1lpdU7qmE4owCyztuCCUFmV5bB27fryBelKDYAWcoMx0GYfY - fPB6fMSRCWZIypm71mJ8B2donkTzwWuRj3ojqShGJb0JFVymAiykqqo4Fn02ZaeLv9ws1ONGV3tw - NCQAFfF0JdKQ/QU+UYRZOIC2Wmp5Yiu+5nQ4FCpuo7Xjvxid0onLo/aVjI2wZ6Hd0OMv5qFFAA3h - cCMXCqgvUtBMijSNIU+9IgGPMCPpyebqo0VprzpVIMMsErCuHeYnfoWIIFHIzdlyOW5Jw2IUpKAZ - Uu3xCdj/x967dVtyHGdiX2Rm7b3P6T6NbgDdaNwBguANvEAULyNK5EiUbErjkda8eOZh1ppZ/gHz - 5P/iBz/ZXvbY4wd7rCXrPpTE+xWgAJIAQYIAQQCNOxro7nPO3lWZ4YeMyIysqn26AVEStbxjkeh9 - qrIyIyMjIyIjIyM9BjGznaZsUK9O0Yh2ojFI90uqbc+cm+8yZ7IMrqfSkel0/aWEnev2XcB1BraY - mq1FVayxaBwo8q9MUvGlViuVYWcvg+X4D2R9CSCBhuJXISDF4dXXXnnh0guX38DF2x/4zKd+/w/+ - 7e/f94G79kIAeqBLjDQMC1cswHZWmS5s7zip16N516qu7KmQvCNkNHNdYHCZcfm/4mqRFnJ0u/TN - iTo3KkvzqGQLyXgslXLAAKgJ0s5JkjJas/ypwSX6sqDddEptJDeqr/7Ow0HjMmqBjz7Zxk+zz8em - FnmVeOTGX5HqXl3e6KMbhmITnrA6gCG+5vNQx2y24LJK1tEWz1uN7YFn8ckm19rSyC57sGwpW21h - yeEIxB6calwYqe9SEB+PIyQevtQhUSmVblmvOwgT69KYoI4V6RM3qIxmQeGCzBLsJVClXprHEuxY - ZEYeM3k/WhDp8dYydZ1uIAsG+sPJoktTEaAZPzL/YY/oC1tzUwSyLTlyr6qFQknHliC7zNC1ihVr - 9WNuZt3MnGxR1KHLRrOyjRuXrw2QbUP8wtnjzxoiVArmHfm83VBPmpoK1SzTFmnUZu0TdHONyicg - Y/dqSY9EOTJDuUc1gRNGYCKCV75K1Da6RWoIqauRisK0JIE/pBtjbLRKblK7rv/xZW7KGeG8EMz4 - ZtbPA2edLYRiTIFh/D8MjTAEMjux8T6Uz6lavxNoH7P574QnUZGszVPzsXQgKB/KY03ToDXViU0k - 4TC5nqQJO6RkdhlRqcZFgEABlbINmVqM2bZ0I6Ad5xGaDfbt/LN/UBU8hVdZhZpdbCCf4mzRzTdl - olDOMicXB4Q+Vu5l9RSM+kkqY6vAbZmaG8qZ2e/r4MrUpMaOcYYB83aJN0Nts2eUHou3OxsB4nUT - U02TvFU5WL1OOvNIfei+cKAZHuECVlRzUaqz1WBiOJvtQyNCW8PEFVI2LYrDmjzK4tiwixSVaCBd - yYSJmk85FWSCz0s5M3DttNKO5R41W80jnTIR/BiJVnlgNscnUo9GX1MZ+KIgNFURJCdNeeXqV05p - w5MtRMvYuuk9Ng/0tQheK2jcyM5x9XkmgSt/M1vTqBzLuSE4WYLwuMBIwA7qD1qwcpEYIPmSSQBy - Ik1NYmlV83XVcdgiuif4GAazJLUCqu0fVerUohMgW+0cjKTJyUWqdEEN28vZFpxe2cBiinnJbgUG - c76rD0B1A2qndcPM8AkbyyBzKGth1kATSbZBkhmbIc0RZ6ZNzdAbaopcaqda3TGy8tJMVoIdZiOV - GxlOAOdTJjmDmZwtBRKRW7oGJVEF+r9IGDxCwQcEcGhGr3ycnaTVMD0GCJQZskyVrKRi1chGpGbB - nQDdhIvA4NE7lINgobhuXVErYsaMJA8ArhYbgyiReFT3yiSofiT9lzDA5HeRUZCao7HvMjKiPkzT - SdO45qvUNsV1y6oU9fNiwvaEY4eescycI8SnYgl7PQFamsjGZnIjk7PKVRfQOXhCPlQUQIOairXb - XhZxeaCT4pQYCS7HOEpaRl31wCzAy1qCu7Kzmku50l9B1gOUMxNaFxYNoF6TiUfkbeb6ZZHwrF0I - St6eEAnRiT86gTrNzqe0lRx4ZQt3sjIzs2zyNGltETp4FTECwCy9R94OaQ8iGuUvtkYCkpez/yIx - 6hE95AtKiLBw6AhRZivpaOdKnZezYpCrE7Qd1QWQEagqO0FOw0jhqZ7Kjhn1scpYnKqoWapQ3Sgh - k1RTS+Qdiw3Bk2SBSRIeZjRxkerjBpoADIjLFlVvaZD2jMHyTwJ2rtt3CtvMJEwNAxr/QVN2J2sF - Z2mqoYmTqphJTANigBbAATDA9XBHwAJYEhY3Hdz6H/7Df//f/ft/P6z3hvXBmdP3nr/nYFgi8bCi - zgPeY7HnRYjkuhtFOt+ZRkNAA8gq0lOrC+qxEhO2dJNsf039VIoQg1gXWFq8rCKpqj4Wa2Q08XJH - kkhhpJI6RQfP2Gb1p67dRvKlIYouBqqigqgfeQ0zxC1ZaFxZi9IYJsQ0D5u1UNmzrQ4m6Hqlum5F - y9f1yTYmngO7BJjDSQYvhnxQAh60khzjap5mDRMlFRmQr7UhBmWtzkUbzLTRDIAvy2x5ZcYgFIYr - n9WFmh1NZ8Yo7zCYODcQ4FncCllJZxeodf7l4gxjRTUrOot9jbPMW671Y1Vb1SCAuvGKVpFPuYxb - QrnNBcQIEgmA4pHg4nqGiYSqg6lUYMBJnDI7jPiqlC6e6/YtlThKB2swkwy2o1ayjdnHcmaLGI2K - O0Dyg8z5d2j8q8GTKlVGwlYsWqeTf/TK2ZEmfUp53MwiXQmvznfjAzZxxyXcpYZog9rxraNfjuYa - g5WrH3nURZLoMj1mQNANjGxF+dJc8U2R+dwyLdVJpA+EMNVObRcWJp1skcdIMPsgSn1nIkSzk590 - qP2IQ08ANv+FZRKypOS6z6+aAuZfqDfAqBYuAe5UGhCSO8Ie8u3PmUIUNd1bKRUIJYw3yaqGqrC1 - 49aOoeX0KRHYlJtXsvMSmdy0uP7NTYtKPuOYM/wyjgouY1cKsrUe9GMRCMko5iK/qFZf9samolMy - dep0Ii1ST26YwXX2Vz3m4riyC0OiCyUuyXYhF3DQsHxJw9NEpYnNwVScWGwQqCzDQF4GZH3RDCBK - UpfrxUnm9W4dijpWSm5XjyjBoVX9ZhRg3ZNNiaopIuDIuOlFGhrq5ANOZLLKjPmiDoc1rQxMO2uE - 6JhNGWZYRz7TacNGwTcSXnkmU804/rULSsM2LN3iq31RBa0j3jg69bPJ7JWmKquVhE+WItWDn087 - h3pcrIFt7NJIkBsEsxHPquKny16oME9jrStTw7ry3yGQVkMy2FZanVQdjd/TDOVNGUOdLSWBRnZx - UVnUfJOnfM73UwR9YVDSE0jl4BMBQS5kZWPZtEiTWYKouJWhD5znJCV4PdVkJWgVPUXomb3CsSk9 - 4u1WxowoUawSlcpqgqhmt4kCoR5W/Tq2qYkqY/mUkwCIm2zRyAs5yxKBWDJJZYnnuEjMWPkwB9QB - 2QsJDmLzYoBz1VwVcS0hm9m6cbIzHmQMR6fX5ehbKIHyosMo3/S0LH0jBMKqeHiV3D3IlxsVSJLY - olVudkS8IIiyPktA3+K8UCueyzHAVBXEAuIm60B57y2vjyjV9A/5zpJ8V4bTQB4ShDUOk+SSDsNl - yi4EeCyrogUh7YHyrQ6kmOTjYvkGvH0z27IJGuE8mAh5OyYknEZhK5QxzwbwQs/kNes71yyZnBwA - Gi9bCjsSadguA4xBTzmynG9g5NB6QqKydV23K3whBOUrb6VMkSllEPyIVsKkxZcgKQXUI0GuyhYG - sAQt9G2+A2wgUHbmStLugpKwVk7hxXJWMjciPO9ydgFgj3A6zxEAwAZuk/OQCLbRwS1JciAUusm+ - BSGINClTOwMvEBdZVrV2gvzSjGtJ/RKhkUyleJE0+tyN43Kc5jfyTkKS0VThpL1mcSIQi2zSQ7sJ - WICJS18YIE8mPGGiW36pYee6fRdw/eHdUoIm80Ae6QwnjZ+d6l2G8J0xHLCCOwaOgKvAqSyMnFvd - cfv97q67nL+pP/TE8Hs4jBhSCLLJMbi80Gc2XH8S0vUN2wfNeoFmKnCApKB1sjzWU2ZtUaoqPuMz - MKKaLKX3uSiDci65LJZNlDJDQqKkdtbtSm4XL9aXpQuxikhj3VlbCYTiJBl3fmwauTLWblxmhsrv - UlyQIkLdieu3bPvIqQQYzXMDUBiOr/MdAxQ9NgyfEBjBq+yEphlIuvnYZR2cNjnLb1IPqZNdxFGs - Gomuzp3VVHW1gEr0onjrgr/0Xi3QOhbZYdtONtM3D2E/AESSFoATCK76I2DFPZd0CPNKiho+10hk - NUWU8xniBawfSuVVdNTFRAIgV8aUgYiq9hzns+4NT1cJUlwD2RwoiAEV14qz8RxrD2u3qGzN1rWY - MF/jMrCHwOSPyQQiiy3UZpNFN1VPpFHaJnh/xJ1cq2aC7Q7JNgzV+/6Kq0Ddzq50u/0WlauaGEY1 - 9UbDX87gZ7bU7unVt2VlmRmCiSSXGGsA4LjxZiSYECmHsWjNLP01UZOkFDMDpTg664pWGVJUg4Ou - iloofNEMo3KF7C6YkSBdliTDXCp+px7C0Z80fmk1YVu0jFhl1mjlsFktEwAeQFGpoVEAlWI5yH1P - A06jqBWHPGmoMAJyMtmorttACGaT8QR5S5MOT/psilompFldWo6+gaw4Ium3neRsXJ2tUKW8YWNk - sDBbEVry2KFssmZwdS+ndVGO8QSxyW5aF+VaNoeX6HkigqSwNiHVE5JYKUmZ+nW/QN3ZRMmwhEOS - OcSiE/RUlNx7otfqmD6UI0dccJNGuP4dq2+HHWQBYXxyE66m9g+ePre7QlbdGfGlSBZJSU251KjC - mQAAIABJREFUEdnERnJ6tngapwedU1kDNsjI/1rtkkYNlaqsdE4oykVb0+2UylltMGCRn7mhKohV - nKusN65bDZmkhiKGrk5uDx7bTvJN0c5V6xFASO26VbG2cr9179bnk3ZyA3oOOyJo4Futm8fysVZh - 7bMTYDoNW9YzmxzyzhobacSGI7lxcpNT3Nq6KvdOe3dS/fpuYphOVAmNy58IdS5jPE4wysvqucy3 - DD1SI81KYiUrcgsvjWe+coGr5VACb52ug0pHZfDJKGmDf/5fTVnQUMRIKCvJzevSddUYlXIJhavr - h5xt1mKymL01qyxcQkeI2XULAFjWwZNKE7AGDaAlks+T2glpUjkDIV3IOUfFpsoEGIBjgOE6cAf2 - Gmeq/rXssMtRoZLfOQGubA+KD5R9TuNDmfbMekh8EEeqoFRct3n3K+p6EybJT9LOThV6qv8mGUXV - bb1mM9/XM4SLsomSFacx4DrwIrtuda++SH7HEklM4ADkdMCZLyNJaGQZX0clzToAivYCFsfqI2TD - Cyyp0JnAiIR8ih+EfAEdVEjmpdPgEEESKELcSFjoKdvcM48FEspx/WRlqQokR+3dAY1mUtetbuAy - wOipfIxF0Qa60V1mnxds4HV7LxPHTpIifUssec2Q04DwdrkoaCD0oGUjuRKAfL+CZwyECKwZMd8j - T2BgQViw3e1IlFPJi6XVtOhBAVgC+6RXzysCm5xfXYVZ7q6XuHXZDB6Uyh5YgvKVAIMwZE65oEJI - A17ysn6hbJ6zu+fp1lGttsTSMbAChdZpCley4cnDTPxAcieft+n8rD6jnMSe2yFSycslMB8+nyvS - 99VcqPr9nw7sXLf/QKDqqWhWlBV9dd0CE5twAkXVyTde5iRW8pDpeBN9wF5wxZmwCJJEZ4h9ol6z - Pk5DeWZOAbStk3qRynqNrPllDKnGHiNNkzdez5V+cJUYDI6SBqqaMvoV6+kYn41acQlLw0UYFNOz - yAtnGkxjDLZ32lLH7IqPwvQqAQEqETFbyvwdYGp4SlRNNeBMc84SbrQ2v54JO2p1zkiGcR6I4TUA - PsHHvDnMrOaOaL4k2RMBZqQBcHAuy3JdSM9yYGlXb9UZi2+GOhrqdiCNqxgTp7jUyqvKy7JtUDpH - qgn0MFXd7FPjlJq1oXluO2jXZca2NYmEmYnQHoVj8ZCgTIH6whzpyVm+6nmYYqfMjKja5Hl50TgU - JqTPZUk/VDYqRZ01q8rAUA1/ri1pncXalg+3jZf+FHlF1R1cqDHmhQmQKWrnY/HbynrAV3eJOmtq - UUsKrrVWDM0eSQGuH2QG0IQB9T2XiuUHw8zbWsBEvFkCkRxzM0nUCdlYlZYVB0Jhdi7DSABNelol - Xv0nZ44tIjhPHGdJYDpkpGujqooMyNw8vrXrusBzP6iSzRQ05CuH2e2OC5eVgyy38nxps7XIbJKr - HIi8nltkXcstyy08RKTe21SmnQxq0ZNbwUrXaXelTCvBBKzxQPX/pWyrpGH/KmPD5WIn00B7SlmY - zbCkisSJNsmzVYSPL10xWTN0vgrbFoRcHjgjTMrMBQAS160zPN2IaLOgzSNPAFE5iViWGi7CDbqO - B5JktEyCsU6jIjvJnGxWvV66nreEjFNcqVh0X94PIJPMZFaXmtEwQ7UFyMaqG+nTKjgQVAhbU2w8 - WaxYK2UMtxX5X/GdRVuHZQyKWbIYajmqH3ER5gCajEpNp/RZ21YytrURZmWek5GhihCV7ASzUcTS - 5WmCFy6bcNx+YPpldm2MnOLMxoxJYC2Ln4oSXGcuacjd5TlmKRhi7tUJYOYsmRlj67B6oOnZSQ2d - yLLzeBg2uA66J9ZxHazM6zH7zxXn+TJV29iSlUqcnTg+OxoT4BsLPHMRmU/Gjco5jSpK8jFLb5ZC - ZiozQOzU76fP8u9yW9loRoy6YubtDFLTR1b4533gbEIlzrc/sag+Arge64TsgoN0O3mdD4nOtZgV - QoTzssHDRXikmsyJQUkunDI9yBpg0EPl1WhECVtOndQkRCme9vzhIO84KG49aKO6IYEGcKdShIiW - 2WXJWNfOi4lar8nUfnnpmuRqcFXZZJ6QjrCukyAvRFosZJuNGUyuHJ5iSG5YF1hDZUo+dI0Hyf/k - IwjiICbxx3lVUpqpGTqQ5gp14cKiFFj7pN5QyEmvRPDggORRLVZmDCyGE5W1B1l9KZCz7nbCJpIt - PrHmwLeFS0CHoCQNsR7kktut1TBgliOhyRomZYCojAhcCZoWB+4EzEqaUevMG80l+IGo4kvG3FXX - RN4+LsHGmRmJgMjoCb1G3ZKm0WjneWo3awtlCMiBruwowaXcoWzZDqA1XASvqtu0bsYkHdSkMRak - z9fABuhAq4pApcca4jytccoAAYHkuHMEcgJi1gmSmnFX6pPtkRo5mq/MqXs9ofIx1wlF3tQpDSma - ujoinWGmYGvpvgt1+o8DO9ft3zdM7YqyVJYpW5fOhJGN2H45+xcBS+AAeQOQAMLeqX1QYqLiHsk7 - Ziklzww4ZldtVZkkJ7TbPi43DEy43B400l3iIuZKUhVdJc5ayyxzybpgT55GSVHzDPlY/NElbn+0 - G9YIuZne0uzPAlVZTAq2q6ey/fwLhJHhqQLOjkRriVP7uK6P3qGZzcZI3mYLO8Cz0aflDAiIawB1 - +UzjOAvydWVldVRtJvfWmS42wp6kZ4axzAAUX1X7zjxshspXU0r3+ooSACBZBGxjlLecm9u8inGS - 7Iznxvw2PdGaE5wrr1ib82rVVVu1WFAOIIrgQSlKZf0wM9DEauQBgCs7j2NZJdb5yMWmc5dl0EZe - RUKxBnQnVPezm50rTR1BpYelgibFgWhlNWAZmPUPYGLbofIm6R0702lb+cI4TKuZCvPJZGAziYoh - Or5TSImlf+UFQrYonJWhhcgy+mX/ziwOg7i2xhE7LCfydETbt8KtKY+lRvZCj71P+lUmX/lPlWlU - uFFKTSdU3TAjGNO80iV/ktFhK93foTiqtU7167RkXtx688iYayWaRkSSMeyE/FSjA7K9VGIHEuCp - CkUyx9ilwzMYzwO1fZq+5pbgteREjY1d6natryXL56o/2Q61JLStuyJlCTJBuqCrIsmBvb3yAawR - r86SgNA6pEbnHot5YZkn2cWg1E1FjtGY3OZnHh3yICT4qGaBQwIPklwEIgzyc3XdEsMnU68rqHKh - ZJMOTlFmcMwrqCLlbBnLD+Px5vbfWXYwpIEZUfPAzTwvXMZF5uVE+YGsq7R2K09VLymzR6bTHD8b - jbYdxn2n5pG8mO7sUD2EMGmx1GpD6keyuPWWOquJrZBW0StvRn1hjSycE102kWmLn3AgscYX2G0i - 5Q2XnSFdQ50SWT+5XwLASCHcALR6tlzK3r4DAV3dpzY6wahKZ3C7DnD7Y/zJbFzxDQNdl9/eYXlr - HakjQ780/5YZrfGFnM9wAF0eSk+Z6YqnMPs6IUemlRZGMhgdysiuW+j5d3PCx6ueZsjRoTJdGx4v - U3l7L2eft9O7jpnpMajE1qXJHAFgNq5cFSWyFhOdrNteBUsP7NftrroPnl0/AVjqxXEq+qmiw/CM - PSDVJBwSl0wFQ+uxAwEugYaEyBiyE1YrDXrLRRl9JxUiqhkArbIcxyn0KhI8kAQ85rzShbZd9dui - Rp0oLRa6eu3MOAT7SR5vzt1n2carL/Ot3eTHo59fM+CCoq3ByJrIrgqwcujFZq4gABtQ11qsIIR8 - Q2tZGRlJSoyQJJrHlYy2ZDhanSBrOf+CZel4BKkB0Tg/PYYFep+9pTkPLRKQND64uF+NtYoERL3i - qvK5K2q/OfmBgkP9UeWdXYeWEecatUoe1Gn5qBQ+jdSBi1O9rxsYUu0A8diC0LFMsQCrnXWhYqKm - RlDjWyiVnmseNiLZGkGZ1HvIzC9mUSiMCGy01QUQQPbDvOTWOwGzr5+h6Tu4sqvzGiGxB6wqe6Dg - z6hrF7v8d9BEZEVUmElcZJGs1qWE3F8SWC5t9uAAXgOSNFmEBmeHr6sDzqVjU5L+0sHOdfv3CtVq - s3+j8obZfCBvtwPJlhmpUox4a5lvK8o59RkM7xIjxgjvwSLMgOiQmIgRiKcMWv7gslM305Q8sN6V - Zp1Btc9V8aujZxjxG9teGpOfQdGErG6bR2QW0ARN/5nfMDTeXvxakxGYqa4GaxEZtOzwkaGJNWLM - 4xG0S4G/q0yYjIoxE0QucX09YRhDZNrmAJtCJR21/zUFCJCtWeui5RLyzHCMToggZCbFN0FUuVp1 - jVwnbdsST77SLpBatxUlO/dasm/TeFEXJG5UNP8issjpnWomDtNuAxfmMBuyAMov1tst3Tioh6UY - OeSNYj2/D9GRE9+SMn6NH1TTbnQkpRKszJqaTYqnAyqEbcjVrozNKwYSlyoNHioCypCTsCqX2kxW - qPrf0YyVHeBx+xZGs4MzDYQOuZMmrJvGHzMTgeVctlTm5oqOGtPQEIMZaeSrmE2mM0x1SwNOp8Zs - b3PeK6o25ShSSzKpsfh0lTWrHEsMyHFw0kgMUiTG/SKNeayTT9URl1mYWIoSzHI7czLqtQnK8jwa - lsoqAHKGHyvB3hGM+WBWtkq6QPOSDXsAOmvKlQW2jMGr9qGcfUs1MqV+5ad4bcd4guuJlCDjpjFa - xSRnJwJcbD4ZqSoYHsp/qoRg22uTTiC/rAcM5vFu0cx3MZb6SDOycOUZuVvc4tlQRzm4PkvFZVaW - 0+SSeLu2E7WqAQdyDAzqupXuc7Tj7mQzqh5fmOdPo0mLfdNCGzmlTO/s5+1PQjNQDQUahpzt60j2 - 2R9cUgSbASjd7XJyD91Lk0/1DKwNYqonNWbwaOT5PKNMB7jqnMlhLKBJ0NDy/VhTG3Oh0KxoVdmV - Ucam4ktCXfyWvhHXaZjUEi5JgJq+ah8EJ9VZVeOgRp1Xj0gyO0E6eVW2iqYourqhyJx7s3oabkCE - FiQLOL2Yrt1Ck58B5ohQIRCkPCab8RXRbbPRil4bk3aDsL3i7dN/y5cnN85GRdVhUdzJ4C5cn71Q - nGRBR57hopiDxejIksQoI0KJWyiTXWzgHMkqoTij0JOiuoudLLscNv8MGtNlm9wwzdYnpqGx7LNx - A3kehWxWpLb6fFWR3HHHdWWF6rplqOsWBDVcm/0hIgBrwrHO98BYNmbSWF4hIbiWmqWTURswPWJQ - ZPSMNevFVgCAkC/uK/vcqg2z0yqpx3OkMVGZRMKRi8AkUAQOcy/EJ1s4rMQaySOqZ88NpkzQgxvK - QWTGHx56MhyAJP8l38T2txWC8r3l+RR5MJKuiHFNfkGgGtodGWsillP/TcgHIVXHuhFkuWLfSMY6 - 9OVH77DJaV4BDwog1gOzSdfwvrB1wODRi5eWgvoQc5DQAiDZJ6n4MMCMlBDFVm6O31e3eKUV2cmR - 5IkQfGR0sCJQLsRz6uUnyUSc80gUc8Mz0IO6uqVI5fN8nDXkPAmKoVoApP1K0xUBFG8HyTZRE0fX - 0A6KoOxi9oIVdcCGsAEICLpvt1HXbQAWgAPljW8Y0VGiyCMQdC7rPEqqqJGAHjiD5KQ42T71Iwbl - PMJqkzTav4ifyklOOZMJ0IWMyzHYzAAT0gKuRCwx6Bh0BHhJNFo6hHZUf4lh57r9e4OpJWr+aw4m - sApmV1KwmVDTpJLaWVEtLwmAYyySTG8mJAZi4j4hpWHZeTBijJ5y3st86tPxBDdUZKhYVnNAkx/G - yFF5PYr1o9yRshzaZoJVYuREOwSr1Jr66vetIoWo8Wp9zjY2kbyNHzObwtZT14gZlLGby0JmzAmr - Nub6++5B6mU9l6r41dVmbpJM6fLnDeMzNW6t7BzXkuBj3kxu2DuN6GZ42xfpL54lyeuUzBKrdof1 - FwA9s5plcfby03iRTUhy+1m7tjY1GcyKEpLwxtZwJbXY9QlTuQzBPPHleKbSq9oPmav0V9IbnAOr - PVlHh8Xkz9qoGhZiPXC1RJphSHpuJds5JdJhOtrcdA2axoRNaWGfPAcb1HRkM1nKOj7lu3TtSdAm - xkHEXL7xZsRc2aiyXEWj17WO2a2scYXl74ZQTuYIGWvJytRY9mALVXkmQrqO4gwa0k2DhZUtrBsZ - iEBiBOvzNcTIiEQg8ni7y+AsaGjUbf5QcKrcwXqTcaGhSrZ291soQ4bALeG1mZJQYmS6shxmV4eC - 0SfqAyAziHVOvCPgyZ/U0KR9pwkTaPy4QNS7m8fBqnLkeTLa5SreLafDg06Z7AWaTr4TxK+VrtPP - ysfme7bK1nM7Q2r0hfgI6gkfrXG06AYjKb+Yq7a2IZxFs5EIeuWhxZCQcr7zREU4jMRpra2ZOnUm - NiyLkV+VR3VAeklAXT+DGK4Hou7NEABEcKw0kdXhkFPzF/2eZuo3jgczx+0sUy3WzNUb4nge/Tv3 - 11gA5UzsVmOWXwwkOS1bwiRFQDhGcLJGMiKRoEtcyPFb9jZQKclp3RJ5NYPVlM1vYKar7ONRlclk - smDV1J2ds0YxlZZr3L8DaQCdKwVKh9x4AGHGaqw/2Y6o1kHCVC3hyxeN9DA7eah6idWUrXabMtac - WxtVzLZ4b4HWYJOZRxpqPRu566bx+6oTafYcsUFn65txR6h9PXp43c9vHEbDe2LBVqQUwUOWjKRi - gWu9CYjgBCy4icPMRkUVd/q8OIVdeasiK4FTzmhZwucnIqY0msGjDSZkkZbJXu4IWCKavnLLdTih - fCFMtjN9FdT1u74Gq7JtyalSYiAlV04GDGST9ghzR8IauAYAWDKWwIq54gfVaWyWarMMqHt9ktLI - UpKRWKJuS1hAdpxDDTkne1fJ67SOdaOrGh9U/8dKtYZrB4NdqoLAAbRRZ5+T67Nadm21RmYu345J - m8Eli2tnBIcVlIKS9Ivn53LuamJN36wwiMlEIa/RSz+qW7blcqCOTjNCpFpUkIwkQ1Av90vicMxn - dgnkdXGWKxCpyeR0wnEOpYZdaVqdoDoGmjW4EnqCdgt2bhk/L5nOVJdqOZgV1WbxyAl8G/kSQQNo - ofVYW84ROsYqK2wdQ1m4eRtaNjJt1Rooj6k2mI/3OTV6e2AJ7nT8Fmopd+YkZVmJ50y7Oe/BoN2E - vnUqEc1cYEPSEvWeWmkKsLiq2cjD/Nw3BiJbY0qpp00rUdPoXGKq21lO11PM6IEj4AjogGXjBb1h - NfGPDjvX7d8TbN2QNsJc57ksqzyb1G91DpYFCwGcZMOEA9CV2Bau1SeGJ+eDBGL1oE1wBA4YHPfD - kAbyPqyWaNedczJ+BLU/7YetaVtFSZWK2lndlG7raeoVNS/xmygRirURkl0TDYwtM54BKidwpGoC - Fq14M2I//5haxHU1OyYFjyzykU6Y7xePuniiWGhJu7VA+W+9IkasNPk5gxLZCq4D20ygcTHr1Ovh - eixCPn4JIO+YEsHla2Ek2VwVtNSBGBgcBrnegYtFViS4LC3G+IuFm5JUpi6BsYbOll7NXzgKWUVp - sC6dvH1r6qKWLiWUTAsTzHqGbQ1sdbJV2zrRp3ymZfQ7a2G03iDDEEZWGDrOxo0aw0OPlRWWb+ca - KfG5/RzGpaswXpM6IrG0lU56367ZagGzSdvVJvOoNDd4pVkOnwOVCtV51wpgxUlNhHyNgyXOlGAT - +pmClTeaMcrM0LZfd+YndnMlGHM5dagydEQYNXZ1fT9JytjY1sYNreHOI3IZX7zLCJQJMu74lBwa - pKF/lanURGzBTIg6J3BjIzoL1xOqpDsoZp+tqru8Okh1kldQ68hoDAYAz1ipf7PZHNEueQAa2UHj - g9o3BFNdnMeLiwRFw9J1zKz5r/TNz/yIoSG54dDY2SIzGwt4xH1TBUH2p4m61eKFREWMNk7kqW3B - zV+TJlm4t2w55VfF9vd5DtkoMYcNaKN+kHwsMO90A5LMlimHocZQqThzXt4Qg1o6jQqmqeAfi/pR - xSicOXsGuR328VRn3Rei8Xtmq0IN3/iEhd7S3tTGGBKiK5kQ2znNiAw4eBMi2qA/281GimybsQZ3 - U2WkRuanchy72RltdYUluNZYLbhKL2lVENc9e7ZojMZGnlCRY47bLvGos+2HLRuMG2q+/YUBj/6o - yg9gsoFQmjS4OciR+UA2m4t/5t3h2Uh7S6Za17xFPfN58+Z6uLRTiuan9ug3Sywtmgg9MoiLQJDz - AFFdpXa6NOaBDrHdQJ0lJNu4cgslrbPZgmdIVtzJbn3Oz8GzWdK2CSSeJ3BDHbN3ZcQhXA+KhJI0 - VnsrU4Uk74FE1RRY1RpMRwECOqADTgGdLpQNYgRQBAYGMRZC8/yQhpxqIq8l5VC302Ma8nGXgByn - KZs0TJqXa5PrJKzqTJAeDy2qxbFFqlt8I1cY4CXotPHnR7HGpLZjAHImfbrXOx6SHmDQnjVLkG8A - qyytYriYPDSuBSDNC1F0DvQTYnSw/FGZJ0eDEmiA45m7udhUfwJQDlNlwDE5gAneYQ9gIIBDCcVh - kORaZADLgSB7I2kfyBlQOWEDsYRdcda17RdBvUgAsC/mgYCxRmbRbk5KNNPLyKNizJP+0NTMJRRX - kxaAoJxW/gQQQAT0+nfXTFBC9rcyBqZ9cmG7/N0w1uQWVhZo0gtP9TIwV/YyVJqvdGhK0oMSJJ5l - X6e9K5rBqYUQlBR7NaNIlW0LGQXv6kQhzruALKk28pYAZ7OMZgZxTAqN4s8nrkuGTKmnPczaA5Gr - FVHmaZtS/xetd//+YOe6fccw0mVzYz2yARom5KaENe656N36ffOMQElunyQCd9A1lu7eUTEnk5gm - x4QrhCWwh7hHcIEGq5S5Tm2aoG1lRmOV2m+3UKBKfD3eUczc8Xysdmt9kpNrE0ZBrfKHOKNIDKt6 - NxNAdU1Y0S9ay1p9plPjDqQiO9q+VzT1bb0FaFTNCXbttHD7xv5JcwW4HZ1RAZ41Sbc3+u6g4Cax - JgyXkzlRFtKpFzYkAOK69eBQ9uhRjYXsutVFYV4MFp0zIWQdgCziiyU243JT16350BScMF7SQ152 - gNtvSiOS0YkAqyFyfUmPQcp8bEZLv8+kY73yco5bWKNuWUvQqGjLB2Wzmq2j+QQ+lG9HtkgF0oiP - +tYErKHSSKz3hMmZ3DL3IGil0XaTksIi0A73yK0kHkK2dwdtmU1ZPMh6RlV0WSxVIuhcVqljcDb9 - ZtMbhrg12Va1DUzC4kL3Zp9ghLX+TG0ONYtwFaek1dtvbScKfV39lice8FbRjIlT2E863jAtA4Sk - Aeao9df36iAkfZXKnqXt3jsSUNeRaZWMk/j0Zms+gSPIU1kzmRlbixk6sQiubDsNciMK16E0My4C - XpPSXb8vpqXRBxYnzhq/PZqTy6TiD6DxLJrh0rygboZYZGaqEpVG7DBBfDThGa27IVfqVGiWVAyG - rWrBKk/Y9NnyKNt/GxGdCSFOqABIpI5WkQgbuDW4081FSpDoNmIQesrxpclnF5Wpd25qE0DbJScM - n1cmb2Te2Agaf87z3ttxG5A5xkaycRvEh5aWde4muJyCsI6tKN8hu24p31BtB4MBICFqlph543Ab - qtz+TTOFBQ9zMiyNubQeLK3f1CwxRiEXlEcnJ4qEGou80kJTfltHJnSpMNrjb/6oA8Dj5y0+PCm1 - DW6giBZTPqgHLPK0hKy/+2LEQW59yrojkTiY9tBmf353wNUAHD0a/9r+Oc882wYzVDSbtm0t3AxB - iRMzlY0Fac7WxaJNkHi0aTOp3P5VojSlaluOR9Or+kmNVZYKj8/s29kZ0Mz+Gb7lpsnZtM22vGvQ - I4A2cFfNuDqhX3MYPSCtQATKyZe87Td04xBg3RztgD1wx1nLkXaFAc7nvgfGwPCMTs4dEOB0mSxS - bplKsgTDIwlgdAkdI3IJCfTMdMw4IuzVOMFCmBxQ1Ii9kevW5F+pg0HAaVAv63dE0BpuCRo0ADAA - i2aXex4iKF/31JGuHYowZBAX41Z4Smd2S2X9EVAHPckKQo9OzvFg3hrsGIkwgDYgDyzyybC65DhB - K0rrEcikYA3S8gQP3qvdt/eE5j4yQMjbAl0CRQLvI/TwQ8SQmYJQNzCc1U6cP/cMn0pi90rqURK1 - YkcUq5kNLsbsKM+EsfKWcXnqzOcRiKDOUIY0JiOK9cgEDuZKb4m/pkrSgXEkSZPpFChUpqsIJmAD - HDGWpEktMm9k1y3LYQsnZ0x50hdPwqJiSeW9k/yeNMNSEsbJfWy0Gmo3qbBFJ35VGvR4QNYhC5Zt - YycB2KSZc0dyU9lQlxJR0yyo7S2EdUD2CQ1Ax1oetAGlfMiVpZgH+ybjGfW6UpxsSPzywc51ex2Y - mhNWtFLNR2Xe1QuNuK2D7Bzhetws2UYaRVm+pjL/e7nsbx5cri8y4IZAvcMx4BCXYEIgcgu4lHck - RuYXgcCa0kYkkWHfSeCj6dh4FdKI+2nP9DUVmtQai/iZ7DaXw1s5KTux1524oluJ3Xi+kxC61Sp1 - iWjsp9o1FrvwxH4xZHnFTNqZogON/pJVdu4ZWXrZuliJRUqHxqosK2Qb3pHKybVW3bM6zBun8jaT - YIIMAI1T5FGLGlHQxK9lQrik5ygIAA+a5yAbT5RTR7nsZbBnM0Ea6DEbuYJmFLb0Yfy4HaRR3+ae - bK2J2p/tN07z6PAkOKJV8/PVy4g2qtwUyIM2Do43hWY6IOiM26UZVEoNBJhGxkUbi2by9aiVRnrN - 8t52NOyITMZ9K7wz/SoN8XgYzE9uC49gRgbeGA4jfqMic+aHU6wknjKkVlA/2j6ssMfSVb6NiNwi - Ob7pqd24nm3I9Kw9XDwWllS61TJ61Y7T2ucYwT6m7TO5fdq4CzE+KjLT+Hja2dW75WZAM1DPRteO - 1/w3DGRoZlGZGxDRoDxF/N3CCbrCjG/LuFsYJbutCxH08DfPjcL1JpUpP6OirXEFEFJ1QUOSL9IA - yjEnvqzGwSAkJwmPzQEN+XKOFiR3rOhNKzaie0b4VpxmS5S3DWPRzDAoYjM43fiwi5GwhvpJAAAg - AElEQVRSMrFUvsnzWSJukgpKXZgpi6nH300bPVkUbpuqjaUzU1erxKV02biygWbvgPcLt5z4zXVv - zzpZQM43aqofP6JSjOqjd6bkbgAHHfF69CKvBTxYz4JwCZxXEcaa4olPsJ9muXbrm7EeKE/faYcm - H26t4922Qo0BSHakRHHOhrWO62gxqUMxIzT0n8Yim7EJWrHXDg1tf6XvZyceNbqdRq/aBq2OpgF0 - nH0fpJcdtShAAkspz9+8T2BdwIN6IYtd3YGXdZtFyq71uF3exRkYKBv0DCYaNH8uNCeAb8iteClq - csu1Ru0C6IE9qdVKIIYGS5ZHRHY9PrYcbNkOOd16nk2Uf2/KRak3AKzr3YFyglqCOTZEZleX8kPV - tc7gP8IvI1dStfpyzKtptx4JDpIQQ5zIjuptHGOYm/VJEgVIVo3A8ASikk9AGZAtc3EeCQ1nYO20 - D0DPiCw3f1Wnc8Ugf88AeaATRzNPRyo7c6ImhdB4UlvIzjY7BYRWJfVOUVX5n+yOTXUCycgpI+WU - tFKbBwXZjx+L2gj0jDUQQEFct2Naa9gpNnBL3eFnbc4pSUn8tqUL+X8S3zGI77icoTU9JlC9LRDm - xYgswpkDyoV72ABHddaXsF/5kEoqFYz6TQCn9tzYJPal8goZnZYfDqCoYY1eW895fm06kJKl9waP - df5jws51uxUsM5PVHDJ/B0IP2bklYCnJr4HkMThE7jtEyf6NLiGwXr3V930EuS4AixT7frNZLros - VxbKVikhMpwPzA4cPUVCD6yH4diHRGBQYvYpgRwCkO/GJMD5uhFCWDJuSlghLn1O48mcsCF4ho/M - m80QfBec8w4xJvDgnSdygJ4mKNyeHb7sZDVfbnAARjaThoolX2/+i0yR4BNcH5ESQkpdl4gi4mIY - AEJHuQ/XwF3eUSyuwI7gKIIPRYtzMTqH4NMAR/mYW0kB7gHGeoi09ExgpMAcssbVy7k4JeKYg3MS - kgMhJQxMoSMXej1WSRy5H9iBQkigGNEP6Do4AkdyziElpCHvHSZK0TnGnggVxoLyKbMOTOsjHob+ - 1KmFG23juQRskHwcHDsfOnCm1zCEDoTkwBgGJEJYAm5gSin5kGOKQHCUaOjZeyK34NjDg6nv+76j - Za6OOEUeQI44uDJcnIcrEg2cUsKS4Aa5ZSE6RJ9V+IZBOft/3PBwlHrXLZZYeTi9m0DSKHfAqggU - svtg5EAhH/SFJFG0cpbQyRVKoticVlMFaPsP9C3M6YuRkZvbMScZ61siuxFR//GSi13Zu0k14Ahy - nNacuXVE9XBUaaekaZbOKDL6FeXqOif1Frz0f2oye3ScJRAVeywnIaJSLddOB9BST7gQVGGWszFK - BQ84V64RzVbdiIZjihsbxHa0JaCexJnRelS/VmrVhX+tlUY160CQbYRVwF0HSLsm/KSY+XqsX+sX - oxch3/zsmipm6ICaRawhU2OkmlW/twQQQ64jOKcjpTzW2GoOPqBzBXF5aBt0QHBwksBK76H1YilS - vg3WEcoxJ4cAORc+NU18w/cAWa6pT/KV1oZG0jOiigeUzrVKkp++dpUcuKF2/cWjR+O/aOZ9Q/PS - qgM6BKGRBh7XpQYtQR4IhI7yos1Mgba66UNUum59NT8Zps9mV3yTTnOZJobw+S1n7vXN8ieDK6q6 - bdTrQbY6C62Qa7nfjp3IcitcXWFlfRnyv47gMxebjUsd9Ub0GAzLnC0jQnYWk8/s1go3kS9VVuRF - OCOP/ALkwCIfZYgDeJmlhDnjWu71FklrreSG3wtmVMmuEEArsC+z30zQhrDO1m3o2Ow2kCEEKruY - KeMsOZuhJsilcFKFkKo07WD+IRTFGsB1RpS3gmNO6GykkQ56ETWNZFQKNG3ZvjeTn0B614igqpkZ - pFhupF6Ebfib7Dg4Y2eZqaqdNCQrM6Z2EQCIQI1+p1oLIAIWKJd5FoxaPmxIJ+xE+ZUjEBWKlQO3 - cPkKKCp0G01fant649AIsqoUR50jy7T6qiv9aUwjW3WjFevj0ZsZpLc4yWmkVce9sD9vhBQ0/U2t - tjGcDoBAQaePt5PL2rBKqg4EUAL5xlBUe0cpWWp2KmAmkz3PTjP6BT9qSpabiV3+pvC3ESx5jJUv - m39CI8Frt6rcNQRrRLKlekmZDARgD3AE57PFrDgZG6xwENeDlaaVzNgENH4yaqxDkTxixYuCaRP6 - dqafzlu5OxZlKBQ0M8I7LMlaqmwIY4QVGTxQ29gC0nyn9BwpLrtoGn0FQlH+HZAzFRg2AFAXXWqI - SSICboTnZG7qo+LSqqoapbtj9qmZAQg+1GBn2M+MqDT1ZN6mHKibL2H2ZPtuZpTyVZ5cjJKc22nV - DkBwoo+zE9m8qV32oAXgs8jObFdv5bBrASsO6+Qr08QM/2ikSlsaF4qmaKjVV0J63f014rzwKKm7 - on7SEfYcvKQcoVG7hcQLAlMxY4SYnSibFmvpJY/EWaeu27pCaVJDXYfJR7WXR8a21FcTIcNZVIjy - o+InqbRUDlyOhUpttMsf6GsH6gAm+CASBsJfVNYEMFPgnwDsXLdboWxvEczNSSTXOBJ6wpFTvyYP - 3g0dBsSEYS/n72SHIWhu8gTPQEB0GAI2CcuUwECkrg8r8i7AOUi0OOUbjrMb1DnHANYBx4zIPuUc - 6YmHlDyVHOPF0wkgAou1k6MNXcLCAWDENXiR2EWXr3iSTJSOgZjdcERMlA9kOgb34AGuExIwJ+YF - INvuxGpxZDDESQAjBWxUxfYMDHADfPSUCJ4dUo+4Qdoj1g2ntAa9DTqV1/9Ju+UAhwF0BWlPAu8l - TWh0lJwbEpjhKXXmiEUk1yeX8tFHR04uY6fqawcGxAGuaN4cTdIBjgmRc1IfT5yYXUnMQ2VRkc2m - uEHskTYAI6QBHWMV8zBS3jhbp4E5LpHIoUu9bpUV9goRWIOXDt2QMMQcm4rkfEQPHLkhhgSwB3eA - HwiJXOSBaOOYAsFjAZRdxI4QQYOn6DAgeoCIBoce8IwwMNIActm2SuA1xyMiSuiG5CLAjhIicLxK - XUhLJAIYaY0A9im6NGDwSBxpmVPhEWWXQbAr53IMgTKl0FljoLF+HJUNi6q3yuomK1mjlWq1zqw/ - tXJjOuQa2eqGsf0xelB6UHFUg6NW31kTROV9u7QjZ8yGupEJtQoAEGXXbb5opdFcsM67ThxtxfCh - arpYJeMAUYpULcJigBjKNZ7fatyPjIAKleJ1FOTPusBDdWsrtVvXuD5wpbQhV0FkZFXU781C0Wl9 - k0y7M8ZEtlzrukiHq+JQ22D1B4332UtBy3x2Z3mm/Yqb8IM+q0vz7CgUHmpYtHgs4AsvtcQuLbi6 - +qisCV+eU+Y0eUNAvZfGUF+fZM4fjemob+IUHk0fMw292kJ1N1t5JUMwy16HdhTnqdkCTV7S9B9C - IZoDAjqdmjoOtd1VUTKqrSra2xoxE6H0rMFd/zAukevBpMioqdwvLrJPHsp854KyH38DTd5npJ2i - V+8vrjPEkdwbXmmpLGk9A827KosMZ+ly2cFzYUVFs37Vygn5XTwdpU7zhOBC6RgqNlZQUKWK9m+R - N2fscgRdJiA1rtvajiG1gHazuDCo6X5FwAMrHZDaU7K1WDExbsCICpqUab4tn5Etbsabitg3c4OK - M6RlC1KC5LgaMyMqcako/pFUsm6JBuWGH5ruu4IAN1PO/NSuCbORyhAHI4hq3LPhrlqxtNdslblm - UFz7EbR+k81RYyvVZ6yuW0K7JCaySkR2itv+1lciJ0O7fBYLwgHGC3w9EXFDQJNf1JgKZkt37ObK - 2zyO2va2kXvU3AjFCVNv7cL2vs29eRfVmHc8narUAck6V21HYfmHuuJ6sC4Ko4ZgPm9mpRtRojAG - TfYAGlHj1C6T12GMFUC+8X43zajrtnICGXYbDR5VC8PyHVnR44H9jL3s2+lscY0zNU+X2RzwVWqT - tKFtVoEINWMkTodkO7nwFFmfTnbqNRavsWuK+nTlb0IeQRTn0WiejcZjRNfxH6PnRUaSaV83vLZ8 - SPZzXoC4xAZrneUfVUiidvRgyHasVDw5g0/FD4XkhimUKz3EQJ1hzcJMDdkoG615siiKRdKMmzSu - W+oyL/gi931RGd5Xu5QaY6j2OrconAkt0FKGtA+t5JvS7qTxbRSzikNXXW1NfWpyj2su1kXTC0IA - VoQFoW4JT5BxGoEUymwmkBOjbrqtq70cu27rFg5Mt8YxT3bXa4TJqC/yO7SmjY50s0J2JPElFqUR - F2fXLZWFRss4HYxxCBCoy//UiBL4suOgw+zbRfMvNexctycB25hsTUPF8p8I9AnJgwE/bAasO9rg - eEASOZM5Jx89k+RH+Svv2bEbIiIBHsEvc3R+YFCKDCLnnBNnbASIXEJk9Ax46hgYwEMaOLnATnRa - cQV6DMM6uqtdOBXhmTtmOI8IvH0t7nfwcHpqnRaLzhHAiBHekycPUIzghAVhs0Y6xt4ZAEhpGHgg - 1zmipOmkQzMNKwoaqh7VncwE3+c0Jw5w+dRIxOYYfCosuiFP3biBPwatnNI7i4UAdIjgQ0QHp4d4 - E7L/GdwzRWBZt0aHhDAsVv4YcUDyEjlCGJiJ9KosB4CHDXeOsrQnwFNOtcTAZoADggdclz3pMcER - Fl3Z60WKcCki9eiPALDPeVyoN8wzxM2wjjzwqtsLS9pkH68HKGflTuTyvXML8nAJ616UGjmKwJA2 - vD4+0+2DZJsgq8o+xpQOPZP33rlFCHpJkQfIE6jrOkRCHEAEGryLIBcThgGbDbzDYsE+DCltYn/U - LfeQaLOBX4AdesQhHaGPp6kDLZF4ODp2y8D7wZHfAD142AwLLEh3MGkkSmwGmdHqdywVaazVrMqe - flR/VbG7peaq+KZVzMlmb9sdKyNrEbUNj7+xXqtRQ2T7GMYqgkY/8gp5VMvYrprBv6Ix9jtYNVir - PklPzSPY/KXYkh21mbEYN1veXNez1Zo2Y9448SNCQ4QZshmLQGqeW1HMWyUnF5rhhzp6EmgwQ99a - 1gzgiNjy03Bys55rvmrn5gnUaxyX+ftJP924yPjjGR5pn4658h1ZSTdWuGnVAdN5ZDBYtJ/dUBvT - UbiRV+8K5hmvpWcDW7h3ftCm3nka8Ut9uaWS0TvDqGYTbIL2zEA0LE4TudqKrCnXT78aL6K60d9A - kZmtYGpV2cycmQlemZDdj77bxvTbuOcGpNDo1XY+HGNb5cacopoo1snfbkQSM3QzK4qp7DJPJg9P - LNWOmn1G9ou2om2tzaqzUeEphg02U8ae71dL3MmrmalF1TkzxwkzmN84THtkmN+PSppX3Q21N3n9 - dxeC/2AwnhSZADRvjk74p5ZsHGD19aSaWTlG21/NoHcCS5/4eBajrdNirvzMvPJjI0rfjSWz+eeG - sB1P7zFVJp9VTPIrZ4tu+6pi65rzKJMP57C77qOC+Qj5GzRotd7qeywyS/De0sfrzz+ao8RMqfoP - 2RGeroAKbBmn/HiLATwqKz8lyHS6/gK8m/9qJHevv2CcVrAVbliozYjYbQ1NX41b8Sctsur4BGrX - 1ATQNl/fPHtvdQzO6KmTYNTHuSVpUw+N9eXW0RrbV6YEnWDUmTjuab3vaCb+I8POdXsS0JzB7YF8 - V13E0oE8AnFYuOXTP4/PPR0XpxYX34cLp+FBjJA27HwHv0ySaicACcSEIMf3CQysY59SHzqHMBAv - cnBrbi57igmesGBQgtsgpkid6xx1kr8naZg5AR6LjnqPhGseHdM68j6RoyX2gvcBDquEmJhjSnGI - Xed9Ph3HPCACYOfhHAPLfaCLCA4usuc+JXaHhM4tfHDebSD4TXRh9UqUGyYcezjADyW4wDMWPXCt - d2fXDquE4Jbgm4G9hC47fUP2RSesQEj7cPtwvieAQUPfEcMHD94DdcXRMQDewS0BHrDpsfFYyl6d - ByfEBN2y7CicIkICSSo8DBiOIvneBefBCUOP4MEOEVgPa0du1XWJENMQh34Z3NIzYoLr4FYcl/Ar - jeBFAvqBF7TcW3VInlPcxDhQ5C5QCA5gH13sQYwkLnDv4B1ikKRiwILdTd3+GXBA7zAQ93AebgHv - 3eDTsI6so7/eoE9Y7GGISBxWCxccgIg0JIpMiTGAYvDer+AcyPWb4RgU3PJ8cktirDxij0Twfhld - R4sgPvhNx9fOfv+7L17q3zj74MWDe265uKRVlxMm/xMyy3ewgx3sYAc72MEOdrCDHexgBzvYwQ7+ - icHOdXsdILsfAADwGBgxwiWsABfZp8N09Y30t4/++LuPvPzBjzx06o5bbgM5kOMl0oKjXzs6dojA - Jm5CWnegiL2BEYHkwA5MKdCQeOMoAhwjDbHbUBw8DzR0aYhxSMTsXXJ+QCByRAtHJum5k/8xJaLB - I0XuPfURw3rYXL56ut8sOgcPrLp+b39Bnh0iUw5eJQISMefQYpcYxBjIH4GPEAdwOEzhiJJzOYe2 - 92HfxVUTY29290h2fqpbOUWJUu6T5Jjo/BHSlTU2R3S4oT1mv9q4Lq420R0v+Ig3faT9ZSd+3uiw - XoD5uBvWXQgdGCEyewbILRAJKXHvqJOA9wFHfT8sI0JMGBLEC9meoQigwCkN4M6DOIEHAIzIFIaI - uEE6Xg+ba4NPWJBf+dUyJAwJfXTcM3taLCli2MB1oANOLg7oI96+hj6iS8PKHZ077RZ7AT5xPIqc - QIieHTYRa3abBXlKC3AHdvEwXuvpahrWAcMydAu36BCw8IT1gGUPEIjR5WMjy0RICKBEGNAPuHwV - VzfwC3RLdMswAHsBS58iYnL5ypHB0Sb4PQJAA7BO2DAO2C3fvop4CBxj6JEOhuWFyHAgDy+Z60OP - Z/82fufS8ze92D/0Wzff+gB5D8Q12Om9LzvYwQ52sIMd7GAHO9jBDnawgx3sYAc7+AXDznW7FUgy - fLNkFalO3MEhRXQJAaBhg+M3hmeeeuVLX/r2l7/+7K133hOHm3OOwgDnCNeu4GrCEfHxsHH9kR+O - VqFL2PRpERnJcfKHt55fhVXs+7eWXURabY756rV4mA6jH6IfwgDXpxV1WDgsQre/2Os0PQDLvYU5 - t0+PfG/jkPP/MHG/2Vx5G08/8+aVawf7YdGlw1tPL+66+9blPvlFCEGua4T4WQlyD1+MfOz4Kvq3 - cbx+K65ex/566ffO8h4xA0ss5J5J8d7KPbTEJlliCQdOGAZcO8bhBpuIBKxdP3TrkA6vuuPD7nBY - 7q03p/F2t1qfXq847g9vpcOBk79wSwCcA46XuHz8xvHmaL8bbgoHpxAWboNlGo72AgI4Dv0mwoO6 - ReBjHF/Bq4dHexfJBUoYIg8pdg4ED+9RfcpA39M6st/zPhFSgg/BLR1w7Qo214bN1auXXnhuzeu9 - s6fuec9di5XvMTB6hnfeR3Dk5PuIsIJzMWG9xuUr+Nnzh4fHby6wvvVgGW6/eRmCDz15BLjsNB1w - nHCVcUh8esErxH0MeO3Vy6+8efXVo2uHgXCwd3DTTWfPnjk4QCAcHyMO2N/L6SCANeBjCAh+bxi6 - a1dx5RAvvzm8euXwuF9fvOP8LedxdcBNp+D2AzsPyWIxEAaiXnzbIHDXp2U/4PlLuPby4XD5mIdN - d3G40J1enfN7WKQcfR1BwCvPuu98+8XjH7+8uPMDH71nDx1Ax2A/jU3fwQ52sIMd7GAHO9jBDnaw - gx3sYAc72MEvBHau25OBCVETnddnjhzgGMRAIMTj5WOPvnTp0rXTZ86970P3X7wod5rxgOMr+Ju/ - /NE3H/vZE8++MWC1SP0i9csQEvbXw+K479FdXh4c/t6//PQ/+9V777/zAm+Onn8ufO3LP3/0u68+ - e+lngx+wwDItwxAWqcOSF6fDhx5+30c/ft/dD7iDczjtsQg5oS6YGOQYe0Pce+21zXM/eePbX33y - +acvv3n1+PLh8dU+Up8WCaf94vTp0xduO3/ve+7/yMfves/73c0XEbFhcjk/b0RMSEvaRzzA5QvP - Pv78H379R3/1g5fiQfep37z7N373vffcfnqJ04sE9EBICBAPLgudalZ8jXJdBHz5r5/4yleeefbZ - TUrn9uiNRXp1v7t6vFpd67or5Ffr7lTcX667o3Bl3V3bLI/O3nLqd373Nz/00ffuH+D5p/DEX770 - R1//1kug5b33P/Sxi5//7QfuvhursEfoPaL3LrpF5BB7/Kf/5Sff+9rLV9NL/+LfPfyx37r1zMJ5 - Itf1QCBy2UMbNNFETMyUGB7cIe7DHbzyMh5/Cn/1Nz94/pmfXLv8Wr9eD0TULfYOHr/z3nMf/PDd - v/qpD9x5X+gW+Za5U351DlfwzI/wZ1977vGfXnnplecOjy/D9YshuI070526+8FTX/iDT9774MFN - 50GLnE7CM8hjgXjb26+7x7529Utf+dZLr11+/a1rb67X/aLj/b3lfnfr+bMP/8qHvvBbt4UBwwL7 - Ti/UAcCnuceVK923vn7pu9989Yc/em6N4ThtXr98Zbm46fSp82fPLT/za/d/5jN33XX33moPAHg4 - ipwibTztpyFcuYLvfPfKdx979LEnfnb5jUM62uwlt/SIB2s+O3zisx/+zK+8/xMPXZALXJZ4/4du - f+D19/7Z4z94+dnllTdw5hyWXXMZ0Q52sIMd7GAHO9jBDnawgx3sYAc72MEOfrGwc92eAExyw1gE - heqSZAJRzlXAwLDB5Vfx2COXrlyhO+659+JdOHMTPOCScwnDMZ59+vCbX3n9G9978+Zb3n/zqdXp - 4DtQRLdJ4Xg4ouWwPLO5evkmHm5yAKXlldfw5COrr/15ePp5OnP+1r0z+6fcWb9Z0jocpdeubF76 - +dOvX7rkPvJr5x94eP/9t9PCAXFATHA+Jr565J57bv3DJ155/Buvf+9L/bUX7+wOaHlLpP2r6JE2 - i7eu0gtXjp/EcP7ClRd/9uIf/Ju7br4A9olBCT4icrnvbA28FP72q3tf/YvlFx/du7pcH8b+3N10 - 9qaDW/czicydZAA06tYB4r0GAAcGJVx+Nbz4TPf8T/Yp3b3kc3x0U4ejN4Dnrl274vxZt7r37G0H - 6DaLNzbda/2Krl1ZvP7y8vgaOOCtF/DkN0/95R9ee8l3dM/Rs5deunDvfcvz/s4VGB4x39DlkwOv - 8cRj+NIf8+X+ysOfXX70c6cJGyDCbcAetMyXLTCDGCmBnPPZZR07DO7wLTz2nfgnX3z5K199Mx6t - Lpz74NkzN/Vpcfn1/keP/fyJ7x09+9RbnQthhdvvkU6CcOmZ9NWvv/Snf/zUC6+vmLqbb70P3L/1 - 5uKN59bHb+Lxv31rE1/6jX+x//An/KkzCAsASwfP6fCtN93ffgN/9cdv/82X3grL06cO7t47d7Nj - /9rL155+/Xnyb7/+4isr3BbcG/feG276+Jm94iftceX18Ohjr37xT5//1revvPYm3XrHLQe3LPeX - eO1leub1jnF45fIbx5uDz//2TXfejv0VfNoj7hlHTPT8c3jsEXzpS4ePPXn1uRf7s2fP33Zw+uzp - fQzr16+++fKrr1y4ePDgbaf6BxFyRoQl3vN+994X3/efv/z0C0+5nz+NCx8DFjeW0H0HO9jBDnaw - gx3sYAc72MEOdrCDHexgB+8Kdq7bk0GCM9le0ssO5u8rb+Hnz+LR77y4On/qAw995OAsQofgEBgg - eAb35zbX7thcO3/vR377A/fhwhnkvAaJwAG8BHdXHvrAwe0XIJlmI47furN/68IpuvOj7739trtw - bgU+xOYtPP/qe77+yI+/9VcvfP/pZ3/l0s2/u/fB+26/Yw8Iw/EQk/cH63V/6cX1n/zpY3/xxR8/ - /qVrB+uHP3j+kx//CN73KZy7H3se8SpefRY/eAxf++pLj37jlR98/9sf+MhND/3qgQscgQjeYAiA - hwMDV/Hqc/jWXw2XfnpvN3w4Dc/9+Mn42GPDww+D9wEClg4OoAiiEmpLkmvCuG4TeMAdFx785Mce - /MgDCIAfcPwmDt/GE6/gZ99/I6xOXbh5+fGP4PYD+DPgPRyCw/6Vu247s78E1ujfxvGrdx3GBwnn - X3/tzLcf+enHn3jr/HvP3HZ3SHDoOcbIe8T5ijR+Lx/e9dZxT/1dyw5AiFj38chTABEQnCMZWEIX - 4L3PaPLgf/LUtT/9o6f/1//j6Zju+Pxnv/Bv/zXe+x4cb/DkU/jf/9OHHnvy+1+59NJtFzdnLiwu - 3AMAA5A2+Po3fvrH/++PHvnOmw8+9Luf/PStn/okrlzBi0/ih9/A04/j2ee/+z//T9/rD07d++Ad - ewdClICwPtp//mf4j//bo9/9Cl+9dsdnfv3Tv/l5/LPP4s1DfPMR/NGffPCJpx759t+8+fLzX47h - J7/+W3d8/OEvLFl3DHq8+PTm//qP3/rKN66+dfW+j3/6d37tc/7Dv4Jz5/Ctr+Gv/xzf/M6bX/nS - 4y+88vqF23/r1AqnbsPCg1PHaTP0eORbR//j//DEk0/G5enT7//wF/7rL5z5xEO47za89jKefB7f - +wlO3wpKWB9huQcfAMadD+DBn19c0m3P/rB//NHuox9CgmuuD9/BDnawgx3sYAc72MEOdrCDHexg - BzvYwS8Udq7bk0HiCinnAkAOvA1gOBLavfYqnvkZrq3P3HfH3Q99+D7n0PfovPjX+jUWYbV/enn2 - Vv+J38BnPoE7L8Dp7WTwSB6RDu65F2EFcsCABMQ0hMX6trs3v/47eODDuHgWfgMc4+pV/N5zD/6X - L975X37wZ1/+xvPL9773Q/fio7fhwCPgDAa8+KT7i79+8ot//bNnLoWL73ngD379k595P+57EKub - 0Z1B6ICI4w/hg5/G5/7lxWd+fPGxJ9bnb48prQM2CUxwAQggAm+OcHwNL7yJH/7sldO3Xvjcw7d+ - 5+nXh/WL33/khZc/f9f9p3CwhKMBcEBXUyQQCInQEyIQhME8yOFjv4p77ke/QbrdDsIAACAASURB - VNwgRPgBb72Og8fx9UtHbv/m93wMv/evcc+tQAfucJyI/Znzt+PgHLq3EDqsTuPm7uCOD77nGcal - yz/+9jeeOX/xPR+67dy+B/wZon5ISA4+YdFhufThOKSEoQeHzuEguCWBGCGBEsMB3sMBkRAZwzEW - Gxy+jm987emnfvKT0+eG3/9Xn/7Nz+JjD2G1REw4uIjFOfz5X3zw//7PP/vGVx/bu3DPBz51Yf8M - Tge4Fe58YO93/pv3fe7377zt7v07bsfBARwjPoTf/iz+8P/E//OVKy9sNk+9ePi9H+LsLdhfwg/g - Y7z60/D4I2889ezPF7c98PmPf/i/+gLe9wGcOovThP2zuOdu9yd/9NBjjz798x89jdPhxz85883v - 4OMP4PabgDUuv4Qffd9/51sv75257SOfe/+/+m/9Pffg5pux7PAbn8P5W3DzxXNf/W544eVXn/rJ - +oF7lnffBmJwxNG1U8/8dPPY9y8999Kb733o4U/82i3//Ldx1924+QxWHW49wEfuxl0fAy1w9pS5 - gYzBC+ydwj0XL1699PqPHj9++8p9+2dXCwQP8v/Qs3IHO9jBDnawgx3sYAc72MEOdrCDHezg/xew - c91eF7LLNgJewkg5OyjhwWB65WU89XS6cnhw9ubb778fqxWQL/5i5EQBHB1o8KvN7ffjPR/F3Xdg - sQB7JJIqY4In8RAj5QDWQ/ijU+fevP+hex/8OO64Gd2AEJGO8dG3cHzt/2PvPp4jy7I8v3/PFe89 - V3BoRARCR4ZKERGpq7JUd1mLacGeMRsOSWvacMUNh0v+B9xwSy644IrDIc2GNkbradKKbF1V3aWy - RGalCpWZoQMRQEDD5RP3Hi7ckVnV7M7moms172MwJBLwhLtfB3Lxw3m/0/zg2eo7a/n1e3N3H3J+ - lk4rEoj73P+w+v63H398p/LLl7/02tVv/hZvPEd3lgDRIgmVECyrkedzLj/iyHvnjp/2xg6FCiI4 - i502+wY2trj1kCcH5YWL7Ze+Spjp3ny08eT+aO0eu8ssHsPHEtJf2nAmJVRCBYBFDQoGDMurLB5D - oSiwFQ3D9jM+HlMlz6R9bO6EPPcqZ46ggFBFIrgUC7aPGNThm3rmfBvo316688nu9Z+Pnl6day7R - zhDrIxpjcGIVFQmew+i2gcGKNiaPZLJ4TuXzF1MDGijG7GzywXtPN7e2j5w48rXf5PXXWehSVSDM - WpqL7A3sj96e31jvf/rp2ub28nKLYFHP8XNZ69hMd7mZzZAmxJLUkUUk59Fj3tkM9z8u729VnzwM - b4ys6eAqJGfrLu//7MGTrd3TL828+TvJi19lYQktaFmWFjm5Qu9JY7h27M6n90ajmfsP5n72PqsL - HJ0D4eFDbn8oj+7z6m8fe/WbK1e/RreJB6u0W8x0KODBZvrw3fLmradXL5++dhEMEiiG/PydrY9u - bPRzufzywtd/g7e+jksntb9kgcSwaACsYgNipz0hIaXd5ezq8RvXy42Hz7a2TjdX3Gwy7cKt1Wq1 - Wq1Wq9VqtVqtVqv9o6tXw3+xz9o8I1Sf799SDDiCUZ485cbt/d1eljRml1fozuIdVYUChsQxHua9 - wd6g2KksIaXM0Gwbvxbc7Si34akzgyh5YAgjDGJA8qj9aNeSmR6tp2WqVZOqgWkys8jli1y59moy - e3l7MPNwnXEOoWTEswfcfmf07tvb43zl8itX//C/5PnXmDkCGbaBT3AJhR2P0/s0BqbL6nn+4F/M - P3exlSQVFJM3oRKiU9NIuPeIH33A2LaPnu1ee403v7R84thi0Wvc/5iNDUrITVWKxs/KEqSEHAqh - lMlxfb6wbFSxU9kDSQrXDq4LLbRJ4cNOfn8kA22VVZMyKUOCeJKULMVROvasISjD4mBY7q+e4oUr - XL780v6Ou/1BfvNDtrfJI2pRRaicociHeTHy3qlSVYf3HyEQIzoJ4yUELSZlxhpJHFXO1jp3PjkI - KhdeXDl2ltYCISXtqu9AxtxRzl3ktdevdNtHhv2wvsFgTAWVZfVc4+JLrZWTJG3E05ohbUBCsJw4 - v37plXbhi6c9ebqdD0doiQtY2L7LOz++2y+qxeeWXvo6yRGC20+aez4dNZthocOV87x0cn7WrZTD - 5bW1xQ9u6LM9cgsJd+5w6wOq6ujZyxeffxPpECxicY4kY26Ra69y5tws0vjo+tqDe8+KHCoEqjE/ - +JuH129uNGYWrr7BxZfwKZ5bmGfRVWVK6WPByNgicWWajsXmFfQrYoP5BS6eWV5sdPJ+8Wit2u5r - 9Ysr6Wq1Wq1Wq9VqtVqtVqvVav+o6uj2C4gi+ll36+FGrihUEQK20vEuDx/u3V3f6h45trAy38xw - k2TQBhUAiSTGOZMKWVREcB5wijOkQgIppJbUShMyIhKx0rUyJzSqICGGqEFBDMaDxzVImrsu7Qdl - nKMKIjri+vvcu2UMM2++den1r7Gwim+j/rC3wIElSZynG0hUwGE8xiKaanRgBRGMI/WlLwZ8+oiP - 1nazY/PLpzl1mlevmFPLXfry4EZce8wYCrJKTAAMCKjh8BlFPBEkYsDmasfWB2dFMBZrFAIaQKxL - G9FKRYnBMLZUBuz0G9mM1EWsJfpmZfK9Icur/N7v2tX5xc1PB3/1rSdrawwihWA8iSmIWKPWuBix - FucAghLidPgXUAKSix0gYyx41LC5yaefMBo0FpaOXHz+dNYCwQlGxYChdIZuhzMnWp1m0u8d3Lr5 - uHdwGO3bhrOGiHckDivTgWtrMNIVmt43jHfioziwVIF8j611dncHs4vdxdWsPUsieDJPYhFiEFhd - 4Mgspuy3vI1FeefTO3s9RpFeye0no082Bqa9tLAyt7SIh8nrLAEbyAyzGbN+sVmt9J7ava3YH1MJ - /R5rD1h/PMyy7KXXzhw9TWtmUuwxV9EANxmhtTYKhVIGYkSw2BSxtNqcP0u3mfQODu49eLJ9UIa/ - taWuVqvVarVarVar1Wq1Wq32j6eObr/IYXRrUEM0k6KEyhAmsW6u4322d/rbw6K1vNKZJ01xkwVm - plADYBRvrDOpMY0QCNM+BK84wU8y3IibJoBBJoGmVkksWxpS1GFEzLSgATupli3FP1OzGwlxcu0/ - 5CNufjh8dCf1bv7Fa0cvXKXVxWa/kNv6iIlWjGVG8RGCIUyfaCqaToJKwTi1krO3zd21/bt7B3PP - HVk5zfIKl85xYqmT5Mm9G5uPHtAvGeFLJBCUCgIYoke9kqh6EKSCEQwxuVBaVDAGTITJWjTFuDRY - U0mlMoluc0N0kzMKhioxETEE6ypTDIpH3UXeeI0XnjvvR7Pv/ODj27eLJ7tUIERHYRUnCLYKUeO0 - 7SKCSokNSNTpAHUOw8iBUkZBDQc9NtYp8larPbd0tOsbiCCKBCTiZGyFdoMjyzRTOx4OHj96MhxG - hVABIgaRykphjRoOl4kJo77b28urKI12ozvf9hnqqAIH+xxsMxpqZ7bVXsRPqiFIHd4BMQCLHRZa - JDpuOZEyrD9Z7w0ZRvYr1vaGT/vY9nKzS7t1+CIrNuCU1NBNmU26rbAy3sp6u2YwJo/sH/D0MQfb - 0u50Lr0001kCQ1kwOGj1+2ZYhQogOKKhmsTdAVFRcYglyzh2lFZmRsPh2tOt3X4I9dRtrVar1Wq1 - Wq1Wq9VqtdqvTB3d/r2m87YYnYxrqgFUCEI0h1vI+pQVIWkms/NZi9TjLdYUSK4SEESxGGcSaxp5 - wXhMWaF4IYU04EpsEYgKkcn15xoYDxkNXJFb57MkSbxYY6Y9rSESzSM1m0W1iYySFGNAJR/xyc2D - pw+y1M+vnmT55LSaV2yO62F3MFtKH1Swk4dfQRmJCpo627IkgMGaQByw9oB7T7aeVeH4FY6epdNl - dYVjc8027Xsfrd/9dGtnSA9yNFAoYwjTgDu4WHkhwzqsxtAvQl+nhQxREBORCBGNqBLEBiNqohpg - ZBgbcqvTigMqSyBCYahsEcxm1hofO8I33nSXjh5/9PHuj39844NPnpagBMMYgzOgUlDlOUUJYESN - K5EiUkQqCEIxiW4L9opYRQiRIidUXXDii8mcqQa0nMzP5o5+6um2SWwMRdHvjYpcy4rRCAII3gRh - oKEH5XTCV9h4Orrz6ZN8MJ5d6J46S9oCoQr09hn3QdO0mdhmmGToFixW1KCK4j0zCS0XMkGqOOwP - Rnk+DGWvYj/YvpmhtWATnJmm+k4xk3oPoZ0y6+nokXDQHvfdMGdUcXDA9gZV3pqdmzv1HKT0x/QP - 2NtMNtfz9fXd/nAQqBxiiIejwxKJUUo1OMdMi4bTsiy2dnq9kdRTt7VarVar1Wq1Wq1Wq9Vqvzr1 - mrIvoKCKKpMqAGBanGAtBMj9cDcOR+PKheY8SXs644lEKIUCGpMEzwhitKoox5R9gviIj7SNhYgG - fAM1lCWJwVqShCyz3qXjIVXR0CZRCYFyxHCH936uP/neAwZy+Wj7tcu0MxgnOwfsjYqR4DPfadJ1 - eFBioLRk47yZ56YoxBgQYmRS8yoR06KRgvoYDcY6UgL9PX7+3sOn2w8bS8vPXWPhGIBznDvt3nj5 - xJ/+9Tvbm9v37y+eukQzlUhWxJ6NIdEIBoOIqDCJIsV3rXVKrpSCCpXgJ4dppjvgJuvDEglYOgZv - ESGCmax6Y1JSYYgmWhcTH9stXr7K9nV+fjN+cnPt2E+7r7/I8kySJTNAVDAhxSQZzhFBJ9vfMKpG - 1akxSiJ4QSw2GqqKvX02nlGU2MRnTSseDFgEjCg41DUccx0yH8KoHBzkobBWSP20CTlaY6y11kCF - Uvb97lPuf+p21otuO718yl84S5JRoWqkXzIMiI+dGdrtQcrMpGnCqSFYiBjISFtkqW26RlY2BwVx - aMPYjgv6YTBIyvac8U0SOQxtBQzEaUVzp8Fi225t5VXI+xVjw6hk2MeUrr9b3Pww/uzDZ1XvSbH3 - SMpexGCcdXZhsXX23NG3vnL11Dm8B6LF2GC9oo72LK6zV8Tes6393kFST93WarVarVar1Wq1Wq1W - q/3q1NHtP0DRqDLNEBWFSLSTVDGX4X4+Go8qp9kcvjG9jRCghAIaAhaFWBTju3f4aZO1T3EyjYQV - koRmi+cv0VjETO5Pp+ktarY3BztbZcOgBeWA/XUe3ubtH47W7oUzZ86+epZLJ2h4wrjZGzOoYmlp - NFwroS0kYClDKMrQuHd//HgtbG/0E9PwpBETRIIRZwcvPT936jguAbUmWiuQs7PFuz+/sTPYmz+x - dPwiM4vT4cqTq7x2LX37nWpzY/PDD8/MrSbLKRGJKiaKqpmG1xDjZG8YxibIZHA2CGqoED/JFs10 - B5yqGNHERAxtA0YjlKhHzd+KbsVGZ6ss4exprrzE8++tPNjc+Oi98vZ10st0ljt20iJB5RO8x9jP - x0Jj1BglIsZM+xjiYYFDEAZDdnaLEF2S2UbbGQ8gFgHMpAvCJoaZFomttCpHgypWWPDmsPwBK3Yy - 9lpBPOj5Dz/g01sm7/vzF2dfOJccP4q1lIyxjXGkUNRUWbNsZr2UmURJS8RAsEiFQILPyBJpmawx - bg6GjtxpSV7SD8O+L452mz4jEVzEmogUiIFk8nPY8iy0aLkqUg4CuaGIFCOk8rsb+x+8+8lO/jQO - 923Vb7vUxnbMk2dPd/B7R45bF3COE8+hiAEXIZBYWl1cZ7eUwfZufzhwqodr/Gq1Wq1Wq9VqtVqt - VqvVav/Y6uj2iyiqRJ1EtxGm/8yZ5KI5o/1qNBoVRl0HkxAiTkEDUkAySbUEjVW5t7/z7//4R3/y - RwPGuwvtzPu2arK/vzczm19+YfG/+ldfX/kqWQOKWJQmBATG4+ru3adusRzsajkcbzx+dvOd+Of/ - 1/3dp4uLR1/8vd98/StXmEtxEFQqGKsJCVkrTS0NJRVSUK12dovv/vXtv/yrx+/9+IavaKh3aTIM - ZfCm3Sn+63/1z9r/5ML8PM7hDSaQH/D0MT9/78a43T55IetO1p3lkcKsLHDlMktz8mjt6d/8zY0r - b11jEcDbhrNOAlTESDRUEY0AzqE2qTAGMdOehhxSBGOQyRI4NRqNBAzegGgBBWqmC+I+j26DSimM - rZlptHnhMr/zW2/+L3/0g09umO/8JUfmOLaAVlRRlVJMdZgqRkE1ajGuKqzayU+9j7iSXAkQradS - xuMoNs2artVNjEcBQayigWiojIPM40yuoapyNCB6WBkgCEajYAIErN3b5bvfHt66rold+Orrl65d - YLY5efJD6xrRUwkVuXFD53Ycq0lEKjBoJFicgsUkeKcNSVuxuV9kPmAiZcVQxyRRO86kJEISKzut - pLDT6LYgEbppaKfB2DiC0hOFWGGC31jbfVrsXnzt0iuv/9rVSyx1cCMGG3znT3n7/Y2ffu9WtzW0 - aXPlNM5JMoluKzBkLVx7T+34oJ/nIyaz0XV6W6vVarVarVar1Wq1Wq32q1BHt19EmKS20yvQJxGV - ncyCKkTKKlaxQqL1YKkgFQRrSAx+OjEaohKzzF186dy51XShPWonhbVOMXk+TLN8cckfmcy9GjDG - O7KMstTHj3a+993HH9zdbCfdONb+7mh3k6KK19688MpXXvjKb7BynFhCgiS4jLwcj/IqlMEJCRhi - ILc2tmbs5ZdOBLNw7tSSK8UVEkLz7Z99cufBfm9nc9jvIIggIIFY8GSde4+KEJdOHzt99bnn5ibd - C9bgac1w9BgnVk89ur9359bm4zucnSPtkDm3vcHjTw5uf7S2sT3KY6ImU8qoRZLFs5faX/+N80mW - GZyLhmiJELERE5HoiUbiYamwQkzQZHopvqCTcmGDUpShKAMILuPICd54w//wnQvv35If/NWN1649 - f/Q4swY1bQj5uCqKKgRnMCGYtYf597/74dauG+dd6xza07hXxN7quSPnXjxz/tSiFayXshoNh0mv - p+OxVC0iRJ0UQiuKVsQcLTomziR2VhQ9XBOnEbFAK5QUOU8e9X/yTv+dj27HxtkrL5z7ta8uXjhB - BiUI1ih2Mp1bUeRUpeez/FNQQzCpM6CUkUAWo1PwLkktGSSRJFhKY0rVQBDUFYqIJkRBA1gsY6VX - xmEgiDqPEaqK8Zio+elz85d+7fSLX1m9dJbjy7QsvkB7dGZoLq9s/9GTO7fXP/h59uv/0bHWvDYQ - Jg0hEQepzFvTyivGkVKJdW5bq9VqtVqtVqvVarVarfarUUe3fy+Zjl2qkc+jWwFDnDQboEQ1Kiom - iEENYfrfOIsX/LRmNSjErOGvvbz8tTc5d6LrDndJiWAMxpTHjuMmBbAGZ3EWjVSFL0btg51RLi0d - J5R+rhvPn+u8+ebF19+S0+cRjwTEQKouE0wsq2o0GpUjKDBZUCokNhrmwuWlhRWG1075EpszHrK9 - na09etjfD1otTQZiUUTRwOM1bn16MM67R7Plec/+Y5606EFWYkf0B3RaXUdrfaN4eIet46w0UeFg - h48/LL77Zxuf3N8bFi3juyrDvDpotIu3vnniza9iXMNPzi3yeXSrmJiIMnmzn311ktsqCJUQBZEC - KaPGgESQhNllLsKVi8ce3eHGuzc+/PDU6oXWC8eJIoovCaGKqhgIBZtP7Pe/vf7woTvYr0JIhBGa - lxxc+0rXJnJyCe9pNFNMmRd20JeynLQgEJHDXX4mVuQDtOh4mW83V6whKnq4xE4MqoyGbD/jnZ/0 - vveD9ftPnl2+9OrXvsHVF5mfm7y8BIxGrGAgBpOPtSgcvzi6alCHBiSQl1TB55EIjayRWTIhUxrq - TaVxWJYFBaSTd5pO+5lNgU9Gkd1xMahUraQZ1hICeQ6Ux092vvrrq5e/xPFlWh5bkVQkFd059nPe - /vHiw7Xho/v9g/1jfkbVHXZbKCbgddZKO4otoIj1mrJarVar1Wq1Wq1Wq9VqtV+VOrr9IjK5aF+m - xQcThjidugXrUuessXkkRjFqiYLBOVKinzbjhijENDXHT3LhMs+dxigRxOA8VhB8YhCNVDnSEMhz - 0lQurFz75384e/wy803iiIajOUN7jmaHrIG1OIu1WENVjWza7My2rPO9/YOt9XK4M5w71ogoRCVv - zTjfwK2QBbJAyPnTb51uGpOKWnEKMWInz87z6NHonfefPlorkvs73Z9v7K7RyfYadjsNiR+dKjYX - d7b2HO3M2Cf32b5EehGBUFAOFsv+c3FQSFgKZafSg1HxDIbFaDmUhHC40ipOy2FNwAREE4mYiFFE - D7Pdz0pUhcqgAmaMKV1ixfgAanENurO8fpV7H/LTW+ZnP32wdPHoc8fnKkHxESNijQGocsaDbLS/ - Otrp9ndOjAdplkqWCG6d8QxlWwNZxtwCzbY3NgnV5HUhxMlQ7SS2lFgy2EeLTitdXl44nXpUiQYS - jKBCWbC3w+0b/MWfPfr+D+4Es/Ta1/jdf8ryPF7JK8TFgMYSI1iLEZ+PKQqr/MLCL0sUKihz+iNG - hQzLKsBMZ6bpyaAJbZNloRrv98ajgyISbR7JzGSrmg1QkiTDkq3+aBDUpr49gzPESFWWxoWsmbdm - S9/yxiNgXTQODbG14BZWOHJkbme7reHZwT6tpRCaZroADbTClDOGprGmEopYrymr1Wq1Wq1Wq9Vq - tVqtVvtVqaPbf8Bk3FYFYRquWZyZrCmz+KZz3hEox2UIqTqCYLDQIPjDFlSJGkIYG4PzpBmWaS5p - Ps8nIRqCn9TrViEUocx8b2V19sRZFrvEMZkla+ATnANDWRENzgJY69ttnjt7ZO1juzMerz8ebG10 - jh2zMKcEJXpD4rEWFzABjShKkMlTC5ZKMAFTUuTce7h1+8FmkObm452Pvr+XtgbG93B7tjJueMv0 - 5g4O0r0BPVoff7D58MLS+HWSjPllXv4SS8vHdwdUhmAo48yomElbHD+Db2GSX2iGVTCIQQzGjIzF - WMzkiEUmKSGGCMEQDAomNIwm1ll8KKCCymATLj/Pl97gxzfTtWcP3n4vvHxprj/GuEoYGxtEbACX - cuos//EfvtnrkReUBd7ihMocWzjFsQukbVxG2iJo76A32Nw6VpSdyWNQAId6YDxi8xl5XmWzevSU - acwhCUCV90Nsu4SnT3j3Jwff+uP37n46PnLyyFvf/Nor36SzjPVIRFDvwmSPWjdjJsObZL9X7fbs - CFqW1IMQ7fSEBn36+wzH5UD7zITF461Gm8zRTVhOuvNVXm3tDPbjfpFkjdRi3PSH1aJZVbFXsBPV - zs23urOdhAY0EzqzXrzpj/PNnd6pMO/AQYJRDUUsXOrSJp12mkhOqVpC8BGCxXqAmGPGYxNDmjUk - RW09dVur1Wq1Wq1Wq9VqtVqt9qtSR7df5HDQViPTAgVRrLhpuuZJGmKt0YpiVFYhjUIAp0j0VNOY - UoyBGLX47DvawyleJYLRz0dN3WTgVCnKsO/YTlsnGl2ac1DiwFuMTgc8MREzVNKgGLGtJs9f8vc/ - YvP6+NNbG2eeb507b9O2MaSgnujFiEzHOaOgGohorLBETzBooByz/pRHT/a2B/HoiYuL3fFMdiBi - xmLH4kqrYlOfmaOdxfGW7D4Njz7ZenzX9Q/mMktnnrkOl16itARHZagiRYVNMMl0iNYImBICmiEY - wRg1ZmiNWhNFLFSIYBVErSsnEe0kng5eolcIpgyTzwvWcvwkr77CV+8u/8X1h+/dyN75iN6IJFMh - NzYai4JPOHKcpWWiIRpEMIpESqVKiClEsjYzc6gd7PXG6xtPxuOLn4XMUY0JhsBgyMY6o9EoPVos - r8asawElVjoaF0kcJdevb/3N33z87W9/dOL4669/+cLv/Sdu6TSSgmJKvAZDEKIK0qSbYSXZ3Rts - 7dMr6XjablipRlLFoQwG9A4YjcuRH2St0eKJVrND4ul6lvzMQsyf7MRBT/cL12lIgqRUYEGo7EGP - nWHYF9tcXm7PtpqWTJlpsrCEOtntDR4/3btSzBtwEQ+l2grFEg0ax4RcAk4xigoVGKciEnJkPDQh - JFnDZEj9/49arVar1Wq1Wq1Wq9VqtV+ZOnr5YpNUVVWIAopTjFgCAAbXQIzEIhTDoqwIUIJXzOSj - AGCMsU68xydYQ4yoncyVBqUUvBNrZFLgOrkuH+/VuBF2txKiRRzGAcSAN9iIGlI3UoZKqCpjaTRS - c/VFPv4ZP/rZ4Ad//UFjPrn4/JljZ2k2E0twRKPTylZ12IQkcV5cVeXGYRtEi1PGI27d2Hu2NZpZ - Ovk7v3/ut77EK2eJloOUgxQ1NAsaOZrz7/+Ef/1vh0+3H22tH+zuzCW+b9tJ2jJIVUGJRlIw9vAQ - ARhBCSUmYHLEiynEGDEDMWMjGBpIhQS0QkLAV7gcr9YrmAqiH+V5EUfC9KgRsgYXzvO7v3f2J0+v - X39SfPdHNHLas6l7VjofnceBGtTgWwxjUcggcZmQCXK4fAtrmFvi5Bma7dgb7jx5utYbXCyVVIiT - 2oRCbUm/x/o6/f5g1g1mFoeuXUZaJb1mpkW/+uR2/y/+/Cff+96a6sKXvvT67/xTjpxFGoTJErOI - txZyi+LIWnRSJJrtndH6drUzYH6WQnZLUWhDW3HDPsMDcg2FjBqdfGG13WyDJXUseRZC+qQv44E5 - KP0Q26BqxtJqivow5slT1g/GfZ8ur7abM9iSxDHbYvkIlcTdvf2Ha1vD0Vmt0BwsNsH6pFAOBjx5 - cj8fOIdtehJBhAqE3JGFMTIaSQhpmroGVurChFqtVqvVarVarVar1Wq1XxXzD9/kP2iiGEAEayar - pRSNk+HZ6JmZZ7a5khVz+4/Hg93JyGwVDq/3n7xVUqqWEgobMGAskRCJgkWdBtWoop9vQouCIsbg - TEgtXoIFBxaswQhiECkMwYBgjCRGnPWsHOfiS7x47Yxg3v/p3X/7r+/dfo/BQcNU7VA6LYmBCFGo - lCi+0uaAUJSFgrEUgcfP+M73P1jfeLq8INeucv4Sy6c4eoaTpzl7gjPHnZjCMQAAIABJREFUOX2K - k+c4cZYXn+eNq825hmw82fzZu1v7o7a6JIiLKkJIqTLGGWSQQgIpeFQIUCEBY7BZSLrGZlqUaZWn - qGUSssph2GsUDNaATYiOqhw1xc2ELAUHYjAePDMrXL1qXr14/qh0bvzg409vbz/e7vdDGmjKZI+W - TE/QmuARD55oFQlqYmEZeZibZfUUR1bbxYCP3zl49gnDLRRKpYxUZBtbXP+En7x/3TWzUydOHV/s - zNqWIxW6B/vNmx+O//d/8+E7P4wNf/5f/sv/9Ju/yenTNBIcaCBOVp6pKK1JUS8tjlzk6isvt1ja - vy0f/5jhLhUrVbUSma1w/RHf+xk/vL6Z4yULSyt65WW6c2Ag4cILvHCNxBb3bz78+MfPCDZqOg7t - qD5Gnu3y3e8d3Ltzt9vYfvMa50+TOoi0Whw9xtJSVg6qOx9uPv2Ugx3UECEvGQ/9s8c8elA+frLe - 7NrVEzPz86QJgKARO6zYGLAdEuObxxcXjqU0YdKjUJXF1uaz9977+Xe/852bN29tb+/EqFUVQzz8 - 6wf/35D3s2nz+Hd/vVar1Wq1Wq1Wq9VqtVrtP2z11O0XUUQRJhnsdFNThIgoIjGhM0e3udIoi+HT - MNwtA14ZB5qlMd6AJdpJdFuYULoKqxgIjJTEkog6NKiWGMtkT5gQIExKdaV0MvLkltlJK64VhICM - J7cCA85IiiKW7iIXrvCVnZfyvx48evzsW//HO7Pt46M9f2SFZkaWYjxlZFQx2GZ9uxgWScAHLUUT - I/RzHmzws/fv9XqcPq/nTtOdp0qwHutIDao4i7EIHF/l1Rf56P248WTzhz/VS68srh6jVGxMREhN - qVSRSnHTvl9UwX4W1YnDmLElSiJVTMvcBStxEmpGMIgKhmCNMao4T0iixnFS0Rr7TPGCBeNAkC4n - G3zpheef3Ln/w+/dO5BSi+6ARh6JFdGidvLalU6spenUGxUiGiqVwrjCmUa7xZHjnL945MHT3bVb - 3Pwh8wnlJRoNbKQccOO90ds/f3L7weM333jhhcscn6cpqYVY+Lt3Dn74ve0/+eMn4/7pF66cfvU1 - 2l021mGf0uFzGpGkwreZPxJ9u/BC2mDlEm9snLr+aLN3y777571jSYfzJslMVHoDnt3nL3907/rj - cmZ11czmZ8+1rlxhZhLdOs6/wJXX+fZ75uHtR+/+1eDSsZV8nnZK6ujvcusjvv3dBxtPnh5fXP7y - Nc6fInMYpdFg5Qhnzyze22k9unnw0dthObH2PKkjL9jf5/ZNPnzv6c5e742X5y88vzg7BylCUCrF - 90se7LFeeJO0Ti0trSY0dRLdhnw8vHfvzttv//jOnbvPv/DSa6+/MdOdjZO/cQjyeUPI9IPpr9f0 - E7/wh4tarVar1Wq1Wq1Wq9VqtdqhOrr9e01yW0XksOcWjUwz04i1pNiS1NhGOW/6ZRjGIsenA0ti - XRIVEykMwQZrNbOSCV4QiIxEjUjiDMZYQ9SYV6FJwE2+e9QYYqxG5WjHhjJhFoiKCMIYemAEK1hV - F0pQBHyTM5f5pmc0/hI/+OTWx5/8b//TT7717+YWlv2lF1aOnMjas8WznduPnr7/6XX/8MaJg95L - c81jzVZjsuts54C1LQZF1pmxJ476hS5ZB5pUgiqJYu0kW+s501le4rnjZLH/YG0jfFDce8KpEyQd - nBEJTou+ldIYI66tcLjizUyWvSEKJo/s5gzHpOJ8Pqr6MRYdVDAOmSR6zgRrlUpRgbTwXgfPduLm - uBMnXQx9Fckx1qTWmlcvsvXc6Ttv724N7IEa7OyoJB+SOKKhkrFQGUlMSEQnM7B4a70VTAjxwLiZ - +SXe+tqF3e3Z/Sfbf/w/r11/L7z2jdblK7NVHj+9dfe7f/HOg9sumz338pu8/horHQhUBcMhf/6n - N/7k/9w/2DqTyWubT3v/67/ZLuynlWz75liSgS27cdyyoXPk1Pbv/4tz518qFlur6pk5zQt7nPr2 - 5Y9vDr+7+dHGvfKNb6TXXnljfaP34Uf33v7exuN7zfnlN7/8pk+6XLzKyVO0GmDBsXycs5c5dWb+ - 7vrjt//vZwdPRldfu3Dm4pEstT/+fvGjv157eG9naSV59YX2C2c4Ok/mcQops7O8+caxR9sX7//g - +v/z795Zf7Dwla+vLi25vO8e3un/1Z/+7N6tbZ+2Xntr8c23SBuIOQhQVMHbuV7OrTUe9lRt4+Ty - 0lFHVpB4kNDv77/77s/+/M/+9P0PPrp+47ZPGpeff9F5q5GixPtpesvfzm0/e5v8YUTr9LZWq9Vq - tVqtVqvVarVa7TN1dPv3EgDzS1mSfHZNt2KwniTj+EnOnpu5de/DZ+vd7c3j2fEOJMq0LUEF48bG - DcXsF+WoLBsGHKkVJ5+PGtqoFWDtNLyKZsdnu0k2NqaczCzGybiqgLRQUeIkURZR66oYnQrW0l3i - nOefCMdPn3///c6tm3efPXuwuXuwezDTuWldOs6rrf3+2tbTZG7u1JVLCy+9unDpMmiluK2t6uGj - e0837ly98uLVa8e68/gUmYy1BkQxQlnlVRg1fKc7y5nzvHB1dvuG7u7ef/p0d2dnbqk9bXAQ60FE - LJGqIhJFKmPVTeoeiIgYSwRj88UFF8xQY4EeZVLIG40aZzDe2ggxMiqo2Eoa+dx8OtNOzKQ91lhB - NEYliDFnzvDaa3x8/9RPrj8OuxuN7sh5QsDaSWasguik7sIcHr0RjIDEWCE027x4lb3t5WGvcfPm - 2qMHj/t/mV+/4au83Hz2bHhQXrj8/LXLr37l1zl5BmMQgzg0YX9/fWt3TVxVxbs7+7u967uVWQ/S - FzdWM5KQmSr1ZAfj0dbG3OnnZvwsWtGa57nL/P4/a729KDc+3fv09v3BON7/5GBjs/d0fW/rGZef - /8rLV/yXvkZ0zB+lM4M300HVpMNzL/Kf/RcXvvOD4fVPPvzk1u2d/Y13329Dc+3h6GDHXbi88uab - p996a/bkSbxjPKLhsJbmLC9/iQNzKbb0w4/vfvDep7t7jSxTLdLRgQ564+dfWH3t2pe/9uusnqQM - eD+ytLxpSGQ8YO0RvZ7N2o3Tp+zCAs5Ozk+AGGJVVUVRjMfjcT4ui9I5a8zfEcYqyC99tk5sa7Va - rVar1Wq1Wq1Wq9X+DnV0+wWm6ejhv+ovvAeDCD7l9Fkuvch7t59srI+fPVlaWW6SoIeXgBvH4krr - 9NmFMjOdTjAGwJHKL528QR1M86u0yZFVd/7yTOfI8sxM6u1kUdrhnSvEJhClElOJCc5VgWEIDRHv - PXMZbyxy5jzPXz3y3e9w88bDp+uVatE7qMpq2Gw3uo3nZs+0Lz73/CtX+fo3aSxgRDVSlSMxw8Ul - /+JLq9deSTtdrAeDIeKMBARi0KpALVmH1dN8+RvHRzMnPtm8b6VXFnOTsxEB51GD+hCoAlEVUT+J - f+2kzTY6R5owP++vXDk+quaPHgneA0K0MQZAjDEGjUQmx2gvv7h66fkTK0e6UdGIITHGCMXkCOeP - 8OI1fnM4L7OjxfX91mxnaQVjsXYawCuCapxsbJv0EWtEJ49YEfWpnDzN618GOjPzy/ef7O8Nxvc+ - 3tMwNoZLly698cob3/gqq6u054iT+mOPNSwtZ2fONY4spiEvgkiQTNwqtgqaV3EsMXgxiTVLC1nm - Gom0vaUMpC2OnuK3/4D55Wbnewsff/psvD+8c3O918+Na1w6v/LN37j4xhtcvsI4oB6fIAohqhiT - cewcf3CMbOFa8/vlzY/vjIbF43vPhuOikXYuXDr51pfPf/3rratXMEKZU+YkgjWYFueehy7Nlcvm - W72HD/e3NraLfOTEdxqdi5dPv/HqG7/728wvkMww1rFjZOg448sRvV3WHgzLUXZkdfbEaboLiCMq - RjVN0mPHjl28eClEOX323OLiYtSoirGTHpC/+/er7ret1Wq1Wq1Wq9VqtVqtVvsColqnJ3+3zzYo - CVgQVQjopDDBox4IFTeu85d/wf/wP37rypvtf/6fX/vGr88uzGAjqWBLGDLYY3vMQcXMEu0uaYMq - jEVNYpPJJjMriFKVFKOQWFuN2d9iPIAG7UWSmZ53bWsFxSj28DGpgKnUjIzkMIo4xZVIOW7Gqkkg - VmxvMhwQKkZDRkNGIzodWk3SjCQla9LqkrSxDQwMD+jvsL9Lu83sLO0ZsKgdOolCSvRGCCGGamxN - 0yoh59ETdiryjJkFFlp0E0wVbSycCRhBGyGIynTKVQSjQw29GEZRZ106m5ds7nN3DTxHupxYpOlB - iCFgooqtoiomlFKMONhjMKI1Q6NNluEczuEMsYqi0UZHReiz3Wd7xF5BHjh+goUlEktUFT1IjTOa - SPTm8AWO1Vh8KTYoEmIzRG+E8YDeHpvPGA4pKooC52k0abXozNDtYi02wWcIhZLEirXH44OdzE82 - kkWiHhYBTIo2FA0kjqxNd5HmjPq0CrEnbmTiYizT/h77O/T3GfUpSowjzWh1ac+RdfANxIPBQxN1 - mhM92BAoK/b32d1jexcxhMjuAe0ZunO0mszO0m6hAQvO4AUiGgoxSa9gt8/uAeMB5ZjxACs0MxoZ - 3S7Li1iPZKjrKetRj8Sis7/F29/hv//vHobxyZd/bfDf/Let+dWxR01ZZWJBDnqD9Y1ne/u9xcXl - ufnFzkxHFTGYw1WI8rfff/YbFj9f6leP39ZqtVqtVqvVarVarVarHaqnbv9hv9DOCSLTQI5pP+fC - MqfOMbdYbG0++fDn3ZevvDzXxLnDwduU1hINwwiix1gMWJMaVSMaq4CKsVbAGdSLNbgm6VEE1FNZ - 1GUgGqeFsTFidDrzG6ML0aqzRhLBgLGgTlWwCVZIUzRiDXlOWVAWJAneYx1iEUs0iJv2jLZbtBOO - HSVGEIxFDSJRUCWoGtSKiPOpKDEQDUvHmE0JKYWSKChGojEGkUlbRIgYd1hQAGDFeksQjAg+YW6O - 5zLU0knwk9tEYjCCESfWxChRxFpLlk1ybiLEgBEmD0PEGDNdg2UbLLaZsYyVIuAT/GTkVjDiZXJq - oqoik9M0IIbpbPVkf5pptEhTOl2qQIgUBdaSpBiDdfhkOqcrIDgUK6wezY4tY4UYpvPRVYWC8YQA - ESdYwGE9OEHEWCOImBKXzs3RbaHHqHLKErEYh03Bow41GIOCQTUGEIwCqpSRmVlmZjlyFAwxMsxJ - m6QN+KwNgum9hwoUMZVYkzXcfMrsAqGgHEHEWbzDCNbg/fRnW5AcqQqxSj5if4+NZ48unj158VKr - 2cIyFjDWiArWdefmkiRbXi47M11jTYwo0xbm/7+/YbVarVar1Wq1Wq1Wq9VqtV9QR7dfRJXJli1h - cnn3Z5Ht4aiy0J3n+GlOnPb3Hqx98O6d7d9++dgSWYcYK8WJnU7I6mHBp4AXMTJpsK1iNIqdfMFn - BqAkBqwgDmdR62MkhGnAFXX6TRBU0ZhoEHV2kg1axLpUHRrRiJmUFFgajkYLEWIgRoJiE4zDGmKE - iDHEySM0WDN91maSahJVo2oIqtZYYywQAhUkDYwnP5w2xakziDgUolGdPtpJhAeoWJEEowYLUdRY - Q7NBNRlN/fzYZVKtizFCFYUQiQYml/wLIlgDSgh4hxgBYgUW8VhLKnhBFYkYM4lsk+mKNIk6yWxF - xNrJ6wCIqEglkgDiyFqESFB8BZPEPoLFOGAMTtRJNAKiWEM0h2H9dJscKhhPMFDhE7QiKtNYG+sk - E0RxVQxEay3WknkQqkhQAojBWEQ+3+SlkahiLCplpAzRWJs5S7M5DZrnGmBRgzXTQNQaRNGKKmCM - uslxHM56T7o6Uo93k81vv9RhoGiovAZnLQd7bG7uD0fPjp7gwiV8AuSCM8ZMG4pR51yKiBFVoh42 - 2P5Ck+3fldHK4S3qALdWq9VqtVqtVqvVarVa7ZfU0e0/4PPNZL/wEYBGxSDYhIUVvvZrF8Z/drD1 - dPjkAaeO02lTVkOjTWcdETEknkogUpU4O+2Q9d6Y6EWJJSJRfEQiPrFmej+qELGCWBSIGAsR1ene - MLFOjBMyRWMMCEZMDBoCMSBGjMMYygiC94hiwQlBh0F6YEWahqbRyfjpJFhEptOolaJVDEaMtcQQ - OWwuVVOpHeJSQ+ogcyQEj8rk4nc1RBScm84oTzNvFREDk3VslaoFmzjk/2Xv7Zp0yZHzsOdJoN7u - M7NLk8svSTRJkxQlizTFsCMkOhwOX8p39I+1L3XhC4cv7JAl2iHKJLXUmlaIa9kil9ydmdNvFTJ9 - ASSQqKq3T5+ZsxbDrIyZPt31gQISiczEg0TCwA33F+QEWTzqEzAUxV2NzClnANgKSOTcQm61eI2k - IN/NSqEwPWUkAwqUqHltrQKqrJVIpqpmlupZY6DBSORkhpeipZQNXJCeCd6Wlgt3XcH0F8APN/yQ - 208t/DkxMUXZQIIZskD4YvZkBtaGE7cniIKK3EBbbHeorU9PCfJEE5ZNyJQEChiQkA2JQDLIe8VX - BYRmwVOWRVK2zV5evlK+IG2ff/tz3WCKlKArSsHyhJcNG/7d5885IREw5FKeUZAXJCGYt7LdS1qV - yw23BRnQgmJIGQRUsa11VeBF8f52+5xPz9jwe7/3vX/6z/7oOz/z9Gu/jl/+FSw3CF4IqNHsRsBU - U0opLxQakMmakrieFMfXgFkefrnooosuuuiiiy666KKLLrrooosuugjy4Uf+GlNFklrsbYVL2+UR - TmjAZ9/GP/ydX/vVX/25bf3qD//3P/n+v6nwohg3W61G3RYFARGPglRsZYUVwmp8q0G3+3voHVyR - TU23rdRUBmbYVpiCAiYosSkUgPQoTsKkQplmJrQklpLmZAOcRKuACBJLlnviPbPkCm0qaBBpW+y9 - kZl4R3tH3EiKwKxsxcwgwiUvQgi2BH3CtkAFpmooI26zBR0jRlVKA3ihsK2Gfy6CnJCz50UlTLFt - gBlhIqW2wlrqAwihChhyxQTNYKukuyxfpfRe8D5hy7AMJJMEQsWKmIVUqo0tUEBNgEzPaiC0lCyn - NclXku5F76oqxJJ1yZaAjJwlC8UKrDjranYC28xUiJRAQSnvgR8lWWGFbE0QKUmoG8qLbO9F8FSP - gmssMjCZpLvIV8QqQAYzkamCQhakjUkli0iuaTSEEGBJuAn0jmR4lltG8rwVSKI1orZGF1NSSswJ - pgCw3JBvoGBdt6LvAa0hwEkkp3dJvqUbfvADfO97f/hnP/jeb/72T//tv4ef+fmK896IRZhJAVBU - QYhIKUXV+5xDonbDav/rRRdddNFFF1100UUXXXTRRRdddNFFM11Rt69R3fnu+9XVt8TTkb+GSz6/ - w2/+J/m3fuuX/+S7P/iLH/zbv/zLbxHfyWnRYuv2/vb8DtjK9pLTU0JmghZYUdNNEoxKChJYsL68 - iIgIwa3oXVWyfAukFazrtiBXpFL5slkRPpGp1q5CytZSHhjJnMhMVQVBiHADCGTW3KM0ggkJSERW - w7YhCZgcP2zHbIFIialGi1KgWkopwkUkibwDAJSELcESSLBsZkDK7ZAuem4Josfe0owVkwVUsBKL - AKnGiCqAFm1bsC2LEbSa1tVopiklUsxa8t+cWx4Bw0YpxEbCw5JJq22FKkwJwFKtiRGsfacKM2ZJ - tao0ETJLAtRgBS/38gIsvH1rSSQW4mnBAvkcClNYgRhalHSBaTIzLjXNRFH9y4QN+Daw1FBaYE3J - gLzddVsJS89PnsI4A7Kp3YWAbCgGUPgESZ6wYzMoRNMNwKKG7YU1g4QATwkb8PIetycs+dsEzIpZ - gVCERmyb0hSApGVJSIqXO0wgC1LGVr56efkLULJ8nvPnMAALZAHw/o4f/qUV/eJnf17+89/5pV/7 - e/jWf1C78h2RExIoZmbtcDYrpZBGyUDLbvGGkNsLw73ooosuuuiiiy666KKLLrrooosu2hPN7MNP - /bWkhl42BNMELxC0bAAmNWBZiY3YsJnl7/8J/u8/xeef4Wd/Hj/9c0h8L5awESIm28YVEOIZoG4g - LckqKLDFSiIIbsDLtn0Jan5aAIU+g++spKJ+NFZak6wFX2iRnJ6ArMqyQWTJSUoxkEKs64uI5SWX - spImkmAwgxmFdf//i+JHyi+gTwk/ZeVWIb+0eGoDa2GtQIsVVd5Tsgq8mtJMYJISTLaCrwQmWIi8 - 3Y24pYRthRLp5iG3AABCEwqspo+FmamRkms4MgzYWtIAWOXxargr6pFXFGQgq1G3ltyXRCkg72m5 - A18AXwI34HPot2B5nCaHVg0TGDbFhobBi1mGSeoYPSoQvsFeVFbDplDBM/GkhaYvpi+32w34FjRh - ndK0rhvSYpKIxrEfGX5k+IL4drKfsPXZcE/ZwBX6DM31XLR65BoWIBnSDxsL9H5/sdvyE5DPQIPe - gYJkm70oS8LzVmhlWdI7eLi0ru1IOrOWZrfVqtyZkJIorGYfFi61ldsGJqT0JfGl4r6WlyUtgs91 - exa8I1tu51Kw3vH97+OLL/HZ5/jJ7+Ddt/GiWJ6+fMKSQJqpGSk1mbMZVc0MKbWIW57DszbwfACQ - C8C96KKLLrrooosuuuiiiy666KKLLop0Rd0+pJGAs4ahRlipwpkt/hYJasTf/AX8/M9CgNRQMwET - ssBAptRwMCMIgQBC8cIU9egoyckWQwGkZUOAkRAxkgaQNVKVTFJPmSIpwlqUSMvlmgQUA4pQWSFJ - CiE0ev6Cm+CZMOBGLASW7Bvb6TGpLVtEj4oUYgOMNBVCn1pYruXELK3OSaQFI9PPEwtUT2tjDRYG - QKrv46/RtgniYKjUxqIdVwZDzQ8MgkJB8jPfKGBLDJCBBbjBbtBcK98PweLIciFoAbAAhDxs6LeK - pJpUDBQgcjvWizejwHKLx87hDC6tR6ixn1QmEGJRLEAikwmIDBYwoYKcAqizKAFSS6yJgHMSgM/e - 9AQqWk0ESBQSzmx1NlW+mcPQBIDECnFTAKVVrtZncgKSCjZABXJLt1TLb+Bza0tOSO/wy78CNRRt - Ep8JaZWpXUmXZ5IkqGYtaH03nPbEwMSLLrrooosuuuiiiy666KKLLrrooosGXVG3r1HFDtsefNwB - AAJLqCGigBFKKF4MTwZgczBRINCWw7S+BDUoGmjHull/hBy2rAf9Yv0vA7lt8m/PrMAdWB3jqz9l - xr4UKEAJ5aRWTj8yrMF8KyzVI8UcB62vS/tcrU4FGcWAF2ADNiAD32pfEEC0VclSb03NShBq1Zp2 - iL8MlbTU7tDC5z3VMAAktFjb9mpLJIACrP7fDfoZSkjizPFfODOtRdj2+jAGgFaSWqwCC7CEu7Hv - agj2iFYeWX1lA74CXoB3wGet6QSw1VZg90U6bo5wvRVYwBXt1LoqPxWLXqB7URoViL9gPi/O0Vtw - A94Dq1+WJnVYYk08GUI7e631Ioq0RYbYq28HYS38jNW96KKLLrrooosuuuiiiy666KKLLroIuKJu - XydOaN0B466Bty208E7cLIPWr8j0JGtKUuWAPCtWpUABtV2pRfj3AYDFf2mIYINrG44psTKgzdAt - QlEO3XX01pbxYmwmdTw/hU0aUID7AD/r1yDggJjNsxP4u+ZxtRWfbvviPSJTYTrKovjDHU6WEJY5 - 7annhLZWViywG1Qws/CMGEJyZxqlphr4XPMsBEbVWNw7sHlAb4I+H1DXDN4AAjf0c+IAD9bVmpV4 - IJ4GKOcK9Fe6tCQPyy2svczclgf6pyOCGgFl68JiaNJUO7RG+zoM2z5UwFTjrwPPRreFhn493BaB - /VfU7UUXXXTRRRdddNFFF1100UUXXXTRCV3Q7avEGbBr/9oOxa2YLKCE7LCtfk5X34AOFA/kTLC6 - 3V0dQavxvKGAEX/KgMzN8bYId4xABgXYQgAvHJM9AGR7OLqmYSiAghnisCA7B3pVtWeNgCGe/2UY - AaYEOHBb8/awI6dsEb6daxF67th0PO2sc1I9VlQcyPao2thj839HsJC90NOztGzC7wMrJr4ABpaz - 0dTR+YpKR7izMqTXONUHdrh9+EMcY8Uos3JJONDb9uAd1BYe7jHUDLwJnSLA7SHLnFNnvIlB1V8D - t418uHDbiy666KKLLrrooosuuuiiiy666KITuqDbt5BvjW+kfWO7A20ZqKkMCkDW/fWYgFMHPzv6 - 6YdoIVyBBNy2h9nu0FkJIO98cwRdCnBzELaMOpMtKUEMxjyh2hYB30Eiriehtht4m0I70ao/7cof - EGFrkvlOff+bsNQPgwuhvMXDkNt2/IbeWisFLK2SFcW2A4rd/iutkxpbZB/TDAClYZ80L7BXdnXU - s2cFKGCNaO4hz7UgBVZQejKNED681uZYCJS21pXaQ5cBgGIc8PZMFZ7ujaufrokUEiR8VN6D7wED - FyDDnnoiC+9xj3eGAcu4WwOux5+9cVWKlO0wtVE37lD1KFCHIPW5zAnFHl1x0UUXXXTRRRdddNFF - F1100UUXXXSR0wXdvkKOBbYzyiQAiyGI0eDb3u/AVwCAz9gRuohsWYRuPecAMa7YnP0AOAt3rM84 - bmvz86NMgASzl6+j2gCYYTeckwE1SWsNrS3AErbSw3fZO3QbkNqOQXpELebI3/ZtC3VsT9cIzpHt - t2PZe5CvFSbw7MPjpKwpz4A48Fqx11adZYJuvVDW5rRPC1hjoglufl1aiDELePe6JUc5rUXdsifG - vcHE46lj8uJb/a/jzwBsyIMBQmQjyCZ0gUuO27bWOHQLA7fGWoPnrq1y+ATcGpqMXWCx95W5ILH2 - T8jbO+Kg78ALsRmeiXcdQR4cPEVdPwKJ5RV5e9FFF1100UUXXXTRRRdddNFFF110pAu6fZ0sJl11 - SLHHhAJoAbhsx2eZjbfE+n7zkwjEDlV1SJT7myOQMUaKDsD4tYqPrfzZE+DG6Ndw9Nnu+YEzWkhc - QK9qj/3UxpXQNNufvGUAoRzonkiALFvw8PjD/PCtViEeTmCLZKE1PxzKAAAgAElEQVRFp3kSNnBz - VFQa8r5DgQc6aSEAtoAdql4AwnqIdOgsy4c8D11axDlf2bV5aloD1FDoKZG9Ov31ej1NVWsf3EZH - NDh+B9vTI2qT50DIjcEVGh0Qazhj7RWy+PwGrMQCqHdaDwA/66JXQm6xf9pcji709qKLLrrooosu - uuiiiy666KKLLroo0gXdvk7WoNkWFioO3W7g0oFLWo2CXIAnVtC2YbgRQu0wFwa6134P4GZ8q0VS - dojUQqaD0kJB3wJ6GT1vbKDDN+d7tXodqfRQX2RgGWgbQ2ZfafCw19Dapy2N9tiEslpNDxyrYRJg - UxkHfx1g4qm2PcvE4GUJ4a6PmzoSCMQPdATWEdueSRYE6yFjeS6Qjg5re0s9NpZP4BNwB+5s+PRm - 40yw9roN9HYkRhhAuBjwApDI4AIosYUOco6ZAAZm4MnvOmbN2s4eR+wiwR2HXhEm7QHSodqjpvha - 2GvE8S+66KKLLrrooosuuuiiiy666KKLLop0QbevkAXwrCKOBGEoAOgHT7UHATADt7bpvqGrKQK4 - YfM/I4RpHYCLONqgGBBrIS2Bzvd7FKRYrNUO7gzfH7vmxyc6ZpwAsBXotSUcuq1vOX5dC255bbX+ - WoNsYdJ+7cXPiXYNR9BOEJHNgeqVvvH/rM6I3LMWL3xWfOQJMTOoh7oqkNrNKAVSOaATutreS94F - IZdFx715C+kgNiJhBE7XmFjzOudR8iikAC+AAYkTakxPnishoe08qBnz7W5EAWB4shGT25ugbaXA - GxVY1yuvEXonJyj3Y+n12NyLLrrooosuuuiiiy666KKLLrroor/mdEG3H6I9AFoxWRg24jY9ZhlM - 9QwrQg3FYNYSKXSc0kKkZC+Yjt4C6P+u/UirAfwiVfwubLGPCJ86biuGAGVGYvxEmdGz/mgGngka - bqyJbgfamjB2zXvgrcfSGjZDYUtMQPZjy86YGhHRgVYbgORoYxrv0tohYDEON2bgDbitwqzFrgob - Ayee76vCejSZeQ8JIFYf5sy/9odM3ELHPTkqcwCW/Y8KvCY/Z0ys5dnoDdMeizy/KN412VtrAePe - 5Z+NFJMU17hgELR5XWG0q7e6dWwB6PmOlymzcGTh489/kK6Q24suuuiiiy666KKLLrrooosuuuii - U7qg21eI4T8EgLIGlm6gQ7djnz3BDAH44vviA1Y7DuiS+b0QZNpArDvwAtzqzvc5CNLBUHYAt3Tc - 0HZxpHPYZK9lQE3HT39WgBvwbBACZi0Q00ureVRtD5sShrVCtw2q7jGz+yDbUSODGmTKutAoAToO - bQOA4lj24lfEoe0AebNUJigykD31rqekNa/HDk61BPb8rRNTyGL18K4YRdtQcvqhcx6O2gF0yuBN - 65AV2GA32NKZ0AB9ST2Y2uNwlZCpmpbAZ0BgtxCX3XMmNMmxEQK8awwb5svKRms5fAdvMYG/A7Rd - DYUQ4F1Ycng71nqE7S+Q9qKLLrrooosuuuiiiy666KKLLrrorXRBt2+gCUM0h7oKsIF54HwduxvI - mgBqLbKSDl7W7e0ROpUJggSAF+C9o6iHbesRQ2RH3PrxX3t0zABAreU53RwDzY7fVSTarGGJ0rK1 - Gsy80F53ADzIDA0shs0G0sfx7YjeWrymaIHJQkpkByDjKyNjwOp19rbvMsZyBe6GZHhSLwWOZXta - VptjjQUmAw2Ho/MNkd4MXxIFeAKfQc9E0ZimAbGNHV/IiuoasBk29sdsygdcvw+CTJUbHmyrZ134 - NLJl1KYzgz3OekLfd2gsexcwgQKGhA9Q4A4AeDcCeWHAi2EjNsAM74in9t3QSV+LrhDbiy666KKL - Lrrooosuuuiiiy666KK30qeCbndoztdGZ+a4wQkQlR10RChayGr/asQ1PxFCxF3IrRiWGlU6RWEe - 4CyCbGlMPSx1tCLFg7WshbLS0beaLTexQYQP9sL3OFZKgAMHCBtqYg7D1fjcCu+mkCVA4SgikCqI - iF3U8aMASlakU+ipfwmyp2Tt7zuzetymOgzZcgWc8XB8pQLKkBYj227U7AHJkVZgzrzawN0IChOQ - 0go0mXJBOFcp/bywjpVWlJnOut7tZjBDqRgxRzx17cHNUAwlVZzeztNH0GAkWxCxegkegDuYMAfT - dow71MaFoAZi147weOEBwdMCSwxWoLtD0wyr4j2hHgcuFd3fj0DADJsC0oS1Z0Q2A0zJgffHEGIY - ipqRIlQ1ALLLD/HNKfKZkxLZwccDr4bn+WjwuMGqZFINIOkXAaoZSXpM9f9f0OhXmvLhW/7EqRL+ - gIGYl05axHswBv0EP/oHzGAC0lzoDrXzgrq6mG7F9syvmstBTwXTryDE7/fq1mwndYhOi0AADKZQ - UxVJ9Y8k4koeAE2rZMXVLkP80L7ij+jfswxOlnuYSxBGFFhdZEroY8a8k3nefzMj31SB0DfHlywU - ecyc09fEGGSs67S62pf6utaoWNeEMKuqQyFtCc5gCgNE/r33zkyvs1aD2BHnchgUqsVex8z/agsM - dhByM1UzgtLWObvgB1tnZspqx2aN/Whcu1QBYYtTeGKokeI63ff8KKwd/epa/wO829FHdvBeH54V - a2cN/EBNZg9j121TPw19c1bM4ZkDnx8rpp2TEB88uba3xv30heMg3QdHzK+fVOHBjXbBzBhEq29a - mv52M6SoUQZdJ5zqGZ5etde4vRPsk9cfN80b0h1Ew6gYWyPMGwLAYNKkang94yHpFqwVeNpNw0If - GvOJqPusHlex99dsOveCQMvrFWWmxxoceyPqhlNLMTHZglCEZzodHz5+9BvRnh3xgBLCwJMxEwTx - IFePTOTu5dOHd75Sm9NE48udI9ReMg1FsW9HfL0iH02GEKliamaahAaoWhKJX62ehwCzYtlVaPI8 - w1emtoXrOveDwRSU2kcHgRtsq4yr5Yp5gA+TgmogLE0G7UydDDXY/+s3ZKiIN3Aw0tkrR3PwFnpg - ryYpaDFXZxZqeGWjP0y7b9CdDwJsQIcfPBNHgRYCEG5qJszVUytmphSacJ6pwqfuXtsA3HR5ZuS9 - 19T6c3MjfdO2jGr5v/VzgjirjF1pQApCiwD7YJYs65bAddekq2deTe8QoPkXGQLezCgymz3TUki2 - yXuVWZHOJAJQc7a5l+98Meshbt98/Nu0MzwMw1dNaquRx/lNF79xlX7s9Emg26gmPkivGwwbfkNT - wiuwAQv8VCX/mBmUKIZbn/xJzWNwEsT6tYmA9aoYASzAZwYAmZgjQ6et5AQy3dNzSR46NHKCIJD7 - cAJAPNWQWAedcldYrZg4+LwSbIrmEQxmnmSAQDIsYRyVBBIJJQFCQWHLt9qyqOrBbZY4hgETYU7Y - BEZk4CaVORJq6+wUdoXiY3z3DAEomECP/NUFEJBKrDXlqgElQzIE94bsZqL02bXDkbNzITCo1d8o - rvXLaNKkRkncquzVnMVBHXcHuJStSE7CHLljLZksC8yK5XrdMzeMXpPeejpGP9Bmd4JGB5vnM25K - VMNjTVOW+lMqm2NuDAKCDWqwdggbsBXeN1tyutHc31LivpavkgqWdzWDcz1brSLlUepU8XK/yy1L - klA7bgrddMnSFGLldLUmpjCu62YpPd3Stq6E5aenMx3ydYfwzntJKGwHwDWzEoStw/QNoAOUrGJk - Zc2ESV6LIeWFgFUcimUrTCmlfG4bOou+npPzuFmdPlTqWz48vIMg9adlj1uhXAt3EQL0u1ymcGVX - dwtFtaQs1dg2gNMA5HryYWpaXWG3OoKM2KCKsiCn+hDnlM7eowr1ZZWQTVpgPtXrVtprbwYtUIHQ - c20bsaEQTH1qG9ycgmIwgbCjva31UEJhm21buT/JE5TlrnK7salUg9EKKLmrBRsnPWaJbBtO1Kmp - bQr0zbI2DYKvR9EfNrAuiGWgA92esLwQL7C72s3wDEkC0GAbFGBSpsKKisbENofqTc7x/upwfAkl - rEnN1Na+SSIN6zVKKL5ToZlFde8v1yMiTYEn4GZDmi3BlTJRYEaYctuQEm4JNEO5wwjePh3K8WiE - vl05dMvBKZs8OqNG/qUx+dk/VjfBLLDASWjc3+FWjKUYjW1p18e8qt231UTIlFlz2KsBtDTqb8W0 - kAIRUPoYsNDc4P7Un35TWm1ml0uBAiZDWlVNJQmTIAmAFfYCLMCTKQ2WZA/XnIrljs5T+wfGeSVt - rv2+cB8H1Ufi8Wa0b/MrfX14J+JDz7mCnEabrz/Vwjk98ygTkw8xG8vGQFxh621z+38ibn7JDfMG - qJ/FulsJK35WrQzRHVXTw/O7L/TaGGGVYKSkpvddt9Z1aFiNckiVoatC3elnW8vZO3N2YPq+fdg9 - Mmm18yFscwtaKez+oDVvs+N6CkibjACqUFU1SEpGqFrOqMcIi8FtoVpRpWFJVW+39sRRh9Zi85Nq - gzs7dcNb6NEgmcYpCnCDDSu8U+PsagwG3ls6NaNLpR+VHI1Ek5OYakyGpUAfH0PEK/zQnQEfD+oV - DlLa1nF1QOCfSOt3pbwArKeo9JaRBVybqaouSTDLYPePAof3xg84VNZ0L48zRta/Ussv7VcDNEN4 - 0AhGLX25GkxIaXTfiU/5NajrPfPDUrRo2V7e3W5q9nJfn56ecgKsAAYu2uFU83R8TNMB1LQhh6GX - 3VWolAM7zbe0dn1XhS0Z89b7yDmoMHoPmUETlCBMbIOtACFPG9K92JJKnZQDCUjtSOpzZhWggDsj - ng25vjwL+AnzH3SBHR4E3iTfdvLLKCCC2gYrMAOXivRJ1ctdSkj1VfSl3rKtMcT9bmvcX4EX4Bma - qhmzCg0YdNsyzZjfl1WZPuMtG7AW21a7JVtEmJuSEBRYQakKggA0NRlvBZaqGZqe7C6j1FFqVTNL - dygBJDMUgwoSLUXNo9WH7PNtbUiAb9s1wAzPQWgBbN4D7K6324fVxZe1hrsu6V9oIuERCgIkKEoB - gCRGAWBmNXxJhWEpw9btnoQiCSCK6d1keUJ2zavgZjBYhiWUaFDMdNtSFsgDBPKhZNmZfFr3WBJQ - Z131ax6md3Dl2uqIGFOYCSrMG/epHPYfG31z6PboQ3Y6bf0jg1ZF08Zf7Z/VN3RLxQK6yagpCxRL - 9dFT8yU3V52fighYVSUGADQ87ycM9EEz/N6mpf2YrPqwP9EE4z1RgOfqnVhDFTdiATKbb3R3PyO7 - 7qw73G9j1jTcvzivDNWvFQQ80rZ1uttWr2ERrAkElwZ1jWBMVh/Gz9YKTmj37cickQyo9W8VEB0K - wdmXWmOJNs2WbmS8LRWCrFFafSadkFGIO4p06Bai0g90Y7Ls4T1VhRZogaWuwQHbUNSblSqjscEM - 9hTDf7Vlfnhic5TjvLGO7EQYKbeUieq/qJoayESfqgqRKNaUJfrk6OD2g7URLkbBT/TPKlAVdzvj - LCZ+SPXvtR6O5wpOYSku0SuwodQwWQF0QzGm9JxEpDZhLaZfcVlvCeSCkqE35FSI1avICEALlqdb - lcStbNkKZDFJTEiSJY6RTcu2CkEh0nJbbkUAIDF5lPORvpbz23VEMKW9/iOKwxnscI612VsSx6Gs - hgSSkJxbvHrZMgsk55yUJ1O1U0cl3P6m9NGF7fi611y7+68wPM5njs5eG6PSPTCwumX+TRsZWQCM - +WD7V7tv0xw4gLnrDrZML7lpyYQNWrDm6mcXP7iRkznygupDQWdZ8+RcHtxbb3KhigJAfMVeYRuU - tUlw0bKuYmrWF6S+cOvLuEYolERaxKCS8u12Iwndir1IIrGITJsqrHFKp7HDxmOb3N65P52rb5ON - /c6MB/76nuI7NniKHvjjbTAYlbVnFLhDXsSyUtTz8BBIAois5UsUWZZ3fWji4FKcNKp394SlmzsG - +zQ4AQ7AME/szVB0DWcCuK8PAAX2Ais1h0+FuBQALPVFADHmhoRwwbaBilsyUD0l/SehqFNe674P - iYEN6DY+be7tt/Vv+kTx2Bk17ZIAi7PewBphZGGrkBBMIm20F0BNTSs2lpdbEfq0HwJjXUwd3zKp - bpcpIL0/3SAa+qK4uRiaC8I46tSb1WqwAlCQqYW/q9YJ1gbWJWBjzTR/xnp7Q18eOR+Emq2Gdfy5 - ELoAD63oU/95DjEHNkQ9hDH96Jd76cHnmLRgdS/6rMdCXq/QUkPjf3cl0ZX9GHX7moSu6nzZy5FN - 1QTgw7Am/T+68cWdYQzRneyS14aI60AHG2gt9IlhRYWIz9MAUy1qCSqpqnprdspQ7iib5Owzz6nd - Ns8Vw9ct6Cq4/cGe4ZE/7b3e7+MOW/CKduM7XGgDCjaDKlJCquvqNTJBpGAjVjHKGLyJSRLVhHfo - iiJIArE+6oJCcaAJ6aSJb7I/R2XVe8DLMNcwuUO3PvyrwtiIGzoqmQDcgQVYbJRaXJ53X61omjXl - 1sV41w/jo2qA1ViH6bHQ4PGwhdM+3siPD1NXyqmCOaWt+yIBkALcvfdHcFObkI7hjWklxsYmyaDc - fARo+AlvWw0HlOFmWKuFe9d13VSbYETolmbUgs3c5yJSW7/mGC0fVK2vPOMM13XdVmaVJAl5SVme - RGAGSYlkY6QZmKs3kAFgg97BBUAFl8JnPFOfxf0luvt6kIM2W/deKLC1QtmrC1yQIvU4fgC4b7gL - 3tWpXHkPBXK2nJAJiOGFY8p3MMqDEQpssLWe+9Lj2BR5KPHji8G2vsLhEP6At0l2MAPN5M3Fm1VA - lm3hq3VN9Sd9K6S2TvGhO5bfbXOvfCy3CwCswFdAgrVEf1VVCJGTABupsqQVWWsnKCVlCDdYKVtm - hk/ZV2iGNVMUEh6aYEMxUFo+zIDPtnGn6ipcLHo5m6LOfcOiEWvTTFtAQMeru9daAKupIEtDuGrz - u7JJwaVX2AbCIFs7eKdBM34bXfi7QFbsbAFgCt0qclKWRGQRCFh76gWwqmqB5ZYIA7btvma9SXpu - PQZsNaaSAjXdtq/KiiXduEgLm2DK4fCno0A90Jy2/6UJWFdYDe63tQ7nAH6gmxYOFiVDg25TK6ZP - 3k6+/nH0KTT/K/RjzXV7piHa9fO52NktnT3R+FCFem1+vXbfN2f8rmIffMSFp3lPBMgR8FFrGuIn - WOeGm9/q5q5HB/YxWWZTMYy4f3xs8dqL9Jia9h9xEbtSn/9M8uo+ZkVR3csMoQPdcQpuSnWVIlxo - rnQADvQWdohD6pwZ/1j7P9wyQHvYrFc4NFvmshQosNBqQGs0RXhu9F3NxcB+hYPJe2rOOmsda5oK - tP3P8AhOJUaCBYb35s/7lqzueD80ozUiUaaV4c4crVZoejwOKN/tHxfhhaRkYZ8LbpQeUUJYiqZE - p+Jq+5FqSKQq3Sqbb1pVGwsXY+MeCJ8zqYEUrxcOMvGNKYyGnSu6u+u/TfInQmhRUzAZoAYrWxZF - DRQL2zGw58yZigtu8Ndowslbh09ieGbz0zEExOIML744h9HsvyXdvQ6P8Ciirci9hxhF8bxJXavv - VL3/q3NvWhtktntjV4lz7yB6AHOL1eV3PFe/LTFdtp1Ud7rr71exFshmazbmlPsAPUAZU+v2l/YV - 392x0BdvFK8zEf0YivWfGz1C26u6FluBAlp13YeDNJQtHrHivIrn3T0+f/b+mQ6YGKu7rw2HLwQy - uUXVFrfdNjtQ1YoYIKlH21BBQB5ZkK9Hc4d/+LlHN6fuqsSJFYi27zBira0cjmK6+Fn47+TLNR6N - QngER2nrIiCig+RqqotY3xYyq5KphzGWiKZKtJHRNEexmroDBFRhWlriDjNoMSaeOar7kR6IJwy3 - wyPRA7AZj6OFCtMHl+9q9x5/MMDtvAI4dNCxcnHNZXJHECpz5gUxFkj3ufc+DqdfejMADEtz0prD - IEU3bB2QD7dsmD3ABZrT/UPtDd0M+vuTMatlcBz8q3NphrhOMO6cCf2udRaadKLZP6iX4/s4YCH1 - Qj9euO5JNaAUKEHpHmawXrUI6f56K35eNtjZu50ovmJ6Dsrj9ba1J3tfR2oGHW10cH4zqv23m7Yd - v0/E8axXP6ZR35j2pqvPYKwxpJquPaBoCP6PX0AX2N2w2b0YtF3npx063Feg4kA0zM/MrcDjBz5I - rzzeNlea1lj6rgNEUNnDlAxsbqOzypnjw+CkM8fDZ4MV863eS5HldV7W+igwvtW5rWTXKz17j27Y - DNK7NGwdaWM8aK5Yh9ZZDBVojNcj5w8W66iFwiceadM301nH2/THEHOL963Hw415qKtfi+XMWuD4 - AYDV4TCTpJW/usHMY2dVi0WTq6D2OqAhq5X6th5GueD0Vdvzy2yvsSfVqrE947b2iUlo7WR32t9D - BurXRx3atdCXE++4u2LOYte0JGBm9L0eVqAJZJ/2d0ujWM1aXh4DwI6I1MVhFACKLLDNcfkzsr18 - xjunv4drzQ98XMbxBRDde/wU9MZvf136JNDtZBdere/eiJwVtbOjPfvBiUMY/wye4Cdk2N4XPX59 - XCbC88eGDLsZLnLnxB74wkO4NwFpg+RY1EcqVgIjM0ldniHgrrG7htXj80gUH98+eQ05fftLey+Y - u5qFP2fT09jWUG/rfjpHwRJiQzqDYkzIvomTh4wzdIotrDh0xCsciw8YDKpk3TCdmNqsT9VUS7GW - EjUxTYUeTOZZbV6vwlHS6cyof8ixPTUbb38uZ3SXolUk1eVwuyuWYiIj8c6+xs5uAlZ0K+u7JZMJ - JqooBqiJahbW6FuK5Lz4XkSUYqVmrKjFaE16+KClX4N4+Ln7d98kAvv1BKHAbNs2S0lFRNW0AhYs - YPG4IHGW1HXd4HjMY+HrtSa8ZbGkMOOOBnx4DhjNH8/aPDDdpvq+P/ECdw+1b5jfmjMbH2t6VMJ7 - 9fgYJD6q+r065fESvNnjK/Ck2wh+eZvOcl7kcda0oAbBbrM0GW3QrGgJCZObc5FT4P2X95vg9u4J - BCgJSxt5tleEBAA5K63r34lZ8xNvFLLu942iP2g5DkprsviMl0PRhrLe1yUpxEysGOuGxeTFLSkh - LUOOH35xvnH2cBCJ2LjHRZ7I1PjbFagAC9gWsdgCObcW/UAiwwz3dVMpibclSxKQKEVTSs1efXKa - k2qeSMaDDnXTOaK6zd+3fbBBuzqwcIcS9+zaC2u4a9CiQmHdmyeMSW/NtFgp25puN5wYLPdzqis+ - efjxW6ecmR+tY98At1AD/VOobULU9d37tiLlnL529vWo7secqteM8UKbKtRQ/qibjS01/q5geita - 6ZzvY7LM9WqMuh1PhmHSg7E6PDMK98e8zzFyyrXJo4+QEU3m34hnrTaBMOPuoZPoFnLv8e6LmXkS - VQ9bAaeCsfsKsX9slw9SEhN7YnJTKKqPTElLT+Lhn4b32iR/H1Jpk8LZuwnTJYar45EeGlI1v5Yq - 3KSkLB5uoShFX9bVyOUmOUOQfEBWR6Lu5gJRN4plD42sfW47mHQ3SuGlnOxMbTzoncTYrPoifd1i - dCOSz+d1lNeeSGyhG2ZTpsTUtw/6sMnzQIiljNRkB5u5V2sPMkUK5oeCwTlkWvlENMbsgIxGN416 - syM2exHvA3wq7+RLVWlIaFUfWYH8Zvz6qaDUqgYnKnCYsbAPc+AV4KDezFkouW7nNENZ7yCUCUhl - 7F3dcUbaDHevNx457h/Zv+cOQGuKqkoRLri14H7AgGLYimUNj96G1LEArY00fwXuvSKDCaYt6mun - HneNeNCUV4TjY0CGrqAN5MgafVguPpb9iGUn6tH/Cp2XgCfYMm4AbRpTFCtWtW2xIlCY2iZUyA0E - NMFKqACtwQ8FpnGvjAF1362EncdxEPJoh9pvzdwfrte3ju0XzzHZFN7IBGx96tnqxMajqtvb3p29 - IwE7qdtEBBNywsj827byirTkbmZ6v98XYcqSJOfbgpJrZHHZ9H15efr8mSBUQZFleWa6txOUsK4b - UJa8WDGzIkue23tky8mdziYGqZBxv1mQeZbH6XcelafMpvavNH1z6JaPFdwpHTTIvrSoaej29aEz - x+ObH1GZ1+mhkjoR+iZBDPfPhoa3yh/bB7bE8VwtY4cpZ3iUj2v3ccQ4J/LfsjfI//PjHAywAgVS - Ut+YKlOLDsXvfnPFxzgPCE1uajbs7dgtrw8PYBjefQWGukacKe3rN/60fc35tgk30Y6k2cm1EEYh - YWR62y6TlmAtzJ2Oj+xFKkp/YJpf4vTM1C4rpSV1IFC2TcxYk3lCFBS5CZ9qrOWBN9P3CUhbNa6f - YwXMKKQliY9WA+TdTkISaCTTJ1aYXZ0HsfCReRLsyOk1nxwHWKOm80lJ0nID18ptBVCPLLTSwnIB - tDHkO8F40gWfoH173KzuYayzr5gDDt5cNViKJ3uMd1WhgjQQmobPdrgm7qo268nEzQcXdxLLvhfP - 2x1F/5wXr3oSvdjo2JC73u2JMFqklAQt7L3ZGJfj57wUBWs6MrYs35O+Fe4q6FrZxbrrx1ivyhkR - 8N27z3K3tmpqKnIuIfuJ36jD3nQ+ZujrAmeuVsfewGq7bHpg385ZX9sQ8sdnDRAQSFqegK0GwEhC - m0W17W3zKvfM4Nd8hdek6dELr7/D2NjZpiyA9V0jgNadBma6bVITtTKnLFggfWNaYVKY2Bstydsp - jqb9XGb30AMaOn2HpU3P+E+L5nF84+iSSdy37c/aiGCdyxYgk6DY7SZtU6dHKvfnxijffYuHn50O - O3paBQpYnZ0kTGptAOSMZAJkGCF5WZLW02z4ASY+puObhhj+RvjSiQEVvd1rdAI9R6Wr3l5sKOZM - mq0NZYbCEDsl2Ebto5gtt0S90nNjxQ8inrVjvnhf0zy1A0naN9qesr5kmEYW7DA1lZGRsS+9c4rf - PTL2FSsRmsXp6rGQA7hmpjWnaeNcUbQdUx6LahoTLMQ5XvQWjKFr+vfQRHn3zRNNv68thw/iZY13 - Yh+3h8R3tU+9ARHclgypadWLATWij71aVurWEkMS5FAT68j6WwUAACAASURBVG5+Z2Yb16MCfaLA - 8dJovAW10CxOtCPubnFEy0fesvTgDVjVJtJQxaAp2iHGtdRWQvKq9Nr033toStDxR863f2ebdK6O - 4vXHZX4DmrR8S1GHpsknkdfGmZ5zZaqOc+A1k7TTK7PCiCLI0QlhPc/vcyoyHObBb2IRj8Zkutk2 - bphPSFRgInWmkQyApbbNfF9qwxksbH4MH/zYCsY/XynBABNxj71u9d/u2RR5gdyYFwNKg+6ygUQ9 - TlPRU71FswDzdbR6dUXb8AR45OpUzckyfFQb3/5Ol59gl4M02+5BFzDun9rX3X+bNU+jDNx26Bax - EgJJSMuSZZOa5YOSCatpNEQo4+SJVrSvITU91rKwGFC1EAHpnfdo9E96Q/zn9Jw3ebek2NRmn5FN - Pcbkm2bmAWECprrpdyTqHXWp5XAqKn7TAK1zeAK2lZX1TCFK9xIowuWpAghtztNjV4SLZKFP9ut2 - yZ6B3LAsGUwAKXmnvN5AUfec3CCqckt7Y7kvpq9OBRtCcd7Ya6jHXw36VAkTPthOe/D760XRNZFM - HAa6pcc0zvdD/lMQj7+daDyL5nAMgZlstqZ9GE9z5nkyJYfFZEzN3V35GOJQIWzOqBdTBb941kkF - U/Wo235Xg4n5QTR2UurjK27vjzT8QiMg9FyijbXeYokhaQSthXJyKqL/E/Zgeg1OVsUPz7iitF1x - Zy+6ktJQNuuhLKb1IG05Zs87p+h57FjLVvlg3LCrtgThORcJdkirHa9ca6+s3zSF2t2M+QbeqlRY - 4OtcYrMliWN/hJoBjt52q9rd8VJQ9WoLR26Ff8pAhco/BKjNfz35xEiHVm/2bmqyTlIo7roaxbeL - CBSd8y1wSXvX7PdefwIyAFOErPtp1tP02vQ0x6/W8NlxgHRvscG0Ooc2tqJG6LYV0SZf9eAvtOcx - 2ccw1++h37sJ7PH36SrjvxPt9f9QnVN51rNxmVtljpea+1XrJIbY8QHFSJzlkQDb7DEUNiCIuHAR - 6sPuBaiqLnKjYdu2JPUkNoJpzGImjR6jXSYO7eKcH4jYByXPQo+GBg3XOu5UlS4Tc7nH7CmnH7aG - 146tXA1b6DkT3Cjudd0OmJhuHOjNo+3Rg5zkF30PYz0FKAOUsfJRjwEp8BxbJISSqGKqq0rNeyzS - Urn/WMJuj9OVDzxdyc3r4HvcMD+NiTkR2/xMU4fjA1UPTOHsPjo5d28oldDkKVatf3nMxWYECru/ - cMKBaBPjwOLaDDQETH2VgoBIgdVNfKnumwEebpvrLLL54qEq/cEp2Vd17zwPrA9D39cSYO8QbOwT - oHnj0oAIQ3Mn72f8zv2dQ1V3KvcgrvtHho2cHtpblnFhKEvj8ZlZjLpqP/YAT2p7rMvDhaT+xu6B - tsGaFUEnCSih9UAGQ8X7M2sGXjHYZgREWjyDBS+4pv6YkuAfmlD5cBJ39ZrGo88MJpvVJ0G+c06c - w56LpN5PpGQazWClJaRuXGiOPQyAqtneUe0aQjB11o6H6u7IkSyCv+Pa1HFVnmPa911Ec2QG9/xp - z6fDfHvXCZyvf0BOsBOV8e9ZYL/153/cUbdDswZHq1e0gHWHgj9u3cWwWZG/UskeGNT1/fgCD2yV - vctyGGFe287CXQ8+GCyv1O+UajoewNQsiYCmtAIk9pa3VLatIRwXB8hwsKxHvfnI7pxqJ+IoMPFV - ARSqgELEtB7VhdRyPUxuWh0gxefhnhC2GZA+Z+1q/9Z8ec5V4tRXx3Z0dT1k6LTVbyWeMNXLtb1W - 2enO6f5BNZ0Yj6rwYE8tuUHV7C3PZK5hsiIDkYUAqcCkLiuGaKNedh/RNb9Q30HLCl2yO8PTKJmX - KAa3+9rkcelufp6AB1V7eykTd3ojQke1X+uERQUaHTUC7v/Nn5v6R9ryFwGY9eQ7gEAJqp9a1jOC - 1hiM1iHCnFJFAiiAFlNTaUyBtYBg3Qol8RsoSw65nIYfmikhgjN69naqDJg6POiHb0o/FiMw6BMm - TNjR0Wra47vtmd2OJQB+zkTCnFkSzYL60kGXtE/MsHkjfRyAO48ifna/nlEplGNDrszRMTQT1jcn - t/UXRyZrG8eMY6rQI+F8cAd7I5NqmlffMA0qknSYlnUnuK/Pj3lIh24PmXM7SXDE9+p7WPiQ/7w9 - 5C6kdheCo7hdA9LUlvg7fXUlDusDxN45FYZ+6NFh+o4TttFiM9UiaZytQbOtbKUUGHh7lgdK6pD5 - ZlexwW0e2Bd+N1dY1ls+CgjF0cGY7DuWBWAVMSvY7iv0PSznJSMnNKyPEQXzHkIL87QMUyHITa2U - Qko7AoZhSgoA2EoRUhIMWiNBtwIDlvwwrv7jKew9rQa029Kzp0OQT+xxq3mzElOSVExporpBN9Gy - suBp0T4km22bl4aP09Jv3q5WMbiqmG5on+R3h6i1ybRC8gGa7ZLlt0JBzd07frl95eBp07VWF9Jp - 7HzIQBjizCAqt0lyJ6hWuvLn+C8kiW6P9Z0KM6s8EjJMIM2jQNlUxKTz5TTg3QdQ+ILH/AYFtK7l - /v7++WepFH3//v1nz+9yTkmWVsQ8n8Xu65zvfV0Q8KC4bIR5+O1gSXbZ1eczMYYWGFjT1Gcn36qC - Y4UD5OgTJYXRVM6mw+fUdfGsJYMSP27DwCQo/R3s7s6fNQXVIAWLxbCuFm5YSKYF9wIaskBgVu4v - X3z1lG7y9CS3XE/5PeXMx9Oj2d+5UXr0WnOU3CbaDNL7Q/TJP3fPOHPPvNsByYfJBklh1TKmJuwC - b6ZFy6pWjJKW5xo/xL50uZstBpNs49IDmhWDHzUjzfSxr1ECUoAVZtgUzHWUb2ZUW9I+ZUIXs6Na - P4jO7vGmmSsbE/rsCU2r7m1Hvac9pO7MkBiniVz1kroinwOcZgPov9VSMya+9mdGON9wgAK4xgaV - qUBmfdnvqrjgSL2A/WOCwrbLIY7YodBnikP71La6vxf0zlkncjTIj35km7S3JguxGrUgFc1iSZgT - KpCyqm1KgDlLPS3Hj1zokVmJTH1pa25aqMeh/jz5vapm7lgX8uzUSlejNuu9grVsKVFEmEiqabmX - bTM1YRKrqqlBtwoQRXVDEclRCYazIbrWnO6DmJYoLF5HsBThLqZbPGRcm/p61tVRoH3gcTr8o5W8 - OX+ia8nZuvmtybrtpOZEfz8M/jiNC/nGxFghwqe7w8d3ZW0t6VMV8H1XlZgdBbubACZPOEZeYPdw - 47yrN2lKnV1Y92UTkTNHTfQpiTAt27al202swDYYtOjdUspVMYrPXPrHE2BgCgv6sX7HqckrlZ6H - u509fHzbsG1FhCImidgINai+lPWe8vLE3LsdCSig9iNBDfBDpyrqlGaTsQAYAO9wU8+rbuGXozo6 - 6Pi30/nDB59mF3Vqo6pxtbU967mAwkLU6NCelLZdKu1k4k2hGfV4PWCtbr9ssIxi9VgcoCrzYCbc - 64lVDl9UAGAKnxOv4k6tYRypTIwYo9Gu6OHXTuiQQkv2H2CvFJ6cuOjQraU+m5vNB0OT4l0DIAIR - 0GDFgJxzbsdXGFRNy7auVkiTZXmSJddaqEI2RRYmJJGXshYzyQkv61pMc6r5Jcw2IlvRH331/vnd - Z7dxQnSo4ZuR0yixQbzZOfPA9+aB7fXloFIfudt/ZejHd0xZ5H5UCDj72Z8P5qElWat90DPjRe+B - fTUwaJpHK/Zfh/ZT2v7HSb/GMdBqdPA8Q944Y0+VZRgzqYO7Grc/0OcAsxF9UztO5qkGsAE+zWht - AgK5ZsRWFbH+cvHcUTvoNhRt3pzjfsVTnb9nT53fj+OQvPDdlEZiVwOAnwQ/u3fBBZm+zhPv6twT - CyJnCM5ltGoGazNSUtKc0BZcJGVSQatzlNj6/hBPrvl3pks9uG9YVrptc3s3F1Tld7LC9bfGuVKM - xkTWRJ+lQLikp1tKG5YVY/vijiFeuEGLlk2Ekm5V6Sc/JdzcXTczaiEJkZRSzdGQU6riLmmEO57O - xj6SXGQqZ6SzRU7QN29XQArZSyBq5HRll4EqiWQGQT+uU5Kngz+T1Vadc2l/S0N3r9Ttpspe5eFa - t1tTsf7pekt7WG7QuNZW7/1gLu0FHxScX9BWgX3+uz4NM1+J9ZC5NoGdR7GFt0bXE3BvbPdlB9g5 - 5H2EBw0bAN+R287vqGEJ5ns0/Za1AKvABzhvG3vDtKUPusGHyQ2qP7td8sMlmrhJliyLJGZJ+vz8 - JFm6XAF+SsWwMpGnfVd3cEtO6VVp2otRszI647PxcfUDcfH40xqDtDHCuXdVMUWRl6+YiRQU77xZ - Y+eqvUkJnL8S+orHJ3nSczjc9Z5sWc/IzWWhxeTUCA5WM9ktFsxWMfvs3Q3ISKJoSwSfQq1V2h3n - eCz4zZ8K8NZeCOr4GJEZ0zODz7af0LqzJIhpTptqIekLhN2LUF0EIBVS90W3otkCkc4F6qQtc+W6 - wA6Irp5Wv4COszleSBTgBUVsM6ZbPYcZpKQT4bCz31+r4MiKoJ2NgYFT7W3CvKuCVXc9/CHS44Gb - N3hqzlwb7hyL/mv32qqOTCHCF2GM1CtiaBp/ZnC13pu0lAnLxAUCsIR1TplwTPdv0tKL37yl3Rqf - jlNO7n2vZvhnr0EfUn+op0eqJRSAsFSA1QAgU6Qe/a0boCKLyFJX63zligDH2UdtCCY74GSHT/P0 - 6qjYgXaS7l08JhVmrOF7Ncn2wtT6sWwQkLokkkuBEFkNZtgMGa5V7FgRa6J4MFLBqLuQ7+rc3tDB - qoOj3qV+F0XufT0pmVc7do7qhQLbZA4m3kXrNm8rm4YpR20J7PY8TXWyZqmn62/UX2+lPSMOzGLD - o8xRoCYibWBB2RqeX6nVPJDiGNu90uN2SEhqUXdx//v0VvxjdAl1WrX/ZqSmpltKKQmXzEQTX1MV - MvnRor4fPm4kl7oyG73S4FG8fe01KCh237Z951E7TY3GW04malAKIALNWHKW2yKegnd4pFW2tDqa - hs2wsUaDhkO0fOOIROxv+Kg40UoPHMweifaN+uhYeLQ6w8BhQLHhkSBW4WeY7xpGgniPvg+fZBP+ - BMn9egG2oivWBSt4m1oYwtUIjOUJ1PmNO/ejpyeWeqqdoNNa/0XrFiYlO8vHDtBGkbHR4CEJDgSf - pL5KQOlqd+duS/tl4LdRvwNAMSMp0iZPptgKxG4Jidk0wRaK1O2nrEuASVBMEyFISaQuiy6S6/y5 - 1pKAKZPcnt8hxbDxr0kcNe+rpeL2plquk5HXGSKAR+RLXG39mBp83YnZN6MfH3QbKQpP/zmNWX9s - +s0AbSiJ7FZO/WUPnkIX7E9jBjrtTM6hzlO148galeoXbL7QjqcYfjRG8Fr8Uveti18chrn+EiP1 - 394ytnXpVGefdVmqx8QAKk21RNn00FybYvtOWDHoFcu317DoG8kiU6ruoNUAtyn6Dmg+hE2um/Ni - 5gmBBvfPBcTubT9mUwfCJ7s2N9IM6nv/28wqZnOjUCGAmqmr+7nxD6garwku3gkhWrq5JlSC3ZZK - YGTHm4PS6cYfanWu2oaabTVbf9FcpK3kIviu3KspBRS6gQLTl00Lb0tCZt0lbpR6qHx1I7QGLyog - xSgAtGxiieouxicin0gMZ0e83zsvB3EgMu2CjYea/idUtq2o5uWGdIMlNaDtot9PeAzg5FKcfRV4 - vf/P1ONOVYxH3FEJnTOLqXvZJzbGfMl4vjmLkn/Z/CtjkDJWaCSOAED0bWrH3Ly7pp0M1Jk907iv - hbfEKUGgu4btlqCzwocBx62JRTqhYmPQt9vTDLxdHy7XxE52SLQNySwZCXpXJCy322AVatCaudrv - +xrbgHY4vgnaa7TTSh8mGzPtnYS2xBkdc9FdN/ujk/qffL+pIwkzs0IDrGywQuT6TJXImkKyW7SP - acDjx493upQcImhGp84aqO1nbwJfhqtUxb89UxRoiUgKbWvJ1Jggov9f5Ms69Rp5vH0YfX1vz+4Z - cxPf0du9lTegH87RSmTUH5WTwZNp8uzD0TMEsG2yUjFuaioHy36odMeAHvK1r+bbqJrLs6slw7Y1 - INGa14OW30j1DmiStP/uqIid3zlY5/j0XPtAY09XVO7OqW672wP7dx/U0Q6XDy/W+LvcoOpmw3T0 - JfxAbcLGRGhXlNtZCDxTQP/cYXFo/wym5cc+VB4M0ljwcfwOlT2z5rz59YFqOqq0q3s25v6bgbny - BQIgtZUFq7q6OVpTi5mm/MWhrg9kdXf5dCAbgk2Pblh/3vMam6+bYlPYVoRpeKTwRtkGoyWxmu1d - 6np9yfUgHLKusMx93Z3lbqGiBozWPEC3+9bY/pIN1dKXIwZjml0PifKmoXSi+y16d63k4iu+wZka - D9m4u69ZGAg2+O5ZpA6DadyKhvLs4W9Awz6N6Yv0r7l/0uHUsB43VrB03hIxSj7lQqj9Ud9W5VBg - FTFsicRsUnHWB0rUmWFQaMik8SkYZb65GxAzFAULxKCbippzpxsIDjQOMTsfugDG+r6iUKcLRwfj - kQ7oQ7h5QLRi+kIRCCHZlJYq7OX6qRWY0KY3tZeLn69LcN+5AMBcx4CMiQLO+ry1Y2fg6G34JnT0 - Q7DzIKu4+B5Zl2vdbXs+0f5DjTThj0c4+DuGDm0KsWFboU/1tWQIexjG6K+7ATrsTSC7aS01VWvY - 7MvG1aoAQrx7UNfHOAmGi8YJ3PDMDI0/dSqxdye1xa+c6DGdXOqoY61f2cUV9MLrpdKGCgk1VW4F - WirOmmCQXGOwVsOqbd+EJMBTJgqEVFhBqv1Kq5s7RXC/K5a8pLoJj4y9Vfv04zRn8JcUlO6UNCVj - fVxM2n9oqrqtxDWATMP/g2Th57Fen9IE7OjTQbdvqmRv5ysNHnfc+0tdHcYEt/O+py7yh/Nkvi4d - /L9ap0edNMboeduqiunJGIkYYNoMYFgtmsthS6QNAWRswTw4sW9ouA8LK+Ad5gdIeDXaGoiPdGuh - wsEm1H2FxzWeduFoPA61HG+GgLIH5iRowup9huwovSjf9dYvB1W/zzRYlwGiJxo32YUas/eF1y+8 - MhpMUsxDZ0tRqArJttZg2LZisCXHiRHDsD71Q6dfOJo6STYtOL0Wah5+2fG+572qDp7Qd2oaaEjA - Vtbt/hcv6/KTny++nlZrmI71rNxMBK1s25//xZdYPv/WuydZRExbptg6HZBcgzvXbdvUEvF0S9Dt - /V11+cwW3k5Omn47PXrV/CyxYPZsfqA6CoyX2r81EMzxx6188ec/fCnf/s7PY1kM2FZIPwaZqYK1 - AXpIDv10zyMqjjgGXvHqThUJT661WFdEBy9yAS3P/fFb9IgDHq7Pf7HLYUL0CgZpRWXMM+z146sf - rGdaLHovxQz3gMkhbmGx9O0tLVVmwG3xIFOlIOIa4xFzzyvtd1CyDfl9JFiAr7nnV4fQq7/Y3v3R - j76Um3y2fAZYUehqBJCiLg/uo7Upj/UY5w8q9o9zEuzxXzZjLuZpancyGxzvxs69Aq2/JQqeM8pX - 9/Xly+2Jt7R0OVDdsFlGalbpAzpgr+x2n7Loc52KehCoEzq++CBilg1QKKrv32N5QsrIqGt02F62 - vNzgm8hgSB8C3t9MjwJfYsc0weW+j8ejPAiak3d6A20lcmA2SoRNhnUupw7MDuWMjWhbQSmaBElA - EVhBuZeVK6Us75ZcyynWs8tZbBPcCHKSxB2LkIBkj9oPK8r3d+RnpASrQHJOYMZd7y/vvzCTzz5P - 6XSXrMXqzLeG5B3qg+7RHepyWsP5gZ3zcrIo2D/TveOpXzBdMkdMyhwZSl+eqEmACJjrc5nwFYtt - om9+mM7AcdaFo60p9ZkYvl1Dn70chLdt50YGz24sogW3CPt/9q0+57QaaCFTV814oBvKmpfPkdrq - ywoKsqTEerBNnRerZrHcejYpFhCpuhwGwFLYzgocu3J376F02MGm1wpzGsfV+Fp1VkrRTGQhEmFq - BDOBgvV+X/Wen7fb7ZnIAGml3E0ya+J1oXBEybher+2o7ZLa/j4iuwF4bHxs/u+k4WPKNq0AZdRk - cUOIu20a5cTpAaNqeE3Pn9iCvRjG1MLtAbOKf9kMDDW83yMeh8LsM71vSvvqSlfR7a6jSNYX4sfQ - GM5QM+sH2D0M6PBL763ufwQd4h1RfeSWYtlj6Orzk0t01ooq2tRvwqLJWkuSGg5ftnVdFZqSIile - 7i+S77ebtXNG67miwzez4O4c6BTfP1TCYm9ER6gZytMiKtwt0tDZ7f5S9Iund+8gTwb5wRdf5nfp - 9tnCoNkBKKRAtAWZ6pAxsqNXvVLmYlGH8evTrN6Orliq8/lIjD/O5XwsYKG0buC633tSyfEru65q - O8lm4DS+JC0Agor1iy/e05bPRHATJjwDL1ABoApTpKUtKidwgUj1YLgA5uccQCboTDxvXtOEvkrs - o9JjV2a12evecjRLVB6z03WQq+GVvRq3183l0ZMAx3aa6WlrTRA3NCjbhnXL9YKW7f2LCGS5QbBu - +HIFCSbkDCxMgrWVV+fQ1e6zWCmKLGn98kd3Pj39xLdjlr0DDZ9vV+0HEmzhZxQtA7DLLN8fCAqN - eFhyLP+D9Ngz/dT0iaDbyLfzMW7hITu804nA5Kr0zTa7DmaT7ipdvgeUTct9KrYdBMsbMjqI8Rc7 - NK+/1p4z95arRLmxNcCaRzQG9eTsUGEbkA2isYFuMBhwuVfELNwpsLVXQtmrMT3qf6qguwtjVhXo - QbD5WbcNT6FuYIztiJ7bCeeBXepAoodH9QeJsDIdYSbC7+x8l4DMcHp8qMfpZ6gjgZ6oThVCYSKs - vH//53/27/7Vd//4j7/73S2l//p3f/fzn/op34x9woypoVPz0PHZc9dnrsuBuPP8501BhEF1Exbo - hvWrP/onv/dPv/f/fPfP1//iH/3ub/z6T3v00SPjYK0/vvziT//si//l979rTz/xCz/3M3/nV37x - W7cc85cTgCkMOWdCxLavvvjhH/3B7//3/+P//JN/61d+6e/+9j/47V95o2o8kD3+s4+tOonxJuxE - HJMjXs2+81uMwu2uX/zgf/2f/od/8i++951f/PVf+61/8Hd//T8UCXhnSKzTTQu7IzVYGL97LlGh - Vnst0gfGGX5Tm5XmC6NF5tvZhq0f5MJ/tOxTbfsfyWZZGN6GFaCdLd68kJPEvL12s7WYbu/Vm03n - 7/W/3fEm4NMn7HLN7omTyWvS4DELSPOKvateh554aEP/d6g0WPDcjMD3vvu9f/7P/sX3//T7v/S3 - f+kf/le/c3u+3XDLmTRootF0UvshqQ4QcNMDl3Bo5UP7e0p2KC5e30Xdvl5O5MFp75b/619/91/9 - afn+Xzx952/8x7/ys9/5xZ95rkC6WT1Qjof93ecCshsVe84YHhv/KhUzIsfd3Z3xejTUWjki8nyD - ASgwe7++/8Ef/OH/+d/9t//4N37zP/uNv//3f/FXfxmEzR34DYjnHRFD1qwHOuH0o8EPGd00/xri - H20AhQeXhs2H4VTkSd2AHnuldSEiSQ0B05eX/+OPfv+P/+B/++f/8k/+y3/03/zi3/lP9VmeMnzF - MHT82QCYrLhN15rIss94g89BiOD2BCSYYVu3lBuC8Gd/+m/+5ff/7b/+wQ+/8wu/+B/9rb/xN3/m - O0+HZeiotePFc3ZPdbPO4gOX2vL41AbzN0L3cJRogb3jSwR1Z+KiSRo8rHEAN5u863mkW9PnaFGp - QB+lQ1cR/y9xb9ZrWXaciX0Rsdbe59x7cx6qcqqqrHliDZxZpChKraaotixbMtoPbgN+aMAGjIZh - ox/8YsB+sN8MuH+BDaHRkJqSWgNHDc2iyKaKRdbMIquyhpzHyjnvcM7Ze0WEH2Ltc8/NylITFkFv - Jop3OPecvdcQK+KLL77w4JzfBss64LUFXVxMoC2oG1dO0cJraL5/t54vH7FJfdPpsfnwLj47feiL - 25wDzH1mB2BwhfboppNpefGV77/13ulzH1wdbdtx4Mh9zz7z5L0H9u/eNnaYaYGjFYgbUEDJkDrA - gQRKEI4xq0VyW6rBFm+Dtvzgwyck3fYLv31XLNx/zKknJ5CgabMQ4Ao1cwUzmd64fOHYm699/6XX - H/745w49/NQ9hw6sJBqRSmYSAomBQFs4kMN6k6GyrQAMyvOTcGFr+u0PtWWhb52ZLX98B1uOeqgL - zbVHN09qWxw/X/hHm69cHOOPsva4bS3d6ezxrQ/ivvWp5k8x+B7D2V0N44cAg3/ARVuKCoc7981f - EeY7DJszM7/fekt2h5kaXkcL1VF+258u3MbglfhmNcNgiHgrBnrbW9HCv2G/WoW9tlr52x78577I - QeZKhDYngfpssnHp0t9+7z9c7NptR5782Cc+d+8uDNkmwC1aEOvWLbl1xWz1GQi3v+T2m91SoAUs - stjvMOaL3yWhJAwr166tvnXszJ997ZuH77v305/9zGOPPrJjlOM1ziiVG0HzJlRbPn/hLDSC1mrH - YCUMh+CWub39AXzzv/QRPtDmX/5/cG4W19iHrvlYLezsTZNXj4fh2zltup6JC2jK1res/8eYdTcu - XTh/8syJ6xvb7ntk230PHN0Bg4gRzECU0uJdOEMbmCM5QvCQGAQUwAlJqhYUHLyw9SpBxDdNvw81 - oLebmLqbBmvK84rPLWWKHxq+hQGqJtpvf+fBCA3jSb45isNwVVW4heOX5maCKm+XImVHxCwQgnaT - 1Wtvvf3Oa6+9dfGDW7/xld858uDj490t5rlrhznUlJl8czokXIS11VsfXL3yw+/94FYnH3/uH919 - z/17dtzxCf++NfXRv/Nqmu5gyT/yD257jW8Z2J/rTT5iN93Jyv5Cr1+OYML8uu0h3dzWN9Zv3bx1 - 69bqxrTre8t5tH1px+7tu1e2baclGKGfH8xbtEHiXYZ9Pgy5E1Pd1b/IOx5O5wV4Mw5r5qEnHbqi - s750pZgZgJRT0+Qmp+EIDVVJghPW1yfFCklh6V1nx1MB5AAAIABJREFU1qdGGu7FpqNEOZOkpn5I - ONTBfrDeTdf66UTJNDWyPGrQtCBA4TCL3ojuxn9/gW3s3DJDd3M69c5myrvTEmhUP642NKqapai4 - rhbM3DZcp+Qp9dsaXZ5DGQqYA+bOgypxHM0fruEHDWQkok1HB3PfYuGlDoMTGQFmyo6KkUU7zuiN - QYs1bpsPWAmpi4ugVkU46gqpE+tu7s402DGvRj5WmsPm1FxYnJhV3lHNWBaEed0dqGGpk2q5duXq - yz/60Ys//CHGo8988Yvtzh1pkMhYnIotSy2eJYo33RdP/Xo7tOi1fcjIbBmDYMLYZguQ8K9o8eym - uHF3I+3RTd968/Xv/Oidn5xbP/joZx44umcsm87I5om05Y4NbrPp+oXz557/2+9hae8zjz10cP+e - 5bxCJI7NuCwejSUTE7nMppP3j/3sT//kj+95/BOfpaVPPH3Ub1sCFGCvRyLd3evtfpj3PLw73Iko - HmgIyELO3/BhaUY4oJuVv8NYzg1JVEIbwKrTtRvHXv/xX/7NDw8+/mnbec+DDx1OjOQ+eJ1xh/X0 - GhxScPwUPs+6V+LKlljuNpOGYX/edvnWl9nmvdZ3+AjFnertLD69DXn0+Alv4vmbgcydTq66N4Tm - d7P4KgvBDZkf1z48sdOmVB7N5VF96H/C4vahxD7V5e+LP9py0eCN1G2jrk6buLZjk/WyKYGx6ffN - t88c52GDm9MWRcbBiNBcNAlwd6KtGSSvfu7wwCGOEjdmZ06f+e6/f/70qTOf/uJnnvrUM5QkJ5AA - VhndQ+BnMmdFGEBWWAfRUOc71t7f0cm50w+9borKUx7udyum7ptWY/j+o65qHbdsG5sj3NWB883N - Ws6fP/nSq5defevW05/CyjOPHtlzsCpLUJX1Gj7RCQSLXcMDGo8hKbvl5u7A/IzPHvah37akFhk0 - NJjY+jQEsJkRDYso3s19YbDmN8gVziBuMrSArSfvJqs3jr311h989Y9//cv9eOfuu48cSQ3X9EKY - r8WbdXd35jtVON7xWrjXxQcO0VjQ0CrKF9biginZAiDfbsY3f+pwmqt0EKGYyxZzFRumGlSqAc+8 - SA2bTPiYpy0fYwOXhhhwsqIn3nv3P3z3O9/5wct33/v4nsOP5XbJYD1mOvVzJy/fuDKF05F7Du7f - v0OaeFMjkM99jDjRtj6LAiWYZzEM5jAbiokAGFhSqCT4MKEAoBcvnH751TdfOnbiiU99bpzzvl07 - W75tkwNDVfyC34lhmdPmLW2a8EVvaLhDhZiBUDfQgij41tmoX9Igxe0AM9V82PyP5jPuoWJVT2m1 - Wu64afo3jV7AsuqbMDnR0PDADOhma9cvXrt0+uKtye4j9y/vP7xzeZTCJdwcTqo1uA6QhazecFtU - qW3mNdp1dxoW2OZmIhpafC0szi0b2jdt8PC2w28WdsJcm+QOyjz1kzBQyIa/39wiRJW16op+evnc - 6dd+euzb3/nBy2++d+bSleUdux752NNtIzvGefdKw/Es4Qe6BtfVB5i2frTXrnx1HbjPO1nW5ycA - UINpScKDV2h1LzMDrB4FqHyn1FmcRrywDBVeQI07EJR2jzZdAwfT7daNaz95/ZWv/ts/+nLJn9p2 - 4OCBA25KXEgIxGUBP5uPcp2j6nV38B7OIHESXWyJi1jFcLiZ8VwbhTZ/u2W+aCiUh9/2z9yjrG6B - lzPMa6V5Dm7DUD/jA4Iot03rVsStNlzmsOjEg1O0WSq9sJVjw0n8mTEAsDtBYeQkznDcunbz1MlT - G5P15V0rRx89KjkJZGH9+VDPPjgq8+1RPdvN9qZb7PrWY8vMQUSDDSZA3RhOtNkbb/O3m2JNw9Dc - boD9Q8lgH07M4TuiiP9o09Ec1oNjoFwbzOC6eRoP5aTz+GSuQnL7tUgopM1bqbpzw9eA81Dquen8 - zyfInTZdLh9WDRnInIQ59qlpf/P61b/97ndO3KR7Pj478ODHDu9aMRA7TVdvnj134catNRmtHLzn - /nZleZSHhuCoAxvR3+apXR2bwUlYMKvugDkxoo2BmQEhE0pwKm5220FPDnenBdqje62P13LqzJnv - vfDiv/vaN5977rMPPfKwlW66PpveuL4+mdLKrtGevdKgAaRSnxYdGwdpxO/Xr6/emNrU0vY9O3Yu - YTS3gzSIqFAklp1qSUQM8pBo3ZwuEMjdokhz60xicHPdzZnrBLqZA7f5Nu6bS3KeL/St7+YgN2d4 - PWl8MHRbvbz4W3WXhbq3hQNR4ZstMQcisgBJu8mFc6dffunvXjp+8f5Pbzy8tPueHbsMAk8wwqLA - vROgN69d2VhbnfS8Y9+RdmUpCxJIwtibwoQ45KUJ8GSmk8nlK9dmyDzetnP3jnHUM9dyYcPQu9KG - 0MbdeXP3eYhIAQ6ORe9qhAXPboAlLRRBBpNKdWQXBsgUbkg8oM1anObeZmxMxVxWYTiCCRa1OA4M - isEeZcQsCUIoXelmqzeu/eiHL/zoxz/Zseuudvu+B/YfDt8vBBXM3cyZ2eHmId+DaDYz3Vg/dfL4 - 89/56xuztPeeR5b2HNq5vZUBmdkqMzjf5ptb3h08RHhu83u+zc1dMBZmm4fv3IwskCznAw83558H - Naxh1H/sZb+M65cE3Xpdu1vOL4d3fXfyxImXXnr5lVdfPXXq7I2bq3t27X3i0Sc//5kvPPX0M7sO - 7beMiSI7aj5ky1EwP93nE8G3+YH/4Nuul7mzKfnCeVQK3JHbuAEDbq2uXb52/eq167NuRoRdu3bt - 27tn797dNIRvMA0v4/zpUx/cWJshacrr3cbVW1d379u50hB1a3ft3rl796Edu5aA8BCgBjZPYugn - q+v92Su3Lt2YdFPbs/vAwbvv3bcv7kX7bro0zoBq703KhMW1eYcnKxurN6+cOXXm6lq/XZaP3H3k - 8I59o3aEosUUbASOImsnGHxmk8n69Y0LF1Y/uDyVpeVdR3bvvm8vkg0pV5h612sSSizEcIXjtlrR - 8J5ctXNHyu1wyBuII5QNOxMTa+alzDgxknRdn4hTkwFY79NuKoklpZSkHgWuEAHI1DlRGC8wmAcM - yNGXXoTjgDFTN09J+lJUrW1ad1c1cxdOieAOc3NXSUwguPVdz0TSMECmZTrt2vGIU1VIAYU7GOPu - OSUr5dTx4yffez/v3HHz5s3dfZ/bdnFCHPBQA5n/xFxLSTnBvZSSckbA1hIOgZKkeRhjIFMwVzc0 - bH48bLymFFXt27YJJaqqKrllSRCYmcTKTEqH2cblS+dOvH/87VPrN25N1QYBjXBybbOHXPxp3DFK - V2aTixcv/sXXv5l2HSLtPvfJZ+7etcIixeE0tDCmQDDdnMSdXG9eu/zmG69N07b7nro8p83Y3KMj - FC3u3uQch5yZMTMv2PcBoo1FZKaaUjKHmUsiAAYdshCDzp7PndsCKqAEB4zcuBgo2rGCI82i5olI - TMvG6qVT7/7szTd0xz3XNkq8I6PARZ1DpdHU2NU5QUgBNjSEOfWGAAeVUuDIuRl8+eEUuf3UWDCT - W90bM5eQFCKD9TABmvljVQ+uvrGZ2iDmMLg/pJHogQMkGMjI9eMqoX9r3WGsNVeoe8rxPh5+BG/q - CkF7WIecscC6jT7uBtO5SIl5bQHk3ncdSxZiNRMPr3eT0T8XIdkMxTe3FwCCwsPLYRTXjdk0N00O - /Q64qcEhQ227K9SRUvVMK9OcaHABEoFh0KIy9983cUKQQUt1KPvS5Zx4qCslj/iqqmcU1aJ9kxMT - A26lv371yvF33z1x/NS9jxx1sA2HlBWoGJgL3F2tlFFqqrqVmmo/ow6jTCBTS058++b9iOtDRyAB - 5qZFU0oYqucq1zUaY/CCH20GmrvOHzpBtqwVpQF3clUvxkkgALm6F0tqSLX5R3f9xsXXXn/tX//R - S94c/djRw3DAZmBPbe4HWN9hcCdi02JqKefNmIBDJnuToWcwN8ssmwFBvIvBiYjDejsz01ab5eYg - J5C5xb6LRiDu3neFRXIeLLKTq6k4pYWOogDAxcWI2L3iWSgQn6xev3jp4omzF05funHl5tps1rXN - KKUhm2QmIgM+QKqqqk3T/DyOoA/e8JA8QNXqA+DedX3OiWSrh1RBRCZEDbUDIBmKATQUy+qgzIsN - 3R1FoQQmSPJuNmOW3MxDwwLv+kLmmQTsKB2EwS0AVZgh52rsN+/BHCBlBpM5XCGERJSEr13+4Mzx - 90++9+75c+dXVzf27l9y+GSyev3yrf/n9//ND55/xYr/t//dP//t//S3du8Zgw0oWEhLB9IFVyHE - GWfE6tiYGhGazI3AtPfZTLIMYgAa+u5ho7K0QIkRunz13KuvvfTVbzz/u+2Ojz36qN0hWTJYg/qN - u1mACMwS86hqBBIZ6g0ooFALCYf4N5tpLrO8NPTmUnMGCdxqLLVFgp4cDjWomru2bWYAaixD8Dtn - 0Zk7OQ1O43RWJKUkA3YKuCrzYNOKFnTejArIAwvXaDSKYrZ28/JLLzz/rT/9g7954Se/99/8iy/8 - 1j/99Cce4hr8U+l7cZGGK6pFcJsJdURkbnAwN0Dj4K54SiQMNSIyoR7WEzNX1a9mLlVTj/+5Sz+s - czU395SY5obLYWaD0jkIbm5aiojQcMi4G8xp01uonkId1OGIqWFhnehgJ0xe/Lvv/y//+/95ad3W - fbkZjze6KztOHT/9zk+fPbqP7hojt5LGPXgKiDfZIJzqERLd1mwGUzgrhFOGmWthpLhdDadUAKDv - dbKxvrK8lJvNZmL9bJbb1sGzrk/tiEXmSMfmFagZI1Im8YfwArGhF0/tLx7wEYhgDtdbN64eO/bO - Q6dOP7i6MW5JHNAppHHkMizsRc0gLeYsMVvwAp3ACZSdpTjckcNquzkMTG5e+j6ndtGnBSiQOFkw - dEURihMDUmQA3KjrjUXCktXjyIuQEzG0MjS1KOAkShI6UbTIcJ5vG0T3teFDzcwcmbkUc/fcZAK7 - k1rtVKE6CDQ6q/VuSplYHV0PSRAYYdYXcx9LguGN11/7V//Xvzp+8sTTn372f/0//rede3e3TYZp - beoOFFOYpUHtLxZ53Jmqqencs93q1MLMiCpu2XUdEbWjBgM2Pet7grVNBZZVIQARVHtKCrKiJuQM - ivRQ/WyDsdU4wJQ5DeCG8vzu3M0cxMXRF8+tEEGtCsxBASsCk8QwhSlUIYzEQz7LzCK5VGE4DEu3 - fuV1u3pwbmJlRkTtrmoi1YqWUgBvchN3papzBJWJQXA1MAV+rVq0aNM2Fl3PONWGZKbsRlZOnTj+ - zlWXA0+sTroeKGoN/P333v393//9l15+dc/B+/75f/8/3v/wE3fv3z5a6LZYtJhpzmkRsnR43/fM - JBLiDNXPjqttWyJ2977viKhpGhCZ+rTrMZJ5a4a41IpSSfPCxkplKHC89dax77/w4x7pyNH7H3/i - 8aVRc+69t372yovvnzi1/6Gnn3zuH+89uFMkSgKre6MwCXTCFdbpbPraKy+8efzS1al87ku/+cxD - B1OGsCMxKtbtIqRq5pYyuVsQzpiZiUNHiEGqSsTEVIoSeaooTEx21Wd1hxbV0jdNI8IASt+5e9O2 - gwFgN3MHRUECansUIpRgQ3Ht56OgbtZnQW5yACamyikPLp6buZpLEoQSobDUUR1c1WJqPQsT5bjP - onC1Jgvg/az74NLZH//4u19/4ae/Nt6548FnykO7DABGNafoUFdmEBHM3nz1pXd+9vqFa9Nf+cp/ - +cCTz25bCa9La7yjggwABdCi2nfXLl341rf+arXwnsP3f/FXf7XZtZw4aOlW3EjE3IuSGpyRE0ox - IU9pkNdzQ1EjZxZzKmpdr7nJMeo1AosT3E1VIfVYgVGFFMPrMGiHbjrdvtwgJbh2symEmnbJ68nr - WoxIk9SIN9iBQupgNXICMywwB/fE0d5NIWnb9u2PPvLwoQN3ldmPfvLGa0cefur+Jw971VjHOIOI - yKrRM7eumxpbblLmbFouX7pw8sS7G9gG4qLeFeQMM3M3ZiZa2GvVEkZESmamqjnnOk2qsVzBczkS - gpOqujBYiKBqDI/YISwMEXF8a3AMgodO3ayjLJwX+lncHo87ADM32zRTW2PzX/b1S4JuKXSY3Ptq - EPPFSxfefvvtF1544d133z179sy58xdu3Lw1m/bj0dLJ94//9NXXn/3Ep5967rlnvvCFtJzbBMPA - 3b8dvfV6JILnuYefJ8L9ea4o8UsAUe1jUdMZRGCCObrZB1euHXv/5PN/+/2zFy/dXFvfmM5Ui4js - 3r2rbdLK8tJXvvwbTz72yIG79hEcXbdx7cZffesbP37j2OXVWc+5527mU2kx8j6Vyfa2WVrZu33H - 3Z/57JeefOZTh+7dB4I7oZ/Nbl1/+93T//cf/OmpS7eyjB84+uivfenLX/jCs0tLYE4pZxATOKX0 - czy+Xzp/9tUfPv9nX3/+erc9rTxw5MGj/8nv/Pqzn3qkYbALjFB05t20KS2Yvb95+cKf/OGfv/qz - c+evaFrZ8cXf/Mw/+b3f2LGrFWLzAhJmaVLDlMMZm6fcuhkAbRoBITRWiZ3m7vOA1RFIiIuW3iyl - FAOemAUszp4aVe0nE3YS4rbJxGTkpe8SCyihxkjEUvmz0XchQJ7JbGZW2qatkbw5gzCArpX2xWBI - aGaWHiJgYifuupmQpJRzEjegL+DELG3bmJsrSFjdaSFLDIBSalLyotp13PVuwTRGcWeqOvM8JN7N - YKquxkQiUvpCBBlC8XmCl0Da9yBKORUt5iYi065zt/FoKagnTDBzc4iQiBAPkBJATG7uPSiYx5su - JbEIMqNhttJ33fp6N52h2FyuxMUsknG16bqEq6HRubibTW6trq5uTPK4TIuBmYWDXVIdtgXK2IDo - FfGSE6UsJDmCclawe50iBROr2/rGRtPklBIzhxsHzG098aBvxUQQ9tiqJNNZR1JSqkAkAa4WSbt6 - BeroCtW162s31rtJwfL23cs7cx5HnpSZyRwwy6TLUhqhaV/WivTACIAXEBm4hCqbsFgx9hJiSAMq - O6wxdkBE3KAxQ0RJspm7R43lhy8azpCKx3K4qw64wnukIObEryCAOrrirl1mQhJ26q0UCHjuM/UM - hdTOhO6ugWMhMIIOhcCNEZm5MDmgqgKHGIhNvTAhMrNVg2E4wwiwgtnkzLXVS12zPGoOrmBPWyAS - t1wcZGBzlxpzC9cGT8xMPmCH7sWMUorNW9RiT9Ncj6IozEkSIMQWKQUQ5aYhlkAy2Ik4sj+9WyKS - 8HCtgAkUIsXReSYGQV14JGDmgXTrBoKb9X3X5AYkqYo9U0qJmFV9Op00uck5CVGxomaSmCWCNeq1 - L31pZZRZMvP66tpkfUqUmHMcJSwwIQMKjBwpNX1foCUjAyxJhBqFOJjnBvPnvNzdIpPtjoi+aV4R - 4GZgcpCZ8dAAtBS4IQUEFoj2ZhqtXqZQhyQMeIuoWdGuSQ0REUuQhSG2SSzXsHQ6mdy6tXqrX5uq - ZUkNAFABkZkZJ8ANhT2OcK8ZmlgmTqpKAAkB6L0vRZvcEJiYzczUSC2lDKdIhYVfFdueKFBTL6UQ - MbMIM2DmqqrMUo2xuRlEMgu5u2mpXaklsmYoBlVnAwt5hMgwDviz7+DrSNMMJaKp0oZJ7ywpZQLM - +9JHwnDWdXAn5pxzWLPpdCoiTTSv23qVUsJzDU/R4eZuBtPwSpAibiFOOQci66UQy5yCQyB4rXaX - oQVAPUgYquiBIhaDHsktJoKkSqg0p9Q0qeKd6sbMDKSU2GokD2F4scKeMm3S7aLoToe+l1IjDU4g - kKBXgCyBsnCGa+fkZE6zHk02ozLtNs6ePfvOO+9nydeu3ZhNO7MxTJ1KUBQrGwOxSIcmyKBimBlI - OAlIMJ1uZNc2tQNCpyD32jwazGDPUAUKpHT96vrG6tr6xsbMisLvvOM2vU+qDWS3aEZw3TUwAyJ1 - Pajeqw14cZLMDcBQhQMpxWkc/Oaaa1KD6pB5RmIQ1f0BMNitFA4fQgsgkEgiUETURMhNinuJXCa0 - h5UmMVB8unHz2pWrs55WdrS79+1uV9yBAgM4R7OR7uTxt86dPnbr5rVz5y5dudp53c1rpfPEY3GO - 4o1a4eVG3kGIu5k70Io7esAyacgxChKIUMhmtZWfi6KJ5E9IVqD0IIlsf5ySPBgCW6CLOUAsRHBX - sxKZ+DScMgZTBwUFbOtkodZyQtLAEK+lZcOE9bOzZ06eeO/YufOXDjz68ec++etf/JUvtNTvWspH - 9m27Z982dDc3rveXZs1N2bm8vLJzKa2kVruOhZMIaSH08Bn6HrKkMpr2SIRGApUXMAKBLAZJkCSj - 8djMrDdO5N3M3XJOVnoDNzmDRd03egWnSEiGjm478KIIKG7oZ5kd67c+WLt5vctpx8G7dyZ2iMf6 - gQFsJgQhc0Ov1lmw0A06g5ZZkpm0DOS6UpWIyL30PSUmpszC7rAe5rCi3gYkpwah8JOTQwGSlEBQ - q1SKUAJhCjCZ+q5zS1lyrOvZdNo0RixaOhEhGqWUu4JevWmjTYEKm6F3JRizCREYMjS2LAWlK8m4 - DSugBljPgA68P+bw88Fc9ZuZxV20IPxMVQ0/2WGqDoUkYUbldzGQNukkkptkDMN0de3i+Qvvv/fe - hUsX9184cPL02ftH7badYwkGt2lfOk8kkoi2CJ2GoSAmBmMwyQRocdUiNfCZF8oh5crC0dITXHJO - KRuhlgBRcAiGgKuao9ANQLGaofUCl56TQUAgIirFKFrBz72dQG2JnUiIPFc8bFGtmknIzPuOKCTC - MwCo1zvwgFsQBJy571vUvFiTUs2Jc5wGKoncvOtmuR2BkwirqhElEZEKf3dd52ZNzkPBEML6c8RE - QUl2IqDvC1KKEMDdyBRaYIWsuPaq1BuUcl0KXX/t2pX333377KnjM6RLH3xw4OgjYOgwU0IQIQ4N - aAS1wgEnqppvBDJzNzNXEZZERNLNOpbU5JRSMtOum+WUiVNqxJl6oBjE0Dgg4dUFu3JgELuhTCe3 - JqdOnz578fKRow8dPHRkPB4Lu5XJrWvnf/D9v87HTp+d5C//9n++shdCxC5m3qmmFNCwAtpNb169 - dOEH3/vLF986Tzvve/RTX5IcmG2ExvNcAQ2quKTmqpoizwxnIi060z6lTOHwC7uTqg1VSMF/8lnf - NymJSGWGmsFdZAuhHDVzbR4kLZC7E5gIwbyqoKvDikrKEswZ7QeSDbl7r4UkM1OiWiWhDqZIjJiq - kkcSgkUE7Oq9KzvECan6cMnUhNV0bTK52ZdOwYOGz8jREwC2iCGLeplsXL9y8f2fvfqDV9+z8d0b - +eAnP3GXgBwGNbgABmdV9OyS0tVLF3/2xut//e1vy459T/J4VnSIAaP+Rbqi1Z1jwND1xhz0DuWI - pIjhg3gxC4NzQKiK0iMxREJlvZg7CwPqRg6e08ZMUYrnTI1A2hHgNitFp5KIxEw7l9Yj9pJEbhZp - RZ9TUdXBRMkGajCHEnNAsUFgyHnv7l2HDty1d/eOMyePnzx54trN50bLWGJIQrAhRIYyAJbcNEaZ - wA53Uy+dlem0pOs3b/bmnOCAMA0UavdaWhyfXg1h3/duW4RCOaIsimPItXQpoF+R2KsMlEjxFGMJ - zLaGBtWAGPquKLkQOLcOlF5BJMTOiPLJ+B8TzUu13Res+f+v1y+LdevzLwLFwgcfXH755Ve+9rWv - 3bhxY9u2bbt27tq9e487NtYnNz648p233jl58tQHk42d99576IH7V6SeCwNjPN5yXggb/xgL1LNf - CHrrUfjJQ4EYIqmlEAEz+nLt6vVXXn79b777/T/60z+7cPmqgle2b89NFmbA19duCaPJsrI0OrB/ - Lwhe+rWb13/8wx9+8zt/d+7WRo/cbmv2Ht49K2s0WaXpWnerFMNovO3Mmcs31/sv5C9v3zXe3gCm - k42bp06e+MY3vnn23HUeb3/8sYuHjzz46c88OzawIFF2L0QQlgEv+vserJtNzp0+8e//+vlLa8nG - 9919z+EHHjn4+NNH26YdznNSU7OOWLSbXL1w7i+/8fXvv3b6g42llX13HXjobreSqBEYeTE3Jkkp - D8SGAXEKV7puyPiFsniVuHWKpUE+YG5KakWFiEmIEhEr4JZSLk6lm7BDkkjKYIJqUQMlEA/1O4hs - EQ1UA3OwQM3UykhG7h4SAgONKvIw4vXFmkVihokHyQgL/k1G4DwW5dKcJPWm5tUjRE1FKswiF8SE - MpuRWmIWEWI2oKgmZmY2VWIZ0j5wczOj8DStWmOA3E3dJJgBBA/oFGLmBhISNaihMScHu4HYrZiZ - SMNCcI7CyZr7N4cVdxA1NcIEGZDiFYmE1NVLcbVkFppKJm5cPTOZF2sSwdzYDQzTvus6AxdKBQTe - LDoPcJ4jpcw8QPYOKwk6ypJzJklBUzUbNE3DYjK7Q1XdUtXAMDOPn1twE2KpmSkRCYt5LC0qBUQu - YIMyvHbiGzY11ec2mKOzd4+9fezE+fUejz718QfHR9Iog4iGLDnBG/Yxa2J0vU2VS30jC87mUBcH - EMzMhCs24FSrIJ2c3AEm9sh1R8ZChmKrO9irLbjt/Ee16tQUsFrfZebExJAapVsxzcKR1/bibiaV - 9Op937UJleLl5E4wcGVyx1zVZeFqXt3WyNTWKaeh8DCGQJ0KQKYCh/araxsvvfLO25e7ew7elR85 - uOfgSsRA1SkIaCOgFzNmchrSxTbUijrMfci9untxT8xcB4xgrmTzG2CDKcxBiXPN27nJ5oeqGVLg - bxRge7SGJUNR1STZA9Y348EBclSyiburqZtSQhgZB4mIAUWtL5bEABA5uZsbYqRIHGxeVAuLZaHM - Yn3RYsRps0sig5gUpoAwC3JnvRUDB4QjSUTnihzz9bFo2D8K8Df3qPfzyHBkYpKIE6wmqwysVlM4 - AYiEY4JA16w66LU83iPZVGulvZZ2BXHV3JVtjrxiAAAgAElEQVRIwBwEwyjgBwWsFwbe1GYVvOMW - JFGrC8peleZiLXo9vcMKlBLuGxRm1Wqbe28qMAEzyNxgRmb1hm2zPIpC5Cgmxd3Ug1RFBDU3Kw43 - BwwiaajMCzsXDC0ICXFlH1RSjBOMiqMQe0BApUOZAh24S1SIyUhmyMWFWAhm7qZBKKCBpIkoIBCR - IFXN3cHFryPUcSKppStU+WW1cKxKFEW2FnHXURsUxSag8LZC4aH2b/EhzxPYoMHZasgPrVXz9YEJ - ICRiRqcwK4ARB7ZP0YWRDCCHqXsxz+A6b8EF4zCPTPNq56IwQY5QRI21tCmNEpMhS2JpekXOLgnt - KO/bt+/ee48utcu7d+0duCGxWGqtabx3Jci4w9RJzEkNnAMG9d6mcEIaYT6DNRIfoip1FIANokAX - hcJOySl9RK5kq18VE2buNQ1ca/LrHhhwmijjgCu5gJAT4KnitpA4LuNFIbZt5mShkVKVm8iJedCz - ApjY3CkEEiwgvTAS5FEbw0hSAUp3uBm5kRvMod3arRtvvvn6O+cvrxw48sDTn9h1eMUMbHBGUSBx - k9NSmw8f2LeOnXv27EntKHohkJmrSdq0YLXeKZxxB0IXATB3BSgNNwzE3deK8nCsuRIyJKJzK8RU - JXDNTZWFmMmBYu5OMrC0UtXcQVHNxEwCrok1j8VBzqCqplUnjUO4xx2qWzrv8NznsHLh/NmL58/M - Ohx96JEvfeV3/qv/4ldGQNP3NLmWUoe1y5fPX/vR8evnyvb7H3rksaOHRrtyMW6iqZ31wAw+hSlk - yRidRrYV0M65JivVoepkJIy2bUrXmRYmmBYCkISKOVESMSIzFK3+ASOgW4MYXOEEEjc3U5Bevnju - lbfPnbja3fXgM6OnHts9onFlbteMqAhniQoAMpdhsWpgKtEBOYjpXh3R+rcGiNcKwPB5rAZbw3FB - TMi9GoOSSHDh3aEGQvCjE0CmpkXhcAlCnGopnjh4iu5G1ALkiuKUmggOzKkAMyCRN27sMA6uGRVH - b5goWvfWqTbdI7MouYCjMq292lsCmXs41kUV8zLkYSvDzayyByhIrpES9+jpJxJV4OZa+lGTjxw+ - tGPn9iNHjpjHKWSiBhA4JqVh4nlKusK/Q/WpRPHRgNHWuorAFAYbAgyokzushGypcHJQGVqcModo - R4FUyX7i5JC56F1stUDI5nGhm8HNo1ckao0ZQYgpeG4Sip4LolVVmYHITcEOTuBcirpZLVOhwSnw - +GrYfAa3EHmIBzO4upu5qKE3Z4MwmFihITsXYF/F+0JWqN6HuzncIQKrUiTM5M7F5vMdfrKTO9y5 - Sm5Tb6ScLcouzNomH9i/d/bAfTsO3tOMRpLbzdbCgckFQc/nKyT0OlhE6g+90lcdxgwm6XuFwhOY - 2V1VTc1ZJCVEyFB5zY7KmK2qQo7QinDFdO3SxYtnzp69trrxzOeeOHjk3iZnIWszEncn33/r6okr - N5u7nv7sr9+7dzsDcHZzU0OKeVSgbKzfOHP6vddfe/Gn79+879n9xpIzJJ4cNaoNeCuKcurajOdF - LQmP0WciQu1IEKFAaEHUKihzVfWciSlRMlM3IzjTQMcdkNtQjnAzr7yhgY60cIVDkpuGSeFdlM0y - zzPrzuz1jS1ET9Kg2EUe5ibemAYrFofGoIgDBTsJO/MM6EEApTl4BMpRlEOEwJdNNcE2bl5+8/Wf - Lh18cXT4k09//K42ssRe6npwjixthly6eOmN13/y6iuvHXr0Y48DFPi4F7iCEljUjaO+j6BAKZHT - crdBNY0MZERSBdKo1md4TUgSQg/KDW4NEVzdBVEjjYEp5UYQInAGjNSoqDctg828ONrIHwslol77 - IK2hZg5QGBKHsjmEIfOJ9KF6U0RWlo4cOnDfPQfffOfMiRPHL17auOvQ0tISOMGLwUW4ahhxNXQS - /gG5EQpD3crGxoZ61EqhohsDLjoXNqjulVVK+DyM9SGzVi93NScGODET3MmrHLSRVIQocgWxmFWD - xwtTI4aklNnUUAoRiFOl9XmtQ4v8tA/e1kKN7B2jsl/S9QuFbj8SLiUzdVdJknOTUybCZDJdW1vb - uXPnJz/5ya985SsPPPjgtpVtpe/fefvYt7/+rT/8139w+uT745dfuv/ZT4z37N9794oDqiAPv23u - lAxI0JCgub226B9w+fBEw4c5EKIHJVRRuvXVN3/yxle/+m//4pt/tTrtKDV79uz7+Cc/uX//PjN7 - 5eUfz6YTK91rr772+CMPPffZT8GMCEJYX721trYehU13Hzz0G//k14qv9zeu3Dh3+p03Xj9/Yba2 - uvq1r/3F8dMf9D7++Kefe+y+FeSk/XQyWc9tg9yYYzKdOWg0HgriiGazLolJam9/DJrPTQ1YiHDk - yJEHH3xw967xjc5uTG6dPXPy8pUr65PZcjOek1JGTSaUhLJx8/qls2cvnr9wa31Cy/vvPvrAoXvv - 3bN79whdgjFTP5sxODft5hq2SAGhycTB1MJcSMeqYiwTnEzVSoFTbnKi5GzFe4e3SFTUp9YRpZUl - ySmlbeKFrIfOIhueJBm4FJS+G7eJEuJ8jQfvelegHVO7NAYyAf2s94LRqAVqrbRIoiQAJpPZdLqx - Y/uOpnpVAEBEo1EbGFw3nTI4jZYAhllfCucMwqzvOadokj6ZTVl1ZbwE1dlkcv3qVe/L8ng8Go0k - JVRPsY6C16kAMTgnpGSqfd/nnB1e+iI5q/lkOm3bNuXEQg2nOIVSThEHteOxGvqiQkbwGmPXMF/M - MZl2OSVumUJ3LgGD1estZOzNybIrXEkL3AiS85gF0x4rueSANEzhEqRmJ7gTcUJWeN8kyW3Due3B - s15LVDnyEGorEobsJtecKVmBzlx7LaUrZVoqNihCpZjDcxY4WHhlZaUmeLsuMhMiXNN65ASY22w2 - E5GmyXCokSlSGiOxoZt6l5SE2wEmhykIHXMH6WGsXf/tr3/jT7/1nQ3L/+Jf/s8PP/4AE6E24wIQ - VRmus8lkba2dzYJk4ACcwluUYXcVtY2uRyPIVNmwZlAL628I9ignYeNKHBOptT53sv1bDgYC3MyL - kjoLkFI4j532xolEREAMboUxNlN3OJxEMkXCHXDttGQWZp9MZyyNZM7CPtedS7XTNA8IExMnkaHa - DUlkKNBydTNQp9o7FZ2u5ILpxrmzF7/6R3/83Z+e/9XPf+bItq88euQxWDEyZ2ZGGnq7lVK8zJqU - 3GpmB33Ppch4hJRTzvPqOh60oPoCc5eGJCcIV3tv6E177ok5UROYtFDd2g70RHDMq7xkXpxLvt7N - 1tfWd+3Y2UiTG5mtd6QmuaEGxlCwqjLReNwCCi9g6fuixu143PWuxssrK0JR/eUpQSg5uOtms6JL - S6OcRk1iNjLtrZ+1KbVNS9yooQDiGmFd78aUE9iBnNuWI11iQIqRNsBUBUMq++c53ghBRiTzUpx8 - ED5RJUBEQgBbEsGhBniUXs2d3KFhtXnpC5GyCHHQACpAWpT70iemtmnhBi0wgBjk6tabk7UpSoOF - AGtybtsWKRe16XQ2mUyXxoachJM6zKwVGYCpwNR0Y22tbRpZWkop9aXMptM8boXzuE0KM2g4ZJJS - EqqMkoESDArmcVG1JDmlLJzmg1dKMevaUdt1pSvdeLwszHCaTKYiaFpJWUpn09m0aUcgOCMLJRY2 - lFmZmnaJJDVipV9fW2oEWQD3fla6zsA9uDfv+74kMHPbNuGHtqMRADfr+j6llFJaWlqKJ1atjIwa - rBLlnOdnEFDTXgHpA1UMsvQ9uTVNDsqGpFy6mfV9MxoFLGFFiSU0zlRdzShJPBE3aDg2hzrUXSk0 - fAml7wFOowaGvuikm+ZRI00mUIGpgZlIwCDrppJcpO2LWhJhuGE6BXUbO5ZSSBhBC1hE0s31Tptm - RwvJ8I7X1ycETwRXpJSaps0ZDIxTPnT4wD/7r//Zl7/UL7XLDz183759o9ptfsjWq9XKmEwgctOi - pTewp3HTzvUZfWV5RDPFZILUIAEUjgchgIlO+8nGUgISAdY20o5akHAapdxi7jrVFTnHPbZcZtr3 - ymzMiVjM3Kwa9rmkShQMZCEZ+kXCzLqOc64rNmoYSlWxmE42Rombdlw3s5d+Vjw11Ih6jASllAKz - QaYhy+KAM9O8c40ayJATmKTOunaz6eq16xf+/C/+8Ovffe2Jz37ld9sHHjx8rxWIgAjrkyJN2ray - 93d++/eee+LB9651ex791OjIkeKggjG2j1siizCPiMgNs8naciuQZbgjjwACkpsBTEORvGuU9Gbi - 4HTXtDoNup8IPvWAFw4eqw8ZCI1QZTZzwGR5cNAGP6cis3AmRB01A/WtbdCQ8lp4MO1BjBx867lJ - ZUbi1Vs3Vm9dJ8Lhe48euOd+q0GHplFGt45bVy+eOfWHf/jtly/qf/Z7/3Tb+EsHdx1sR01stSYx - zKGKXLVBcoMEQM0HpBVhooT6Uq1u0zRWrJ9u5KhL6zpKOXOKDQXm8TgPTwhOaCxSHzMnRZuS5IYy - ppOfvvHav/mjv3zx7fOf/Ee/u2/78tJ9d49XUjUfLHDJSWTQQHRnA4gEORFSw3kG9AWNgAiSak+k - USvK6IGiXUKpQjoSRRxgAkvtJEAkWtgJKd5BAMZ02gOlaQQiqjadTttmlFIDoJt1pl3TNKFvlJoE - mKvOpsws4Z4TAKbep4mmSVaIMlRMKfK7gKlPQNMmLUXWpSvIhkyZxLMUUBWBsELTad82Y0mAkbk6 - +pAkdYgkiTQvM7Ekcys6M0ctVRkKsxyNsQy6Xr68Y9tzz31uz97d065b2b/v4aee4iZ5303Xbo5G - DUbjNrU9sZq7KgxElKJ1nEPV4jBdvFJikWbOKuvVAiHPOQVekfLQLA5JgdKjkahZQt/NvKylhigD - iYQaR4YgEIgqzyTZKTuKuocYkcCpijUDFG06GDGuJGB0M4DQNiBADd1kstwmTqAsVXCH8qS4l5Iy - ETu8RnU0D8MJZkjCKTUMBwrcrPRESE3TK4zb0dI4cqhMmNfjTyYTVVteWmqaZnBLELitmwEIWLYm - U1mEWIgKo4QbS0JSWwaGoFJRm3Sld+4AmnbjJE997Il/+T/9DzeuX+9He/YefXK8Z5mjLx4B5qX0 - WYjAVnkZsFAzMGZmNzJ3YZIk7lS0A1y4HY/GZlB1hxKjadu+WCnGuXbbS0KZCQXWz6JfwgJ8b7Ci - azffP/bW6TNnZ8qPfezZe+472o4y03Tn9uXDB/eNWr528fKxd45dvnxl457t25YAQJhGTSYCzEx7 - 5nJr9eY77x774PLlZrT89FMf27t3tzCsA5cZkkByTWIKqJ6GlKRxiaYyRVWFJUlKKYPY3Uqxvi8i - qWlGNTsgrAYSXloaV75nSC64VQm2KjMaeWFnIo6EGzj0qmL7ddMezJzqPJHkoZwGIbYFElN34tw0 - fVEthQim5pIlzwk5UZkEUBSXGDgTR5IDqigFSUFAk5JbbzpV6x1wSrFWF1r01H42SSQvLx+978ih - g3u7Gd59551Dx97vy+c9A2jAAIIhZymhJRD89Jmzb/zk7Zur06f27H/ooQeXllq4WT+FqaaRpSbl - NN/0zMixJxCdjQoC1mAOl6AogpDAhERYaskL+t6UizMyE3kBNBzLTlEMKYETkggcKO7qSCxNzqPt - wBrQs2SbZ3jAAtlUYXNAO6BDzrVCc6GPT0RTiQZPQ9J99x5+6slHXnzl9ZMn3j956uSOfY9juW7R - cNQiH2SsagXEzB75VWif2ZrMTZNJOM5nG/Lv8wrjmoIFVNXMoug2psjc3FxkHnZDhFsZRWKbAJSO - vANnkdZTfTcLtyxSWaZeeoaN2pFJE33/RDgFFWwYkuD+qhGG6m1zqFpK4aEseoM091WG739RMORH - Xr8g6PY/dp9BoBtGA2Z21113Pffc5x997LG77777kYcf3r59+6jNcG8T37x85fzxEy/++NVLly7+ - +OVX7n/64/fevdJEQVkdGRuCl7giu14RXN46qP+gxxpmQM1SiLLX8EHR9Zc/uPT97/3t22+/vba+ - rpDP/8pzv/6bv/XQI4+urCxpKZ///HPXr17ZWLuZGPv37wfc+067mfY9TDOnMS2RtPfcc9+Xv/Kb - 7TLydH125dJbr7zywo/eeP67P1rbWHv33ff/5N/9+fbdhx679wnMpkLaNtKXsE0060tfVBXFEHFU - SklY/56nWRyWlNLuXTsfe/Th69OzNz6Y6dSPH3//rWPvbX/24w1xY7E0DJgR+vOnjv/01VcnaxsK - luVtT3zy0/c9+FBmJvRRWtkkhhHKZj4iNCRDPMRrxERwU5sRK0BMzXTaqepo1HISDpkuBwv3tmFi - LcYsDMmtsBP66FNIgBd3IydQCsIsCEIjQy/WA+KF4Ikyp1R3ncHN+3CZkghKFHRZZA6LAwLJeSRj - Fi49vPPExNmRQ+2QwdzkXLNRpiBnVBldSVJMDWg45aaRUiImaFPetrSUiLrpbH1tveu63LaDRhJS - zadXbcnYF0xsxIiiqSBeAW1bFXWLFlgvZJRaiq6XVtsBSQqaZQlmi5v1sw1KY3DaJPoBgIF6mANc - WKzWhWX3Dmawwl7YARd4IkLOKD7riiWjgXxeSTNEpG5JFdBQjinuyplyS5JUtevdpTGJjkxUPa2a - QXBypTKzfgo34iQJ4nP0luf6Cu4wq/FYThmEKJBU1WDasjAR0qA9StEyVkCAcWMoTEkkCQi9qZEZ - SegHkAIFnKXN0431y5c+uNnLrdWJ1jJ6caBUn8q89Ak6atLK8nLTLjlQHK0TsTDDFbOibWbilJsU - VfAATAubgYmYQ+Cv6wugTW6JyB2zTpMICP3MUuLBcf2w+apkUA6YP+gWBFc1J0k5qnQJNY4C06wo - 4G3TEMjN+q7PKQt727bRliQ1LfFwHBoc3pfCgsTs7jwkQodQGmqu2ufMCRwUeY++1EzuaKSBdSgd - ua+urV+5cu38pcura+uwgkFwMAbNFE6WiFLKEKolwsHLrqa2zAq4aVJsjtIbMXFiAYz6opXiEb2m - EyRLYZsbN4fNvcbeTZlzCH8rMOfBGZQNOY+2LUNE4WTWJCFOEKijOEhAldVcYD28A1KShP+Xtzf9 - jSxLz/ze95xzl9gZ3NdM5kIymWQuzD0rMyuruqq71NXdkmbs0cgGDHhkzBjzH/iD4S/+FwwYFoSB - N0AatSy1ulVd1V17riST+76vEWTse9y4y1n84QazWq1lDFgafkgmkokgGffec97zvs/zewjxhFKA - hDbVsQB+9DZFpAqQMkNr2nwEAgjP4Z6jhKdRwigDdQaxVBxBIjCCVJxta4QyUAq4B0qCZIpSX1yj - Nykw8NsFw9/74UvLQDWrrCYqQoJS3HMRkVJfw940ZBMCqEBILpVijEpPKCUp83vs6mwgoOQZQuFs - zaGMmpQ2RQ/f7Yw+yIA2Pd/o35Qom9ofqYAwpumGpoNqAOccKFKNUFD+eECB69o6YUBIwDQQCQjh - cU8iMo1yIRQFAhQAfcU78cEYSEAAeEK6LhoGaE0/pS+b9xtliET4dHoGjFEhKecuQUJ0zbddEQK6 - bgJ4UkkClFFKdEaJnyzcHLYDAmWEICoCAgCQmIYORILkwBsgXIKgGSZQQwKRTVUUgr9eKeWDgIAQ - xpjnebZtBwIBSgggEkKklJ7nEeJjCcBxHF9wpGkaIkqFXIAAIH4Mkd9uon6WhN+SUYDAmA+85L4e - lRLkgkvONd3w3WdNySE2Q+CE5Eo5FJX2lhSKSDRNyuZrUEpNQ6fUd2z7dypKAE8A5Z7JCKAHIClF - AU3hi6YBBUNxF6kAxsDnkRHQA7qloOZCWAPGiGEYru1w29YIuK7repxSXQIHcBmwwYuDA51RjUA4 - 3MyEE8pTxKHEAL/PxvxfEQAUEqAUEZl/Xc76rFxJjyCCpgPSpnkYFFfCUQCEMEY13QAUIBrQKEvh - EYKAxOXSdvnZevKPdm8VIPjcQ4p+SA1pEufOwmubjweCUtJFiYSw5tcIfcvt9RzpCaEZmhJSSE4J - IvXpckq5DnddTdMlpRxAqKbTQ2+CTRRwDkh81ZXyVfVnolQkb5s7nlCerlOQXAkHlFOr5wvFsmVR - 14sr/9mjFSQR3WBAADAYa++NMS/mKtXd2QghAaAMGEdsyiRcIABAGAHQCVINBHDHYboBSKTHgQKj - b0OqASigJD6WvbmOvb2HCSjhKukgnsm2AZAgI352rJQgm1GlAIyhVJT7ZnxEygiglEoSZGcBh+Ks - //td8L2/kwhPItGJBhptGkB9n4DruLpvb1CqXi07thUIQijaQsxwxYU4AvNXNqpAea5VrVarhQoU - 647NmwSMpsTdcxE8UB4gA0Q4C2ADBKRN2aDLhUJKGVDaRMoIIVApTWMgOYB/yEEghCKFs16hByAl - GAQ4B+SezpT/7nmuIzSfEoPKc2qVSi6Xr9QaEhDf6rVIs6qQUijJsalTZM2dRAgQUmgeUMZ+A3gi - uU+QIRJQISKe9fior+j/7kmQUiEipYTSgJTCdjxCkBLKKGo6gtIIIZxzpVDXTKUU9xxEpFQxygj1 - 3XIOEAHIkaDO6FvQlgAA4AQlAQ9BnvUbzqa2TAJ6AA0A7j8XOgGKQH1YtHQUNBAZYpCgoWsBguDz - RZAQRSSg4lxISRgN+M+Q6wLTQdcVZQqaZgGFUoDygAAiSgXCn48gAsXWtpbx8atcSgiEDZ0JAEKp - bupAFSjOPZC6QQkhTSBVcydsai7Pbku/KPZtRoSc7TKqac9qjveV31ESoDzg0gPCkflX1a97fBwr - MD97ACUQf/fBs4JBCgAQhKE/lFXguyDf0tKFD0wAooBqvs0KAKkGSgFXQBEoAUPXKAGQHDwHiAKq - S0B/zqkIoN/Zb1oo0XEUMKb5KE7feCZdBBea/BhUSgHR/BDqt6Xr2xtQ13V/7/NJWtz1GKU+77Lp - x3q7Hzd7uEoRqhQIBC4AlaL+DyOlEpwiIlKuCAcGAJquAwozHLoweM7tbKuSqBcKSQRXAvHpiAR8 - IgDAmcoGAQElyLdRbORtcXzWGvK3IVQgpY8J8Ycm9K3TwC8KEX3wGQUiJEguJW2q0SRIbpWLy4vz - mUxWD3V2D1yIxdsIAkgeiZjnz/VeGxs58U6LhcL+/uFoX1drf4j565h/3PZZc0Tlc9nnL56nc5nY - uRu3bt9sbQlLAUr4FTDzR/O242bSqdPT00qlqGtaZ2d7V1dna2ucUqRICRJf6siYz2KCfL5QKpVr - tXq5XDGNQFdPd09fXyAY9IT09YyUEEoV+Kx1PNs5lQIllJBIabOhJ7loZlcSBagxqkiTO+U7nHxN - DEgJ3AWiAWNIKEGiAAllSNBPTJOEAjS1zKRJQBYgBIBvCVQChJSIhPgeJN8sSJpLi3u2gjXRM756 - F6Rq5nQJqZAiJYOD/VdGLvT20nK1cnBwfHwsWS8xTKaAo//geA5Qqqhq2M7Ozt7Gxl4gGLs8NHL1 - 6tVAQCNEEIpACBAmAB0PCrn0afIwl8swLdje2dvZ0RWPhMKGRgkA+lkjEqTkXCmKtqNyuezp6Um9 - XNaRdLW3t3S1RdpjRGcEOACHRq1iqXzFtVzqQ6spxSCjXdGooTOkASAgKQCgcF23kS3UVFWESLCn - sz0QID60gzRzH/3kHGE3LC9dRlu1dPUYoMFZ8uFZ2oPvviHQ1dVxZfiCqZN0Orm2vj40dlXFQTaD - 0c4OY35RRIhq+qMIQcWIoigoBd3UuVQ2hwD6iCf0JzIAvm8G/YXB96s1XWs+wwehCVRRAEp5ngcI - yAwJzVqdEgDuKpdLQxe0uVpQHzuOqADY2aEIUCoAF0AIMKUwwPOXZqWYP3YSEkCptwBeQqim4Znl - 8+82Pb+rFv3K45+1ffufi3Xrr1j+gwkgpezo6GxpaTEMQ9M0SqnnuVJIRqGjLT46fOn+nYmd7d2D - cmlja7NQLHvCp8M0dcxv36Oz5rc6Gwz8w+qI/x8fCkApKYW/+anmUuzauWz6zfTU6UmSUgpEu3lz - 4g/+9R92dLURAoIDJeDZdq1SPEkcdrbFAEBKKaUAJSgApZSBrjGzvbPz1u1bkbgWA0Ub1WsXL5iB - +KvX814DyuXKy5dT733wu7Y9pFGXkmaslu+bASQSUJwZOhCQUeaHNpDfiPL4revw3TtDaby1beLm - ze3j6lEmKYTc3dtbXt++Nn4rqIHmbzjSQ2IT4CeJw9XlFcf2JOo0ELpy8+a5CxcQgPhqQlBIVKNm - FQulUsGyXUtKIUER1DQt0NHR1RIPB8O+U1EKyRG4FOjY3s5OIp/LRqJhQgiVhHDSEW8PdQdFWAjg - xPZKu1ks8IamGZ3tWntHa5Qw8Lu4CoCphpevNE5ylaojCHjgVaiyGNEEJy3RzksjI0QDSkECeNwT - ymNANKpRJMBltVQsVzKFcrnuAGihnr6Blng0aJrQHF5Kwhgy5fPbERTxueISQUjpepbj1B2nWK3Y - 3AWdUUOLhMLtba06pRoh4LoAaGhaOBBkiJ7ruo7jeh4htJjP1yo17rrRUDAajkRCIYNp9Kz1dvak - ICAQpI7nNhy70WggRdu2S8Ws5A2dQSjSaobb9WAr05m/5zWjwIADUdy26/VqoVgNhNsjLV2+6Ops - UZEALresUqNe9Qwz0hIMg+5zAREABG0CxomUBBA0AKm45B4oCsBAcs+l+apTbeRdUVPEjmqqvzUi - hAdIuEQBVCEDQpVSXEhA32ntg2d8ooVUSHxHA1EclSIISCgCuB7YlUq5kHNdlxISiUTC4bBpGoQQ - IIRQZMxve/nVoeKce9xzbLvRsOr1upCCUhoMREPhznAogAx8/4kvmPPRjb5S1GchAQplV52qsEqe - Va1yLjwPM7ni4VGyzQpQ6hJqBkIthu63fxQFxQiahk4p8QAqdaiU8rVazeUCKDXMQGtbWzAUotpv - ZhafTZ4RpVQud/O5QrVaE1wBIKHM0El2yDcAACAASURBVI14vC0UDHlcEkJ+a9V6+zJvJ3oguHCc - eqWqGDNCYUWIlNzz7Hyu4DgOpUw3zWAkEm1rcRVBJVnTcCOV5xAUhGjAqO15DcuqVi3LsoXrMsRw - MBAIR6hpaIEAUiI81w8NLJVKnHOisUhLC1ImpWRS+icRLmXdbhTKVaqbZjAcDDE7W6qmkplMqWHb - IFSpUjs9TaUPDxhjrtnOw93BCCUSpFAIglFAn82PZzc+JUAoCFFvNFKVat1zleeg4oamh6IdsdYu - QoESkFwAomqISqamPIAIhnujZ25j/xwiQXpg1Qu2m2+4ektrZ6RVwVmUCQFVrWUbJQgzjOoBLWi5 - dr1SsfJVsFWQBIxInIRiJGwEfcWbIkpJlC7wuidUvQGuMnQzqhtBqSCbazSqadfKC+GGIrFIrDUY - aUHdZMSfYSkCSknPp0wSkM2SBsF2vHI5hcqGYNRoaZdnFWRzp5ceKAkuLVQx7yodvK54iDUVJP/w - lFSdfVJne6AQnue5rmM3GvV6rV6rua5nGEY03mFG4poZbkpUfei/4n66Ti6bdx2vo73DNEwFUKvX - y5VStV71BI9EWmItcaabTDMp9ccWoIArwWnTWqmEzYu2U6g17LoUDomGzLZYtaVVEqRIKEgpiaKU - Uk0DSfy0bKBAgCoQCpRCEEL4BBDUDRCCO3a5Um14boN7xXoVDT0SjYbCkUAgcMbMbZYU4Li1Wt0u - V2qeU7cqUrm6gdFoLGCGTTPiK2KUBMXAjwur1eqO4ylFIuEWXQsgENt2isVMuZLTGA0FIpFgzDBD - JGCgDj4JggIgJX6Pw5cdEkMHYQMXIDwKghIk1Jf8EKnAdl27YhdLpVq9LqWMx+PxeDwaiTDGXNf1 - PM8wfPw6+K1bn29rWVa5XC6VSkII0zRbW1ujkYgZinCFfsbdWx0SniFopFSopE9+a3IxPCEEtx23 - UCxVqjVCGWUa03XdDJnBuBEyNA1Q+QRWjhQoIZVKxXEVgBaOtFLKHNut1y2rXmlYNV2jRsAMRcJm - MMQMUwF4finHCHDPbdRLdt3FoMb0cJApCY7jpFNHyi5LQrRgMBCN6rFOahoI4FhgaqAR0HTdhxAz - BOEJzqXfZ5DgCfAAdKXA86AJiQdQSkjkFBiAlILaNlhWqV4rWFYFQRq6ForG9Ug3CzH/fIZKoBQU - GGgUzqK8K9VarmpnypYiWoxgu6F3hk3QPeU6QnClAAjxJHhCSPWbj9bfV1EqAAAppOs4Ddup1axa - vaEUaJoZCAaDoXAgGDKD/liDEJAgOREClFYt1TxXmYGwbjIAdCw3nT6pVoq6qTONGYFAvDUOqPvI - Fsd1G5Wiy4XUIxiMawFdRxBKNcOhfaOoFMrl5WrdkUCNYDDSwoxmh8i3OwrBQXqgoV2rZLOpVDph - 2zVAUrO8VKZ2eAwmZKJ6UTe8ULgN6Vlym5IElUABIBA03wXAbagWcwxqwXCABgwgwF2RPc1WyrbV - sDvaO2KxFsNkOkUkQkjHshq1er1aaQhBNRqMRVvDEdMwz4bgUmhUIXKQHJgGSgLnDYfXrEq5WrIc - CxB00whHosFAi2GEKAWCTbIOQSRIheI+e8e/IlJJX/onhac4F67XqFuNer1ady3bMwLRcEtrMBrX - /YkJAZ/9Cp7DG8VyNnFykqxVS4xCvVbLZNKHQc3WHBXw2kISSsXi6UkykbAbDamMYrF4cpI8bg3o - wMNBM2jqQQTDX34pgJLSg4ol89WcqOW5Y6FmaIGQHggFQ5FgOOAPLRWAlIIoCZRUcyXPdQOmGQhH - BKpirVGoNSwPiBkJRuOxANF0Px2Kg9bUUirBXccBtyYq6VI+a1k1LmSt4WSy+aQJriEVZZHWdhYM - 6UoJzpXg5MzKIQGqtYYsZNL5gkPDEGxraWnHUIjpfjgVSqEI+t53Sf1JmeDgyIKdSzXMYt3TwAkZ - KhwwwqFYOBSiFEExj9ugfDOqf74moFAJgghMZ55rc+5IxS2rpiSGglHGNADPcUq1WrFWTzWcUCDY - G44FgjFEBpQ0XfYKJIJULrVtt1YrmQEt1EYcaJScdL5QtOxOI3ChvxM09rYlyj1heR406lWrruo1 - DkpnLBgMaZEWDISVxiggCC4l96o1Xq8KIXlLK2tp1c6KZSIlJ5IDeADEqteSVa60QJuptYUNEAKk - QCWV9AMvfDoBAUYAXQAuJXoet123VqnVK7WGbRNEXdcDgWA0Gg0EAjo23UFCKKUkUioE5PMFx7ER - sb293TC0ZvKQAvQln3Y1X3YqnqHMtra2YHNyrMBfEYE79bpXtOxCxfa4gTQSDsVaI5FIwFdaSCUV - JRSRIqAUUqL0wbCgJHDPt3BJIQqlaqHaKDdcqgd1Xdd0Ix5viQbQ0Jkv/FNOo1GrVFUAQh00Egxr - RJ6hU/wOouvw5EkeWTASjoTDBqP+TIlLz3K527AdypgeaiOG3nChXK5Y1aJn16QU8ZaWWDQaDocZ - 0xD9qQb1z97FasVzXMZYJBzWdb1hWVa9Xq/XrXodCTFNM9bWwcJRpYMAEAqlUkRJEFwJTgkhlEmk - HAj4ciKJIAUIhzt1jxmEIFcgfAcSEYiIBDnnju1YdbdSsRqNkkI3EKThUEskHDf08N8KlMGmcZ97 - sl7jlWqhXMkL6TDdDEXjwVDMDAXJWfKefLuF+++XVN+x7DynUsyurSxVa3ZL/7V4R3cgTBBAKYGG - 3tPVcePG+EpKJvdLu9ubyYsDw72X5dtQAS4BBVAFjpNKpabezFoOH+npGbt6JRoFkECBAwgQvOG4 - iXwxcXy8u7t9cLBfyOcMQ+vv6z1/bqCvr3tgoL+7qwsBlZBCCFBQrlZTp+mNza1EIpnLFcqVSjAQ - 6unrHxoZ6R3ob2ttjYZDAdMA8HkL4AulmoWZz+WQXArOuVWr18uVas1qSAWGaQZDkUgsZgTD7CzN - XDVlIpI4TimXVswIt7QT3fSEsBr1UqXiWDXJnXAobMbaArEW5Q9kFRBQKCUID4jyrSuWbVertmV5 - tsOpC1Et2BlppcRTkhP0U5NRKiJ8PLCC77pxvn9FAaA0OtoGL54fGrr0ZlecJJPbWzut4YG4qZ15 - lyRwFwSVyi3mCocHR8cnhe6+gcGLQ+fOd1AAAgKoAgX1unVaLCVS2cP9tb3t1dPThGZEe/sunhu4 - cL6va6Cro7+7zQgi+EE0Hi1WndOCnUolD4/2Dvd3y9kcUzA4MNB3ebDn0rnOge6+lhCjvFHJHx4X - ZlcOihYoKZQSjJEWnZ3vaO1rbYl29sd6BjHULMwrxezmXua0wiLdTiQ6qhmgIWkmpzSbpoo7jUy6 - PL+RaUD8SeQBiwJjCpRCBIUg/LBVhaAg2hIdPNcTi5qpYm5tfe179Z8IpUsFzSGr/34iAaAUqfTl - PkoRpTQKBDiAoJRIpawGVGslbhU91wXEcDgciYSDwZAvaZJCkDNimJKSc16vVW3bdhzbsiwpJaFU - 17RIJBKOdyqNCQQKQKUH1cJpvipC3djS2xJuRrO9vbw+7gNsu5TNVTHimG2hINNQAbiABLiyXLfW - cCvlSrVWJYimaYbCwVA4FAwEDIP9rcPab6wCf9+p7J+xefvP17r9W8WulEJKRWkz7FYp0DVd1w1G - qVJKcKkxjRAJICiyaCTY2d6qa8Rx7VK5wpUi9DdfsXk0Vd81ItV3TeF/6r4tNO/ss7/i2Tf3vEq5 - fHh4UCwWkRjBUCgYDpuBIGXACGgMEMDQzUiop6s9rlEFANTQqakbGiOguOtZqiGljkAM0/AdXppp - nD937uLgYMA0XS4FZUKo01QmkTgZv2wQlJ7nKKWAMWKYwXDYNAOUNcmiCsBn/wnPNaj2n2r4EzCD - Pb3n33n48MXUyvL6ng3q+Ph4bee4IYjQQQBQTxDqGuAREOVC4ejwtGFLrgjT2MDguY6uFgqgNVNP - BW/Ye7vJl88Xfv7Xv9rb31EgkCBjgYAZ+Xf/7t9/8P13zwd7kCifyKlQVsuVrc3En/zJ//3lF58T - SgClLrUAmh9/8PEHf/C98SejLrgn+8k//p//l8SbPcs03vnJj9/53Z+8/86YAoWUowRAmUocf/Fi - +v/66S9WdpM6dQkva6rKkIWCbe+9+9H/8D/+T+HWqCLgCXA8V6FnmiGqKCgARlaWlz/97K8+++KL - XMnu6Lv4b//7f//o8YOLgwNSScaIESIAAIRI6RGCKP0AWupLNay6tXd0uLy2/mLq9dFpkhj69Vs3 - 79y+fe/O7VgkovlyIAVKSsE5CKkREgmFQKlkIvHVF19Ovn6dPDy6ef3G/Tt3b9+aONffHzpznfh2 - KsoAFHApXO7tHxxMv5k2TOPk9HR68rnbKEeC7Nzg8NjNxyPj9y5eHg4EgBDwPPA8i6EVDGjp9PHW - 5sbaxt7gpWtjNx52dLaTM6YqogSvkckmFjYy6wel4fHbQ8N9A70xBtTXtDOUFAEVSq6kB8oEk9Bg - gABXYHtu1d5Llibn1xbXZg6T29G4cf3yud/94N2IBkzXFaGWK6uWzYXUNA00xhFcAM5Bx2aN2ERO - gqIgDQohg+kak0o1HCglc1tzrz//9JNUKhUMBe/euXv79q2RKyNdXV1KSc65pjE/nYxSwhjlHPP5 - 3OrKytz8/PLSUt2qh0Oh0as37tx6b+LWu5EYwSBwqpivBpQKKWMMGAD3FHIXTZVMHm4s7i5Or66t - rEohND08v7AM6HR1hQl14q1djx497ehoA0oxEFBAGpbdqJa5VbEdyJ2k5198++rbL8u51IWBrlsP - nly7+07P+cvRMKtbnKIKBTVCECRI11MMLcfL5gvzcwvz8wtLi8tCqo72ztu37967e394ZCQcNpvN - 97/92J5BGZv/bNWr2cTRzPQbFoqPXL/T3X/OqpU31pZ//ld/eXx8GI2Exq9dv3Hv0f13n1JDIwCu - AIOCRlTQUEhckNL2VDKd393Zm5qa2drcLqQT0aB2c2z4+t3HYxP3Y0aUSbAsiynXsZ0vPv+8WCzG - 2rre/eCjjp4OnTLkDigXqFavWUsbO19987yzp2doeOTdO2NLS4ub018t7mUODo+A01Kl9npy0j1a - EFIEeoa6Ru7cfXg3ZgAhKJ0mOgukkEpxpZQQhq+Js2pHx8dTK6vPXk+eJg5AuA/u3Z+4/Xj85sNw - JB42wdA0AJFOp55/9sKt89aL7U9/8j6Y5KxNgRRdAC95uDW7dbiRzF++ef/RxO02UycKQHogxO7G - 4suVhc6hSx1Dl3q6Ok4Oj9cW5xdfT5/s7HeEYmO3H125/bjvykRfgIAA5sPmiHTsciqdX1o7tLkx - cedxe2ewWhevXryYmfxif2uWc/v6xJ3777x7feJerL0fNajXIah7ug7M1AydEpSeY3OP+/2CVDq1 - NPVFtZRqHbg88fiDQKydvSX+QHPCUSmWXi/sbKfLURPef+dWX2csGAz95r3xG3fKb4jL4KwIlaph - WaVSMZNJr62urq6srK+vESRdXV1Do9fHbz64MHKtrz+KAEJx7toaIwCyXK29npzOpQuP3nkyMNCv - lJqdX3zx6tuFpdl6o37n7r13n75/8fJIW1tf8CyMVQE6jm2CoBoFj5+c5mbWdl5OLyQOj13LGRu9 - 9Oje4EffGzf1WDAYAVQutxzXBgWABlBGqXY2fVUEFAESCJjKE9J1CGXScSrV6nEyubi6Mru4uHN8 - GIhFhodHHr7zaGR09FxHr2yGDwJ43G3YVr0+s7Q0tTA/PTtpNUpd3fEnj59cG791+fJoX++ApgGc - KY08z83lsqur6/l8+fatez3dA4yaGxsbL15+9WbmJSUwfGnk9vXbgxeHOs9faOmKCd98yiVlyBD1 - t5J8QJAIiGDoAV3TCHi2BcLzOc7JVGZ1ZeXLL784SSYBYXx8/MMPP7x//76maQHTbPZtfRWR9IG6 - KplMzs/PT05OHh0dAUBnZ+fY2NiNGzeuT9zRAgF/r/clR2eHxzPHmwJUynNswbkZCjTqtXQ6s39w - sLK6trG5lclmdcPs6u65efve0NWJgUujkSgGCOiaTqifSeoszs0lU3lFzXsPHnd0dtvc+/rZs5mp - V1ury10drZcuD92+e2fsxvWuvn4PgGqgayZAHex6Kl2dWdkjgbae7t7z5y/Ydftkf/3ll7/cW5vP - lksXhoZv3r177cHTzsFhaoAWBAIgFCCXoUAwFDBBAmPUBwr5oj4Caml5cX+1pjg+eXJv+GorEGDU - l6kqLrxqhR8dnSwtzcwvTK6tLjJGBvp6Ju4+uH73/eHrV2kzMA8N6tt9PUAEKR3H2djYml5cezmz - WChVu4L63dGhD9+5O3rlHEZbQBHX48A0zdCZrv+jVbd6+wjW69VE4mRtbWN+YXFpacX1RFd3z+jo - +OjVq1eujF4cPgcEJBAEwRiC5NK2J1+/zBfqN2/f7+k7J5HtbG9Nvvh6bWmmUi2fv3jxxq1bj959 - j2gGo0ABXdfNpk+ev3gpzHj/yI3xiTvBKGFApSdQCVQCKHHtRiabm51frNled//g6PWb7Z1RKQH9 - WBquDE1jiIByf2dnZvbF2ur88VFKKrGyMe/96k+zJ5f7YnpnENu6em7deRCNG4rXq6nd4+VvZxKl - wXc+jo52mBEAAJeLSj4z+ewb9JzRqyO953ok4YeJ7C9++dXa+qEQcPvOnbt37ty5fR0RkbuOVd1a - 35hfWJh6s1izVGv7wNP3vj8xMXF+MCoFOLYnvEpL1CQUQCe+xLhSdo4Sqfml2VeTL7f3tjVDu3j5 - 4vUbEyPD1y9cGG2NtwQCQOl3u6LgXAnwIWGISHwsn5Ke69RKpcxpanV5ZXV5ZWvnsNbwOnsGhkbH - bz94dOHyle7uIKVAKDDNKKRP1xamJp99vrM6l0wmrCpMv/wq4xjZa9dMsAe7Yk8nhtdeza2++tXz - hc3Ecc0V8c3517+uHR9Mxk3TuDx2Y3js5qWBbiaQei5QDVy3Vqltbuwsz02tLLxJn56EW1ovDo8+ - evf9kdExMxjwAykQgBCKwhO2vba6ksvmIuHw1fFrrqIv38w/ez2TLlbPDV19//s/vHVtSAgwGBjM - BLBAcADU9WC5aif395Zeffn6qy92d3ZsN7Czd/BXP//5XIveachgNPbwve/1XbjcYijXdaUUBgPl - ca9hOw4k9483Zp9/9dWXVVu09ww8efL+9fGJc/0Xw0EkOlKlAQJDAcARBbieXa4dn+Rm1k8ml48W - V7cYOgO9rY8f3B8bu3VxcCQaNTQNKDMRpVJcCFspqTiTnOqa7mfuapqulFurlF++fFYqWdfGbg2e - u0SIu7q6Njn1fGFpL5Wxrl5/8OCdd27dvd3e2aoFAgJsCRSVoigLxdT29vbu7m5fX+/1u1eqXun1 - 4ptf/HLasttGx3/wB7/3o742ZgYBiSJM445KnWbn59YX5jaWljZA6W1tPVfHhu4+GB8dv9De0Uap - 5nk8nUkvL20fH2d6erqGRvrNYBfT0UfjC86Zz5D13K2tw89erUTbe26NXGibuAqenUweLy0t5Yvl - eP/FBx/8gJrAuST1MjMVmFHdMEvlxuH+4Zup6fmZuf39fUQcHBy8du3ao8ePzw0MxONxSlEIxTnX - dY0QqNcbr16/Okme6Lr20Ue/09/f47fC0NdqCl7MnLxZ2DzKi2D75cePn7SEgOq+AkyAU81l0mt7 - x3Or26/eLJdrIhjunLh5+9G9WzfGRmNRXSFyAUgIAqWUeI7LFUdUxEeP+4MTzkvl6uTUzPPJ2Zdv - 5lo7e8+dPz88PHL//v3hCwN6kKGUinuu3ZiemtxOWa0Xrl+68c7l3qZ7HEEq5SkhCvnas2+fOVzv - 6+2duHGzvT2i6wgAtls7TaVm5+cjsZZrt59E28LHp+XXL569mfzm5HhXcu/JkycP7t+fmJgIhUKI - aNWtQDCgM03TtKXFpcODg4H+gdErV1rbWpPJ5OrKytLS0vLSUjAYHBoa+vB3fjQwci3SZjIKFFFx - BI8DlwigMcaYpgjjTbA+gOTVfPZoY3F3exNaL9z/+BzVgAEoz/8vRElVLpUSx+nVla3JyZn1jUUJ - 1vi1oTu3H14bv9XfdyEej/uEmaYkkAgpRbVqbW8dzc5Nv558li+kzFDk7v1H127eHhm9Em+LGzoT - UoAnlVBIJEVFCNEZIUBQARBUVj2bPt3b2aLapfOXh0KxqP/UIAAQSoOR0StX+xZS7vL87vri6bUr - xLzMFWi+REW4IG1Qdj6XOTw43T0qXRwZvjJ6rb+7jWhgCAATwGrUq9Vkvv6//Z9/+tmnv6xVK7pG - dZ0RVI2G5bl2KGj+0R/9t3/0b/7INA1D13Rdq9Xqz589/+lP/2JpablYKjOmxVvbBJeFUhkZu//w - nf/uj/7N9evjwWCAc65R4s+Nvzu9oH9ixlKxmEgkl1dWlldW9/YPG47T29s3PHLlxsSti0NXuvp6 - /d6/n4ytXM8tV6YmJxUzh69eb+nortSsze3d58+f72yuV4q5e3fv3rj/5Ob9x+F4hFKwGraJwkAP - pQsIoJgQJHVaWN/YnJmd39recUv1G8Nj33/03vhYm+vYoIiuaUg0oYgLoCkwFLAmlZwCECQUBYDn - gq7Cba3Xb01spNcq2dTq4sLQYGSgs4eh6at/gChAVzWKp0c7mWzexdDA5evxjh5f1obggXTAFRvr - h59+M/eLT399kthEVW2Jhbk0q/UvpKTDgwPvPXrw3/zrf3H5cg80KmCyetXd2sr98f/+/7x4+XW1 - mg+F9CBl4HjK8zAa7Bm68JM/+Je/972H51vM3OnBq+dz/+v/8ZeHGQdAIEjGMEJkSLrXLgzcfPz9 - n/xX/7ZnpJ9qwEy9USsuLc7N7ZT7r7rj10aDOkj0hcaACMgQgDpWbXN99ZO/eW1h29j4WCQYoRoF - JMoPBRCgA1JCAQUYLBYLdHXGDneqm5vr+ULBcbtNn2ChzgyOvvgciJLguh5RILkwNIrKE9xxPYdq - rFazX3/zzcrs68TRMaX07r27Dx88uHHjBiWEc+7Ytq7rpmkAgOt59Vo1nU6tra7Ozc2tra3ajh1v - id+5c/v27dtXb4b0aMwXWDjVSmpz5fOXcyI+2DH64L3HYwEKVNEzF5SPW+F25uSXn35RJG3hwRvv - vXuH6Qy4AuW5DfskVXozv7wwv7C5tcko6+ntHh4eunHjxuXLl3t7O/7hwhD/GbqP/+DHP1Xr9j/x - EysFv1Hv+uIGSihFACGFlIqxppkLKaISUjgIIhgMdPV0B8JhBWC5oNG3UWTyTHX7t74B/FNLbtUZ - gYESJEjgrRtPSSBoaCxgmoQi5xw5z+Xyh8fHemA4FGpO1CkApaBRE4TjuY7mh+x4LkiJzQARimfD - UwUSpNACgVgsGgmH64267XEhbccVzZAWxaXkZxYYwbn0uOACwH+uJNepItjEmv2d3+O33hYEwoxw - 7Pz58x0t4QiCUG42m90+TB2lqtHeSMhPziBSqWoxmzk+OEgk05an2rt6B65f6+rr8dsIQnDPqVeK - mV9/9vmbqfX11eO11b18OaNAECSEmBTNn/3s556w//C//i+MAGG6SzX1689/9fLFwv5uen5+M5XJ - uK4NSmpKM8F88fWLhllj3dBxtZVQmU+nU/vH9WCwWKjWbe4BEOA6cM9zP/3ZX02/mJ1bP1jYOMwW - GiDrGqvrypEO6FpWuNSTOPHw4dWJiaHRC5qhK5BCilK5lNhO/urTT5aWpza3FjZ39moueBjI5Qt1 - y+IgfXMQKN+MoVDzwwV9EzW41Woqmf7kl7+cXVw8SWdy5VLdtSUjp7ns7s7OSTLx9MmTsYuXAAl4 - ruc0L7RVr6+vrR9NTy3OL+xsbycTiVq5ks9kt9Y3Zqanf/zxx9fGx7t7OkEBIjLGhJSVSvnk9PTF - q5dziwtb29uEkrpVz6aODcp16m1u78+vHPScW7hw6cq77z69Oj4UDgDTNJ0QQJ5MHkxNPX81OX/r - XiPeeSEWb/cDzBUAKg7SLhbScwvznz1fe9/VAlGtqzfG/BGicEF6KCVRjBHND7ym4KPzVH5r6/XC - 9rdTKyu7yaPT3UI1ZYRwfy16uL50oav10GuxHI+2BTUz6GPjCYG3vYXmM0vomctIUlQ6AZ1iuVTc - 2tz88uvZpVdf7y+9SR7u5wsFgpBMJGZnZyYmJn7/X/x+d3d3OBRCH/ioEEDt7e0tLS1NTU/tbO+c - nCQrlQoXnDF2cpJbXzuZnt7+wcc/vjjWEYhpHrgU5NtQNumDGgkAd7a211++nJx+Pn94kLHqoqHp - K6sb6cxhMKgYdS8PXRm7eqM13gaowPU8IQmATmB/a/Uv/ry4vjy3tbKQ2FxQ1fTpJtvbP5hd27n+ - 6AdPHz+MmtTUCPF9YkgII9t7+zOLS19/8yyZPM3lcpVKTSk8SaQODo6np2Zu3Lz5+7/3+93d3YEg - eeuka35GUAoBfU2jlNytlXPffv25Fu2BQMfzN6vHexsbK7M7m8vlYp5RdXSwtbK9P7d++M77v3Pl - cqfBwOUCpa0zDqKRzdZfzqxOzq9vrG+mTtPVSlXZxSBzy6mt+ZWN85OL957++Mn96yGmMeGU6uXt - zZWtrS2lRUmw9cbdp0MDIUIBvDpvqLm55c+fvfnVF1/98EcfX7g0ZNv2yvLSq6+/XDkuprIURPtp - 4uRl5fDUrCIhbZdTV7zA0NUbwQ7d9GeezcwHOGNmAm/UKunUL/7m51OrazupTK5SsWsl4VjVcmlz - J3F17eD7H/346vA5RFSelzpJffPVN9WCNXjz4tUH10K9EYMGm7lsoAC8k+PtVy+nXq4cPpaxkfPD - sc42RgBQQKO8tTr72Se/nPjwo2C5obi9Mje9t7mS2dt3C4UWSrf3E51Lu9cf5378k486YhAywLFt - g3LPrSWO97795ttKg7Z1np+cXlld319bmTs5WqwUdhF4Jp/f3NkfnV999PTjG3dvUw0AmSctHSUh - iqGivpFIgRBQKpa2tteXF1+1p6cAOwAAIABJREFU9A5BpG30xr1ALAoAQgKTEqgCu36wn/zZX/3i - uCJHLnS+c+e6kEL6aWBn98ZZV1/B3/1TiHqt+u033ywuLuzt7qZOT4vFQsOyKKWnyeTy6s7L6dVr - E4++/zvfu3ChqyWmEwaEQsNppNKnMzOzR/upjo6B1dWtw6Ojufnpnf31dPaISy9byG7tbg+PXHv/ - vY8fvnNXeEAIMKqYxqRrO7nU9OTk87mtyaX9g5NctZQVrpU4XNpdb5mb7KpU8keHLhiU6tBEiQsK - 2EyTU6DId4gphQSRILcbS/OLL6Ym17e2do8OE+l0Q3qKsY2trZW1tRsTE4/uPrh5daw73gYe//qr - L1dnF/aPEsfZ7Ek+ly2kXK+aLyRLpdLk5OzVKzd+8IOPL10abo2HHVtR5nHBPe6urq4sL68bWmB1 - ZeskmV1eXt7aWU4kdwjI/c2d7eW1K1ev33nvw7vfe48ZQBGUlMClIpQSqnyHtu/99ndUVJSAztCq - VTY3Nv6ikjraWtpcXzvY36tWSoh4enqaSCRWVlY++uijrq4uwzDAp+IohYi7OzuTU1MLCwu7u7up - VIpzrpQ6ODjY3Nx8M/3m8ujrpx9+NDR6LRombw0USgIqRQmeuTVQY8xz7eP9vWfPnk9NTWazuVKl - Wq3Va3VLCLmxvr62sX1hdGX05v37Dx5e7mtvD1NABKGEbe9vbc2vb9c9kLoJkjx/8epgdz99tJ8/ - SURNfWt9fW156cn77998+Pj86DgJUKaEJlzuNjLp088++zTUOjB0eXhna3dvd39jYfJ4e7WaPbY8 - L5lO7x4fz2zsf+8n/+rCtYmuiH84BkTiKwEpAQSUUrkKNPQAuAK+sbE+9fyAKX14eHB4tNUPE1PI - bc9eWdqYm9lfWlo7Ot5OZ45LpazOSLGY2T06fj67NjT+8KMf/ujyQDyq6wgShAeeDZzv7R18/Wpy - dnVjZedw5zhluyLORGJjPrm90N/XwQg/SZ2kMyXgwpOCC/EPl5FNDbBTr09NTS0uLm1sbCWSJ5lM - rlSuSoWpVHZ//2h6+s31Gzff+/C94bHhWGtMCo8hB96wa/XF+ZmNnUTV5pH4Vr5Sn3r5/Hh7uXC6 - Zzfq21sb6xvrC0sr737w0Z2Hj4OGJqSsV8szU69O66p3Nxnr7I0E+sMaKEQlm6SPUqm0tLj42aef - eoo+eu+D85eGAaJvrTaEIEMiHa9Wzk6+fvmrX31yfLSXzuZqDWLzxJvFb9KHK+3MHOrqG785OjRy - PdxiCFnPZg7nZr/9dDbx/Y5rF7sfdkV8Y2necXNzM1N2yZYeX11dTGWP55a3VjYTyawlFT06za5v - H6xvrd+fGIkE1ezM5Nz8/Mrq6u5Bqu4Zemhvaz9zd3X74cOH9++ORUwNiCbdBqAgSiiFa6t7z5/P - rq1vHZ8e54u5fDknlczk0tu7uxcGV8fH7j58+PTypXPhyHdnCULJd6cY5cdIiVKxMP361frS0s7W - 9mnipFqq1Bqe5cqjRGp1Y2d6fmni3juPH78zemUkFgOpIJVKLywufvHF524tVyuCEnC4vZauk/3N - VcbI9Wtjg+cHvplZnnv+JpErlSsoJD/dsTGzkQoTPRA5ypQKIhTv7jbMsCFcp1B8M7/0cnJma2s7 - nUqWiwXHdcVpanv/aG1re2z8xq27D27fvtPd2eLL7VERodTC/PzmxkZXZ1cmky1Z7mdfPV/fPSpa - XmTjoHvg8pVLl4NRJH4UqdMA8EDXgZDT09O5qddfffKLw5W5SgU8SZMnp6+92hrU46QRa21v6eoN - xtvjPTEAUEJIAQTU0f7ef/gPib21ycOtNwe7m0KIaGT1eHdndvTWrTtPPvjgBy0xRgl4Njd1jzEH - pLO+uf5meurN3OrOcSmZd+sNl4KdS+8mjvb7e98MX77xox/++OKl3kAIhOQAHqFSSYFUY6BTAkqA - a3u6gY5tJU+OXk8+y2frrbGu3e1EMrm7ujx7lNhNpgrpfPUkl9k72p6cmXr87vce3B9radF0GkCk - gCKbP5pfmJx6/eba+I3W7vBf//ovX8x+s7KRcWVbxY4MX+yJ3h7tCEVAiY21tfmFqampmcODVDpV - qpQbAFoyeXpwtLW6MXvj1uiPfvxxb+8AY6am68eJwy++fKaU/PHvftjV+1FED/rrE6UMlAte42R7 - 9/nzmb/5bGrs5oP+1giAAorlUmFu9s3O/tG5KxOjt5+GW40wJUwjQByvVFjaPfnq9fzC3Hzi8LiY - y1uWRRlLZzKbm5szMzMjIyPj4+N37txpbW3VNM0Hd1Qqlenp6dXVVdMwrly5Eo+3BAIB32CBqEDx - Uj41NzM9t1VoO5cfGhoz+1pDJgBAI5852p795tmL+Y2D7eNctuzYngakeJw43d/Z2Lt38wcfvtfe - 2cp0XQIooZTglJKzxAB/1iuzR/sLy2svJ9+sbe0enmZzFatQrp+cnC4tr5ycnj59eOfpw3tBTXHX - zeUyU69fv95In7/pss6rA72tCoCBoqAApeda6czp82cvKjUYGR4eHb6KCrjDmaasWml/d+NXv/qk - u68/2nFu4bNXC+snW+tLqcS2VUmDFOVyeWN9Y25u7gc/+MHw8LBhGEpKz/MoIdtbW5OTkxcHL5wk - k4zRudm5vb29xHEinU4bhr6zs7O+vX/3vY/uv//R+cE2XffZUxr4KYd+KDAyP35DASgpq5XS7vbG - y2ffYGei/+aT2MDFYAAYI0o61WojlUp98/W3U1MLJ8lcIVep1rMKrGots7mx29f78vGj7z158qS/ - v48xTUhb01WpXDzcT33+6xery7vJ5EE2dyKVbYaj2UJlbXv/wcMHj548PD84YBBCGRIlpeRKvcWR - NQPWquVSNnVSKtZDF8K9A4N6sAnwB4IgCRiB4csjlwa3o8biycHW8dFB2YKgAWEEBgBEAiIIPNzZ - 294+tBzov3jt0qUrREldEQ09QNepZOdXdn/6N1/Pru5Foi0ffvj9rs6OSDhACDTq1Vwus725oWtG - pVwJBDoJoZVK9Zef/PLzL75YXVm9du3auXODnZ1dmm4oBXXb2drZjcbjlVq9XrfcaNTQGeceKkER - kCKAAu5trK4cHx16nre1vbO9vXOUSNTrlu24tuMmj4/XVpZfT07euvfw/jtPx25MBMPU56RKCbVK - dfLVq7qrcqW6GWk5Spy8ej19eHRYyKbsajmfza5sH04tbTz94KPrVy7FA5rmQ10kgrQLp6XX8+uv - ZleXlpePEkfZXJY0vELyNLm9M9CnB81qLpPlXEmJ/vj7DITuX4zvOEdKKkSMtLfdvHvr2Xx2+6iy - trjw8P6VxkgPRcZ8ua9GQNRr+cTa4kwuVwy39o/fftrVd04I0KmHwKVdX13Z+PUXM7/+elHTg++/ - //7VK73hsC5VuNagpycFq5w7OUnV6xYoAUx5hdTc4umf/Xxyde2go6P7v/xXv98SM8NM0xWUsvmj - cv64UrS4rNXr2MLAsxr1cqFQGL/x6P333kWUiJJU8/sLb2onBy+ffdtz5c54qGfkHGUguFsrlwqJ - k7TWXQYGEgGAIMEzuh8AKuXZ1VIheXxsMxf8LLqzJphAEAoYUkoYgAcMQiHW19OmH9ezuXQ2l6nX - O+NxoqSEt5pK3xEMiEg1yohSBJRrW7pGmMJSufizv/5ZLrG3szyXPtwpFouE4NHR8fra+qPHj+7d - vTc0dEnXDVDKth2CMDn5+qsvv0ylTnPZTD6fLxQLjm0jQjJ5/GZ6qufi19//vT+8NH69NwTgNLKJ - vdcvvslr3QN5HLoy1hsFU0f/l5QKUHiV5MHq1ItPf/4z0nd9ODDg+AFJTj29v/Pszdq3b1Z3D08y - 2Uy1WjUN8/DwaGlpeWFh8cGD+++++7SntzscCnwHofytxtp/ro9/ktbt/5d+qfqNYUyTFeMTVcA3 - kfrhi4Ijom1V89k09+xoNHbx0sVwNAoAnidl81Z6q7r9LR3u2br8T9e9lWc4IUIIKAJSfPfyjIRD - wa7OjqNUvlKsK9fd2dt9+fKV7Yr+/r54PBrQgVBohtRJqQTXCAUphOcSkAQJURQJBUTOhTQU+GZB - UJSSgGkSYnHBQbgAlGm6n8vZ/NZSAReO63lc+O+fkiA8oVE/6JL9ow6/t1+hYAQ7Ozt7Olo6o9Co - QLVSOTzNbx9nBqKRnjYAQEAh7XLiYOv48DBbrNkQ7O8/N3H3drzD8O8bKWQum11fnv/zP/vT6amN - ahW62s+PXBoxAn4zUOOcrq+ux1vDP/m9HyLVXN5ouPlPPv2bP/+zT/I5ty3eNzg4qJsaQdA9XXeY - VWxMT05f/2jMvMxaAoaGoCu0JSHIJGhcAUNhOeV0Iv3n//FPX3z+KtOAaP9I78iloO7GtJqpCrzB - k8eF7a2t1a2dp7t7P6xbvee6ozEdgQnOE4nE82cv/+SP/0Soqm4KH42PhAqluBQSJD2jc4MARRTi - md4aAaTMZbMzM29++tO/2Njebu/u7urr6471eaBOc5nDo6PYcnT86qi6cAERgAvBOQVEpUqF4osX - zxePjzbXNyKhcEuspS0WL+UL01PTC3NzAdMMBYOdHR0+W5RQ0mg0Do+OXrx88R9/+tO9g/1AKCiV - MgJmb39vLEjBq5xmKmtr628Wj8KRKS5IOBq/MtSuGxoDAmDn86mtrbWZmclIvP9OochF84pLBQQF - SLdaLezsbb+amukbujV2Y5g3aSMKuG/ulghIkPkSeQQBkkO9sTj75pNPvv31i3lhxM0obW1rB82r - Vmtff/31eixQCg7KhqMbAc0M+koA5bNNEYgvsgLlB4D6ViGKwFChErlM5v/l7T2D7ErPO7/nTSfe - nPt2TgAmYDAJnEwNJ3CGQw7TklyJKtEiWeulJVO2yltle6vscrnsDy7vbsmiVqKWIimtKIrikJPz - DIYzg5waqQE0OgCNbnTu233jSW/yh3MbM1Jpta7ySvcDgA/o7ntPn/O+z/s8////t3X+vAjDq+dP - ivpapZC1bafVas7Pz1+8eHF2drZcLj/44IPu6LAGFMddtdrtiYmJV155+dixY0qpTDbb19dHGeGc - 1zbbJ0+cPn501nLz2L1nfF9FgTYVdPdjFYuaEYACxX2/HfgdjABpJZWMIiEVCAHNZodRHvihVlpL - BUgIITVghCD0WpfPnpyc0KurK2EYptO5VEKjrfmJ40cm5tYXPKunt+/O8X7HjYPnpeQ8iMTJU6de - eu3NV197vVyulEuVkeFRAOx5/urq+qVLU5OTl0qF8gMPPjA0VI2j2j6+/n8sbxZA8dBrXjx/JmQ3 - zPz4xOT02sKUV1/OpVi1kudh8/q1y5evr384MWdkhsqlUjkHSoFSHBBXrfXZ6fmXXn75yMTM5mat - t6c3X+zJWnlD1hurM2cnTh4+e20zTFdLudtGijYBpDlovrK0MHujbmb6td3bX9nHiIaoWdtoHj50 - 8IMPT89evU6Zmc5mhZRRGIgwAKl03O0PeRCGEQoJpYKLiIuICyEN9VHAEkDMIsaAKd1utS5dmnzu - b3527uo1ks0P7Rrv6ynIoF2vbV2/Pt8J6T2feFjDgNZKSbG9tXXh3IX6eiswebPVZtxi5KZAWYGO - amsLly5eOHnqavmWX2u3I1WI8x+lDlrL16+cPHFE5sry2lp9a3Xp6hXp1zMGLfYUUXP72tUrp+dq - szWaL5Yf3L/HrlhacJNIKbyt2urk5NnF1WB47K4z56YnL88zwh1Ge6sVraPltY2ZqwtnLsxIlK4M - 7O2pGgQzKQRQhUERrBnFBBMpAUkQSoRh59Klc3hxPT90S7FvvJJOAYBScfS1gnb92tWrB977IDTL - hZRJKMMYSaUIwR+LX9uZan+0rsfyW62kaDUbR48cPnr0yPrammkYqVSyWhnGGDcbjWuL6+enbly5 - usksZloPpdJ9lCAAFYmg3tiemZ2bPDvb1zu2vLw0OzdXb2wQgxdLBY3kxuba7LW5s+cvmVZ+zy37 - GQPTAIKRyVin1VmYn3rl1V8eOHplbiXMVYbS6TQBJmT70sXFiaMHU0ljUxcBE0RUNypKU9AYdtgC - eCdUBcU52kIsLd04ePDDX7z44vL6OjZYIpctFno6PNzc2j5x6uTG1paOxGC1t5zNR1F07OixD99+ - b3Vz00imrHR6fHyXBt8PttfX186euXjh3JRhOJbpppK7BReApNYKQC8sLBw/fqyQrzTq3tzsYrPR - 0DjI5wtYi+2tzUNz1+avLUg7PbDvE9mSY8ZpBIojpfFOJpEGhFBMSVKgBQbJMGytr545E5090a6v - L/qdZtJ1bMsIg3BhYeHatWsXL17M5/P79+/v7e0lhGitOee+552emPjZz/56ZmaWENLT05NOp0Hr - Zqu1sbExPz//3sHDwBzmZvfsGaC463ZRNwf9CHXZH4xqKa9dvXr40MH333/fdd1UOpvLZoqFQsfz - trbr586dvXRt6eL8qpvJ5t3bC04+xhKDEJtr61emrixsbnNmeV74ztsH8plsxmADfX281VhfWp66 - dKler9dD8XShkiqXLaa0ElpFjfr2iRPHWXJhbWUdI7K4cGN9YSbFVC6bTRNc97yJ06eOT87oROFR - N1/cNxAzpzAmUkrFxY4lA6QEQiUCjoDNX58/f+68SZ2trS2QoEBhrbgM1tc2jxw+/NqrR+bmFmyH - 5AuJUmmcUsQj//qNlXMzyx+cuJQplNLW/nRvFhQBHYIIWpvrJ08d/8lf/dW1lU1f0US+kkyZtmw1 - m7Ujh99XMvK9VjKVXocsxNB0reAfeGkNAL7nHTt67MiRI/PXFzEmbiI1MjKCMet4wWZt69KlqavX - 5juRb2cSVjbBlAQsQPqR15y/Onvy5IUOR8CczaY/P3PJAS/lWmmXbTZqx48sHT99hmOjPLRroLfC - CMYg11ZunJ1bm1lrP/jY032VspVhFDCK6fJa1TY3JyYmjh49mswWH/jkpz6+gSCAOBdOSe61W/V6 - rdloSKl5BCFXkECa8pBH9Y7uOMA5VipOGoy2t9fmZi6emVi67Yn1Unun2NadKGzOzkytz9coho3t - 5bXNxYW1bWRmU+kMFzA9Mzt3dX7q0pmttYcrOfO9A2+vrq21O51EIomlVffCA79679rCQm1re2S4 - Lz2YtpjD/VAorkRQq20dPXrkuZ+/uLJac9POwHB/uVoMeFhv1hcXF5dubM1ML9l2NpVKuIkc6n5I - RDDpnmJ0PD5BClSjvnX86JGJEyduLCxa1MhncoVSNZRoq95Z3qyduXhlbmEljMJisZhI5rRSERdS - CNQdf8QAbqUiv17fZobR8nkgoRPKSGnHTeJWB2REQVIAokDyoNXu1JpeoEEhCARcvjLz1ptvvv76 - G2EYZrOZSqVkO27DC5c3tk+cODl79fq1xaVEMunYd2SSNmAUh9jPTE8fP3asUqnMzs41Q3XpylUw - Eslk2vMDzw8jLhBioAGUBB4BVYAQKOl3vEa97rVbWgKjGAINGjQgKRUX3A9DPwgiKdFO4LyW0Kpv - Xzp/fv76nNdaAVlPZPJMR2Fr6/Cv3rk4Obu83tl9653MrDo2RFyaOALVam5vHD95/PmXXz9/aQ6x - TLY4ODY6jFHgtzdv3FiYnlo8dXwql6mY9n0jo2WpBMYCAwBWSGO8E4MiuKQEh1FQr29MXbmwcG1z - qH/P1bmV69emO526bdNSqWQk7Fpz9cixtaPHT3U8yOcS+/aOWq6LQYGOGs2Va9cuTUwc8z0vVUy8 - +vJb19cXEtl+hpMayc3aCpeDQlGvUT986Nibb7957OjxVCqbzRZGx4Y0YN+Palu1Dz6YnpmbzWYr - Dz7kDA4OuYmE57emZ85fvTZX7c88/Mn7HSdJDKoBGKGgAIL21OXzJ0+eOHPmQqX/FsFVfHjqtJvT - Vy5PXp4OSdLzI0uYiCIwGYTbC9dXX3/9vRfe/HB2erqUL5YKhb6+PsZYrVZbXlk5f/5CtXpq//79 - ruvu3XtHuZyPKTqe789MT5+ZOGPb1sbGRhiGpmnFBmSEFIDstGqz01MTp5d6WolG0xPlHAIArdZW - b0ycPvriC8+tNqQ28z39uxFLeRHeWF+7MjPtmOq+T+zLFTMEm1IrpaRWyjBYl+MXG0mVnJ66/OYb - r/3ihVecdDZT6r39ttsCAW3P29jYmJ6eHqjkH9p/F1CipGg1GlemLp88Nd8y+27/NY9DDmuQSGFQ - CCnO/e2t2uTkxdqWBIUEVwhAckGJ8DuN5aWFMxMnsjfmcz3jL7998uoN36Qq4SYzCSpFND8/Pzc7 - e+bMRD6fL5VK5VI5iiIhBKJ0aWnp7Jmzq8srly5d0krNzs5KIQgh5XIpCIKV5ZUzFy5fr3VClnoq - 8VSyzCxMgTLABLSWUkoFgOlHrA7Qfqezunxj6uJ52AjX19eM4iDYhCLMI395afHQ4SMvvPDCmTOX - 8tmecrm/b6Cgkbe5tTg/f+30qQtbtQ6l9PHHH89kMkoLZpCN9bXjx4/99Kc/XV1qFEuZYimfypjU - cq/fWLu+sJjN526/41ategkhlCCskeIfK9i01hoQ6FazXttYDTwoJtxCpcewb27uGBAGalX6h0YG - +ssZd3NtcWHx2kqNlwrMNoHGMamAQKGrV+bmZhc1SQyM7Rse2Y0ENwjCKATlrawvnDx94ufP/bxn - aO/jjz36jd/6zb7eHtehlACPxPra6sEPP8hlU0opSmjEo6WlpVdeeWXy4sVEIvX5Zz//8MOP9Pb1 - B2GIEMGMHT81sV7bMg2mlOZCOhbjWispAUMMpgAppi5fPn70iB/4c3NXV9fWCGWFYrFSqXAhNjdr - K6vLE2fPzi8stX3R0z9kOQXYyW/3Pe/i5OTyZqPhCw5kdX1z9uq867qFfEGnEuurq3NLm0fOXKZO - ppRJFsb6CUaABGgE26252WsvPP/yoZOXV9Zu5AqZZCqRSFMAee7sqVPHl3eNZddXW1JgpVDMJ/2o - BYd2slwBQOmYAOZks7fs3dtTnpiZXbhy8cLK8qMt73bLxV37FgUI/dbG4sUzJ2rbjVTpttvufrDc - U9VKIBKBDtut7aNHDh05fGZxsf65L3/12Wfu/+TDt2LgCqW5Mq7P1y+fPXVh4gRjBoACAy/fuHb8 - +NlXXn29MnjX40889t3vftt1kAWYIdxe3zi3eP1X584Uq1VMMWBNIcIgCcEPPvTI7/+r/4YR0AB8 - Y/PU26+898ufvnVi6vTpCaN6+0jfsI2kliHngReEgQDE4gMxxgCAQQvQUiEikZZKRGHgRSykOzQe - vVNq6hgbHScTY3AsWu3JJ9y1xc3W5lat47VxLqVBww7RJh72xhpATEjsq5Q8ZESHoT8zc+XIpdWN - 63MuUSYmuVxeCD49PTM9Pb2wsGgwY6C/33FsKUQYBVLy8+fPvfDi8zyKEgk3l82ODA9xHjWbzfX1 - tanLF1vvfEizfSQ70LMrQ5EWXmNl4dpkY3mZ9H56aTXFijljJ5RDg5Zy4drcxPHDJ48d7X+wNAyU - IxASoq3NYwfff/7V9987fjFd6M1k0v19A5ZltVrNjfWNxcUbtc0treHRRx8dHBwwzb+/d/tP9vr/ - 37r9/9gmjZtg8T6EurwOABRHwxGtlCAIkMGA+2try2fPnK5v15Jj1V27dqVSSQpgOvhjAa76b/3V - 7fPr//KBCfojz3L3eHHznExpMpkYGOifWVhZ3W4LoY4dO35pZn5s9zuPferRxx9/bPfYiOV2+y6E - EkItAAlIIwSMUoMxElEFWHDp+Z7hMgQUKAk3WvXtulJSx3I7TE3LNg1ThW2MwLypn9SgtCaUmlY3 - +JxQgv7+z/CfemEwHZqHXaMDe4bzW9O1lpQbdf/y3OLeanVXzsZIAwgZNpeuz25ubIQACoyeat/e - vbfbdrd3wAxzbnbu58/9fG5uNvAji6a+/htff+zxT46MDfpBwDkSgvybf/MHjBHLsmzbXF5devu9 - l86dO7tdjzBGu3fvefzJx5757NPJpGsEDLXhxZ88/97MO4C1QJFGDANgUCqSSoKMqbiAZq/OvPPy - 27PTU77PbTP12c9+fv9TX7577xDyFm216RrO//G//7t33jjcCsWJkyeVad61f9/47r500kAYTp+e - OHzocLWn+tgT9/cP5v/o+9+/Mr8OgFw3YVkWdDXgmAAAA0Sw1lxqQTUGTGQnuHb12oEDB1qN5gMP - PPA7v/d7+UrZTiUVRY1Ou9Nu+36nWu0VQhoAQIllGoxRLeXqyspLL740fv8nvvOd7zzy0EP5bM73 - vNPHT77y0kuHDh469OHB/mr11ltuSSaThGDBxXa9fvTo0X//x3+8vLpy+x13fPPb32KGkS8Wxoer - RLWBN72Q/Oz5D948cGby8tyHBw8n09mBvi+5pgYQinuUasum8aQ55vl2b18dR37yiHtccI0wMSxq - WAQA3QxHVhKU0lJppbvMK5BBu7kxffWD9w4cPXxMKfsLX/zik888WhksMEs1V+bff/WXZ498cHr2 - GviJiCsuFGCCMJYK/BA0BYfdHLUA7DxMGGlQIgrV6upKpzW5trLym89++vH77hwbGqCULixcf+31 - 1w9++OHy8vJf/uVfMkYLxXw6lSIUdzrehfMX3j1w4OChQ/l8/jOfefqZZ57JZbNxy3thYf35X7z/ - Nz97+7nnXvDQ5m/2P5vNmRQzUBgQAAVGse/5mPhmgjzxxKMP3vWwvy3/7f/1J794+yiB1Le+/S++ - 9MUnbVMSEpimXSgUQEHohabjGk6Cczh7+mSQ3eodGv/a179x21333lbABb56+b2/+ZPn3vlgtn52 - au7IyTODxWQ1nQWQgofr6xvTc1d/+fwLp86eHx4Z+W9/97uPPvopSphU0Gl7a2sbP/rRj48cPvqn - /+EHhLKBgc8j1NUf3rxWHzPDa62EkgHS/MqV6aXm8yxR3H/HXZ978ju3jpXTSRb523/4ve+9/P7l - q9NXL1yau2XXWDpRdSky1sdzAAAgAElEQVSxMANoX5099+EHJw+8955b2PXs5//ZV7/y1Wq5nLU8 - W9WuXTr0xuGrP/7l0ZMTl8tZJ/WlX7tlINlTKX72mae2tzYOT7z41ju/Iuld9925y8kGvLk+Oz1z - 7NiR9Y32I488un///ePjYy74X//13/jCw/surbT+lz977ejlxu47b/29Lz/yhXv6gzCIEr2QG0oX - baQgisA1MEEaJJdRGCmQiLq2vXRj8fixo61WY9++O5748lfuuv/+ajGDZRT6weqGP7/UGBoeZgyE - FBRjgolWGgE2DDOdTluWg3fiYgEkiEDythKcUsu0c5aTxrGNS0VIBwQHUdB56613ouxAtZx99pln - H7r39jtHel3hLV068/J753/29sWJsxf/4HvfT/9Pv9NbvMN1bNAdgMgwEKV4cWHhD//wDwvlkX37 - 7v/aVz473Gs4Rn27tvrSawdeffNX1xZXT56a6Bs58eSTD7sFYISB8hHSjBJGCQCSEgwCPT099+6/ - +9DBV65vNicnL9zz4BNjA4OMxiWSAuCNzbWlpRu1Wn3wjn3ju/bkcnnT/MhnstO3/Tvd248Gc0Lw - IPClEnffdee999yze9euXC5HCRGCb6xvXJ5b/uMf/nzm+uZrr781Ol4ZHM4mTEODAJCGybSGa/ML - P/7xf8zlcrt37/4X//W3xm/pTeVYbWvtzXfefePNtxYW18+dO3fy1Ln99+5LJYEgogFWV5aOHz90 - 9uwJ3zc+sf/hr/3Wv7xr30DCCVrbCxdOnnztl88tzN/Y2N6CwPHD5k5gAo2rD7zj+ZcglVQUM1By - c3Pz9ddeP3DgwNLSjQceeeRTn37yvkcewZbZ4eHaxubBQwfXNzd93w/DKAqjyA8opffec8+9DzxQ - HR5OFYvMxoCCjdrihfMX//zHP52emj9w4MDoyO6RkV22wwglShOMkZBiZWXlpZdedJ1cX+/ot775 - rT23DmXzDlLRGy+8/JM/+/GNhfmzFy7suTx7m3VHKguUYdCEEK2BK9AADDAFikFSkFoppUQkgs78 - 1OT2ilMupD/3zGce++SDA70VrPjs7OwPf/jDycnJpaWll156yXGcoaGhmAUYBsHRY8cOHDhw9uy5 - e+6554knnnjyySe11oQQQsjU5ak33nj9B3/xk1+9/76RzFV6vpZMUIsBBqCkS3uKj6NIA4AWQrTb - 7bGxsaHBwSef/HQynQaEDcOMhFhaWv7Lnz33wanJ85OT1xdvNMYGdCmDtASEDGYYlHY67anp6fn1 - rd27b/nGt755/72f2NNXzVJyY+bKe++//8Mf//DcxETIrNG77t2VSpZNEzHKKKUUiSianpycm5kr - 5IqPfvJTv/+db+4ZqqRNXWs2X3v3nTcOvHfo7NSrr73G8n337BtgEAeAY9MwKaGhBISAMmZQoEAB - kALZbre3trcdU7Q7HR4BczBg1Go2z5w5ffjwoenp2T179j7z2Sce/dT9jsMAhNdpXplb+NlL777y - 7rG33n47a+H+/OMGI0ApEDh37tSRwwenpq70DO156LGn/vk3vg2YmFENd1Zf/tmPzpyeiBznxvL6 - fKcDtGDapmmZ6D9TmWuMkWWZ99x992c/9+ytt+4tFkuG5WjAtVpjZnbu+3/6H6ampl986aXb791X - HR0oOyYoDjLSItBK1rZqHx48hKzk0Pht3/29//7ePb0jRRuBfP7VN37x8munL145d+HC0PETuaef - 7E8n+3qru8ZGZte99e3tG0vL65uDKbvHZYAwBaXA95eWls5MTHRa7V233PHQgw/n8wWlgQvAXX44 - gFbUNCq91W9/85ufe+bTK6s3/vQHf/rK0amROx948te/8+wjv+ZyldbIcGgqzzACrQgPJVLYMkBI - T0GbgoUBooh2WlHgB/PzM+u1FWTQfffe9bv/6n8rVnqp4bTane99748OHHjvwtmFpfnL6aRrGOzZ - L3zxU596LF+qbDe9iXOTf/TH319bnTt1TF97+sFC+q5S3qF2AWmv01j54Fe/evfdA/Pz849+6tOf - fOzh+x66j1lUg+oE3qHDR9999+jbbx3ateuO3mp5YCBHd6xlXQxN/AAAIIAoCgLfR6AfuP++wa9+ - 9c69+7KpDFA74NBohZdm5//P//vfXb02/8brbz7yyMOlcs5E+rbbbts1VPrqF5569Zc/OfjOKyvn - tz/zxX9+z9O/te/Ou5gKkiYaSJM9v/NfbT2599TU/B/98oNzm/rJz372849+4p5dfSHnJFU2c9WC - A5ZW9Y3rb77ws4MHjzcj+MKXv/7Yrz1w1949WslQolrLe+3Nd97/8Mjbb789MDiUcKxP3LMv7r4p - paIw3NzYqG1uKkC9Q7u+9rWv3X3/J/PV4c12WOwZcF1GSCze0GBboAUIwYUcHx/bXf3GV596+INX - f/5nrxzbnKnfft8Dv/Nb/+z2/lzZiCSm6VKPmc5q8LUGQrBpwLEjh3VqPZ3PfuVrv/7AA3eO9eVF - feXCsff+/ff//EYtmL9+Y3rueiZbdJMskTCZCr2NlcMH33v33XcvzMzdcvf+Jx//3EMPPm5aJsFR - FDWuzV577ZUP33z10EsvvUaY6Bv4PDMwRlhBiAEDQpIDxUAIOI4lVYgR5PIZQvTMzPz3v//9dLJ6 - +217fvd3vjsyMpAomBFqv/j6L1566fD5c0sTZyZ7KqW+nj7HyhEcyGhbo23DjLhoHzz4/uTMVHmg - /9u//ZUnn/1yBIZkbsoU+aKxVb964czUm298cPH8xlDfPb/x9S898NDd2XxCqqjR3F5YWP/zHz9/ - 8sSVH//gZYMWe3tGHMfp6UsNjNrr2+FGbXnq0nw+O7QTl4KAR36rPj8/t1XbSibTu/fcPjg4DAAg - hO93trdqSknDMG07oTRIqQmB5vLCoUPH/uNf/EVLWvvv/cS3f/u3x0ZHc9ksIaS2tTV5YfKv/uon - 09MzR44c7evrTyQSpVI+lvhTSrXWAJpQmkgkXdelFCm1U7trAZIrybVShDDHTVEKSoPg4cLitYuT - 56anlx975pnHP/f13XvvZ042lEarWW/Ubhgo6O3vtWwbYis4w4gCRhqEBB5B7GQVfPLC+YuTk0Hg - f/f3/4dHHv9MrtLncdXu+I1GUwpRyScd2wYsCUGOZRKMlNYaMU0sANAIFCgJAiuhlFBKCS5AY4qZ - 5BIjYJaleYNHPoAgFJ07NzWz8P+kSrc++tjnvvT5p3oKFpXNjfXVv/7pT48cPjw3N3fkyJFypfLp - J55klCqElFSc883Njevz867rDg8NfeHzn9+//xNjY6OgYXJy8sMPP3zhtTenrkwHv3i+d2AwZ+5O - 5AwABEoLITjnSmlMGeyIKxEgrZXgkZKcIMUYJfFUHNT2Vu30qVN/9L3vtdreXXfe+Z1/+d8NDown - 0wQRv9len7p09U/++EeTkxfr9frAwOCdd96ZSjsY8+WV5VOnTrVb7fvvv/9b3/7G4HDFdrAfSZ9D - O1S+3+nv6zcIu1nKE4Lj3CPU7Z0DAARep91sKAWWabuJFGEflf6gMAADh/WUSsO9xfULszduzF+c - mSb2bVkGJnCIQdNhNHdldn5+xXCKA2N3jYzdkrRMA3VAeCqoX7xyfmr6cjKVeuqpp7/0xS9UKlXL - InHsg1KQTCSffvppgxHTNJhBr12dO3Xy1JUrV3qr1S9/+Sv3339fpaeCMLIsS2uQAHvvuCPkUilh - GcxkFAAYMxAlgHZc0QYzDYox8DC4b//+8V277rr7btOyNSCE8fZ2/dyFC//2D763cH3hg/c/eOKp - zxUrBWZ0b3YpldfxFhcWOwLVO+Hte/f9z//6X48Mj+TTLhLhX/3kL19//+j5K9cnL1y8ZXhgpFoy - bYyoBpArywtnTk+8/6uDvkzu3//gN775GyNj/VlmeptbZw8fPXzw1SuXj9bWARyqgcTy4JsPGAAG - TUCqGNwcg1Cpm6wOpAv5HJWzC7PTSzdubG63026KSTCVAiwh8rytlSuTZxqNfLXaVx3uyxXBYAjp - MPRaK0sLBw6802wan3z0sc985nN791YZ1hhUoDSPoFrN9OSfeOT+/SlTg/DAVFOXJy9eOO97wRNP - PP3FL346mWSMAhUAIU+k03sze7Pjoyrl9jIfoIE1ByWEEG0/aDR1MokwAte1P/3Ep8TK7PGppUuX - pgq3zj/1yWHAmGJNMLiJpJ1IwY66T+/ELCvOGUhGUNK1k8kEIS4ltAsE1DsSRhKfTBFICSCpgQqF - TCLhyPWg3W75gQ+Q6iqzZJeCywWnBgINkkuiNQJwbTsKg9npjZn6c5mhux977LEvP/NkfzFLCd3a - qv3oRz96/bVXT548efvtt+29/fbx8THLMrRmG41tx3H237v//vvv2zU+1tNTYQYD0L7nnTx54q23 - 3nr53aOnz54rjN794J777Ux6uLdyy67RSxMr61v16zdWh/KOTCQo1rHCGmO8trx0ffaK4tDb2ze6 - 5zZsQLPlrU5P/eJv/vrCzFpf7/Dv/4//6+233+a6LgC02q3r16//2Q9+cOny5YXFhUK+kMvlTDP1 - DxeI/9ivfyTV7d/tImKMtMZKSYRigDLSOvYJdiGSMUIQEABGkkftVl0rYVtmOpMGhCTEdkXQSqKP - cmc//tP+UVS3CHURvfHEuqu6Rd2C2GAsl826josQlkoGzXbANTbMW2+5xfO8iHOpDYJuhhVB/LUY - gZI7SQeAAZBS3UUcECYYIwDOuVRyp2uDEcKYUgRaKQkAQAhQqnQckR8H5APumql25Aj/2ZdGAAQw - ySStYpIwDaC1UKQVokjF4HQBOgLhh14ziiIBIICZtpvOdCN4AACUDnxvq1bzPU8KME2STqZ6KtWh - sVGQKgh0qxXatq1kIIUAMEMeraystNpNIQCBTqZSvX1947t2JRI28wl4UMwWMUKGxTRVQoYEdiCH - mmgcLyXQ8Vvr6yu+19EASEOuUBwYHh0fy1CRcOU6ZnaxkGeMiU4QeV6r1Wq2WhGPEBgYE9/3ms0W - AlwoFKo9FYSQkEIqJVUs7scY6y7dFnU7Clrr2NBCCJZSNBsN3/MMwxgYGChWe5x0UmFoBP72Vm1l - eYkZDBMcK8K1VqCUVioCsb22Ng5Q7ekZHRktFgpeu7OyuOQmElKI2lat3W4TjHHsGMYo4lG9UV9e - WZFaZbKZgYEBIWWxXBobGcXQQrzhhSyfnyTU4AFvtrxW25c7CyymQChgEluENCDUTbnd+a0DSEAi - 1rZJwEIDBxDxQ4OBEkwIxugjKxUCBVoiLf1Os91qCsYKheL47t3VoSLQqMZUpdKDEGq12mDmgdBI - CCGVUhohoBRkfMTC+CN/b/fm06ClksB5CGHgeV42lxsdGd09PhKv/pVy2TCMTsdbWlryfJ9RFiMm - tVaAoNNub9VquVwul8uNjAxns1lKSBAEGDvFQokSa6vWbLU8w7RxV0YDwBUgDAYwg2JMASIzlTJd - O1uyivm8wQzEcTaXHxrKJV24eTIUHChnEBAJmAsIolZItsLAzxRKPSN9Y2VI+UanmEq5pgJUD1St - 2eGCg+IgOSUIEcKFrDca7VY7lysUCsWBgQHTYFpDux0Zhp1wk1HINzdrnY4X01f+nlVo5w8luYw8 - glXgh43lWrqcdhOZvXvvGO1L2LaK2mYmZRICEMpGW7R9ETNbQQuIGqG32Wlv1+uNZMUulfvGxm6p - lKwUCZGkxCsPLAQI083tcHVtCwBRhgB0sZDNZhIY43aHeyFC2IyiuoqaSIeddjOKdDqTdxNJwwQs - SKpSTjGvibdcNwHMT6bS1Wq1d2wUlIqMYpsZMVuxq1VUGmlNKGGaYEQQoDAIGvV64Hllxx4aGhwY - 7K/k0kRHWCM72WoGi4ZhAAKMuzkcggvBhZQSPioV4xmxBCyJDpUSGqhUlpBECgAmAUlQPgEfIdVs - NEG1G45TKveNju0aHipS2WatxXwuSQgJfVXb6gShAADUNR5zIQKpuB8ELW81nRtMZ/K9fQPDw07a - aTYb6VNnLzm2JbjY3m5ubNSF+Gj9UEpKwaMw0EozChgDY4absDFRQejX6w0hFABIABZ34xSEvtfp - eFJox0mnUjmMCcJyB23xd/u2egeQ2500akUJsW2bUera1sjI8OjISCKXjSv0ZMLtRNQ0LD/g9UZT - aY0JCpVPMUJYx/7CiMt6o20YNsbG0PDorl2DySzObLm5ibTS0vO9RqvVaLaluplxAGHkN5qbrXZD - qVwqlR/sH9u1ayhptet1tXnjOiN26KPQ58AwoQoh3WUDq4/Kx791u2OslNzY2KhvbyspM5lMf1/f - +NiopoYPIp3LXZmZqTdbfhCA1oRQRhlosG17oL9/eGw8Xc4iClL7iSQO/DCbzSitmo1mFEUxyRYh - pLTyPI9zLoRcW1sv5g02yKrV3tHRsUIp4bW2ivlcyrVXVjc7XtAOVYRAxwoNpQFUXOADEK6AxcJb - hFFM5FEcOo0WipKumcoVR8Z2D/eVsYqUUsVikTHWaDRqtVqz2eScM8biN9Rptxv1eqvVIoTkcrmh - oSFCSDzhbjQaqXQaY9JoNFvttml1qR6xWBa6HESEcBdViQmxLctxbK2tSk+5VKoQwwDCVBQRQlLp - lEao7XtBFCmlYn40KA2cK86jKJK+3xEbrf7BTC43ODy8a3jQQeBIMX3lCkNkq9msb9elUnKHuadF - xKMQIc15xEMOCiilg4OD4+P9xIRMvd53+XImnQaENjY2t7bqSncjeACBUgq03iFBag0gQWKQFAgm - CBNiWTYhRHf7j5LzyPc7rVaz0+nYltXTUx4dG7UsAoq3WvV6O7Btm4fByupKvd6kBEH3jMKjqO15 - 7XbLMwy7WKwMD48aJhhRjm+RdNpijFBsYlQXXAAILjiXXP9ni0itEUKJRKKvt3d0dLRUqmDGtIZM - poMJTSaSURTValsR5wohteM7pFiDEkEQdDqSOkhoVK5WBwcGKhUbKCoVspQgKYUXBC3P5xoIIZZB - bctACPwgDCKhNJAdHBMgBJRyztfXN5RSlmW5rksIhVhse9OwEWsOCElVSokEQzhKpmzDsNxEoadn - 19iI5UhISFAYFAKFADSl2MSISAFKBxp1EBQEACjbNlOWYUoZbGx4ZjqnSKpUGRwZ6XdttrW9Vc4Z - Ngs7zdbaWtho8VK5J5HpHxi9s1xJN+qt2uZa0lJrUT1sryneVkpLBAgQ0YYQstVuNJv1jtexHbun - p2d8fAxTpJDyw+Da9YVkMuH7weZmbbve6IrVAKQSBCuktYiAIEAUADSh2DAopSSdSPT29IwMD5np - DBAbBG42g2YgEm5S8LWt7Xq74wkJrk1tKwEJnE6QarWaSqU03k4WqsXh24aGHAccV4Mp64nebFGU - VmvbpmUrk9nFweLIbQO39CvRjZ22AAhvEm+zvXGjvr3tKydTHe4bHu8dHgHNtSLpRieXywGCeqMe - RZGUUiqNkUYIYYQMxrTW29sdDVDuk9Vq7+DgUKFv2Gn4ZsJhFKQABgAYAaAY+sqolbQTzIWMo/r7 - enO5rGH5uXxhdHx8rC+XtzggIhDjpFvQaq1EBO2wAWrbSbjFct/Q7r1DPUnSdIPlS/mktVxrt9r+ - ylqt5YcKWIyup8rnXrPRrNfagTacQk91164xgyJCZBDWO62OYZgdL6zX254XEkK1jjSSOG7oYYQx - 8AgQBmoCQRQhUDKKu5Rr6+sUZQ3m9veODg+OpcogyXbvoJtME6l4s+Fv1zwRmiAAGQajWqN6wGuY - RJ7f8ZdWy/27i7nx8ZG9nhaejojYJoYncR1h0dyOGjWrlC+WioPDI4OZvAHgNToSU5lKZUSQXF9W - 7QbWCjAGNwmpXKCIr1SgpBEFVHLAFJRWGGlKIQw7nU4nCLlpJWzbAQDAwCixLFMKIaUyTEQIaA3A - Ix55ntdeX19Hbtm2nWq1d3hoKJ/PaQ3ZbLbTbtu2LaXseJ1WqxkEgY7dZAghQFJKzoXgAkDv0Cm6 - By0AhZFAWiilpAAptFSgNRCMAr/Tbm2HASQSbm/vwPDwKLMhAuBRYnmBeI0VSnFcN988zErOsZaI - YEAaBA86Ha/T5lHICMnncr291VJfNdIQcQhDdWNx0SISIQCtYvcn0kprJBQSmu7YE1DMGyYYEGik - EWisNerGAhBAmgCIKPSkjNptqPvL2eq+UqV3ZHR3bxGZEJUKuThEKPCDzY3NrVpNa40w7l4bgPii - RWFYKhZL5fLg4MDI8AhQGkXh/Pw8xqSx3VzfqEkJKIaCdVlZCCOMMAFEhOryFxHGGIGIAikiBtq2 - zPiAIjXHoJXiW1ubYSQppf0DA8PDI4kUYBp2/KTfkYwx3/MajaYQMi64hJKdTrtWq4Vh4LqJwcHB - keGqk6ABVz5H69utG0s3KI29fnHPA2FEgMSLso6lpqC0lEIKjjQQSqlh3vR/ggYAAmCAFBaj+aRp - oDAMO9teO4zTYoQAFYIEkEoEPIqwJinFMoTYDGmAEFCEqWx621uNmpAyncqWimXbJjEEBRBQSomT - MAzSZbCDVlKFQej7PmNGqVxKJJMYkyiMmMHiBEHLosyiCEy8UxaRLj4VgVSgBGDgUaiEyKRT5VKh - r9ozPDjAHBcwBkwym7XNzY2E4yyvbzcajXanE3GgBnAFWkgAMA1TcLG6siYQNU17aGh4ZGS0lEsa - IMulkmGYEPJmu9PpdKAr1lWguBB+EPjNegfb2WQiO9A/MDY2nLOsVjq5dnU2kbA7bRkEgBOMYAMh - 1t2VISSAAQyAnbMqKMBYKxVhbDhOMmG7DHGv7bU9LxQCQBMAiSAKAUsb86jTkDKnWVISAAIEgCKp - FBc8aLWaQmRdJ5nLFRPJBIYWAoUxogwIAcME6qaxEAABSF7f3mg0G4yZhWJPsViMTzsIxxhnsE1S - TJgeAAMJSmAVYaQppZjEyDHAGBilkHBTjskIbrc7LS9SALEnTGsplYqkDiOQRuy17UJCSGzj0Qpp - TRDSSrXbbR5lbAoYoVjFheMaGAhgAkqB4rZFGENSijAKOecautETWnXT6whmADzue2EUfyuhpQh8 - aK2v2T2Bm0iMjIwMlAqE4HQ6nclkAWHP84Ig5ELEBAhMCCEEYUwZ7e/vGx8f6+mpIIwAdOj7S0uL - iYQbcr5Vb9bbngIAjFwTZ5MuIbQdqUbHFzIudJXuVkQq9DtBu6EE2K6bzBaBAhfSbzdra8uBH+Qc - p1wu9/cPpNMmQtBuS4ywaZqdtud5HhcC/yfiEv4pX/9E7yBefaWQ+uYYUSkpuwkACMW7CgINIIQX - hK2ODwg7jpvPpikCFfMpdJxagz6mg705a99p3/6Xfdsx/qb7z65wsbs8IWRaVl9fX6FQsC2zG2ig - Zeh7mxvr81evTl2+vLiw2vYiKVWcJL4z4RBSBlJFCmJbHiKYohgZq7TUIKTyw0jEJ2NGKMOMEmAM - a6S4jHcewAQQFhqE7Dbmdjp//8BF+DsdXQKagNLZdKKYc4iKrVjQanp+FPE4GlJxFfleoxEGXIGl - wTFtN5l0DQIEAAEP/Xan0+50vJCD5RjV/kE7kQJMQAJQTAmSIqqU8z2VEmgpReh12hsbG34QMQMy - uXypp69YqiBMVIx0pJDJpnurPYVc1qZMCQ5aItAYYUAEIQMDSBB+u7lV24y4YASyxXIml3ecBAAw - amDTAIzKpWJPKY+1IhgrQPVmM4w4ACJAcrl8X39/X39fOpnEWvEwEJEQCiKhRLy+xBum2rlgCMUO - NAAEhGENUeALHoKShGCM8c00IoQRIZhQQgkBREAjLbWUUmtFAaVz2WyhmMpk4+kuw5BwWMohpkGC - IAoiUIhpiM0FutOoe80GUrJcrlR6+51kGgiRSnXNfAQTDNlUIp9OIIyiKGr7YciljGMBECZIYyVi - sF/chY77+/EMDUBgFNdTKFKaSy0VdD8jjtu+cbZW1w6CY8dRGIKQjLJMPp/MZm3HJQRrpQnGpVIh - n8u6rgOWiTARUu2kN4LBgN5Ma4qdMFJ1F4BuQgogTCCVHhoeLhQKruuYBkGgDUYqpWI66VKk/E6H - C6UwkwgpJTUPRNCRgiNipDJ5J5HChAJCCBFKmW3ZuVymp1LESEkpEaIamIpd2UJoKQABtRg2jZ3e - PAJKKAaktZCScy0EqB0+KgJgDIhpAEJcaM6BEJxJpcrlipNIYhZv8ZFlQiGXtgxTCNRpB4LLLvmB - YAzK67Sl1KadKJV7CTUi3k1RxAgxgh3Hcl0btArDwA9E/JuKR8B/z6OrhBI+AYkw1sRMZiv5UjVX - KFJGQXKQYSZpp1MpQLTtcc+LSBy/IDkEbb9Z67SbGNN0rlIqV23bohi0ksAj2zELhUIikZRCbdeb - QoFGGAAcmxUzyUqpYBhGEKlmq+11Op3W9tbWJhfSdJL5YoUyUytAgIBiIEhq2V3QAUmtABSYBmMs - huhSABYzFbUCrYExahqmaQICzqMo6PAwIJgkUxmEmVJaSUkwMk3DcWxEsIadnGKtlVCKS8mlUFLo - 2OYbXyUFWDPEsdZakUiySACPSzEkQXOsI4wAMAU3Vyz35Qtl23alkKCFadJCPu06BiYag+ZcBhyU - 0oAQAsVFKKTUiBh2olzp6alWDcPAGAHByYRbKuQrxaLJmNfxNmv1MNrpJmvQUkuuRCSVUpQBwkAJ - OCazGFVS1RudMNJSg4r9SwQBUl673m42NeBkKpfO5BUg9dGd8JGBKX6O/vadokFpzGgqlapWq5VK - JZVKEoJBSlAKMDIMZtuWZVoUkSgUmBBCKZdca4GgC95F2LDdVK5YKlXKqXTaMA2EkcFYwrZTjkW0 - DPyg2fGincYraBUEwVa9HnKw3USlp9dxE5hgDYIQlHQT1XLVtRIYE2AEGxgRovRObnzXXRWvsLGt - V4PinEfb9UYotJNI5Qt5N+HEHWoCxDStXC6XLxTS6YxlmQajtmUUCvl8IW/ZNjNYTGeSSmJKCoV8 - NpNzLVdEUmsUm0X6avQAACAASURBVL00CK3CIGgLKQAzwGYmW+jrG3Acl1IKAFEUOhbr7yk6BuGc - t7xQ3GyVAsQyIwIKaaVUXJIgIFRqkFLFRYNt25VqNZvNmZYVP+yuZQ71VwtpV0vR8YKmx70gklIg - LbSSzVbHCwSmhuMmTMsE0JRgihEoyQh2HSubSXMhPT/CBG7GiGsJWsbFfTxk1oCQYRjFYimfL7iu - IwTXWgGN9T6KIp10bds2FcKBkFwqIBgwBiVU5CseaKmAGJDKp3P5VCZl2YwQDEpblp1Np8rFnG1g - KSI/DCMZg9WUEkIKiTDBzDRtJ5vLZzJpyzLj9hplpFTMlQsZ1zLCMOr4QRiC2rlzhRQagJmgERI6 - dk0pAE0AM0Jtw3RtmzEWjxC1kjzwW41GxCPDMnPFoptMIUK6I0pAjFDbZIaB282tTrv90YRfRmHo - BVEoAaVz5UyuqLvjb4Iw5HLZwYH+seGhcr5gmyYIEfKICyE/WoQ/pmv/mC6AEJLLZvOFQiqVZjGX - FkArYIym08lMOuVYppRSaaQAi26XHQxKlQYuQSOayeXLcYw7BhACtHIsI52wGcGci07IucYSEMI4 - lUxapilC7rU7nAtG44VAghaAZMijrUYTm7aTTBFCMAIM8XoMN4VmXUU/whiB5AHSESYYkCm1HacL - aww3by2kMaUGAiokaC004hpAC6BgOHaSGRYiWGKSKVTThX6FDIwQxdxEQSlr9uRNk0pMqZEoFHt3 - JXJ92EgrDRTrpKVKGebaABBxwYXqUt25UIHvdVoNKYTtuIl01kmkKDPjmoFgyCST2XTGtqxGo1nb - 2lY7K6BUUoPSWknBoVtha4ax67qVSiVfKDqJxE6kJMR7lMVoLpNybVtJ6QdBJBWJReAAoDVj1KBY - KZCIRtiKB0xUcVABYAFUUs01gggZIbLi/0AoogAMwAQJUVO0a0FrC2Ps5krJYtVIpOMfHU+MHDfh - OC7GVEgVcS54vHcpjMFiFGPa4cASyVypp1gqWyYDrQhCjAKhILvJMhg06i6ezKAx0xYBJcAYIYRQ - w7Qsp3tIwVjtRNURjEDrSAElOJlKVXp6srm8aSeU0gyjrGsO9JQd2+4EfGlts+UF8agdFEfcDzuN - MBKa2k6mZLkpQhGjQBGmGFOMDEpMRiXnggulQajuXCueLGICQoAQANDNNheRT0EbDAgzs6WecnXQ - crMY21orBFE2QyrltGVQHvBmww9CxOMjD0EEtUTURFgz03ISuXyumkqWMY4j16VpCII8LepR0OaC - YFrKZIdtO00JAuAAnJnCdkk2nU0nCiIini+9ACRAIkFzOYQ0cO6HEQkjiHU1SkkAjRHqdDodzxMS - mOkw09oR7tCkY4OUgkvGABMAQCB4p9Vot1oKcCJdyBZKzLRQfHcpZRCScp1KMZ9JOhig1Qmavghl - rP8TGEkQCiKtuBJCCa1EnKeOAJQEpCgIpKRWWijM5c7RjxIeRWHoEwKUMkqNOKYPEJgm2LZtWpbS - INXHEgsBpNzRAGEESoShH4YBgHZcGyFQSmoNBIFtQDqJHdum3c60VlJGYQBaIhwD7HBcmeDu90IY - KVCAEQXNpER6Z8IHBqZYCh7GoGLbTfb2DVR6euLLwxjNpJPVcqGUS1GsG83W5nY7kkhqBAgQ0hgB - QYhi7LhuJpfP5YuGaQshQelUwq2Wc0nXQAgCLiKl5UeVEcIYE0wwivfqnbKJEISRkkILHj/18ekE - JFc8kFxgzNxEJpXOGYYZz8AUYEQMw7JSKdd1GMEQRlHAldIghQg9r9VqKqUJZcwwNSCMkWValFKC - KSUUxawntbOrYHxTzoW6ulvFRcR5CACUEoOZN1sbMTMDEAMJjmn05JIJqnnobTeaUfd3KkFzkBwi - LiKpsWGmioqlFMR0Ex8gAqw6nVar3WKGRU2XGhY1unpb0MAoGCb5SPelpYq8IPAkIGK7TjJDCQMp - RRRp1d294y+kOAZ8gdagbp5b4uOPkrZlFkuFsbHxnp6q4yaVBEAYKAOCKQHLwNlMwrFtqZDn8zCK - 70xQSmBQlmkgQkOuCpW+at9AMplmjGIMmKFMLpVNp/9f2t7rSa7szBP7feeca9JnVmaW9yhUAYWC - Rxu0YzuabrLZ3bQzI45mdzUTMbuhmBfpQX/GakMPq9HTSqHQaFe7EzPkcIc75NA3yfbd6IYHqlCF - 8iZ95r33mE8Pt0BSZhWKHe4NREUAD4XMe8895/t+7gNE3In73YiETGdfw9l40O/3Bo5loVir1UeC - IBQCRPB8VSjnq/VaLqd8BUFSSkUk3HEqpnEw1tm0C06PQBAZJxJ4kF61XBwpBQpRv9tpdwdpqw0i - OANnyBmrteeHYaGiAesg4QC2Oo76fWeM73m5fNEPQkFgWIYVIF/h17w5KQVBSKJW4yiOomq1lssV - Uln98RNWxzWfOv6AFk4TtCBWni+9rFQpeAFBgLMEUsqzDMMpROvIaTib6CTSRrtH1bdDqlmDJyFA - bOGMc1Yb0+8PtLEEQRBMjwITjg8zkeYOBL5SSlrnojhJdJL+V78JDhaQ0iMWSFsoQQSYJIGzSsEP - w1JlqDI0FAahICJ2nhTlQq5SLinlaYdYW+PYAUJQNhMOFfO1aqVYLAaZDEn1KEUX2cDPeJKtiaKk - nzgLgJ3vq1q9Gvq+jpPD/YM40UhD+tjBOaPjXq/f7cdCIpvL5wtCAUnU7zabJo5L+cLY2LiUyrpj - XEoqGYRhqVzJ5nJpvpkx9neoEP1Pu/7hqlv6rZ//r1daw6ca0XTKlgDAVjttSDwqm4gBCavWHh5+ - dHPjo1tbKsiOjU5eXl4KS34mzSpkMFLRtmBAwAlY61jBBxQsHEGJ48X9O7nSUWLHKnEoiOAYAgAg - uVwf+9wrr2weNO9vbKxtbEU6RmwGDfeTv/v2uz//YT6Xf/GF57/y5htnz54pl/MWihAbTgb6gLyO - UjGZxOqEDHJhUYENHA8G65t799Z3Gp0kdp6XDfPV/PB4plrNg7WyWY9DyRImgnVQvvUDJ0CER0AU - CxBDOAITRHpTYXEM3ElAHm+tBCE8IAszOHnm7Nb9C+p7a77WZnf/xo9+uH5m+ODC5YpEVqO7H918 - f3Vrs5ug4mfHRkan58crFYUc4Jud3Z2bt65//Pb7Nzt9XHn82T/41p8+++xL41OViBESlM+loviz - f/YtobheCVqdowd37/7iZ+/sbHZqw2Ofe+Wbr73+B48/cUl6kgD4gMTLbz6/8sX5ymzGYdDgvcQN - etR3uQIrCRfAonfU3Pjk5q/eenu7HU0uX/jCl77x7HOfWZpUAeAMNHmeFK9/8XM56/77P/9XD1rR - zlHjk1t3TyxNT48OA3j6xefPnX8yIJul3tqNd3ICUiiNcOACbZUFZIrWegQBCGcAS0qxJOfB6M5R - c+32zYx0YyPV0ZGazAQpBOH7YX2kXinnsp4HTtugUErfsrPCVYZHn/36N5987fXLly8V8nnfIRO4 - K6eGV1dKH7xTXt9P7u2LraabDpHzLJLO7fd/ufnBOyqKnn3mhedf/dqJlYvdxlGQzt9mCQ6DQH35 - hasZbVavv7e9efftjz7cbLxeKuYzmQDQStsgGWQYxCaGSxQSAWGRERZsYPQxUi4QOQtGTiALCWJI - m4g4IW2k76R41LHKo93me798f2t9pzw08vLvfevM1WcK9TwIIYn8SH3ksy+Gurnvv/+Xb91n3fcl - +woS1loppADgbLoQCRCJNon0fSEtSwthABXmZs5d/Gd/8sfPnj05MVomQJKZGC2//soL2/c+ba7f - ube5+2Br/85BNDXmV0nrvXtvfecvHt6/Nzq19MpX/9Hlp57Mlesk4EBSBWOjI1cuLW3cX/7u976/ - vnbzzu31hVMn8qE0CSvPJw+QcLACEsjAMTSBLXTHJH1rfKECz4dUx8LGR7uzAQOatQFnS5ee+9Lv - /+G3zpw9Uy3DB5CVo+dOvxwVfnTj/1jdOdq5frfX6GmMeAqw8dH6zb//7l9tb+9OzK/843/63yyf - W/HTXA7DvrSzU/WnHj+3vXnvZz9/6/7ajdX1u4unTnkEx1D02yUEBAMMj9knsI1UqIbnpr7+x3/0 - 6mfO5mslJboAfFl99uqzt/YyH336gwebqw827sMtqJTygrz1/ifv/uL9TKF65dmXXv7c54YKyKVg - p8zmxpaXzw2euHj9p79avfXJ7p21F+vj5yaHirVh98y5U9eff/Lf/fjWRx++9Zd/Sd/83Jn92+v/ - w7/8VztHYv7yc1/48psTE1MeQ7ADMSSgBBPDOnaOlETggdmyEyTTPZ4cVEqPpPQ+sYWzJu62jw73 - N2Gjcqk6MXXaD4cluVAS2FQKhaVTJ7MljwmaI4KWgjPS0/AkhAM7gsCjyEPjkCQZdqFUIGVlaFVg - FCAcjIPneyTZQsrw3NVn//S/+v0rZ6bqdd9XFoktTq985cuLP/3V7Z3N95rrD7bWb63vnRKj3lAo - BaSgwFCO8uNTc8uvfvm117/4xYmRTMYbgIn87NUrl5NW/8711d2tjbc/fO+Voy9PjBazvgfjC5OV - rhSIsiSXWOeTGCogOz11bvrU7bWbN27u3N/sX+ogPwQCYPuI2w9Xb63dvW0TOzOzsLS84uVETCSd - DY9HWsIaS1KQkL99/rKzziLV3IXZ3Ne+8U0iFPM55XsAwVlAZAv5hfn5c6dOrd9rrN691zhoRlZk - vTx0Wwx6IRhC2SAf1oa/8OZrX33zlbkTk5mcIiR5VXzu3BPqsHt453882N358O7Nx8xLwxChjV0y - uL+694Of3tlt+09euPTNb31tbmFYKdJW5TMjT1x4fGlo/F+4f7H9k/c3GqbPnpYe+4AGGIoQwD5S - B0rJBhzbzv7GxtrbH9/Y6tLiyeXPvPTZM8snPQKDQqhqvvriC5+/9EQP/e70cB1uQApf/cbrxnAm - V8jkssdqZ3CQy0zPzC2fOLP6/tb6g91WcxAzlILCwJeNXCaB8IyoVqvTn/3C1771ja9OT4/kCz5J - rlRLly+t2MPn79+9fbDz8P3331t+7AlTQSSQYQ8kACHhJJxCRCDAAqoTi1YiEi+PXP30+bP/7Z/9 - 6aWVxdGhonBGEc8Ml/7wyy/qrWsP7t2+de/hja2k2YcvB0r2++3Oz3/xzo37B+X69Euff+WJJx/P - hJ5LYpc4Ien0wszWhdMnF+ZWdxqf3lp9sNGaHi0N5ZAGbIhUdk5pWcJwFISFU6dWZubmjEuy+Zz0 - QjCBLSmMVzOfefL89Y2dTx42Hh4091o9JhBJcCxMIyd7YeAjM3Ll2dffePXZN177XDlDQlh4Kj80 - fvrM6Tff+OzffPcvN9v7v/zV28WZM8vVHAxJ5YVhQXOYsB0ZHv6TP/knLz/39PT8bOIGRPBKmeee - vrCz9uGP3nrr9mF7Z+dwe7OXH895AcgZIaX1VAxoIayUDPjwPEhy2tccJM4NYgMb+YCEik1/e//n - f/v9/Z39mcVTX/rm15cvnoEXWjgwByp3/tTK0+fvX3v3vQcbd9fvXN/Z74wMFXJSmMi89+5H126s - Ilt9/KUvXn3+s7lCOoEzrNQmX/vKH3z+xaOsM//Tv/zz9c677X0mEZLwE81WQkn69WwFSvv7FNUA - 5YqlV197zTH7fhBmMiQYbIQUuVAOD+WfvHL2YOvBT957r9PptXt2KJMBR5Cel8knIuirXJgrf/FL - X3z9y69dXlmsZQlSg8zFc+cP9nY/vX77YG/vkxt3m307cFkZFi8//syHd5vX77x1+90P1xfmLp+Z - YgJIw7Yah9v3ttdvHzRPnX1sculMbbjmp23sow7KEVj6kghOgBOQzHkycAmbmHzqS+4zsgrOHvNB - SoBEbJzWEBCQMic5Q0Co4KmkRaY50E2Xy1TKX/7Gt176/Ktz8/O5PKCSUq3y1ONn97Zu3L7/MChk - Fy898af/9M8un12qVOARwjwvzZZeeuHyQa9/fz++vtWabXZGRnLCwPaPOnsP3/nZjw6Puucff+rF - L715+tL5BKQ1S7ZZKa6cXnp4d/vblcravfvXb9yILIwHDxDKAxIieKkMhgG25PRQeej1N78hpB/6 - QRhmIGSK4GczNDdZffLS8uHh7sbufqvdag2SciUEpC8CKA82ge6QhuTIQyIQOkBDSBaAgvRlJiek - ErCCE8WJn4reAMtg4kG7c3/94Sc3b+dKU0++9LnHn3xycnIYpGGdYxlm8889/+L61uHP3v7o/Q+u - zU1OPX7+vACABLbvDZqR5r7IXX7u6y+99rmnn3u+lPWdJ7O5jFNQdKxIBwNOgbMQAdLCXxBCyRj0 - es0kiQGS0juecA6Wipgg4EJPBVISkK/WV5557o/+0R9dPLcwXqICWSkwNTbxxptfu9X4zq9We5/e - u/9c81Ci5hMQ9w82t378/R9vbh5MzJx54xv/+PzZFT72AVHohWcWF5+4tHbz/Y/vr928fWd+c79V - rpeywrOmE6Z7EyHMHIOJzlrJtiCkHETOIT8y9fzX//Brr39panY4VMfigcdWLsUvD3361v7G6s61 - D9/bPNwuzZ8IYAMkZYFSWEx0NihNnLlw/o3f+73Hrj6WyyMLZVEItM3Zw+3bq9/5N9/ZbXZGLz73 - +h9/6/T58XxWwSUQQagKU2N4/Mru9trBD37y89vr793YOXN2aHxqfPLxE2d/JN7ZuLf9i/eunbr4 - dEUCDhnF3DcHh61Pr987bPbGps+PzcwWq0UIA06c1lK70JCfGi4UFANWffCr93/8k7cjl/38S29+ - 5euvnVg+kwngTKKEzAZqZWb8v/6jb2Z183/7mx//6sObM5eaF2NTkoOMbCl0ihDVpCh0CPYjT6WF - KDGIHdiGJkEcM6T1c5QpOAnHAOPosHW431UKQ7Xh6kidPBiGZUiBkbHycK0QKCkJIj2mBEBQQYZs - AhtDAkop39ve3W532tVatT5cL5WKgpC2NsZgfGzYJ/coMjvpdprM2gsFBWw9k24yij0iC4DjgYkS - KXLMQWI9I2SioBATDXwaeMqTXrUwVJk6ceYrX/3GE888Xa/CYxBMMUNf/MxFOrz1ydu/WL238d71 - /de6qlZBoJhtBBd7JvEZTz/z3Ctf+dqV514cqQwpARg9Xs8/fWn6pWeWWj+9s9puf3hrdXFqeKoy - KgTSMBUixyYyg7YPeMedvU/kBX4oAZckca8nrMuS8IXduP7RR2+/1zhKXnnzG9/85tcnp6YzGWhG - YqT1qvWxwauvPO96Wx/ffPDRp9eGJk8NDecFU9Q+3N/ezObyQ2OT5fqoI5do7XtBzheTtaFqecjP - wcHJdJNyqTSVWTAJYjgmKZXuDFqNziEJ5IKw4GeEBqVxdyk8TgLZcGp64slzy2/95K3D7Z1r71+7 - 9NhnkrIP5yAForjXOFzf3Y7gTl0+N7owma8CSJD0kMSu39+4/fBgr3vq3PMTixfyNUESbGNiEIJH - eMcj1MN19tbef++9n/WhSieuLF58Lpf3BZus8kCUEFtrPSnTqdPHximCMUSApwiegHUw+unnnr7y - xONShgxfqmxQKEICbAGdK2VPzdefuLR42E52e+qow/3IVKECD04aYfp60IHyhkYXv/T7/+QLLzy7 - dPJU1oOQA+06V589v7PX/tn3P2zc3l2/vR5bHkiRg4T071y/+86770fWXnzqydfeeG16aqoYKKW8 - wlD13NVnCiU/6uy++9O/v9VNFFlC7BAKQCEj4KJBL2SlMhmQARhCKlFUCMjYMydnN5eGP7z2q4dr - t+7evXvp7IQlgC3C3NHa4JN7zZ2Wqy1OnLt0olpF4KXmQnm4c3jrk1uHu4fzFy89+/zT1XqGAB1r - X+HRvGFAgDywTiiKbLt3/86DZrN19tIz9am6nwNJOIZhVjJl4hCknJ2LQQZSM5G2StucO3aDG2tj - 6YV+aTRfH9+PVEIJCCCXZeezg9UkkA2hAIljptYBgi1YSxhFbKxLIP1cWSqZsgfit93nDjAMSPhh - qVAOw7xzzcNmt9sdHLuUBawHSMjjY0IRZOIgFCzYWAO4fAGTy2ff+NofvPbS08OjuZyAcjpX9p6/ - sri3/cT//u3vre42rq8+nDu1HBI8IYsZ/wvPPX71sYvZUlVmipqlJCJi3zMrC3O35sfqubDf6jQb - kQEc+Wpk7PHnnhv/xcbm6vq1n/z9SxdmkpN1JchDInWv227cuL/z8UNTmFyamJydLKMErD24e+Oj - T9vN5OxTF778B793cvlUvpxxAhaQAYbHRz7/6hfa/fbf/u2///TmjYWlhdrwRfqPoLf/H2Do7/D6 - nYCcKbf2mz+/LYz9tWtLEDwpiS3rCFZLYl8SrOZ4YPpdWOu0O2j2/8OP3v7F+7e1qlx44qUnnnxm - tFIY8l3m0TqDIOvALBkkYCUSZgZ5EIETniIXwKoUG/6HfyuAmMmxs6y1sRYQPkQACkEByEc2NzE1 - /fLLL/yX/8U3Xv38Z06fnMp5mgeNzsHm9vrde7c+/eH3/+5/+Vf/87f/+rsff3KnqxFBWGHhD1g2 - ACthMjLQA337+t07dx98ev3OL9/+8N/+1Xe/94OfdmNYeEPDtc+9+vzi8miYEYASOiOMklbAOTA7 - IbXwLB3L2SScOM7lJZdWk+l3YPNILCR//bg5paHhQWVL9eH6xEilgCGBYqNxeP2T7e21LR0dIOkk - prM/2Lyzf3RoIYeHZ5fHxmerORSAEE0MNrbuvb3x4E6rC+eP1OfOrjz9Un6qwnk4H44cpM7k3MhM - qT5VRqC7je3t9fWdh3tRH+WhyctXX5w+dT5Xkg6wFoZhnc6MhXPzU2UvO8SZjBXSQz/s90XsBAkE - iNHa3D+8v76zGbUM1PTCmac+Mz4+VVaQMQTIyQyY5mcnr5yZPTFVzWa9Vq9/58HDVrvPEBpcqlUn - FqemT85WKrkMkpxgScpQaFWeRUYAqYOI0bOmnbjIgFh4xgrualhVyRVmxkYCYbY3Vn/0ox/s7G1r - dgmYAY+8fJgJJIEZBohYayc9T4ZesV69cPWpuZXzpVpRSSEdQMlQhU9N8PxUmWVmPy4d9L2ehrM9 - O2g+vP5hZ+1OPROeWT47c/qSClCsDRWLZYIE+3ABtK1VwivzxSeXx7OB3TjYv7W+ddSJHUI45TvK - ORNYKAEEXiQQA0ZA2z5sAnBAlOqFEyIwBYC0BDCETmSipTGCrRApQS3Z67eiTz+83jnqlqsjZx9/ - sjQ1adMYJmcEnF8pnD1z8srFFekTYHzJbGI4rYhFuuYIsA6OQUIoX0iApCPphEceamOTi2fOP3b1 - seGRsqcAOCltNiPqw8UT40Mz1ZzQ8V6ju9q0PQFiS62HGx+/1W82hkbn5pefqE0sQAjDsExEMhOG - 1Yo/PiJ8edBu7j58uN8f+ABIEiRALrKDBImDAELYApyCSXyRBJ5QyrOstDmmIh/xsTYVxGdkkM8F - w5NLcytPn794ZqKKmoAHA7JyrDZ1cmE4Xyz3td1r2YGNEUAqxN3O9v07194XpMZnz5w6d7VcG2IB - bUBwgeLA57GR4sRo0Zr2wcHm9v5GZAeWkB4YBjAOwDFuC8uKOSDnS6oMDy2cW168fGHsRIk8sPRB - HlQwPz07NzOL0O/HvV6vKRgSDnGMg9bB2nZjv12pjQ/PnBgey4YKsH0YrRGacCxfrs9N1EezcdI9 - 3D1q7Q6UowykXJkZfe3Fp5fmRtvtnb/7/t/+9be/+4Mf/vLW3c3x+VMXnvrM/KnZYlF66SQ6ciCr - 4YhScww4PfAlgSj1dXmAl36d1FrlrHXGsCWJfCEYqRY84TbWt378k/cerLcHfYAVjAuULJcLKrU+ - k3WUwBlhnOdIMIw1CXTa1XrpM7M20FpY51gk8DTBKGhPQBC0cbEREJNTs2fOXr54aaVeLwXKJ3gQ - OQT1bKV6dWXqsRkW7btH+xt7rdh5oWOPLEkZWpELhqbPP/nC8tlz05OZfAZKIZXYT9bq50/MTg4V - nYl3Dvc7cZwYOCOhfenyHleEywGWxRFwGPpROZ+7MLc8NXzCtOj2Wmtt81ADQAzXQ6+xce/G7tbG - 5PjExMRsuZrXBA0BIQkpUc4yjY53jFSvm3LikCBpbUrj+9X68FCtrsIMp1E/KRnjqXKxUC0US56P - SCexjQ0RvIBkjq3vDCBUYWjq9Jn5lVNTC+NhQQkhBMKMLEyXRs4OT4x5oXC2aaKOcgYQiKNOc3e3 - s7Zlg/Lp8bnl8ZnRbMGB2FlPoRwUaiOzU5dPz52YnYb0rJd1fmDU8eA4gpYcgeO0o5TEQBy3d3Z2 - traPOi4/Nrl4dmx6ulzOKmLlyHfwgaF8YXR4dHp6Jhco6B5MrzhcGpocDktZlgyb6gZJesr3wmJQ - KlKR+8ImIqEUMe5JOpDUZiGRmRibe+zk8mNLS/ND5ZxUQsMIKapD+fmpWiUnyUa9bkdbGCAhaCEs - PHdsSLDkBuAuWMMS/BIyFS1zQwvL5x57YmXl9ES9lPHgCwas9OzsVOnidH6yVmr3zHpLHfYs2KG/ - e7jz8P7qRsT5heXLMycWK7UywSjhhHBENsiIkVrh5MIsQxw2B81W3ybGAySzYn6kGzGwCThtOXyV - KxZqtcpI3c9m4KWNAoGM9MxELVvMh3DctzRgmaS6OGnhR8ocmTiByy9fePmxx54dLZVLvpVk2YLC - YHhs5NLFxZGaiAaN1dX1vYNUikxwYCc1h/nhuaWVy48/efXE/LSf9ZEJe0IYEwVFdWoyf3ZhspTL - dDrxxsZW1LHKAmAWpAUNgESQFuKR8YOUQaA51CyNs8QDDwk4jqL+7uHWzbs21rWJidGFE7lqwQAW - gigIZC6rclOV0vJYpYT+oH2ws7/XHJheP240Ottb+52YK1Mnx5cu1CZrx3lIUgq/UB1fGDuxUJoZ - fmxp9MyJCYQhRGBZkKD0JWOX7mXpMDrxm59SVWq1Sq2aK+aVryAZZOFiIp31aagQlLNKwSWJjbRg - SJAPFokjX0rSigAAIABJREFULTOiUK9Ozi2vLJ8/PV8rZYTvQ/ggb7haXZwaq+VDWNfqJZFTmiAy - pam5xfGRiVBj88ath/dWe1G6jGNnGvdXr93ZXDPCr526OLl0xvPTApAFc9oeptUghAcKAB9MAbvA - xrBxXw8Gkm06muXY4eg8igRFTFaTsASiUCKnAB8sue9sYlUoSpO16eWTZ6+cWDqVK/pC+YCAxOxU - fXF2KPAwMjl+4vyVpYsr1VHP96FICzWo5pPJsXxYKjQ5fNhxrcgIwIOJmnu7a7faB9u+H0yeWCyO - zaqipwGWgRIKQCGXGa9Uxmv1qNff2t7dOuh2dOp9JgPr2Mq0TXQuHfXoB2F1ZCI3NCYzFU0hyAcJ - SEDqnGfrRb+SEYqsI2ihDJAAMaRzLAWHpJWDx7HkmI9tShIyAHlgOTDOsCNYBaM4VoAHo+AEWFu9 - vbd3d+1BN47L9frC0mJ1uOYHfspdC6mkH+aLQ8VKPZsrr65trj/YpHQupYkRtTOm5wd5MXJq9PKr - Jy4+VxoqBQFlpMt5CFIeV6aYT1rv50AZsHecHidZKk3Cgtg6NoaJAPJSkTUBsAnrhIwhYHphcfnx - q+cfPzNSD/IEyQkE5UvlkyeXysOjxs+0kyQx6YacIOp3Dg/X7z0AB1NzK5NzK8WhIEUmmCEclXK5 - mdHKyZmSco2Dw+0HO/vNCAaepJxIISFiEgxyjpmIfSDDHGpTKBRWnnh27vFnhheHZRHkQwgBiJFC - 7eT49MLoWNVXUb+z2z7YM0hggCRrYp89oFSduHzlmVdOnl2pjucVwSfkHHKkkDiztX/jvff7nGQX - xmYfO10aLoEFIoWkLGw949enJ0bmZxTJhzutu/cP13tsRoaGz42fnC8Wu4eDDz69+7CpewaQINhm - q3X//sbubjPMlVcuXqmOjskMTDpxnZ1vONBM2sQJEoskMWh3tx5s7uw0w/LE+OKVqaXzKhuQL6Rk - wMJqLxDnFqbOztTLudyD7eatfbsfmUQOgF3J7TB2pX421FlrVA80SPnN1EsZD3wdFQIPQvZZdkz6 - UoOtq5RrtaFxZ3D77v13P/5wv9XQzpBwibNCIpPmmQLCHasBjptb8cj4DJaerI/Us/nMUePw+vVP - 7967m2hOE/2IEIYIfQFrYRIpUR0q+T4ltj+w3Qg9l7afxoMRSExGIh/mFWWBkhOZRFCs4GQC7vsi - Cv3AcqE6euWZz7y5vHxuuJaOBGRJFm6wOF68MJMfK6Lf15tHsqnRdRhAG4oFTF6JWrF49tyFy08/ - VxwZEn4KGUr4ZrSsL62MTExW2LkHe439VscSUkUkM0sBT7AH7aW5voBmyZCe8nwpPSEVSDIrpxH3 - DlZvb9x7IKg4OXv55NLFIFTMWjsYKTSJIF86uTg5VnODaP/2/XsbB11LEBDl0KtXykxibXP3F++8 - 12h3ADhrFSOrUMyCgRiJAzMcbDpFRAhIAjGsQQLobtxu9RrGQhFlhB+mQiIYhtNphaRUpVJanBob - L2SSZuv2J7eODtqxBqSCpLjTuX7nzkGrFZTzS5fOFkaHkJaUPMCg39lvtHZaJlazJ8/nR+ZYwgFK - dpWKgBjWHAfnHRuhB/2Dm2trNzO1kXB6RZV9ADCpL4wtWChIGOESckyPDGAkAJLMDKuBBIqz1XJl - crw4Ol6sTfiFik6dvvDhCJQU/HiynisU8okLByZjrCBAgT1EHmJPuDBfKk8szF94aubkcjaEkhDC - khfVxrKTI7WqymV7yvWcYdEHEue43d/Z2NnZ3vezubETs/OLJ/PZbECCIFgGudrI7MLi5cuXZyer - 5LRJus4NxDH0LAWUr6SUBm4AYSAdSDJyAkO+yM1PjCxNF0q+2d+6f//e/V4fzqWObHl/4/DD2/s9 - mx2ZnFw6PZnLQwHEDpq7R52D7QOXuHKpND4x6mcgCL7wJTzB5B6p6pgAyVonh/uNTrPnB+GplVOl - evkYuCdYQRou5sRoEzCKBspawAjPkqcMB4nJGQPB8BEDNm5213a7G/tNv1jMVnIkAdjAGs/qQAnl - pzJIsEG6GA0DnD7+BGyk8mSQZxGmTmxnOY1VfGSXJaTaQMjAC6QKwCrWMDY1LLMTzihoCT4mGglM - TiDN0BGSBFGhVDy9cmHh9LmxyZzyICRDJsJP5mr+zHhV+X7HyWbiYvINAAIpDvNqpF7J5/PC9xwJ - C9JOsLGlYnaqFAyFEsYlWhog8bNUrU2cmJ8ZH6m5ZOfGtZ2HD/bifgIGYooOD7cfbu73D3hk7NQz - YxMzFR++Nntrd+7duANHo6MTcwsLuVJeeOB0wSqo0B+fnhgeGyElNrY2tna2/x8urF9Da6n4/D+7 - JPd3kHX7H/+M/1dUmkh4khNrtVEeIAVJiSQxSWKMUZ5qdwa37j747n/4ycc314anT73w+Teefupq - 3lcCOgUA0jHOJrUkMgQ5CcvkOQIEmCAJEgkg6JhU+IdegtJkB8fOMQkpFJBGEDHIQpDIqaeuPjk/ - P31qae6HP/rxr955p9HstLtRb6CNtbdvXF+9t7bxcKcT6+rETLkoQgUv41j0HEPAhcpvHrb+/vs/ - zlV9GfWPNh58/2/+5uObDxyymaHa4pmlN7726uKpCSEdrIILyEjBdDxwioQR0v3aOYlHvn46LtYf - jQd0AIFThfnxP3FKnACel/HzxWKtOjGW63fl0aDd3Ix2dzc2untBJUu9wdFOZ2+j1el5slibO31h - cmo27yMDkOsg3t15cO1gb5vJD/JjpdG5+mzFZRAL+BJacwCD0MFG6TLoNfcO9/Z63cTaIFcYPrF0 - tjDkG0AwHCOBc64f+k5JRmThhG/IC0USur6LLBGxB4P2XqO7czDoA6GnRiaml1eyefISWAaysAjh - NPKZiVp+erRybafVSMz61k6702fAOoYQTDCw5LRycUgshTTkW5FlCuj4plnDkXHacUjwAc85E0dx - mAnGR0afevxKq7F17+7Nv/iL//WL1py6dFHm8rlKqeQ/cjGmKe6JM8Yq3/MCL1sszS6eLo9Vjn+/ - c6AIQTQ55CbHK+pWp8vlrvEi67Tp235r5/6daGerlq1PjE+XRgoJkFUIIZgBVnAe9ACUTJVwZXny - 3Y2jtX7/1oOHK/P1heE6rPCZssy+gRIgXyUCFlDExkaABqD4eJSyJQLSePe0snNGWiOcE2zTyR8O - Al7Uje/dXO13ovJCZXx+wStDp62vsTAJlBkdrp48MRP6MiYnyTkTwwXCOyZwJB3jTZCklLIEGLIg - RxJSDo9PTs+dGK/BZzgNqDSVj0F2olqYGS4Fkhud/kYzWRFgbbi929m8m/Troco1I9rYaRweDEj3 - PAhfSMV6d/tev7tOfJhE7YODVjQgVI6nbFtysUuEFAK+ckqwB2dg+r4wgSeUU9Yh0bAWisAi1fEb - EIM5kH6xUA2nl0Zml+s15IA8gymysCaTLdaDSjY3ZJqqF8OQJmJIF/X6R5s76/fl0BkZlvebURxF - Hkcct3LKBCJmlzQbO+z6zg26/UajdRCbOEQmlUeAQRZKPXqJHQQ7CecrqtaH5s8sVSbzngcNWCjB - Ek5US9VatYZs4MgYExMDbBEn/YNG/7CTDEwmU2wO9IONVs8dFKLdLmSsMjrIDg4OWQ/y1FaI291e - IxEaXmCY6vUXrtZ+8OG9+z/99L13P0z2bmZ0QyN74bFnrjz1TKkEP31iQOrJsYIfRd+kmQipApJS - B4BkKKQkLyCILRtnDSEjxejI8Nkzpz54+9211Qf/+l//dTvJiXOTS6N+OQuXC1SAOH2AcEzGOQPr - JAQxLFsD7WAJ3nFOl2PPWjLMTJplyltYEp4USZJYbX3pDU/Pzcwu1IaO/QoMQRQCHjg6tzD6YDZ8 - 6x20G7tHnVj4YOOxhVIhy2ymUjp35enxyYkwDeEmAAqJRhiOV8pjlaK/PehG/a6OEwMnSFglXehx - HsZntpD9473Z91dOLC9MRT98p3n33vbde+uXlqtWxjCRax1urN5vHR2dPv3MxORUJgfNkGnGIdtj - 3yyJYy+04zRoheRxYp5xWjAJCMswxhgdt5oNo2MpCOzYWRcF/WZLaRMKBUfaCYaQSkoBaQ2D/EJl - 7vRKdXxEZgmwacEuRLaossMqMyT9tudZX0Ze+iSTfqt5eNQ/6gWL8yvDkyeCrCdVDARwPiCBCErM - TwzPTE7g+q6ToZVKCwQCIAN20sXspPB9hgQzWA/ae3v7u93Y5kenJk+cyhSKJAHmVFcqHxGzgfDI - RjADOIYSCZGx3Gv2TD/RSLSMI/S5K452m64Hz/rMKknfLAwEmuw6TMLLjk7OXxwZP5HPp75I1lZ7 - 0oY+lQt+IaRAE9GxR9kAGpCAggTSiKQYTqcdmQxLMleBCMZPnl4+d75cKoTSEhsQwzpwjAyfHM7M - Toy8u9vZ63tHnYSGJQZHR/vbB4ctK+qV4almp7/2YDWEyxKFjpls4vTh/mY2EzCoH7teL7JGi9TP - zPK4znHWQQshwekpxHDQMP0o6vd0NHDKJaE9ov7OwfZGt9MEsxWeFb4BAghIhq+FaZskAefnTl6Z - PzEGaImYkdEOnkKhWJifHasURJL09vcPm53kOIXIWm1g4ZfqMyeWzkxNzxQLoQaTF8TOUhwVvGiq - Gp4/NffBhh4M9MP1rXhmVBQL6d1OwDFDE1lB6cqWILLwLYLjyEckBB+s+/3BfqO7d2TzgZVi8+iA - pROsA2czhsPEIep193ZLwhRF4uLuQeNoaGRMIW4etVqtHmRmdGaxMDLtZWAc/PSkhy/9AriLJFkY - KyzOjAU32gzFLI89eQznWKZDD45r2vTVYwbb9I2ydtDvRYOBSWKXaHLWJvpobzPpNz0BZ9k4CQDk - wVI/tkYGXqk+PDU7Oj42XMkL4eA4jQQTmUy9mCtng92esiwT9qyACPO14fHJkfGRfKGx8XBz7cFh - oz00kguEdknz9p0P7j18gHxpfPnSxIklTk2yxx+V6NHx7gABBVg4CGt8NoJsZOJEgh4x/R5BkQb6 - hJgFGyENE8MXHCpAwML1jInJz4bVqeGZU7Xx6WLl0QllGSYeKmdG6xnfx9jU+NTScq4G5QEMQgIa - BLKfz7IIfIvMQURdndrXdNw53H+4xvFAZqSR3v3tffaEr5zPg5zp5mxXmaR9sJ8LfGdMu9PZPjhQ - Q/msly50K9k+Mh+7XweIWJYDi2RgBr2e09oz3QwPZNzePmi0Drdd0lbSkSAnlE0BMiZy7EkKhfMA - BS2g3a9baxXAKetEPzHGOQGhyCnWCrGAlfAsSWPt3uHhxta2JSk8LzFm4+HD5JBD3cwo0iI7YH+/ - OTg8akkV7h8cHR02jlEPmyDuZFwcZov58mJ9+en63CgLwGgI4cG3x+c/MxyDiBXgg8RxL+IcYKW0 - nkdSkrMcJxoI0pSqY4GfM1YnbIwgTJ04Obu8MlRBCHjsYGIIQiZbqshMoSwybSOkgxMMQCPq9Zqt - 5kGXSmNBtrq91w5cPuO6AUfCDISJQsmdxm5GxYq6/UFn+6BVmcZQHjkZEMtjlQgZZmKWkqAAzxjf - uFKxcubK1crcIoUwgJOQabUosrVc+eT45P7d/h7MQevwIOlbENj4JhEGRPn65NmLT75QHR1RwhIs - GfhWgoG+doet/Yf78fhYN+S9uPdgq+3iPZcg8eqxqpIYdFpHUhxIr9+Otnc6uxGbyXzp5MjsmanF - u0et2/fXV7e35+emKxkAdv/g8PqNe81WXJuYeOzJp8r1LEtoWCWsBHxHgSGhXZIADnEc6aOjxt5h - f2BL1anSyHy2ojSlm5qANtAJXIJCMFcvDNeGbt9LNlruYBCNIgYawsFLTI6DyATs5OAR1R0AgEMc - KZ1kPUVCDiB72loSRHDWzUyfOLV09oc/e/fDa58m+X+vivn5ucnKUEGRj0xVeUqk/JODEL8JTyOS - JAQMg53yvaXTp27cuXfz7urPfv4zCgqscoXKcKFQyuW81ChtrZFOK0nVatnzRGIGse0nHDEeFXUg - GEOCcmEWCJhzTIEhSggORnKkKPaVb0ymMn7miaufr9drnoRLYukLwQwzQBYzFTleye0cquYgaCUo - WEhPC2glbMFThXxhbv7E1MKEA7SBchBCwEWkektzQyMjZdzY2W60G70+A7AmHU4jBHkCoeS0YLGA - dnAQUkhPKqWUL5UESCeIe42t9f2tHSnzsckeNHomOpTuqCdyLlOLVD7oPez3DoU9sNpu7mzvtiIH - SBKTw7ULZ1e2mtc/vX333/7Vt5X8rFkcy3rFcmE845MIYdklQgdpE5feK3kcLOvgLBzDDJJep9/W - BgIIIAORGmuchdMQBkIAmXx2erQ+O1z7aOdw/e7q/s5Bvz+EIQFHu42jdz++1ugNSmOTyxfP5oZS - rM0ABnHUPmhErYEQ4fj0YlCSGgjAEgOIEJzOWZWOCAIkANuPGg/29x6WzlwKRhcSBZem6igB55wU - CkQwcAYgwvH8NyHSSDzAGUUanneMlkBoRi9Gp2Wc7grX87gp9FZ779Oot2+NMZy3lGUSBEjEjERB - Bx5l88XC8FR5fCFfAaddhjQSxs+imPGHvHwZOZ99yxSBAuviTv9ov9FqdzOFerFeKw3lPQliDYZh - WE/mSpWFhYVr9brAodED5lg9wkMESIY+zAA6gudDyGOQCVAyOzVaOzFVrJXQONh6cH+11YiGvQDK - wbg7a7sf3d5zfnViZubEwkgmSHt8hqFee9A8aAmS+Vy+WMqTgCBIFYI1MYxLN710AhglWu/vHcQD - nc1VZk7M5iu5NN0LgCNodsZpz3IABQsIC3IkHJNg+MaF1sAaWLT67Xjt9sbHN9cf7Bw+/thzwxN1 - QQCsb51yzldSKpH2hWkj4gCbKm+cARs4K5USKjQg6+AcHMECViLdzcGpb57AJEkReYCykMxp3Jpj - OEvCpZaQtPggSj3yECSlEIIKxeLS8tnqyKgiWE5XaQIaVDO2UgiE72svY2Soicwx22RgerC2r9WR - RRwNbBKbZBBEB0PUjRu7Adu+A1upAQ3fKw6VXG5hemI1v3Fn4/7D9bWNg6PCxEgO2nb31+/f2jnq - 6+z0/Pnnx8anc8Khu3+4ubZxf53Ysw6NVtM8WPV9P62imJ3Wyf7RQWIS6am9w4PDo0N+VGPhGOVM - 0bf/Gxr6n1GA+zsZU/b/43IM5yCJlKfSVBwAzMzseZ4XhhDy5s2bf/Fv/t21a9ey2eILzz9/6fKF - yalJAenYgJ0UMvUOSwlrYaxVEkjDmX9L5usAOP51d/cPvIQggmQhCWDntDGwhsAqzXcXDCJ43vDw - 8Msvv7xy7vxXt3e2d/e/8zff++vv/K0xxlq4OP7ggw9mFhavPvdiLqznVdYPCp6fE3LgLLXjzsfX - Pr67f9t6ka9jMej2Gw0pyIG/8IWXX//al65cvlAsh3AJoCFZeUT4ddLXfzq0f4whEsCEbH5kbPLs - xYsHjY29jYGG3n74YOP+6sLllf395q27G412P0YuVwgvXzk3Pz+tRKpDIQhfO2lZSOXlctlixi94 - CBR8ggViK8EIlAAc2ME6a7R2HLO0MlS+nwtEluAB0oMEJAR5WSUSuCRNCjfOSel5SigrnbMOLtUd - uLRMV6paLE5PFkUMbRFkYAkOjp0jbRLjHIT0fI65cXjU7XatNb5UGmxB5NgaYxJ9fB+YkziKoyhO - Cp4HKTzPC5XnGfIsALDvS1HOAJiYnXr19dc397Z/8s67P/3Rj9679sn00uKlq09dfurq2dNLY9U6 - oAgkfYGCH+ZCZx1rKPIqxUpGgADlAS6CTaBUEAwVC1USPUHa8+D7QkiOrGl3Bu22iYQhckRIgBBw - jDgyyiU+HPwANvH8sFQZCsJAd+LDg71Bv/9rhz1DkIDWJhpEabmsQGGQgYswoChOktiA04EXFgSI - GAA4YJcFewATEiEgBcAuivXBYbvVTXLGZrMqzMADCFAqhMym4I5lgIidtdaAKGVf48RZKUgCUiHV - ADEcQydxEidxnMSR9aQMPMmAJEgPx4w0Kwgam5ycXZjxf/Zep9tqHOyzGWm3BweNHmTQ7jRv/uqH - t/+7zYJHHhIfRjotOPHJ6EGz19rdPegXptlZnSRxooNUji6FCGTowACsZUESQoBdouMo7kdxz9o4 - 3WGkSCEePu7doziKE2NtEPi+rwRgHawDKXZwEiqXzYRhKJVgdkQkjjVAHMem3bF73fXb3/6rd959 - N6DY50javk+xh4jYGGc63c5g4ISQUijwb1QR6VFw/BcCiB2zMS6KExHIcrHoq2MOJh2Ih0SDSCkp - BLG11hrGcZkopHSgTkev3bjx6T//53/151E52RniIx0UTabccZ7rtLq727rZULU6bJ9s26EMVUJm - xhPx1cdWbu+sPfx09/bddr1Yn144c/XqM2dP1XWKY3Ea70TC87OZrCAgjuN+P53E6Ng6dgz5qKoD - OauUhQIpKSyBwaDZ+ZOlL7xx995285c3Pnj7hzfufPq9mfrVcwtffvWzs8uXVClnJZTURJo4YcHW - U1oIUiqjVBYihCDAWCjroHwZZLwgIBGlELLRcJ4FmITwfT+byQqQOEa5wHDGWo8lpIIXsPSc8Ajo - dNqd9hEw4ZxxbInge14+l6lUyp7nWwch0pAyAaEACxLFUtnzG91Bv3l0FEV1lfNgtSBDPICLnIND - JoJzcMLD7Oml5RuHGe9njRvvrC8G5pVzAymSgd3dONrcH5AXXrx0dn62Xi5CCnhgAhtrRWwcs0rT - 9dJwuUe4EjMzW6WkIAKxlLLVbKyt3n/n3bdvXv90/cGaEDTo9xt7g+4h95tgtkopz/OOjZRCpHYO - pUSlUsznc55Q9GullwA8zw9Dz/elEMRMzhFA1g16vW6/G9k4XylWq0PlTC4DJyA4IGdZOMD3NDuT - JNDWdnqDXi+x0GyJrYIg4XlSMchZy9oQbKPR3tvd0yYpFDK1WhUgnVhyWgpLafLGb9RDAuoYvmw1 - 21sPd95/+/0bH1+/vXpz4Hp91+WOaN7t6h1A+eyc1en7JEEKEMxQStar1Xwum/4+duzYQrLnB7lc - Pvg/qXvvZ8uy6zzsW2vvfcKNL3a/zjlO9/TM9AyAAQaBBESQhETRDCWmctmmbUlWlf8aV9nloKL4 - gygXJVJEIAkMAjHAAJNT55zDy/Hee8Lea/mHfe7roUtSlcUSq3xqfumZ12/uPWefFb71re/LciPm - /yU+TeMfjURhlAVUkbgQpK4qhNDKsl63myZOxPu65Mh6ZQsk/anZXbv3uAs362o4GGwWoyyp45De - Lz64/VffuXvhve+1k5H1ZQZNJIgGId0qB4/X9emm6+45s7a2Mhr2fY9sI/EaJW4tcw4Aygi1VlWl - fmlj5f0PPnz77feuXLlupcLWU7/2cGlzeGkjh5lDCOIriRCwCKrgXNrutFyvNdFvZylGRZ2lhpmt - BRFsYnoT03lrinllOBoRJE0BjQJWyoy6Gg22NlU1eFRa2TxJOeu0e9DQ7U/u3rPXmNubG5uLCwtB - JKKIIfgggQj0qWjXBLux2Q0aYiEVRTEYDo2xiwsLd77/+ofXbqVWKZSJSF5rHjRTGQ2WNlYfL2+U - u54bjEaFddaIqapqMBgAMjs9lTpjgJRBGiV3x0UUcRWkKOuyKIk5SR0/q7rHE6hmnzT+kQhMKlVV - LS8t//CHP/jkk48f3L1HEoabm2tLy1trG5ura1VFUTFzfGa0rj0UiXPdbjfPMmNNw5WOKSpJ8lbL - JQmGkOBVgoq1xnQnei+cOf7VL59//Uc/e/D40aU7T5/rH+/kTkfV7QsX5u/fTycmDx08uGv37gDw - s5KYqKFugZr7aqFUVN6wbbfavW47Tyxi51QBpM4BqiGIsY5N9MIVRAaeKkIoq0pEksT1+r08y60d - 36EQUFZEbIyra7TbrYl+rynzt28amyzNnbEQqcuyKktfwxGIWEGjsrx67+ql+f/7m99/q5WxNd6F - UctvtcNW2/DyJt16uDHSzBiCKqkCVKPOQMaY7RsMEEQhfuiHo5AsLy68+cYbn3z00fydqz3rRytP - Hi+sLG8MlzeG2p0pi1FVFrVkjmHJGGOUWInZwFprubl7jdcFMbFhY60x1nDky0Wpy0rVk82tZeI6 - hMGwfPutt9+9vZL/y3/VxaDlNxNGRVmJVEy+vLr15OmSZWvYSBCEANVo3WOtbefZjpmp6ckouN8E - nXE3E5HeyKgdp64AEwJI4q+x1kJDWYxEOhCI+IjQkbHGOuucdUiTxFkXf7uIcpTnjvpqqhK8r2tV - ibvQo1GxsblVedy7f+/yt7/15vsXJpPQ1qGptjiURmsHX26uba4uLq9XB4myLLXj9m7McQGMMWAF - +6qoy6Kqa4lKmRrGHrLwHhDvEgvudnt05NihC5/cubU4v7KyVAxLRgeUiFgfBBwmJpMD+3dmKZrB - lQZFIBKsra1vDlxCK4sLT77zzX/23pu7qsVdxaKBG6FX0ASbqhreGazf2FgHwRhl8YFT09sx+/kv - ffGTtY/fvLd049JHZw6aQ9O7AX34ZOGdDy+tbFbn5va8ev7lnX0YwKMKlshaRpJyK3GZc1AHFGFU - DDY2V4ti0Ou223meJkgMGKZRdieNPiWd3tTMzA7cezIcrK8sL9W7Wa0FlKOsiYFzNnEcJ58iYuoa - aUZkFldWA3GrbfOOY4IJMCY9efxEufaFD69efe/G/b/667/86Ttvv/j8mc9/9pUvfekr+/a3E9cJ - AanCAMHDE4RhLSQIQm2MATvbar3yyitLq5sPHi9cuXLl4rW7f/LvvvXC+Ve/8IXXfvGrX+t1WiaB - BWCdCJaWlqvKZ2nW63ZaadIocsV3xFrdrNbW18uyKKtRVRfR1rMOlWNmMkFEVIyhNEtiwMuSlBGi - WDxqy7Y1OTnLq2Y42Fpdkt3TnIKtARNbdi5tZUnGQFEhQSy7ApRg85kduzuduyjuLj59urG2Rohc - VEe15uWdAAAgAElEQVTGhLHpCGnUPWm0OoNoEGGVaJoccbXNwXB5eXljI/3jP/6X3//Ov2q7Latr - A26NuFOYVtc/zIs7xdNljblDvQJg89xzz/8TO3tn6V//9KN73/72X3z07vdPHZ174cwrX37tV0+f - eHlyDxyxIAWiQRk3OUUQEMTErg21D3UlqmAy1hpq+nw1YAsXZQjQ6k7s3ff8Z1/5cO2T+7cWnty6 - tHQiOTI1DdQP55ffeOvixsgdnNt3/sypiU58N1Kg42W0OdyqwsC5dHKyl7g4CpLt1iIqFTc+p8GH - peXllbWiKKfzvJWnDrAW8AYqwXtvjSNLxONtHgCNdgcI1jAZB1iowtcqCk4GW/7u/bWf//ytj9// - +YO7V1t2KKP75fqtpytyfzBlZl8z3Kzkx6AUgogoG5vnaZYlzkKrJocROHFJmmVZnlrLTFBVApy1 - eb9fFMXqyordvTNxxtpmcCgioqxKICgxWZskSavVSpz9FHSECEeOU7xClQBLgKK9Y+e+Y8cOnzj2 - 7t3i0e37Cw8f7W/PoFuNBpvX79y/eW95cmb/4YMHj+xp5c39MHCtja3Ro6fzQaTdaU9OtQNQe2Qk - 4CjQrmRomwhfFOXi4vLG1lZIe608T5OoIwlfQ4DEOZuwCSp1ybHgV7e1ifW1oTHGWPGC9fW11aeX - b16499YP37/83s3J/vTnX/3MmTOHDAIIxqRp2ipGS8VwaAFrYLXxvCQG1MQFPmPdcDTawHpMSsaC - iRw1UisKNAt8QhAlY5mj90jQZwZBfxuwbAx6xneYOIimaXbgwP5uB+MKLP4N6WRJp9NWsIeNhisc - 3xMInIGnDz+59NNLD65e/Ojp/dthtNHRAdYfbz6+82QBnA4kDEc1ug5EE1m7OnXyyJ1Prn944+M7 - dx/cub9+bM9eAJurD9968wdPnz7uTpw699Krc7t2GXhrUYyGi8sr61vV69//4Zs37m2Iish22RYP - x/LS0mBrazQYVnWFv32ND+/2V///A+v209d/FGSmsQsZEYjh6yhqTWwArUajC5eufO973//pT37a - 7/dfPv+5X/nVrx85cqjTTghQbd6oaKnZdCvERKqwEQxqtDSjoivRf+KD/H+6RFSgTNEBjHwIDJgo - jB/tsb0HwGnWbbW6E5N79u5b2xjk7f7s3N633/7g9p37i0trq6tr9+4+uH7t1uxkt5OjKCRINLUw - AliXzMzOBjNqk/Qt7Zzod6d2d6Z3v/zql868eGpiom2jui4FUK3w1BiqP+t2/vO+qqFoYuXBdnJm - 7oXzr3xycSgP7ivCg3t3rl++8sUXTz548OTCpRtbhRhyvYnOiZOHds1ZQ6A4SDEJTAp2SmwNZ0Zb - BKcAoQLUApo2JCdVSGCA2IpJYTpJmrZTbjEcxlrdAtKxB6C1CGXpfVGUoZbI4RPxIqiDD6rWIEvT - lksswVpQlCSO3GtiECsbGCuKEEJd19GNVSQQswExlK1xzomIihDUGbbGRulIVYgSszUwCtZo2pQA - 4s1k58ip09/4x78xve/gJ1ev3Lx//87164sry1evX3v++bOvvvr5Y0eO7J6YZq2ImRi+DlKrekBo - m/8MHkE91AAt4lRFQDUbEQ21L4uyrD0FOFXjHKfJtjA52FiORD8j8KiClHUdgkRbSebG6a4s67Ly - UQseotu5wcBACUGYGpSQlBrhZqqhBE2gOdQCwqjj6YIGBSkSIDPGpZl1BABVQKpMnAA2gEJQqKqK - Kmz0zgQZw412ScTtJMApExnmNE3SNE2SaHor0QyQGpTIQhh1LURwEPLMGnX+nctN0i09QNLr2UMH - dkzkXafEobYYWoxS41krCkeU3Z7Tnzt69HC3mzJDQvQ5VB2r7jba/8ywzJbIKJE3Rq0dcxOiQQIo - xoEgKhohHPn0exR13o01bNhYNpZ5jKOhGWHDWtPvd48cPthL4VBKsZ5QlXKdWKqD9+LPnecXPvPq - rrk9qcswzgBM2+4pMb4pETUkG9WYM+OPbcsQRbdaawyPm/f4dtVe6iBgdLudfOeOg1M6FbIp7ZdJ - v0wmVmrLo43ewYMd1NmO2VMn9s/2HYGAFiiHW9k12943MUwhg2FJJu/UuUta3RyJIgqEPCt9Gk8Y - NRzxa472LBF+4e3/3iQ2MsyNf3zendx75Fe/8RudvVffvnr7k+u37ty5tvT41pOFpVPnbh177sVT - Z8/u2WUMgqEAA294BK2DUPAJahOdUeJaaS2llzpEnzp1FsYAEuC9c9ZaCyhBVRrfF0TqatyAMtak - OSe5B6qq9NWIAWtAjLouva98XVdFIRI4lihBFELMMNalWbfXy/N8namuyxAtsAhArTJkVMRGqCWg - EnXuqL1r7ujBPWf3Tq3O33p6c3J1ZVFms3q9+PDS3YUVn7Wnjp88Mjtror5tHH0wGbLEqo2jECAi - sWaILsxEgIqCqrJ86+c/++Tjj65duzo//3Q0HBLBWA4AGVNWg6JUYjAT0/Z8YHzaVKDCFKm+qlAR - MgAMszHEcWgfEAKPJ0WiAvUjKUVCxsbWXo0QG4mosjESN3eD5sqOjRgENlajxGazjEBEZAzUFoXf - 3NoiqHOcJI7IECwbR0RQBEGIiiIxbYSAuvzg0vV3Lt+4+Mnlx/cfDzeGnKBWH1QM2FobOJS+YKYk - aXgggGGysShxzkC1ruASMLMlS6jBbKwjZihUgo6tCz91UTP7bMT6OYiKCJiq0Whrc7Moa0nBxjbk - N3FQ29hRQpgCk4qoBKioSoDDRL9z8MDuyY5yPTK+TsSDEaCB9CD3RnZ6/6kv7Nu3p9NuM5lmv1Hr - SKPSpk5R+GJ5Zfnt999/+/0Pb929u7y8MipGnYRtVanAutRZB6Vmk23cICKAjGWi4IvRaL0sJ7ou - I3iAOTKMSa3LkrRnTDoaFXVdqAKkUckjS9M6cYa5LMogmbFuPH4igNkkSZKLaPBeRWnsqKoRFCcA - DRi3HUYU0LHb9RiHjC7hkmZ5Nj29b9/eTmYNBRd8VknmNVWxvNfQKU98/JUv7927r9VKdEOLoqzr - 2hC321m0SYxuHqxgGtv+gYSabiF+Bh3TKohjrdU0dbFRBFCUxbXr1y5cvPDxJx9fvnRpNBq2s4xU - oZSm+ZAHaFyVOWoCAuPql0hVg/ci0gRPGuNwRMRGgXgyniFg1hzcP/fZF0/8/K2fPnj86GcfXZk5 - enyGUC2tPL1zW4bVyaOv7Nuzt9cfb+KODytBDcb9IQFkYJxxqYKD96Gu1de8DVBu32k2plkjhoQg - 49OvTY0mIkE1RPug7RsI5xTkg4iAma3hZ741qqyAEiGauxI1T3ycNYhDQJbnvV27+nsOtDPDWubG - t8Igr7da0N3UP3amLUn/+Itn53buyLM4TopMJiB4qG2GiIzhcHTx2r0Prt65dvnyzWvXisEglREZ - T0HSLAtrm2DK8zxLU2dNRA8ZRMQhqA8qChGBjjc+Y8ISVSVjLBNHCEM1ZmQwkWHDrN6H0aioa+Td - fHrX3OTMXN+Uud806itkFTK1rYOH7Auw3U7v1VdfTtMEXAEGxikoiEjwiWEHhACoRPTl00W+Ni3Q - +I/Ng6EgFI09VcIzzJdZo9FufGaiIqi993GEBTSOx2PFbABEZLaTgg8KYmOIkDhr+93jR49MpZLU - G1RuWvUJCYUyIXEcSo/jn/vCnj27Wy0ACArUNaDWmIiLEZNhVmfTLE2zxLCUZaHBE6wARIE5CoJl - KsOgddCBoEwS4yISBCvqvIhqBYxURSMzGGA2TB4iyBJySVmqzbjud48dPjBXd2erHgKX6NY8YV2w - OmFpf2X52Ku/cPjgodQlQIUsP37y5K53Hofrt29d/eTp81PhzOSoHj1+snDl1kOT9vfs2n9oby9L - I36hQhBQ8KBgGSZCGQrvQ1lWo7ouU23AsRAJzPE9NwwyCD5EixISoFYJBEtwzAFEgtqHUoInAZnG - YgjMqLT26oMEUs91LV7FmgAYdr2J08+d/p3f+519F268deX21Ru3Ln50YfnB41tXb594/oUTz587 - c+rsTJ7SpzCS+KCJo1kAgXjP3r1f/OIXbdp+/6OLF6/dvXXv0dboJw8ePLx06fIrL7/8wukjxw/u - il5sdR1CPEkipM0HhMS9vTje4IikG0OfGoBRCFIWpUgQBNGwfaq9BqgwO9gsyXq9/nSWCkOqagjJ - DcSQqvfBh5RMXdZlAbYYRx4CDExqbc5kIRJ8JcHHYwFjiEwQDSHET0uNdyMU8EHqIBQkWicTM6zz - omVVhsAzMxNHj8zkvOVoc8StkWlVrt2XTqvq9E8+1e6JA+e+dujgvuABJjsxffr01G/91m/uPn7v - zs2LNy6/e+HCx/OPVu7fXX7h7K1zn3vhwJk97V6G7bgsGlcaxzQPAsja1CUZ0ZCJmUi3TdXADKuw - VVXlyuj3Tzx/5sC15Z9efv/upQ/uHk/OHX0hFGv3ni5evP4w6+zev+/wgblWNLcBEqAjtK6kZDxb - RxQiZuLVexbbhJD4qZqTYdIsy9tpmvm6krr+lM4GMRsiCghNRhRVbsJ/k60kEDfo+OP7958+Xakl - /eTSg48u3rtx45bUA0uoau/YujSv6kFdB1bh8VrLdkEe/a5J1cT4zNtRjQjGsCFDcdgEFYIVkWI4 - rOqKmDqddivPEovtL8fEAtS1H42KsgoRGZAw/hYKiVMgZhg3TrogBQdAaqTJ1O65sy+9dGXx4vri - 6u2r147OylRC84vzD54srg35hefO7NuzezJDdMtUNiQpJ3mSZiLqgxcB2yj/SgBUJIRgYWOoVMBa - 1+50iI33oa7r6FAoaDTnABAMcyATmsIvEFHX2bb39VtvvfG/8v2WfVqsX157VIyWcfjgqVfPHv/M - Ky9O7ewoBlCpPUajGkrG8Ha7/azmGhuWsbXMbKJx2hgPoTEw2UwsqKlktufqsZST7THns9zUZK9o - HaxEAIsSG5NnzXYpERQc3whrKU0Sr6jFCBgaO4Mag635i+//4MM7P7708OpSqDeXkzDI1bP6WkIA - yCJNbepAcf+HOokrjxzaf+zwtOHyzp37l649+cL552ot15YefPLhz4piZu7Q4YNHjnS7QNh0Dkxa - hVABU93e3gMHNoMSkzOGmb33vq7zPIvYxcmTJ04cP/Efgt3o04Sr/9LX3xfrligS3GInGLwPIQCU - 5Fk1HN5/8OBb3/rW63/zk4cPH37j137j67/89S9/+XPOgWNQUBNDSwgQEWayljgK/wEKEhEe5wiG - AX2qtPm7XSIhqCoxMUdoyljLNqZvhQBeYLSJOta1eq7V7f+j3fs/89nX/rf//f/67nd/sLp2sapk - aWnlxo07586crAwGw+ADozFlt3v37vv6r3yNs3oi4dl2dnTv3r2HTuw7crwIqOAJQeFBvpHoUR8p - r03f9Xdg3RJBFL721lHen37+hZd2/PUlxm2GPnpw5+qFi5srX719+8GFyzdHNfJOZ3Zuav+BXVOT - Ta8eLS+VU8CqqkpgqWyADfAKD1gLAxcBsPjqExHYwCYwKVvrGmFiE2WvJYDEMwcbSZpsfNCiqHwJ - pLGTEq+o6tqLGoPEOg6h2MJEG9YiCMiAARiGcUK2qqWqPYKxJm4DU6hrk9go2M/WJkmioqpiCKlz - SeJc3D1VaFBHhsgYkKiqBmKBBqTWzMx85Rv/+LmXzl+4fPEnP//ZWx9/9PG1ax9fuPDOW+88nV/+ - zd/67Zn+VPBlizmSirSGeK3LuvYQC6AG1xBAOUgigUQCaUXkQ6hqLWsf2DhGDrLWcmIRFZoMwTmQ - JlDEdr2o6vWNzaqunLX9Xi9NUwBQrepQ1b4xnOVm3BXHiHFdypokGlkQlCL3iGoooIlqFlF9gh8H - 6wCwcy1r2ta5CMYqUJVVMGyZAZbYl43TpDEWbBTktik5DeXSw6phYmfTLM/yVpqmKl7qymyHPSbA - wSuqra3BcGO0VYmmqeu1cgbStJ21Jka1plmy9/j+3/3939q/67BDpmVhaSvlYWY9Qi11aZLcTO3P - 5450p2AJ4mFIRCSokLGN22XswZmMY+uISrFWI40oetEaw+NWlsEGRCF4CV4jCvnMbCsiEEqMaFYL - gUQ4zVhjMdHvH33h+T/87//HmV6aUl1uLadc51ay1K6srQ1Ho6TV6U3tmJzdlWcpoqgUgdHIk0qA - Ed8gDWxi568SSOLuNjhi0GwbFijBGeOs2U6hlQ9eNE15z8GDL/3KL3/thX07aLUvywPubVFnuU6S - cnNHbjqopZXMHNqfznQIUDHkIZVWow0XFtsJ2HRL7T6c35ifXxhtFBP9rFGlYYLCB6lrD1Uwpdaa - xkXXUPTxUBDDMJptBZEYqk1U7A8Gae/Fr/7y0fOf+8zdO996/fW3f/bOpYuX/+Tf/fs9b1987ctf - 73Ynd03vNYlSoxzBJfk6eKlrU3tyIfjAZGAsvIwqPyorHzyTOovEAqqh9sY5ZvY+briHEKKkXSw8 - lCL6a1I1SQCIyRplgAwxoaqruq7KYrS5sRFqzwwohaCi4hwjniG21rrEWRcbfAiRqFaQkTWe2Qjl - AVyhyjlgon9w385XTx9846fvLd27dv/+nd35ga2VzZ9/cG15QP39c4eOHJyYiLgtEgjDEBsk2zRE - QBGiDTWBmZiZCd57VVlbXfnzP/uzH3z/+48ePzxy5Mjp06eee+45a42o+CH/6K9/fmv4qAieoKrb - NrbjAYCEuiw1BG7K2jF0S9GyHKqiEiLrFgpnjDEGkI3BZjEaWhD5IMJIjcbZgkDjzyha1uZJQiaa - 7znEA6MqJGwtOEGoRNl7ISZCEAlExtqoNckQEWGvCAyJC11lsbG28d3vfvdbP/jJxYtX9+zcffrE - 6Vc+d77msqbClun1t27frR4sLzw0hrMUKqIMgmkgNRUV731V1XAWxrEjS/CRDC6Nb7IgcjbG96gZ - 68TwaaP3iSpARDBmONhcW10phiMk1roUIEAAi2CHpd8cDEWDc5om1jCrsgSFhomJzvNnT//ub3/j - 8L4pqkaohk69scarBqKCuyMzkU0fPnGoP+Gij7AiVJBKBEIOHH1Dwmi0cefO9T/903/7F9/+bn9q - 6uSpE+deODfdzdooknJ9vfDVhcfzN1YMqRl3BXFPAjAh1DIabm2slKMDac6kqSqIVTUE8T4QkDMn - IYTgqyCN7ykxJYltZWmauKoqVTRJjG/aY3UgH1BW3tfBGtNpt8w2tjiu1GIxE2sFRRObFUr0rBS2 - 1hprvZepqanDL770y7/x27t2TqUW1vukkqQK1teWa2cDnMt3HurtPZhkKNfE1z6OhC3BQGJMN010 - 1XFrYsCWok9zA01iHNvoWRYbXyqysbHxxhtv/Ptv/sWbb745MzP9wgvnXv3c54zCMVul999599rF - y2tPl60xvF2PEltriUiCL4tRXVUSAhuAuJGdE1EgiIgSVA0TASLCkJ0zvedO7pvsJ1cW53/23sef - +eov79Vy/cHTlcfzmZl9/uzzu3buzLnZshj3TRHbiO/pGAVkm6S5KopRUY6GWpdxMO3sWFSdmONk - iCiCmCpj3UtmNgZQH+qyLCRacsfLGLiWKOrax7dBxas0uO6Y30xBlEBgtoZtDBsKVRIlEO3evefl - r3z53Oe/NjvVhRTdlNphmJYbNnjPfbGTJeXtnVN7drejWrGFZQRVqPcMC44tqKysLP/Nj//mz/7y - hxc/+XjH9MyL555/8cS5HMVESqXQj37681v3H0urleVp4qwd9wrMHFRrQRCEEFRCIxYsUChFpolN - iAjixdeAMrGArLWGLCPU3hdlJYojh4+89o1vnH7+xR0tyv2W+rJGWlNeqRVYmHSiN7Fvpu9S28ie - GBv1bapyJKFSWAkNmv5pLk/sQcA85rXGfQgDYQXH0Qgg1sSxKRrR+XigRbxIHeJIsh6fR4KxkLgd - TBG3tZYjLwYibEySZMZiujO979y53/vD/25XP+HhClcDx5oy1BepQWaxVVTpzqMz+6ZMAgKCqHj/ - 7BwqDJiNSZIkS7MkSZnLshhJqAlWo+A2HFQQXFHWSyuLg2LNWvR73Vaax1dW1IioaF1VG1tba76e - GhP9mmks2h2XtooC/enW2RfO/tP/5g9209ZUveLL4E1PzIRzanXD0kZtjZvbl8ztb9lUwhY7t+fA - /p1zs46u3b1+8fH9/aPBsWqw+ujp4v0ny3t2H9u77+BMD76ZiJMHvMLXkJoaIQJAEZQCSER8VQyl - rjWgVvixpBQMAQYeozKMRiU0JFbT1DJbQgKqiCGo60Ah1BKgBhIJgNYiBC9wWSpclVKOqqGGnomD - BWNa+/Z9/eDBU59bPHXx+vde/9HFd9+/fuHKu2+9d+jsmde+/vUdc7v66Z6cGnXVxmWOidhBq6gQ - 7Xr9My+dP3n63IsXr/7k5+9/94c/vXnn4c9+9ubrf/VX/+jXf93/+q8e2ferzAAxMYuq995XlYa6 - OYiCKOmFJEmzFFA2cM4AwlBjCIoQpPZeoSK+LEfxhAqCeA9SZyxMxrZFnDoXEmcSQ4aE4Q0pREKt - 6lEWVVlIb4KNQgPIEMiBkqJU7wWEdpakiW1iHRjEEsOqCmFMZKGGdetFjYyncRSF1qM3I770xVd/ - +9d+ITeDhIalbZW2HbJOT5bMxt1JWpHu4Xzuee3tkDj/N2l7sv1bv/lfvfyV8ua1C3/xp/mFD958 - 8ujx5Yv/9r13rnxt/qu/3vu142eOWDSLWlA0GuqJ5Sb3cZ632u0+0xA0VhyL0F2E75TrQjKjlOb7 - Txzbt/9Gip/dufz+7efagy8dXF1ZuPd48e6T9Ve/8Nqhg8emHaoG3HFAB5za1JlERKvBYMPXgCKE - EFTsGHzbhjQMG/Qn+lNTrXZ7sLU1Gm7FsMqqiGgWJEgdiKxCRCUmmEYIFxr9LkkgcunixXfe+XBU - mR/8+IOLVx5NTc186bXPnDt7Usulbrqlo4c/eOPtjZs60kDbY0MQiJU4iIbgRTxUCIYZ24uQaFo3 - FQRpajN47zfX14NInqV5lmapsxbiFSRk2AC1oPZhVFaV90G0LEtflRjzoprnCAPLGoICzEwB7KG+ - phStqelzL7/yow8Wbj7evPrxx+dPdHb28nsP7z1dWq25c+K587vnduYQLwOmTNkRbNbuTU7PiOpw - OBxsDfszLcuIa2zbBbcA8F4N0iyf2bEzSfN66Dc2NnxVKdLg4RgUgU1AVE3sZn2ApyTptdv9uq4v - vffWw1s/7Gcrbbs44XadO/baP3jtG6/8wy+7femAoKigWnsdjUpjbGKbeNRMzmOOjsU8GSJOkiQl - Z02D7TZWGtulT+yXiCJEE/cnxlv0Tabiv/XzDbdoe5wIYlFVCcEjSET5uPkoDGtMENTKkQzPgNTV - cHnxhz/4/v/yJ399Y42z/S+cP3XouQOzs5k4v6XD1ZsX3nv49s0kT/OUnIk8hByk+/btOnp4R7/r - 7j941Ltyf30TE7K5vPDgxrVHbsfcwSPHd+xCloGChyVrDRsLlM+dOfsrv/u7aLWzNE3TxFlbFsVw - OExckiYuTdPpqcmpyUn8h65Pf9H/0tffF3QbRDUQG4ioBOMSk8SHzDdv3vrrv/rL773++qAMX/rS - V37xF3/x9OmTkVEmihBguCn1nYuDcBA1pHprDHM0l0WsFvFMQOHvehFgreVIjQAZJpNlTdwNvuFQ - OgdWkEBlzAc2rJwkyYH9B6anpn3tIWTYpi6zJksStNoTxnWUbLQP27N3z6//2q9lE9yzlIZ6Ik9N - 1i2LktM0d1bhS5RVMUxY4MglhuIId2x7/J/9PaMhPIwBWbQm9h60u/bumuq0iuFobXH+5uUrF97/ - 5PLFG3cfLFdidu3bc/rMsYlJFymuzgCUQJLBSKqyNhLqrbXBxtrWoOzb1DkwEAKCBMsEJGAFuaHH - sCilLjDym6vLq2tro5070txQlMskWOcYCq2BgDRtdXvtdjexNFLN0zRJjCrqEKogVY2ttfWNxaX1 - ldXpZDJk0EZGM66Nm62ivnb73vrGwHR6M9PTnVbbGRskRPUajrXDqLDWpokTwyq1r+s6RCl0w5xF - 9R4TSTIcAO/9yKqFbSHJZud2f7bTOn7i2C8t/urNhw//6F//mw8vXf3OX37v8LEzh/bsnWmnkcdC - ZEx0QiZEEJYiDsMOxEJB4A0rxPuyNGxbeYcnyqydUSJeqsFgMNwqbSfNxgVHXVUUKmsULiGX1UFF - 1CXc73bSJCEQTJK12mneGRWoqhoirHEXDhLEgGHSsgq+qgDPGsZz+AQwoCgnGUucRk/fe61DXfph - jaLy5fraRm/ndNchSxPbOBmwSbI0azGzgTJRCMFXdaXgJG/W4YyBWpBtyIHQsigGw1FRFJvr68XW - Bo+hWxWQCqyglZZe1wdSB2RJ2s2YPNY3Vpc2NjnNc7iJTv/E4SNHDx1PjCGBQ+F0yKFgqCUIu5Hr - jpLExirNe2dhjHHEEtOOAQpBXaEelqHyUtf1MPhhVI22jLHHrkgQYmuTLMlSJjBCQ9oCALZwHqaq - vKgoK1iD+OAh5FUQQAJYx9NTvTOnjzvUCap2ujshz2FUVcWuPfvZGBhHLuekZRh1tFqxz6KYqgTx - hkQBASlZZm6Ql2eS1gTrjEtVUQy2Est5lhIgdS21Z5uQTV2SdtrtY0cOvXz+zIRsdP3qkLMtpEPO - s1B1SXOpC2vrLKkpDRLICKq1hw9ufvM7P/rZe/PtXu+5w8+vb/KFazfefevHO/ZMz335JcTukRil - 3xoWm1sDiCTW2LhPCkAj2m2DBxlYA7akEuFAbva9G1g3gaI7NXWq157av+eX/sFXb125/s0///bV - W/Nv/vTtoyee7/flzOkphCDi8wx5GlxCZBMxLYUTEYqIQ6sjJvMK6yw3+8owxhhrQOSDL8uCSVLH - iUFVwZLPnNNKiQiBni6vza9uCTAzO71zps9AqEY+1Hme5Vmapy5NLDOLgrwyW2NSSI3Kr6xuXPik - agQAACAASURBVL527enT+eTAzO5dO7rddu2HSVzNN2IcszEiDECQeCSWZMeOqS+/+vzNix8szt95 - 940fnndfWbv35IdvfTydzx45+9LB40dbfRCgo6HhEiZXSv14YBd5CjZJmt48eAmeCaS6urpy49q1 - e/fuOmc//+rnf+8Pfv/s2bOtdts5p6r1ENWWCcU7V+7dqOu6LArqRI4kxYKYSawBfyqPbhNzJXI2 - VA1pXCmX4NnaxBpAUYx8XcKAUkdqQoCN+GblRSKrK1RaB/EUYA1Io7SLAbPo+IuRyVvdXq8PDb4e - +LpkYglGQm2MEhtj2CocIbGMiqrB5r379957/4Pl5dXXXvvi7/z2P3nlhZfbE63AVSGDekP+avj6 - 1oPiyfq6SChG6HYNwYiy6rYaQDAGzj1j/tfBUx3qIF5UVRlqSRjb0+JtslvsaQAAIs4lWZqCtJUl - vU67220D1agoyFgJoSUe1q0PwsraBjNSJ4nlNM2Y0hAC1DOXaUKHDuw/feIgh8Jp7VArs1cS4tJ0 - Cu5VllxkckfBQhv5KIY1iyFDffH4yYNvffsvrly5PDs794f/wz99+ZUX9x7YlXJoyYiH67fuP76+ - 8YM3rzzNHUf1gCbRpWkQqX0F9dMTnckJKDAcCVDnLZDxPvjllc3llVFRhNn+RK/XSh2gCqmLYjgY - bJS6GXzVabeNNVUJTmHBzmaQYnNYzc8v+bqeaLd2ze101kaCj7GGDIsCTQO4DatGjmZsWJqmrijK - wagEmyzPZ6anTp44vm/3ztTCSUhqTWtxGkI9DGHkWq2QT9cpDJCm6cTkhEtcXQ5Wlxa0HrnG1jVO - xTwDMBZZK3DihcDG13VVFp+qwLfhJwWB2AAYDgYPHzz88Y9//OjRozNnzvzzf/7PXnrppemJCVao - D8VgECq/trR6c2GVCayiiDulRMaYyDuQoPHfb/9PIo05cpaIrKHEMFPce4FtJdM7+gcOzd28vnLv - +qX1+ScPNtZvfnJ3aYNn9uz77PmXd/bj6Hl8OBsoXJ99g3hmVcva+wAmzqyNiorxGLHAB2+0rryv - 6lALQI0wRPPXrXVp6hJnDKkGkfCMWRNtQCX6hUJFSYI1zStljAFYFCGoBoGKJRgmZsDrqKy2BiMF - t1qt2dnpEyeOHtizk8lnVKfVlis2cqZg+jX1Bt6EjAnwAKlPGmIAk3PNG8E8Wl27dPnyn//Zn60O - 5Re+8gv/9R/8/rEjR3Z001SKHNXq1lBMtvX6Dy/dfby+tlYVI4OW9zBUpyDnMptkoI1IBopSLMQQ - r8ZY61JrHQGkklhjiES0KiuGMw4Ksi5JshYbTE/PnDh+7PTJk3Nd29IRfOUpCyb3SGphL5ynJicU - wzJzARLXFhgAq8BXhFaaAGKg8BLURDXRuJRK24+yWUQlC3bGZkmaZVmap8ZZ9l7hCQ6iABPbJMvb - Ls0UyNI0T10MWkFgfZTMMmycNcYyDKKMM2Bt7cNgVIii2+ns2jm7b/fcwR29XHdm5C2pgagvHYlj - Kb2MkqkIbjJgmVyWASAiVfEhFMUoT4yv67W1tc2tQV1VzlA0s7UAk4HW8BU4CLC0vDYcSZLkeZpa - Dh41JCizsYnhBGJCHQzBjvUWoICHrm1uDKosh8my3RPds8ePzCXVpG5CENCu0VKpLUYWRc1U5p0q - yxOAjUOn19+bHT60b+9s78m9qw/vHF1eOLfw9OH9h/PDik+cOX/06IkEQIAwBFJDhMiYVAIFH5qw - ZTRr5a1uJ0ldOdqyCHmKBDDsIDWChwqMQdIqPW0Oh2DNM+RpAqGaua7UJtYlnKTGGnYUKXmiGsCK - LOn0u1OzO8y9pSCW2blGKKhGhPnZze3c+8Xe7udOnnt69+al9975s29+697q1jvvfPyZz1zPxB2a - mW4nhhkM+BrEYs14lZWbXVVj7cmTp3bsPviFr/zSg8eLb7zxkz/6oz9++623uilePX/2wK4pgEQp - HhVoMBoMYGMwjdYXIkpalEMfcmLxvlIVSwai1qX9fr/VzhVha2s9BM+wDEMuZXiECkV4PL/x8YVr - K1vTM7k9dKDdSoNilBB3251Ou+trydNsossAyhqhRDsLYAtx84sbGxtDWLt/99x0vx9quBAQQlCQ - tdaSM8aOU0lTPxlLNmHr2BiQShCuKjU2zdK8dnt2TZ08cWSipQ6jEacjTiqTdMJEMupM0Wbd2lNm - swMLF+f9nhAqScLURPriuef27/yfFh59/e6tJ//n//Fvbt94/J3vfOfk+eOzB6amOz1pbAuibZOi - QQ1EgDzv9iamyTwJIfi60obAYQmewEapnfeIGSr51PSOHZNzk27j6Y3Fx/uLorx978ndx4sjtQdP - nTl+/HjSzPsBtaDMJHmr1yVHW6PNhw/vHh0gYWScutj36bNhdFPpBQmBRbC+tlZsrQOoaljvkSYA - GAx2dV2RqnEJAC+oa6QJbJQHCQHlcDjYeuNHP/qLb/6lSSbKkH/+85/9F//ifz56eF+nnaBea9mN - wcrtzSp5MLh5eyVAwxj5I2I21rG1xpA1xAiAG2dJ0rEIkJIKRBFi8WCY0yxttdtk6PGjBxvrKxLg - fQ0WZQkgJkqzbGJyKm/3AA7ek4SmEqBmZhlRJzI2rlthmwtDJu3PHDt9dm7nu9dv3fz43Td/6YsH - N3bs/OTi5YW1zdbEjlNnzs3NzmQoYIMCXlHVosYleRvMo9FoY329M9HSBAgBDGdty5lIUyVmaCA2 - adZi64qyWpifL4shkIqHumZJqKyDhNolFpTD1DCVsAb1ztlv/MZv/Le/9wttu9RLV1o0lUp/rnew - PZOOGCWQIIXCJm5iciKZX6uL0doG0gRthjWN6RGZqJ9uizr4EAKq4WDo6xY5ROUOISRRPoKAooQR - KG0NR2VRApo56wxrFBagcf2BZ4g4th8tWyUTggwHA0ZjOaBAI9uFZrVUyUThQQOUo8Hly5euX78+ - GI4+87mvvfQrv/+NL392yo7a9TrXWy0jf/3N1rffvl3XVajLxhgbgHJ/enb/gYNHjx39aHnzwf2b - G2urD9Ye3727OBzhyL49Z84cyjIkDk7bCApYk9hOJ9u1Z9epkyfyqZk4WHbWqoj3XkSs4SRJrDXO - /n0Bp//x6+/pE+h40QdMhKj2gmo4unr1yve///r3Xv9+VdVnz77w9W/8+osvnZ+b20HcnIBIWJCA - uvZJYpkh0rAz4sKjqvpQMVOUn4oKLmMw9+96SQghTiXMWFIwiEqgGHKDHw42sjzlPIEoOA6eCICq - VlVV17VIAGyr1Z6d2cmciNRsW0GtF4pYb6fd2b9/Xz7BHYL1pSUo7Mg3THFALWCieXGoKl9q4wGp - 26zb8RQPn57P/6eveEtJwGxADq6VTKT7Du7df3DHwrWbfjCav/vgzR/99OHl22ubtYB37d33/Eun - Or2maWQDwIDz3sRct9MjXxWbq2vLi4uLS1lrTycFNAbXgFpgLBhQSdoTnV4vc2bL+8HW2tVrV2d3 - 7JzoZzEwAWKIAKMUiIyvy1FZqYKUISriFd44TM5Mt3sTqjBAPdhaePRwrtfpps6aCDQo2FQraw+e - Lj1ZWBkV0t3VPXP6uZnpGRPHxSFIJAKrqqqIigSI1+Dj9ui4RzGiEkk5JAoTQN5zLYGSoCCLLG+n - tj09sXPvnv2Hj1y8cu3hk+Xrdx4+fLK4vjWYmciFpZZQ18GSc0lmmcbGeQRNQAYEIS9aGwPHMTob - a9O81dq1d25itje/uvn06dPlxdXJztw2c5aYGAYcUMrqxtadew/KsuxNd3bMzrSyTBVg0+1NTE7N - KmGwNVhfWxMPSpu4CWFUsri0srGxAYRIrQUAdQCDICQgISipULOzb1vt1p4DuwdrG4Ph1v17Dw7N - 7Z6bSa2B1oF8DUNPFpZv3b4rPmTOJs4REYiZzLNVbGqeMSQoWUSmHzGz8VU53FxbG8CkcASpQmoV - WqMaPl5YevBkrfKm3WpNddPUIstc3u+1+pM6PxxtDilInpp2Cwwkmll1qKKXtIEScaIGTXE0XhFh - cA2hAEvb2zG21W13ey0zCDSWiVBp2FkSpXxBwgyQaiD4+MtUIZC4zS3iQSSkQYNKIFU2Rq1NslZv - orPiq9FwQ7VqtdNOknTSWJRnviqsTWBMCBAbCaVjnur4iQOIMglxhVeUG38S7yk0tzaEYETARmKc - BSItqK4FDJtm3YnJrNMV1YX5p74ctnK0qWfUdG3iYFrEGVJbiwmBrRsZBAKrAIP1p5+88/a77390 - o9C9X/nqmbOnT9y7fe3+/U8+fO9n/R27Xzh9brptjIMlAZskyycmpzqtVmIIwTdIxHg9mhgi8IiD - H1IFieqY2Ilm7QpwnOd2d7e1c3ri8K6d6/MLm4P3fv7BnSdPVtfWC4UjtmlmZ6Zbm/NrxWhzcXk1 - meuneWJY1QeUQ2ysLa5ubgxGzJlKXRWlSmpsVEn3IQSRsLjwdGlxvqyQRwEcsEBISYLcfTT/cH6F - HPoT/Yl+bprwC+8rCXVVDJcW5rc2N+qqYym6sjPIhFG5vLq+tLyq0MnJXquVWIugMcjGf0JQrb0y - SACPxGo10W+fP3vkB7PZ4qOHVz58d2L33Ob85v2lwZHPf+7I2ZdcyyhAHhl5SAW4wM5zQ+LzAog6 - S8wEZVaOMujG0Oba2q2b1+efPO502q9+/tWXX37l8JEjQcS5RFTrgXZ6PTYsEgDhaHOmQJTiYzKk - BBmvM6PZ91dAtVYNqtr41ysBZEzeaXfb7dy54erq4vzT+eWlyX5u2fiqZiL2AV7nF5YWlpaRWEqY - GAiImrVKDKNgJh3TALx2+zO7d++xljfWFx8/ejAcDKXXZo5clFqCIebIBBHVrcHWvQcPFhYWkiQ9 - f/7l8+dfPnniVOlHJoOnSgY0OfmecQbULFfHwlJhRElUVDzUR1Wv+M4RKLI9RaMKAUwkvYwJGogs - MkSoyIyxMqMRo/P1+srS8uKCryrNlDhuzLOWTBujxwtr84vLRNppmU4ns8batNPr9rvtbL4Ybm6s - ELSVdzPTgh8SVbAJYATWcZoARRzVeKiAGqpcVIxiCHyt4v36xsoHH7y7vra+d9+pz37m86fPHu9P - tlP2qIfYXNkopN1qI3jW0EiEiiAowKpEqlC/uPB4ceFYbweYcuISCIJyc7B1+87DldXCJe0jR49O - TnapuZHGJdaQDjbXFhfmi9Eo+I4ahEAwABnU+nR+5dr1W8PBcE+ez+2YzbLonSyChloEasihsehT - MIiUVOkZVarb60/P7khbrbVRsb62liauNx5qJl6zADAh5AgF0izYJB5f62yr1c6yrCqXH9+/u7G8 - UJV7E4cgAgRHCEVhqhEQHi8sP3q6AO+ZYJip4TxCIWiMPePbAA1ha3Pr6dOn9+7dI6KzZ8++8spn - Tp8+xVFwIUg5GE7PzGZ5HoJAhbEtmkYhiEINI7EmMg+eKfD8P8y9949lSXbnd05EXPe8S+9tVWV5 - 19WWPd09PY7D2ZkhhzukiNldQFqszF8gQP+BJAhYQcCSWhAiQbMczkx3T3fXtK8u7yurKn2l9+6Z - fPaaiDj64b6sboKLXUrkCrqoAuqHrMx8790bceJ7vufzxSZQFTlnyDg1d+FwvgMcI9eWOnXmyGRx - 8uHmyuLUZA0Kk/dnGyo+3Dl44shoJnLYRIBDK/NhOv3zso8AkHHTdKLRRDQSZVpzLflzz1wTRMYQ - mdSkCJFxLpomTgUUduOQNVVXRCICqcJyHiFkDBAPGSuk5XPJF1ioM3EiRppASZIBUEhZxVgi0dbR - aZh2pVbb29tBpHgcbBQGCEsb4BmgFeMJQxjMB5cDHJ63FUgBTRsvBAAqAAPLlerW1tbW1la6vW9s - 7Oj58+d6OjttroF8UHUjf5DOZi3LUkoJzgzB2eHbROGsJTHd/DCaKiRvnq45cEMYFmcMtdIyUIEM - ocDIeWiJikTjmWwLE6xWq5UKxYhjp5JRU5sQeJo7JCwFoACkBgbAJfCAA6PmjS8MEY5rKJ90yMNk - ISmMmrMtxEIpPazCNW9+3oigGTMs03KA8irwZOCFOAmA5lAVIAuxa6FAw5DCFV1p4pqQY7NZQhqU - JBVAyLoFjMUTqWwL46xRr9erB5ZgyTjEuGOFDzcBaAkggaQBTLBItal4gO8rwYFxBoigAVGT1mHo - eFiiKCVrlXK1fNCQEaeJE9eIpBvVfCG/s5uv16QRsRKxaMQSDFwgTYxx0wLkHA3LMMOav2nt14Ao - 0IlHEplEIrXne6paNklFHCtihoZ+W2pQgWWgxUBLIGFw/rx8AoRodGiw98zY4M4XV7fXF2enJhYW - F5bWNpmdHD1+rr9vSBAAgR/qtkAamTBsIAM0cAQFIExmxaPpXDYW214rlwq72weF462Z8Dk8xD4H - Su/n1zb3CsVSJptqb0umkjFTSAa2EDqRTFgRkffrB6Vio+KmMzbnCIECtw7Vyn4xv7Gz4/oQ5Y5h - WIKHZYsHoYuSC9OMZC2ejCV7M7GOGNvd3Sxee7S+mV9Z3xvrr7H2HHIg1iTuktZECpvPJoXecuRG - LB6JJHJtXbynry4D+fTJ08mJie2t7UKh0JqO2gwYN4RpMc7cetWtlX19eAoVHICX9subW5uKJKLU - ygeSrNlDAt+XtXpdSl/WDrZ3Nur1IQ3pw24TAghZC/YKjd18WcTa08lIxAaBGiEA4KSU7wZ1WTso - litliKWAI6Bo9hiUS8uru4X9EgjR3d6aS6dYWHESBooIGOdocERSz08khKiREROhbI1N5AMls7mW - tpbVct73K0q6sVja5JbFuM2gAeAEkbjdijpiGgnN0Aibp5oBGsA5Z+AIiJtOJjbY35Ea6KlOPl2u - lm8+W57f2d6qlqst8dThFgegOYAmRSHCQWmKROLJZBYZNBqNarUElAthaBqIaeAUys02gG+nM929 - 7SdGOiYnp3Y3lubnFx8+mVna2DUS2Z7hoz09vQKAgxSag0ZAi1uReCoVS8WkPpiafHz0hXV5rJsJ - JACtNAuHob9SDggIU9mWvr7+jdXqztb6zh5ETAiXJFJKCyaAAeNKKs/1hGUBA8aBmtoLAqLvuhtr - a2srK2srJTRKw0fPnT514uLF822tFgKATCEeRM0gk2t3IttYIAYKSGvgBE0eNCFjDAzGwiqleY8h - a5ZjzcwvCqf2GRDnzIhG48mkbdubpdLezmZ+v9yetAhBa4WMMwQ3CJZX1nb3C4DMMkxL8LCoawIZ - 4Pnwz6ElRkugEAQsWCTV1uP09/VOPF5bm59ZWpiLOAd3HjyqNGRL90Df0JFMygJdAlSItgZDM+bE - Eplci2nZhULh2exsKtuSSoWoTELEJgCbQDCGxAzDiCWSiVTa39wcf/Tw2MVvjPSk7fDRbIY8ISPj - kJ0mAFGhUqQ4x76enpcujcUMFTUKXEegLoAssJrlIgcByOjQ/SoYWAJECAkP7bPY3L6lZpyH2Vza - NHg4sRt+zVdzsQTAWThoUCiV6/U6cp6IRhz7OQ/ka/3cQ1JUKE0RgQaGTJAGz3Wbp4Cw/Pg7VQoD - JhgPJwTQd+vLi4sb6+uBUmMnTp0+e2F4pC1JZPgFkA1gOtPabtqOr5UM3PAm1OHj70TaO7vOnjs3 - e31qb3dtbnbK2Hy6PrNGBH39vUeO9phmqEyYQFYsnsrmsge1Nd93lZLxeMw2mT6ERWAouT+3b/z/ - 4Pon0jgB4D+pGuLz7B7GQQgA1H6wu7v7wQcfvvvuew8ePhwYGHz7W9/+3vd+e3Cg33EsIqm0CvtO - QBAE1Gg0lJKatAy8Uqm4v7dfyBfr9UBKFfie0i6RwjDA4PkA6D/6UkopKUkfUi4BlNYqZCRqXalU - pmdml5aXyvv5WqXi1RvSdQO3USlX9vb2lpeX9/f3gYgJI5vJ9vcNGNwMJGgSnsRAEoWTaYILwcMa - VwgBiMjQsQyGRBAASBMYNwwA7UvP8xvUBCaEpDD9tSfj/9lr1ioUpTigAOaA5fQN9o2M9nDmQeB6 - O3vXP7u28GzVI8MH0dHTferMsWgsfFMAFYBmICK9/Ufa2zo5Kdko725tzs7OlQ5qKgAMwEbgCA0/ - qJSqlWI18HUs09LR2ZVJxiI2q1dKt+/cWVnb8CVoBQK0QCLS1Wo9XzioNbxywz2oNjxPkgIE9H1P - Kk8Y0NndnW1tQwTHNP1K9dnMVKGw54XnWAgLVba4uDI5u1Cs1JTSmVT64oUL7a2tDMBgHJTSgQek - BeOMcSml9AMlfdCKIYhwPh1CYHdzCAqU1kpqUMS1r+RBtaoDBeFpgaFh2x2tLaODQ91d3eCrSs2r - eT43hWLal0EQSM5M27TY4fmTCIGMULqVEEgdCA4mZwYPQe2cG8bg8EB7b6vr1+fn59eWN3g4GqsB - gITBmWEAsHrxYGFl/enUjAxUR2trV3t7xHFIEwBLJDO51g4uoFAora+ueXUfmj4IAZ4s7hUWF1d2 - d3cBJPu6dIscEAg1gEbSDCg0NXBhpjLp46fGktnYQaU08WQyv1sMv6HWQeC5VKs/nZy5feee7/uW - 4AbnYdfUNE38eysCkSYNQIScMWFwIWTgF/d2ZiYWCvmG54MvFZACv7q3uz03v7ywuocikkomW9KR - iAnxTKKls7uls1sT293Y2lxeKebzUoXtulD6QSAGmvv1wPcVP9yYhcGe7ykqoHBiHjiAZYPjpHPp - TC5lmgzIVRKAQCsIeVkAQAQKMNAYaKVJAjVnxLSGkPrSNL8yplEHygcgwVAYhmHa8VSmp69XSn9r - c2VhfsZza5YV3gYAyIUdBW5oSdVqw3dlU2vAsHfdtPTh4VG52UdARshJkw78cIAIAZSUoDQACxSR - Jss0tPTDgVxkDCIRkWlJZVu4MJaXFlaXFvZ2G66ngJoTleGj43meV/dqdRme/BlD8Msr8/e++Ozy - +maprefi7/3z//qHP/jem68eGx3ILMxNXrt6c2JytlisSglaazCMSDLd0dkVj8c4ab9Rk4FPEDrX - kSGE9AwpQzEdkTHVvBsAAMEPoNqAQIMmj6QHvu2I3u7WM8eP9HV3u1XP81GTgWBztGKxSHdXzjKC - 4sH+wvJKvuwSoCGYCvxiPj83M7ewslEolYk0KT/wGqSAhcdX39ekEWFtbWV+bnpluSwDEFxoIkLW - COResTy7uLK6U7CjZiqdTMZsgAA4CsE8z/W9xkGxMDczvbKyUizWlSKtUSkCTflCaW1jq9ZoJJLx - nu5OUzCCkAypFGjiWoKSSsqgOS6hwZSEZtTuHewY6oo76C1OPZl8OD73bKWqzM6j5wdPnHEV+D6B - ajCuoVoq725tb22XqjogIA6SwJeKDtd+5KxZUSHWq9WN9fVSsRiNRI4dO5ZIJBgXgFwT+IGq1uvF - UumgfOD5LmNoGqIJ89QAyBgiQyIVHKI2sSndIgBRoLUkolCNIsUA0DJj8Xg2ncrGYvVCfnVpaW5h - vlSrSq20lMrzdbWu8qW5uYXltVWwDGZxDMFjYQuSMWKcwp4UhiBbSqdb+voHYlG7mN+Zm53e2dlz - XcW4CZwRBEHgeg0oH8D+3kEQyLrr7uzten4QjycGBgYjkaiUstFoSKXCBcf13Jpb9wKXMTCN0DzJ - AITSqJRSytPkI9PNcT8iBORMIBMaGCFDRI7ESfOvS7eHgghw0RR5uJBaB4EPMthcXZ6dnlxbW603 - GlwYzODcFAGx9dWt+eXtnb28ZRmZpJNOxhgysONtbW3t7S2CB9tbq9tbW5WDKgDzpZRBAEQamCTm - B+QpUGEuIIVAYwDQQDIk0SoNnieVUp5XX1tfVkq1trRlMy2WaQdSagAIlFtpHBzUPNcF0CQ9kgGj - w8hFpUMwDOhg8smjJ+MTtSpwBqZlAWjXq+zs7j6ZmM0XGvF45sTx49lMUoXVgGXYjm2aonpQWF1e - Wl5aKhYPtCYlQRNoBd5BdWllc2pq1vP8ZDzamss5drjRakVKhXA4CGNEIBSDCVi4DzX3IwAESOZa - evoGsrnWaq22vLSU391r1H0NIP0gCHypgqapzTBBkVTN1ZtzbjtOKplC0uvLCxvLi4Xdog5I+a4K - fCK1t7e7ubLaWNucnFucX1oGzzc4s5oxZaEiR011NaSiEmkl6/VaqVisVqqxaGxoaCgaiRKBUqrZ - fVDa86XnyzAeh4W0AgQg8KXSSnEkyzJMI4xZgUP1PzyfhfB1QFKgZdhOAUSwhd2aPHvhxEBvm1vY - nrx368bVW3cezoPd3tN3ZLC7N2UdWom/JgY3/xxKuAQAQkRi8UQyE4vEAreh3RoAqKC53zHOQRiM - G5pQA0POheAibK2TDpQOlNREiCQ4Mg4EEIQTaKHwiQyYQSFmQcmQBB8aP8NSE5EjEUipA5+aPxKy - LS0jR47GksnSQWlqanJne7NW1c2XwBgYJmgCKUGBH4CSgCHhAbhSQTMdBYEk6SAAxIbn1mt1zlk6 - mWjJ5QTnOvwlCMCX5Wq1dFB2PY9zHotEHNPAELLFGAD6Uvuy+R0ZEH51sA+3eGFaluAclGxUK67b - 0Fpbls0YVwRa62Qy3dnV4zjRra3tJ4/HD4oFGUjQFOKB6XmRosH3gQgMJwSxMwCOwjQMwxKM6YDC - 2uOr/wCHyw2y5/z757QKQtDADScSjUoV1Ovleq3clG7pcLEi8HwZSA0IpDXoJg5LUyifIwCTWiul - SPkk/SYFW2vMZLt7++LJVLVSXlteLOV3A7c5xdW8nRgDQpBaSx2OvjEArcD3PCmV1iGzkSEyzhkg - MYaGaQrDCHx/e3NjbWlxc73mNkCGjGEG+4XdhcXFre19P8BYLJFOpeJRJgCBNHEuDJM048x0bCdU - FpRWUgZKEwgTsq2tnb3t7Z2y0civL28uz5fLJV9JSVqBaqZRIQCxwEfUYAAAKc+XIBUI3t/ffens - WNrB/c2VB/fu3Lhxc3V9O55pHzpyqqsrzQhMaEIZJQAxzoWFKBCBM+AAhkA7EW/t7Mxkb0sSogAA - IABJREFU0rXKwcrC7OLCqpKH7r2QcVOtPZ2anZ5bKpYOeno6+3vbs+mkBY4BUduKtrS1OnGzWj/Y - WF/d390DAAMZkHIr5d3V5YnZ6adT0+VagwtHhFkH4AL4UK+pcgMCBM1JQeAGMcfs72o5c/JoNpOt - 11XpwA0UmBYDDCdIQYRPbhCE+XhAIOuu9DzgLDS1CA7xeKSnu/vcmTMtuRwCNBqNQEpk3I5EnEiU - M35QyBf3d8qlQMnwFhZAsLK29nRyQmkfmZLSRaSmYgPoeUGpVGo0avuFnfn52a2NjUq5qoEUaEVE - Grd2Sxs7B64PuVxLe2sWNXAIOEhQvld3q+VaqVheXFiam56pVgAZmDZqUOQFe8X6zOzK3l7BMs2u - tpZsOmWE2D3AQJIiAEDOgJFsrokIgEwRauQUNqCgCUHr6untHxzQ5G+sLy4uzDZqdVCH4WYApAmZ - DcoM6oHvyecCBQSofQUU+j2IgYpGIt3dXWfOnB4cHPA9r1at+Q3PAPPwwBVOjvNmJxxQK4pE4olU - lnGoVKr5/b2wTCEAFehDvxYQiAAsI5Ls6++6eHooYbg760v37z28dffx6lY+3dnTMTjc2mYJDZby - eZj5DACWE0+nWrvaLcd88vTR0vxssRBIHUilgkBSCJ5S0HDBdQFkAICdXX2nz5xVQbC6OD89Oee6 - XijdSimVlgRAyBp+sJcvNDwCBNMEAlBKASlgTAVye3PTrdeRwPMgm0n39nQhUtOFyThIqjSCaiMI - pGIYNv+aAB4CDBVZQBQMOGoGz2FF4aiRCC0GIEJMIiGAYNyKRdPZbDKVlNJfX12en5/TWiGi1pqI - XE/u7OzeunPv2eIKAUYcxzqMKWMhy6QZqtRsiYPWoCSQBMEABZnxZLZtZHiovyNT2tuYnZq4d+/B - 3QfjEkXv8NGO7mjcESDroBugpQJAE5LZlo7unngiubu3e//+vfzenpIakEOYb9lcJ1W93pBBwISZ - SGU6OnsY43fu3J5/Nlsq1sM5GhWOQDAmhPBcJRsEgQYiSVKDQsSQfGUYnKMJAGALMAGgGS+KwIFx - Bdr1Xa2lKXg8ApYRPutfk24DFSgwLCec6YxGLMMACDEG+NX9D6TBNEEYWuq9/XytVrNNI5NKxKIR - fG4CeW5vZ00PZlgX6dD5wTgAk74P+mtT4+Hv0eyOIzDOGGNAqFXgeft7u8VSSWvo6ulNZXOBBCQA - gWAIqNSqDV+YFhGR8kiCDKAJMeZGtqX94qUXstlkKb917+6tGzfuTE2vWDbr7+8bHmo3QjO3BgC7 - pa2zd6A/0MHm1sbiwkK1XNEaBDYBpQzA5IAAfpi2BH/n+o8pn//FJd5/AtftVzL7f+JiDAlJK0QE - RJJydW3t7r17X169ur290z8w8O3vfOf0qVOe6waaOCfGpB/4DCzLjHPkXGA8HuOCPNct5PN//ud/ - fvfOQ9L8D376X73x1svpTFQIBUBKaQYCn5vW/tGXYQhOAhFJk9LEEXk4Q89gd3Pr7t3b/+ef/DtA - PTDQ+9LLL+VaWk3L0oSzc4t374/fvHl3fX3LNM2evv6TJ44fP94TdYB7RqAoUCCJAIgbyAWQllpp - Cvl/UisImMlZCIVA2ZR1fWlGY5lMTvDQJKERNCPCr9g58A+8XZqrFYYJUggoACxgQd9g39Hjg/bn - iFUg11tfWLUbFMOY4zhtnR1dPa2mGfphQEnJBYAVHx07PTwym4rHXZ9PTzz53/7X//nf/Pf/wxuv - XerpyHDQgZS1uvfHf/wnXuD/7F/8zLajPb19J46PPX78dGtj7YP3f93R3duRS3TlUumYbViW1vrd - 9359/eaXb37z9VNnxpKpDAAHYpxzzlEpz/MhmU6lc62OA2XPn37y+C8b9dZcKp2JW5k4KZ/qtUa1 - 8qt3f/3B+x+6UnPLyuWyx44cSSeToAERbdNQiiBoaK1DxGkY6irYIa4Fvlo9mnIGA621DHzG2dbu - zuSjZ+dOX+jqaAEznGEgH9nu/n4+XwBDOKZhCQOJawVEaDpOACTdehC4IRKLIQAaoZNDEoS2L8Gk - ZTDBGWlGhAPDQ919PcGd8RvXbwSZ7v6uzpihWmJme0tOE3muVyvu371244vPvljb2Ow+++aLL1zo - 68klYsAQQIEdiSXSLaYtlpdXxLWr5154JW2NOHGhFc1Mzly7/M6Hv/lkbrkEPMdAYmgqBx5OB2pk - BISgOTUtM0GgDdsaOTZ6+8b1zfn131z+MNLdP5D9LSsTMTk7qNauX/noww8+undvWlZYo1Y5KBYC - 39dfs/voMGwDEZowtbDvgA03yOe9uruy8/mnmyvL/92/+tlbr7zYmYkC1vP5nS+vfXnv4fh+sT52 - /OyRkdHOlqTJAEA7ydSFl197tus9mln/5c//xvMbb7z9Vi6bCrUA4AKI60YwM7ugHKd1eNB0OAPg - HEAqpUGHs14MlAKhAUiB9tEQwuQNt7K5sby6spyM9FsCOAIBMWDCMJUwFDCpNeMoWFOF5wwYMwAC - AI4okYFGFaiAcWzC1yy7q6f/jbfeXil/uvBs5k/+3f/xsz/459GXL+WS0UAGTElhmlqqYrE8O7+Q - a+tq6+oxHcDnw7waSBExZOFDjoBccNMmFAAgkIRW4cSkEALICAtLIkAgk6NlCMMwAMMDHjt28syl - jWD/3uL92zc/SPI/+uG34klLEyGGIV66Uqke7O6XAtV15BiPc891dxdX7995euPG3UzX2MmLx0+e - PdEWkfzY2Z/+7k+Lf/HrtbXFv/3bv2r9l3800H6EAQdgSpLnyUatVinsb63i/s5utVaPRWONesP1 - 7WRCGMYhyRIRgWNotwOQfrD07Nn61PzZc2etrqwWgqOBGPhufWF+Zm11mQkei8UjkYQGg4OdSKaO - jY3OP51drxYfPLwf7+/sTLeYhik9ubKy8au/+vnT+48PKjLwbS1dgyNnwACBSMpm5E69Vr1y5fOg - tvuvf/bjl04P5dJZFLiysfn5Jx/efzxRaQT9QyOjIyPtLalqueBEPG6IWDxuGqKxv/n5p59wt+gV - Nr//3Tcz2ajWVNjPX7l67fK77+3tF/ovnnjxhfPZTMIykQOnuutq8ploECjSBpMGmCJMXUEBlgXp - +OCJI+3rG7Pjz/IH7zQorlzfae9o7e2PxMDiaEmARv2zX/3iV1/c23aNF7/z4299863+3hbTACEE - MAgCrZVvCQaMgEi5DcFZSy7rOPb+/v79+/ePHjuea+sQwqjW6qsbGzNP5p5MTmxub/iBJzi3DBNB - A4EiJEAirZWvfFfLQId07vCiZjKYCksy0iwkYCJjtt3V0Xnm+Njd8cmV5cXrN687cTMyEI3aUVWt - TU/PfvSLP796/fbGZhFYynWrKvAN3pR7kHPV/Pbh8UmAYVnpXHdPz9DQ4N3phcfjD+/cup0Q1tjY - kGkT4yDd4PbdW09nt9zCyr/6yTdjjh1LxBlnxWJxamrqwtnzRp8ZFwnN5NrG+u0rd69dv7aw+CxQ - vgx8FYBtIUKIJDURgHRAyiMdaABSBEwBBwacmABmaOREAFoxkuz5uQug2XwhgEBBM7YUgkBppYRt - ejubN65esbzCH/7wuy++8poVSygN+xvbH7z34e0HT3ypT104PnakP5Ny0K+D4bS2tL326ovb9dr0 - 05kP33/fIPb2W69ZpiUEAy60ZlKjH6iljR1tRMb604IDAwKtSAUaJBcmIDAOjmNoaZiGlcu0PFvY - XVpcXFpaTGVjrZ1ZBFVv+Gvrm++8+97Dhw+Bae3XdeAzAuDNJPMwBQeC4PNPPuP1gyj5544PdnTF - BaeVtdU79+5/8ukXO3vF5MjR/v6+TCrOAHQQsCDwfbdSLnmFxpxf+d//7b/96Y++/+3f/m4ylwSA - crk6fvv+3QdPdveLfT3nRoeGctmYGVbMSEprTRTmgDEIg2sQgFPowmWaoQZo+p2V6wnLee0bb+xe - ubO4vPSrX/ytbHz7xRcuxC3TZMCUAqVct1apHJRqdSvVlunIAIAhjFQ6c+bcubnd+o2nyzevftGd - jQ7/8LtcWF6jtpPf/fDDy/PjN02v+OThnd19F3SaMxAsJPsQwKFbBQ+rAwQuhGlatm0zxg7KBysr - q4VisaWlVTAuTLG5uf3g7r0PL//mydNJ23YEYyx0eyECotJEWoNWjJqoaFKqed7hHIhpxEOctCTp - A0RYaMAEDpbd2d/X2xaPudszX/7aD1ihJF8/+Vt9o2cTkSbDB0PT1FfV4OGb+nyiCIGQe5Iq5XJ1 - eSm/ue66Z+Mm6ABqnhexteBaatLIifFw+AtDVy6RJJJSNYHvzTFV4E0LNQIwzk0urNBlx5FCVvvh - r8EIBTKDIWOkUQXwHKFrmIlk5viJ0+v1mdnZ6RvXr0ZMPHvqOHdMKxwuNm3yKL9f2SqUyWJt/R3C - BA4aCKQvGQQGN9FG1DbIejQSS6VTMpCbm5sL889KpVI2kwGbgx/sb28/HH/y2RefT8/MKCPi+670 - XU0RE4GF4DNiGk0mQGvSMoBD+VRrzQBBWLF40nGcwGs8m50pFS+Eobi1aq0R6EwimmtpHR49euTY - 8fH5ratffjkyetR47dKp0T40TMEMBaAUFEuNvf2CVrolEe3pzEAQgNbADYWCAJAkJx8JtALQYQYg - Dw0a/LkWi4dHLgStgSkFhNF4uq2twzJn65XC1uaaP9RDVsSTgbAMzgAUC8/MlgNESgd+6CYWBuPM - Cs3YgJwhGAxMwcShsgsEiVT2rbe/XfzyydzczF/+2Z/WvvPGGy+dzyZiDFFpZQruum65mC+UyiyW - S3R0GzaYHEQ0YqDUBL4MOBecc44G6CAMjlea9vdL67durjWs0srUf/PTH8Vak5aFKvDHn4x/8tmX - m5vbbe2DZ1+41NXRFkHQEADnxA0NXB1mCyMAgQYtGSKDcPqBZ9u7Xn/jrZX3v1iamfqzf//Hv/fj - 7774wslcJkOkCMAwuPShWmosr29kOlvTHQkOaJgWUAQYS6fjgz1tranI/vbWzWs3lzd3hN0xenSs - taMjEm0+WgwAgTMwpIZa4HlMapDab7Ao48jAifUOHRsarTxcefr00e0rOdHf8RPRmrAMYFzoanF+ - cen9yx8/nZozTPPM6RMjg10RgzPgCJZpQf/QwGzXrNpampmZbJkbHenrMC0kKcvVyrvvf3D98m+2 - 95W2XO3Wq8WSzMYgogH0zMz0Qal28vxLVtoxHC4ci7zafj4/MztTLOQjpt2azcaiEQUgpY8oGBOh - SKKIgEB5Xqmw9+zZXDyRHjt5WhER04wDEhTy+3fv3t3Z2W5JR0zLEsIQMaezr7+zp89xZueWFhbn - prdOHu8fGQIEr1KZmnrw/geXf315fHun7iQSnIMpmPT9ildJOZiIp9vaOw3L3Fpb+vByiWShlH/l - W2+/4TiW5/v57Z33L3/65Wc3Gkq/cGT0zKljMQccgxkA0vMQEJmoy/rlDy8vVcq//0c/PXN8uLs9 - 7Qi2trR99+b9K1dvFwvUPzjc09Gaisea1lbkmvFAg1IKdRPv8BzOFmjyNRkh4Iw0Yxwse+TYibMb - lS8fzNy+eYUF5Zbkf3tksN9OJ0MoJ0fmNRr1rcJ2zY119jDIegwwcNen5548mztx6YVsT3fMsjhj - OtCVSuXx4/GFxflEIh6PxSOWwwApTCBUxFAjA8YFISAQF0Yimclk2w0DCoXC+tqS33iJUuFZ9JBY - QxAo7TM0UbS2tZ49fezLj+21tY2PPvxycmVfxLtOnz/V0pm0rMMg8bCqQgBh8HjmxJkXj6/wdz6Z - fnT/9kgXty4NtWcgYtlAhqwH+cLBwtIGN6JdWepuF21dvSdOQOzy+Pz0xDu//Jv2H343M9Itcilh - CAbQ8BqFvfze1s7m1t6xsdPtnZ3RCAgBWoJbq9mmNg0zm85ZphkOyO7sbM0vzBUPCvFEpyOAMb69 - m3/44PHl33w6O1uyMscE0/xrkVdSU6BJKw0kUQf0lXTLADgB1wSKJKECpEO0FwPD6u0fGD0yOrFe - eDL+8KOMM9CetDtbUFjFYuHeoyd3Pnv/5u07e1taxUkrKd2660M4AhT+CAxbLCHARknelFcwZCxx - zo6MDp483n/3jn76dHJhzdrYKp1/vfv0mTHHCTvp4bJMmgHj0NrWfuLU6eHR0Qez61e++Gz06LGM - c26oJwfESWsvABR8dXXl/p1bF06NjXTnnFji3MVLs/v+4pUHE0/Gh3vacq+9HLVY2GgBgNJBZfLR - RMRwOlqgp8sMNBGCYXBSQaMONpJpIUcegoalx7nNmuO0wHxNDc+XQSC9Rr0OUQsgpAKyww0ahSLe - cAMiQlD1Wtl3E8qGELGCzbdINzm9Slfr3ub2fq3WiEVyrblMMpFgIfIHiEAfOoLguYSrCTRBoMBX - oDUJzjhSqOwyAEAOaAAZgQIGZFDAtAwXeWEYLa0d0XjaW9taXVnuye/bA52gACToSu3DDy5/9NEn - W9tbqcGuaMS2TbAkGM3PglvJ7OjYie6OGxPrU19+/KFZ2kh5bkf/se6ertYMcARS4EmwGe8fGn3h - pUsPn45PTk0Ef/WXLuHZc2d7OtsE+6qWKhaK6xsbyUQ8nU6lk8nnBdbfvf5Bgug//vqnASb85yXD - ZiEc2mIJkD2bX/j4449nZ+cqlTLj7PHjJ7v5MooIMIYsAAwINJLNMXpk9Pjokb7R0R5N0vMa5Urp - 8ePxL774gqP9ykvf8D0fwAkPgnjYYcZ/0O/0D3tpCAxRkdZEXPBmthNCoHTp4GBiaqpUKszMTq9v - bqbSacM0lYK1je35hZW1tS3TdEZGht/+9vdffvnFRBw4A+VhoAm4gYJrX0vlSeVpkojNhPDnOwsL - 2RLIGEDTS0ismSbeDFVs8sHZc9fGP+yjCC+OCEggFQgG3ASObZ1tA8NdyaS97wf1QEOj4SkjaacH - jxzp7u9LJJ1De0AY7cMBLTvZeurUme9/7ztXr91d2dndK9fee+/dtfnJtnSEY+BJXXe9dy9/3Nra - 8oOq25XM9PUPfOutN+vlg72HT/c31j797NNGabcjE0tYnAlTEnz86eeLqwtjJ8dGA21xk4CDRtIE - oJFpJsAynLGTp3/ykx9/cvXR0lZp6unjd975xcz8VGsiJvwGNmpeo/HJp5/PLiwHhBcuXnrrzbe6 - Ojocm4Uojdnp6dnZ2cLWqj7Y2V+e2c3ntaKgXrl942p5f/1+b+fRkcHBwb6uznRYsiMCIIXAN6/R - mJ979s47v3z66El7ayYRc4BkXemqF1y/cbNRr507d+7I8FAunRHIORqMGxoxAM1IMdT8+UhKeBRF - BpxzwYgCki4pH8lEFGhaQ6MjZy6ePTW3PLVbuvHlNZORjV4uLro6Ojjjbt0r7m5OP7qzNj+dybVd - vHjxpRcupBNNagwgS6Zzvf3Dw6Nj+Wc7E4+f/PLnfzM12JuN27KaX58ZX5q8F4klczmjXJScZHNM - nUJ3JNPAoIlzVaBBSjCBJVKpY6eOjx4/MrFfnpub/c37H1Y2l3paopaulfLbjx/dqR5U+vr6KxtV - 2xCoNUMGGHZRD6VwpQGaLbimQo6IwjAtiOVyninWlpcuf3h5feFZVy5q6HJxa/7eg/GF5dVYqvX1 - N7917OiRVBQ4AGnlxJOXXnl9Yau2U7w2Of5Yk1zfXm1vzUUYisBninxPV6v++s7O4Knj3+zpNJ0o - AoThes1hmLC9h4efL2NtXR1dPZ14f/7RwzuOgMcDgwIxnomcf+lMtjURQdTAfAKpNWcomGrqqgSs - mVHIABghKJSKglCg10oy5K2d3a+/8eajxb38/en7d25GDViYmehoyXHQqJTWqtHwDg6qO3v7b7z9 - 7Zb2Lq0Y48+9UgBAzdFGDGVmkISSEJGZnD3nb2K4biALacKgJGqJQIJzQAIUYNrHT519uWzeXy6v - Lj57/728X1zvykSNSISE4WsK6u7BXl67gZ3NfKutNRltLZcPrl+99eDu01rNfeniubMvnkxl45Z2 - +zoGvvlb9o3xxSuP565f/+LV88f72tPdnWlABG7YEau7s6Mtmy7s7Xz+6ad+bS2ZTBLFEsnul196 - NZM2TQ5KE2OAyLSWIRiHIa6trn/8ycdPJibMziy2xKXQjluDg8K1Lz8vFRtHjowMDAxkc60ABoGV - SKZPnhp7dOv24szetZtX98ifffQ0a8Uae6Xt5YnJ6Wea222dqc2VOkNpCOQARBqVYmGYF8dMKl3W - cmZ66tfv8vnHLalkSklYXd188uje+k6+tav/e9//ncHB/phjaI8AmmMXDAEtM5dJrywvv//rg/3d - 9Wwuhjw42N95ePP21MR0Ip0+c+bMSy9eTMat5sMuuBYiEKaPHBg4RjNZTgAAGmAYwMyBseGBmfkv - bj4rLK8H8Y7U4LFM/2CsBRkPv1KD31ibn71788ZKnbccvdjwg+fUQwL42lASAWnGMJVK9Pf1tbW2 - Lq2s3rhx03KiA0MjTjRWOiivbWwuTC9ubW8xgUIwIK2l1BwAGKAIpVtG2hB46Do8vBURCFEzBlww - RAHEmqhrBMMc6O9747VXN9Z39ra3Pvrko/2D3eGuwbSdrO8XVmfHx29cz+TajsW77k2taC0RdBOx - SgCIEghIM0QGoddNgBlpbW1/843X854/+3jq448/2V3dGhzqjCW40tW9kno0sVL1nYH2qO8H0Wx8 - cGi4s7Nzb2bl1s2bjmlPPp1IpuOF8v7i6sKTe083t3YM2xBaapIqaA6Mai04txAZguRMMaYhXBqa - 2zASMo1cAYYmNaa/SsQGeB7IgMB401TEGITvmQoSbV2phPHg/j1bN6afLcYzOU/p0urCrU++yG/n - W9s733zz9RNjw7YBTAnQViSCly5eWNrb2VnfGH/4IKjLtZWFeJzbFoGwai7VXKp5suwFfSNHR3re - svBwXAoRkQNjFPrGOTAwMunsCxcvlQ5ub2ysvffuu0urC5097YFbre1u7q4sPHk6USoWDM4MRjyc - slAAgMBRoWIM0YmY3FhfXfvrv/7rJyPd7R1RJ6qezT2euj8zM7fQ1zVy5uKl0dHRdMpkAMhQIxKC - ECiilmOZmxsbn3/+xdbeTq69RTPwChtzD28sPJ0Whn3p0qULZ0+n4qF9B3QYuMQZAWC4Sx5Kt9Ds - Tjddt4wAkRjjmVzLN954ay5fX7358Nb1a16lNDf5NBl1YsK0kbm1WqVSrtQqxMWJCy+/2vK64CAE - F9HY6TNnJ9cKD2bXJh4/NHX9YHc1EbF8r7G9tfn00f39tTlb1WKx9OBwYneuCFoq5WuCkJoTVmDN - IQgKiYAsFo22trUNDAw+W5gfH3/8y1/8cnh4JJVIenV3Y219ZnJqa3tXQzPtqsk9gNDPLRABSGkV - hPFfiIfMBMZAMaVREwARkkJ47qwEACBh5ro6RnpbjmRYcXNmX6Ybkf7s6GudAydtDpy0xueW29BE - 9FXK22GBqIHzSDyZa+lIpTLbpeLNK5/FvFpHNBonSqfMV14+k83YgAyYUMgVkdKKSCKELBWBPJyi - IIZaa6kJDA4gw58mCPjheD9hCIWH58tHiMHlHEOOZ6hRAwCBMFPZlldee32tylduPbl+7Uphd/3x - /aHWVDzOmSk97Xmlutor+3YyO3B0sK2vPRxqRS44aAxhOwyACdA8Fk+0t3cMDg6s7RQePXz4i1/8 - 7dDgUDZuV/I7u+tL84vL29s7msg0Qua6ZIfKNhEyYYGwFAEia+7rocNUaUAE22lpa89mMgJoZXH+ - 49/8prK1bJtC2JFcW+crly7EE8m+gaHXv/HmXuPKvenlyx9+sL82Pz7YYwqu0PA0awS6VKoelKuD - A4PnTx7r6cyE6wYg8wmkkqB8E5XBnoMfWchVp8PuwdfK/Od/GaCRSOc6u3sijrW2vHD5w19XNlcy - lhlodfrs2d7BgfaUScAUYPg6BOqvaowmTIoThiM4moNqjpZzBorZ6ezb3/rOsxIufDl+5+ZVqOW3 - FiYziZgQBhFqLavlUvWgyAxz5NTFF1q7w8VJcMAwvagJv2vmcyAAIiNAISCTShb3d2/duGH5lYHW - ZMxRXm3n4b0rj+4v+YEaO37qzTfezKSSonkXMQVc6nC1IC0lkMVAExJnAjRCoAAw3d711je/NbN1 - cPXh9M0vv0Cqzjwbb29tBQ0gAZRoVFWl5OeLpW+8/Y0XUpciDnJuAJhAKpmMDfa2DfW0jc/sz83M - Fer+6IkjYydPJTMZLgDC+KUwLxSEInRBkcm4Aag9FiBxBcIeGjt9fgvuzxX3d1Y//+Q3ICtdHdls - RJjabZR2Vxc3rl694fr65KnTr77y4uhglwXAgSNYhsmHRof7Rnrs6YeTE0/LFt9ZX0xFuG4cHGzP - zzx4WHaDvsFsuRwzgQRoBA2ogNTE5NT4g4l74zORbLuIZYRQsr69sz5169YtJY2jo6Mjg4PpdJoA - mmlAYZOUMRCCSIc446vXrpfK1f7b9ww7yo0IcqvWCB4/fjo3N9vZ0X7m9Jn2tnbbcYAJsJzRI8eO - HVud++zmw7s3/1bJua7eNEK1uj337P7u/lIsHnMiyjSAM82QQrdgiPwnDYDEImYiGZ2ampCN/bWV - xUQiFsigsrN7+5PPt1d3OjsGL1y8eOb0mCnCk4jmjAkuDMOOmUIGcnlx6f1fvzc53tKajRood1eW - 5p4+WV7dbhs689Jrrw739cSjgkLAGHIUpkZOJFErDoo9f3oANTAFzdhVQA0oAEVHd+/JU7Xz5yfv - P31259b1v0jGhvp6o7msMriHIFxXlyr1nV3XMN78wQ/aHQdsu1KtTc/MX/74N49mp1MdrZl0wkQI - GrX9vertO7cCGVy6dGmwvz+ZSOKhh56xw2qiiWoghjyWSKUzbXbELpfLm+srbgO0DuEBgml26Lpl - hJYGlk6lRod7u9ozSztrk0+XtmtspD159tKZdFuUc+BhznJIWgs/b8M5evLiy/md8eUKAAAgAElE - QVToxJK/tjz/7q+2N+a7h3oT2WQrKF7YLW9s5dfWd4+OnUm9fAQgFc22HzsWf/21V69M7Ny9daNF - V9cHu1p7Oo10ohh42zu72xtbDFhra8fgiA8IgQSzeRpBAOSW09nROdA3MDQw62uj0ag/eHj/b37+ - H/r6emKxqFsrbq1ML0w/zBfKOkTrgGrGaQKGLAvdPCrr8FM7LHIZANcKA6kCFUgIADU2e5YInA8O - j5w9V7g1ubS7u3Xl808jzBvoahV2dDdfmpyd35qf6Orps8FbrxlAxEgJdsi+U9DU6MKSOnwhzUoE - iBihQIC+3q4jwx2xCF9f35A7Rt3F7r7+seOjlhWO0je7a2FtG4lEOrt63njrmyV17c7EwscfXd5Z - nhntbW3NZVwSewf1YqW2t7Wxu7UxOtAjRCdwduL02UsFOb6492x2+peN8sr8dG9nWzwZlyTzhfzu - +t7Ks7WLZy+kk4PEbB12gkmHyzVDZCAAEXQ4FcAEHgp8igiFYZq12s705NNf/Py9lNAJVIKDL2U8 - mTg20NXfEgNmeoHyfW87v3b5g3cfJu24qSzbaiitDbOro/1IX2dfWxa0gkBWG/5evui6XixuZ1Op - WDTy/Nki0k3fdHi7Y7NzDcgIuSIOjDu2LQ4FeaRQuhVKc19qIM2UjzpgpBC5bdt9A4MdXb1sZvf+ - nTsFSO4+G8oavtHYP8jv3L99c2FpxbYjjAFoyQgMBmbo+iWESKK73+rv7cxMTK0tzFiNupVLXzx5 - saOzPcbDTNfmB9be2Xv2wvkL4+dvTS7cuXNLcmNi4mlvbw9jSJqUlH4QFPL7xWLxW996OxI5/vd1 - W/yP/vO/2PX/FW23OV2DFJ6BGVtZXb1x42alWvX9YHNz6//6sz8jZiJ3CFFTQ+m6bZukHRVYP/m9 - P/zRj747Otojw/wIt+EHrlLKMAVDAYRaSc0lA8E5UzLEaf79We3/N1c45cQ4o0NX0PMPxbadVCrd - 0tracOv7+fynn30WNlyUBmHYhhGJOE5v/+CLL77yL372s8HRQSWBG0BAmtCJJWKJRHBgEQcABSQ5 - MzgwIkIueNOWRgIYgAHhlCQ3PEmeF1iWZTs2c+yoY4cN9fBZOfRb/Odvm+amwQE06EAyhsAtQIjn - Mj197d09LaUAVZVJbhnSSKTbz77wct/ggMEAQCKiCFmiIEgLRDp9+ty/+dexnc29neJByWu8986v - PvxFjYJamC0KhmVEYm1dvQoFtyI9vX0/+J3vb64srW7tLu8U71+7ev/Lj2yuWaB8BQpAWNbI0aFo - IilMJ6j7RIw0KqW0lkKQbQEn4/yll9q6Rqve/1L94v5WMf/Lv/pLEAQMjEbDDmPsGGOmlcik/tmP - fvT9n/x+KsEM3vRO3Lx+7S/+4i8mHtzRDWUCBAABQO2g+NHP/8NHOohlk3/w09//8Y9+2NF+8atD - JBJnnKFZKhQXFxY///zTX5ff40jJRMy2TU9DvlJxFQyMHv9n3//+C+fOdeRSHEAwSxgWCM5sw4p8 - Lci4KVgwQM5My3Js0+QMfem7pCMhRTc5NPjia6+sVVX+vS8ezswuzE5qr+gIP51MWlZEBlQt7nHZ - 6G5JvfDi62+++eaZ80es5+AT5DzTMnzk+Muvvr7l3b0xsfLnf/qncVtEDHQP9mLM729L/uh3fy+y - ur/6+W3LQM4O3TjEATgTpmWaEZuZjKDJnhfxXDYesS699uKzA3ftxuMrl39z4+N3c3EOXhGUF4tY - 3377jfNjl+Rn91wrG49FTdNCJhQ04xOQAZFGIniO1SSNjEVi8a6u9r4z51Sic3c3f+3q1Q/f+VXM - kFwVeFD1Akhkus+dOffd7/1gdGTE4SF5WopY4ugLL75dVqWy+uDjTz//9KPPrn2aTMYdIO77yg1c - l3wfosnMDxm8/YPvsmb6DQELOYIgCYCAh+dl5CDMwdHh4ycL6SuPHj28M/HoQcKJgdLDxwb+p9b/ - 0Y6MJG1LAYIwDdOybdMUCABKggLFmnOwHJAZlmHahmEJzhA0BIG0OBq51jMt3W8sbu+7dOPGrXd+ - 9YsP3vlFLp2OWCZqXSlX6g0PgMWT6YGRo6+9zkgBYTMhiiEAY4gEYQYugtLkKxCG49h2xDJM1E1/ - bliPIwuHLk1TGAx5+H0YA2EAi7aNnXxJpz5+uHBjYuXWzet3vrycjZvRRAqFcH3p111Z97ra2k+9 - +MJL33wjKlP1UvGLz68tza53dva9+c03xi6MaZSgCGItY6c6Xrz4wsJ+6cG9e+OPHoz2dHS2v8g4 - 44aTMqJnT5+Zmyt+/sUn77/33qcfNxLxRFvb4LlzvzU8NByL9hgOSiU5EGeglWZAnCHj/KBUevjw - 0fLqO1WbGe3pumpY9bLlVqChcr0XXn/1tRMnjre1hTQlM5FMnzh1/MjY0P31hcePH92bnoxwJ2vG - 9UEjavgnjnSNjJ3O8Ja58hPHZKYAhkBSo1TCEExwYYiB/l43O8Rk/eOPPvrgYJsIKjUXmRGPOYmo - c/aFl//wZ/8yk2sRTDsRB6AaSFlvNIh0e2vum2++/uzpozt373155WPbAWFov1EFL0g70TMXz7/6 - 6ssXzgwFAERKgTINk9sO2BEWiVqOHTEZgQ7RU4epbaxndHBkeDApPmMSRDJz9OVXW4eGm7k7AKAD - kL5u1L16zXNtFIYdiQGHQANI4CYwhqLJEw+t/mZrS25keHh0dHRza/vJkyczc/PxVDqdbam7Xr3h - B3W/I9PR2d0B+wBAnus6XABjKAxAbhpG1DET0eY02d/ZMxhDw7SjUYfbluCCdNj+AM77+/q+/ab1 - ZHzys7tbN27cuPXgVtqMZ+xkba9gU6MzFfnR7/54lMfurf572xam4OxwJSSAgDQoZQnBAACbjfZ0 - JvOD3/ntrfLB2tzCrZu37ly5LcwglTG8oJg/0MQz5y99+zu/9R3bcaJJ6/jJkydOnlpcLzwefzw9 - Od2SaxkeHVzdWM4f7DOfj3SMDo8MLa2vC45KegC2JlCaccNxbDvqmI7NhUBk4ewv0xBKZ4yYEKZj - mGAw4KD+7r7aPP7C/83bmwbZdd33gb//We7y9n3fX7/3em90Aw2AAAkQJCiKi0hJtpaRM7YTx05q - bCtxZSb+kGRqKlMzH1Jx4tRUJlMTV03NJHZJ3iTLkihL8iJRKykuIIiN2AFiR6PR61vuPefMh/se - SGeZ+WL7Vn8Auut13+Xc899+C1kY+jAaluBCSikEw4G9exq5+Kvf+uorr7zyh3/89XAyPfANbT+k - nY1mLrm4vPL8c8/muxUCICV8CWmWFudvbt6/e+P26z9656tf+dorX/+jUIickBFWeGtX7fTNrqca - 3e5HX3xpePzJiMPBgwpDEBFIBFBOYiDO87niR5997tadnatf/4vf/d0vfPcHxVQuvfXwvrfxwPIH - lXotHo/tDPxo2HakGHc0uIEgQ1pInoiF9u5ZNv31L//Rl19hQ9v1whG9uXFH77AQxfbtP3T8+Re6 - nbIO9HW4gOCcs2gkVBahQjKejkZOvXvqj7/+1XAsMtKe2VkTo81MiDWm9j5z/JmlvXNhF0zBKK2N - kbZluSHbgRCM0SP1J2YAYVmWawlHck4BVJ9sxy6UV5PZUzfXz95aP3nuvT88f/aP/hCu4KlINGa7 - Gw8ebPd3NUyl3jRO7MDjR4UDMAbHmZ9fXHn/wXdeP3n9zs2vfOnUV37vd0r5NOdsfX2tkMsU4o4M - RfcfPNDk2RP3vyXIKG9ktDKBs1hQ0xmtlc8CVT9GkWi0Vq0dOHDg4ebmiRMnLl64VCgUKqXKrRs3 - d7Z2ONBuNG1pnzx/gRDohgMAuBDSchzHtS1OCITJiXPQWK0DBpqYsGzbJscSH7hlGiiCFiKayUw3 - Swc7uddfu3pPaRmvZXuP56pVbgDdZ8RBfGKcR4/SjUepK4OCJZHOtHuzM9M3L71+9o1vfO2NV76a - CoVrqfTycm9mup5OlRmXTEguXWnZBJBWABg4k1Jatus4rmPbljRGKQVwBK0PCGkMAwnXhSUln4hE - jXMTQ8owxqXrOLFQKGRJydh4biyETGWPPvn0+9t04srdM6dPvv2TH1qMMrFolDPhjQZb21seDY08 - dOz48zHbksQBDhIkhCBAIlAYIIKQbjRardYOHz78rb/4/pkzZy5dvFgqlbKJ8N33rw63HxKX1Uaz - Kqy+ZlJwQZAI9KI1DCw3bIXiwoJl27YUfCKqq43hBDihTClWq1bTyTM3btz84he+8Mdf9EKONT23 - cOTY8ZWF+Wg6UihXn/3o81fX+u9evvXjH3z/7R9+N2qTLYVn+FBh6MNXxrLcT37iE81yDgRICVgY - Ms0E49wWLCTJChqFxMAYI2Y0tFGMBeqyhsbJwaSbyyVgJdP5RrOVzaZfP3v1SxfPff+bX3e0lpb9 - d3/pl56xnEKiCSbAOXE4ju1YY5syHRQkxEAcxCxpuba0OAXSAgGHCfHU0pPHHr+5feLagzPnL//J - K5e/9+2vSgbLdoW0t7e3B7vbZNT07OxLkdRjTz7DJuFCaW2IuJAEaK207wtuWLDaGU+lUvMH9l/d - Yveunv83//pPkw651mhn8w4UHOFU8nMHDx46duzoyIU3Nm1lmrgh5obClhS+P4IJMxjiTBhmFNTI - 59wgU5gv1J+7s7E11F/99je+9KXf/8qf8EQ8Rr42nu8PaLDDjR+KRGO5UmF53xJ3HQrGqaR5xG2U - swu95tUbV67cusXsaKlUnVtcCkcn2sDGQBvGOEGACWZLNxkJRx2mPeNpYwwsUe0tHBxE3z5/77s/ - PnXyrdffeu3VVDqeCgsz2MRoWw3JH2J1+dATzz579IlD4WxMAgwcsCFluzM1Pd8tv1U8efXy6xfP - f+3LvxsPcfJ31M7DvXOlzszcVHZu8437oZAVdYKKQkOrK9euffNb37517w/IjYpwwrahvTU1uLc1 - 1N2V448fPjQ3M51OEQDOJcAC9j1njDPLH/UNyIDefOvE977/w6HnR2MpboWU5rsDbzj0fF8devnl - 559/vlavM6agBvD82YXFJ9Z2//zts1fefvO3fvJGTFNME/Ftz19/6viBY08f7ftv7Qyits0ZGVvK - iIzCqGHf39jY8pVfrTWOHjn0zk9e/Ys//7OvfPkPwpEQiNT2QAxVPV3Yv3ro8OHD87MhGBg1ZEzD - dgQXtuXm85F4qzaKhf7029/q797X/pYe7AqlHeJOKLl/9eBLLz4/1UxEXBgPpI0hxqVjO2HbHkjO - JJkP6iTi3LKsUMRy3GC0EHRwrGS6NzP9zDPHNgejN3/8+m/91v8Zth0rFpHR0ACa7YzM1kAM/Eyj - Oru6mm/XBHc3dnffv3n7jTffuvqNP/aEScVjriVHuztr93dB4aWlQy+99OLc7FwqnhynPQFLRzNo - DUBro5kmsHA4nkzlo7Hk2sPd27fe7+9uKy8CG5wkMRrLozFiEAC5kXCtmu+0qqeu7Ny67nErVyrX - V1b3xDJivD2MBURVAOAHl83ewlEqXb9HX//Wa9/8xnd/9J3RVC2Rz5aNz+/cun/nzrqv+Wfc6DNP - LkBI2KlWu/TZT32qb7/6J1/87T/4/fdej1j5WilZLt3b3Xrv/IX1Bxtz03Of/uznHNeVNoZ9LWwm - OOOOAwxhu4lSeWV5ZXNrd3ug3zp7+d3TJ89cuFDI56OxyMP7d3Y3blsY9qbnR8Lf9BknzchMGPOM - uJCO67i+YwnJDMdEmAIEMN+HNuAWFzYTktGjx2qoMtU5sIvvvHF648TZd0+eOP32jzMx14nENneG - 4Fa7lPrMz/z0tbMnvvnjM5YQNqewCCBBUApSAIaMUhRo0AsB+AH+SBuhiYFQzGdajXw+F798Z2Nr - F6FottlqT3WSlgCDAecw5oOIxxBOJF948aV1T5y/cf/V7/zFD//slUzUWpid8blz/c7a+UtXwq69 - ND9nSVuGo/B3K92ZQyP79PW1b7762pff/PErf/wH8zPdYrmoYc6cPXP3xlrUSVSLdSe0SJYLLsGY - lNy2yJKQEsTkWOeBiEkuWGDebuBrZtmJVNrzLp564yc3Ll2w/d2QGdlS7Oz2m+32pz/+Quzx1bjl - GOKAufn+1f/4H/4v5u1YZhiNRTf6AxmKPHXsiPvCR+qFNJTvD0dbO/0H61u+r2KRSDIeC7vjF8vA - mPHkBDD6kYsJAcQ4k7Z0wyETiYRDgmBUgN0Jklc5MFIZ5liWy8GNIqMZCccNt6e63em59Imrb7/1 - 5qvvXn0lKmupkBw+3Fm/H3VlyOKtdmuDOUaPfA9cBhIQDBqQTiKX6LYbU+XMGzeuGfBQsrqw73C+ - kBfY0bDApOFQPpxUdnZ+7tnnP7Lmf/tr33nty1/60je+8Uo0EhFCaKU8zwskW2Ox6MLC/PzcHD7U - afub6NT+Z8ffTOuWjFbGGMYI4FqrnZ3dqanOf/O5n7l27er29rbR2rZdTdJTjEthMPDVjta+5PFo - OL9/9bFSqeh5PucsEg0XC4WDBw4KCtsy2m63XNcRQga42IDKYvBX07dFoPwV8Mk5H/ssjS8IiUTi - 4KFDv/mb/+ba9as3b12/e+fOvbW1zc1NxmU6nS+WqoVCpViqlEu1Wr0uJXwDRhCCIrHokSePxtLd - u/dMttBa3D9jjDfuLn0o0WVj0bcx3AKw7FR+Zm7hpY+9dGNt24TSpe7C/NycJR+1bif2B0zgESDr - 0en+Fw9OjNlggX0AgyWqzerP/tzf+sm771++1WcsZiOUSxT3H9pXKpcYNKBgoDVxEmCcmA2YSDw9 - Pbf4T/7Hfzb71a/9h9/5wsPNraE3MAAxZhhTWv/sZz/z8ssvtVstx7E5IVeqf/q//dvV7vzXv/GN - k++evn3zuu8pZsa4/cNPPP7Sx1987LHD+UL2weUbjIvAPmY0Gii/HwRYskOlsvv5z39+duG1L339 - qyfeO7W7/ZAxFsCICZjqTa8+dvj4ix/vLe7LZm1HAj608jlBcGEJobVR4yF6QAX0YAAOKQWMUb7v - +7AkEMwBDQJCUyaefeqJo+4/s0+fPHX+4sX3b97Y7vetSGipNzO3tLxned/yymo+n+cGHFADWHZo - 5cD+ZCJp4rFINBp4kfnK56TACLDdUKle33nhuUptZiEaEYx8GAUweCxb7n78k8X87L7X3rt8/tyZ - 7Yd3t9Zu379/32jE4vH56e58t7k43Z6aX8m3l0LuWGJsjLEx5GTyn/rM5/K91e6P3331R69vrd+P - 2OKpQ6srs+2lbqNQqUTOXtuxUiuLi9l0fOghUOaEb1eqs48dzFamnUo5yTkcG0YraMCOPvn0i7H6 - fGffm6+++c71C2eEPyjWir1O89iTh3vdVl/EH3jODovONEohx1FaK02ckzbwPO0wDs94O7vSDUOw - IDaXKvWPPPtcqjmfqM8WS5XXfvD9E2++ceHU26MtSkfy84vLy/uPzC0/1p2ZCoUdaAjixKxAkXNp - eSmeTC/v3/PWqbMnz1+4dfOm2t20LBNPphqN6d70nlKt0dszE49HOchAK6X4h0Q7g/dBKXAy4Kxc - bx17OrrhR159/dz1S9cEeLVUXTmw1KjXI5EolJJOaHZp+bmR5IVWLh3jgCXBfPI8n0tGELblruxb - ySSbkVg4Fo8qz3eEANOBa+fxp48XWzNHn3ji1Ml3Ll+4cOfWLd/zHcua6nTrjVaz2a43W3tX9zvO - B2qaQfoVKDjT5F9c2olU9vizz22JZC6dDNtCfICpIoBbTqjRbH3shefz9e5UuzkcDl3iPKhz7VCn - N/2Pfu0fPnXh5rmTb554/XvbD+7sjjzPUDpXqOYL0+2p+e50e65bzqe83Y1+v9/oLdRy9XyrsjQ3 - nY9QmCsF4hSGlEeOHkUk1ChmCtlkv7+ztbUVijIphAFb3buXI1XOJU+fe+3uvYu2bR88ePDJo8fj - 0UiAwBJSGuNpY4S0aCz8pJdX9/3Df/w/nHjnxFsXTp++flGNduKxZKPTme3M9haOzC49VWtFHR6Q - cDiXoUi+8tJn/1Z6YeZbr33v9dPvbN7ezcazi4/tWV1qH9o309++c+L9rd1wuTs7ZQvGA7FCafnK - +Ia4lOFoZN/jhw7vW3rnx3/+3ls/OHvufGR3mM4VlhbmD6wuLy/NF4oly5IBKpUxMsSUNkr5jhVq - NxtHD67sPrz3F3/2yqUrZzc37+ayyfnO9P7FlX2PPV6aWQgeiiTOwEejUSie6C0uxXLluYU5BsUD - z1htYBTIgFGyWGr1Zntz3TMX7jip9Orqai4TEYANcNM3owEZDDz4hqfS2Vwul0jFGP+QGxFhbHZE - hIBcbdmlUunnfu7nF5eWX3vjzVNn31vf2ByNvNnZuemZufnp+f7G7v0bd9+7fL5UKgrOpJDQmpgM - R2J79+4NZRpTzXoiFuXg9IGcOsB5NJU68tRTt33E6rWo44jgZVJwQqF6t/X3/8Ev73tn3w9+8r23 - T7412OzHorHHVvbum+89vroQcp0TdzZefvnj+2fmMomY4YCCbzQDYxpmbD1qJmbHwrJluVz+1E99 - crbZO/XWmfMnz1+5dlraXimR3F+Ymll4fGHP0f1L7XyGwe6HhPuJT36yNjV/4u2Tb799YmP94cbm - ZqfTe7bz7Oriqt7G5u2ti1ev1eoVgiYYIsG4azuRlb174dQ7U/VozDUGShswPYZ4cRmNp584cqy5 - jURzOupIGgt8B7rhgcnEZDshAkhIu16t/dQnXp7ec2C6UXrh2KETr//wtbdOnL10xSJRrBSXphoH - FuZ6q/trtQp7xCXnHJARO7J/dSUdzx05dOncmasXLpy6cfPi7uCB0qbdniqW28VqfWnfvu7cnONw - xjTgASaAKgCC8QljGBSKJFeWV33ttDtzP3nrnVv37u/uDPP5ysyBA0vdZqfXOXXj9uvvXVyY6WZS - KRAgAAMM+9zinHN/6D1+4PFeK3do38ypd35w+fKpe/euTfcW5tqLB/cc2rt0sDA7bXMMJtuOr+Er - pZVyJGvWa5/+xMcf3L71w9d/9NY7b69vrUczkZXp1v6F7vTK0cbCQTcB5cFiIMa4EPlCac/KXt9O - tVutkC09BcmNAVdazy8umpHrEWWzae37zAocJzmc0PFnjmdavXfOnjtz+t0L58/du3WLA1zwWrNR - rlTbnamZhcXp+WXXCeo9A8ajufxTTz0VyVVff/vU6ZNvnT/zrlGjQj7/4osv7N+3d6qad5nPjDp1 - d/TyjtWem05Ew4KzR7JJAWiEcfHoWXMhctnsJz/xyWa7/cYbb75z8uTa2oP7a2udbm+625vtTUvO - 79y41T57ptedCtliZ2dX2mCG+cosLS5aiVKpWMxm0kppJiZbuAEYjyfThw8fqW36sep0MhrmAaKU - cwYJ+AZerVZ55qknr1363fe3Ina1VmvWstng4yrIHs0HIe4/zfzMWK+XL+898DknH2u+891Tl+9f - vZ4NR1cXl588diCZTIExQywSS8wv7fHsXLfTiYZdX0MzTYzbjrO0tJgpDhqNeiadZAzDkXGJwAXg - E5PlSv3Tn/lsqr6cScYCTBMmLBvbieTzpccOHIzld+ene6lkHAgc4Di4FcsWPvrcc/nO/Funz587 - feri2bPb6+vkeZFIrFqsltvdueX91aluq9eUY4ZsADIep8tjzxdjwGW5UvnsZz/TmJp+/fWfnHr3 - 3d1+fzAcLa2szHZazfYUl9b192/euPcgl82ID50fFzKdLczv2de3s91uLx4JT4AR0AFalUkw67ln - n7XT9R+/9tqVcyd31+/mc5m9e/etrCw7rhN0FKr15s987nOzywdPnjr93qkTVy+e9YZDRiLiRIqV - eqs91ZueXVle7jbrAGA0QJD23NLKw1B1EKkUMnHSEBzwjDY+SSEYAtEp8yjcs0mlQIBhIOGkC8vL - sV/8Bd1548y5d97dvHsvE4n2etPzC4v5XCHIuBpTnU99+lPd5T3FbIqNNa6NFbhUEQfxPctLLJ5P - p1K5TIoFt5QEhA0SR588Fi51z5y/dPncqWsXzty4fm3kKyJqtVq1arndak7PzPYW9oTdMVR5sqLH - bxBjTEgJM9LaKAPfgAuRSib2P3s8QqMf/OnXbp4/tXbvZsSVs73WytLhvXsen5/Z54agedDs4oAV - iuXand4LL9by9WlLBOQ1SYDvKwYtXAtqFMywjx59Ilup7T+8/62zJ85eee/WzRvEjBNy45nMVHu5 - 01quN5sr++bjMYexYB8nDg6jQiH7+NPHKBwtnnkvWW7N7TnUbdciIYxt7RkxcIK2YGcy2fl9i416 - rdKrQg1d5kghAAPBp7ozv/orhaefvXbixDvf/+H3H6ytebvrzLbrzXKnOTvbW5qdXizP9ZLJhBqL - KTMYDiKRTB49fixUKf/Ja6+9+s6p8+dPpVPhTnN+Zb5zaN9COiIvr3k6c28Ua0psw9hABEy8+NJP - 1avtd0+ffevUe5fev62NSqdL5dJCd3Z+bu/j08sHC2kK9vjA/Y6PpZUMwQgphQjn88Vf+qW/t3f1 - wLunz166fO3+2obnq26nM9Xpzs7OHdi/f7rTZAGFywhIu1pvfuQjMZYq/OmP3rz41snRvfWcG260 - sk8cXdmz0nXCdYj82jrLleLxWJQH4DvNAGFARJRIxRYW5o4d2nPryrlXv/udy1cuD71hrtxYnl7Y - P7+yuPpYudcLtg4bnMAw9HxfaUBa1hNHjkytLr9+8vWT7/zo4sWTo52tYio/0+oefOxod++haq8R - dsABFjhkciGd0KEnjhQfjEKlZixki4lqbSgSaba7Tz/zUZks2pYV4KUCJEeuUHjuuY+W6s1TTzx+ - 7tTZa5ev3rh/Z2cwCCei1XJjtt6ZKjYqvcae5aVEPCIZy+VyTx9/JppNvnbi9XcvnH7/+lUCpZKZ - VrPw2KGnFhcf68x0CrmcnCBsP0C8MwYYzrgClDHSdpOZQqM5df2d2/fu3rN8OiUAACAASURBVNza - eDAcRkLWWByJGYCzgJxEIDBbxHLHjr8kM/tPXur7Tnbh8ZXZmbprB+McPRbpBIEbcAFwkFWtxn/m - c/nFPYcvnHnt8vk31u9d2drajoTie/furdba9fpUb2a+UsnC8kG+47gLc7O/EK8+szL//qm37p4/ - ff32rY3NjUgi9vRTT8/0ZjtT3UZrKpvLMgbHDYKtnlAAOYT95JNPzc0v7fr05umLP3r79Gtvnuj3 - dz3fX9670muUmpVcKpV79+K9K7f7+WzSEkwwEEgZMGkfPfZ0e0NFS+1Y2CYABO1poxWXXClk87kX - XnrBkYnaXE15I214gGGB7fampz//+V9ZeePdt1//4am3f+IPtkLRxOrB+fml5eWZdiOfzCciKlbJ - ttvJWJhNQqbWxhgixohNxJFM0E+0AtnzQP6WExqN+s/97b/z7qVbD0YsV53aszjvEOQ4DkkYHxOF - dKMBongy9fzzzzV6C+cuXLx09uS186cfrD+0Iolud+rYU8c77ebMdLddr8IATIDxqW73F3/x7+5/ - 4qnzZ969cO70+trdh+sb6Uzm8KGj5XxxYWZ+fno2X0yCe+FIud02H33W6XVrYwUjrgEG4UIRNILd - ipEPzw9FswtLq8e2w/l7yhYiwnxbD7TvGWKVSq1SrkjLERaarc6RI0cixbbtuFwNhB4yzjyQG413 - Wq1IOKy8Eed8a7d/4/a9h5vb0WhsptfNphO2NUlhwAQLhqGPcmMozxA30rLS2fyTTz2zYZJh13Uk - tzksgAVNBrKNmyzVmp94+WOhYqeUSdiCB8ExnS995PmXovWF77x9/ifnb9y+dtnXaE/N7pmd6tZL - 3O9furd9z7eLvdZgx+hwADbm4AxsBPh7l/cOPVnNNR3Ous3m3v0rmWwGwXDHjNmqUIYi0SNPHk9U - eqvHnnvnzPnz589fv35tNBpFIpFctl6v1xqNRrvdOvTYY6lE8v+3x/jX3c/9627dTqCGQTeVGAjM - gHHebrdD4fD9e/d2d3eVUrbtaghfE5cSNFC6P/IGgmLRULZcauQLCSIwRrZlJxLxxw4erJTaloj0 - er1QKMQCyh0F4Ii/yns26aJgImjwwe/ntp3JFzL53Mz69L17d9bW19bX17e2dzgX8Xg6kylkc8VY - LOm4FhH8wBuFwCWLJ+P7HztYbY0ebohUtpYtx11HMApYl+Iv/dVH6AkjQRacaLlaf+GFF9Z3Rp4V - jxQamXopGBlB6zFUD0Zr86EuM/1/3Q4CAsMYYwKSeyKbfOLo4+Xm9u0HIBYTCEWcVLleTOdsggL8 - gG88vtsktVKM81DSWT14kAvmCrO5tbnjaY84SRswSvuf/PjLe/fti0UjSikDskLR7vxKJJaIunL/ - QvfOvTVlyBADcQNa3X/wwKED2WzOtpxEPPn08Y/M1zs7Vri1+li5mBszAUkwSywv7XGclBsLL189 - v9bfjDDGtnZczxOWU25Nza7sPfzkcbKZ5hAY20gButfpfPTZj85122a4JfXIJ7Er4jsiPoJkMLFw - aHXv3nKpxGis1zJG/GswcM4j7XorGYlMTzUuXrtx7ebtrf6A226mUJqdX2w3W7lcjgNMgQjcyHgs - tby6r96u+7YbDocDaj0xHxiBGRg7nZlaWcmUOyKS7aYTLmNKa59BQAuEc6Vk+Wi+WOy1Ls7URju7 - 2+vr9+7e85UORaK1UqHXqrYqxVShqpzo6NHDpDEjDU4o1+k9ES3ES+1ao7X54L4jaWm2N9eutso5 - CKFiZSffKtbbxWzc6GFgXwoenZ4+4GS8h6NQs5azJAyUgQIJMDtSae9L5p1cttyp3ro8j+Ewn0g2 - m5WVlflkMrruicN96lOklIqGXDewnAmcf0jTWA4yMGs0GlpbtltvdT7ybMhKVyLFVrmST8XcqWbl - ynzX295IhZ3ezEx7di5XrVuOExSjnECwDIiMiWXSC3E3U0g2Ot3pi7du3Lihhw9dNopHwqXSVKMx - ly2WY7mYw0jBGChjDGhciAXlvAqIRIyBOGKJdif5Ak+V6nM3r98kxUqFUqNTy2azUnCjtOWGZxYW - VbrSD6fSlcIYzgRQYMtO0nHtpeXlZsMPhdxsJiWIMQTyBQrg5UollMqXi8Xpqda1K1fu3Lrte54t - ZSqVqdXqlUq9UCzFkik+kTR69HZ+0MglBmg7FC6Ua0eOPb1NETvbiIXGFsmMGMBBmku7Vqt97MUX - 3ES2kk1Kzsfblm/ApZuM7V0pp6udmWZxtlXeXLuzNRgONcKJVDmb6zaarXIlXUgZS28NN1Usuvfx - pyztFEqRWintiD7AR3B8Ji2O1lRHuKyUisbCsWK+yAQHY8EgpFIsuqupbCJy7lL9zoMrUsqF2X2z - 07PxWDTgCoMAMGOIBZxUAyJWqlQSsWSpUWtf6b139cL2YDvkuIV8rt3uVWszxWJDyPF8AlqCC4RD - 9blFno/GS5G5+cr6XZ0I13utlblusV1L7W7essv9RHsYLveSsTAHYAiMMy40MV9rA1MoZA8eXCjF - 5Xw9f/HKld2hH8/kup2phdnpYi5rW9xoj7TPmAaIuJSWzYgERyTsLszPxcNWPGZfv3Fhe3stGnJ6 - rc58Z7Yx1dUhd2ccJTQDM4wXqtUDR+3d3WGhkbYYkfHY2EzPRzDFsWwIa9czJEPpbGFhcTGVAgck - YGEw2N28fe78rbvr0g71VvY2W61wGAzARB6DzGRNB/dWA5xbkcjCwmIqk63Um7PnL6ytb2iwdqc7 - 1ZuemeptPdh4cHutd7vbbjccy+LgIE7SSSRTBw8caEx75WolGY8HHjYBGoW05paVKRaOHDt21zcm - nomHQ+MbawhShOL2/MpCMh+tVvNLM9Pbm7vhULTXmVroNqem2/7D9VFy4+M8Vu7N5JIJcPgieK0h - iJtJiwdEYAIaYBR2Q7O9biVd6ta6l3pXr10/y2Q/npCZfLszvVqqzBQyEHoAGkHK6ZnZWKpcqzWn - pjoPHjyQliiXi812Y2lmcffBYOP25tTdu+VOw3UCvVouZDgWF0uLi8m8X8hX0+kECNooCmzcwYR0 - kuns4SeeXPOlSJZTiXAwXDV6nMtMPJkDWgFALBKNdbudl2UkXWrU8ulsLFTJpaqtzntXrmni+Vhk - rlWfbTYyjboJhfqACayYiIMZklQq5JPRbK08Ndu7f+Xq3I2bl3b6a2B2odQslFr5cqXebsVSTjBS - BHyAQHJcio7zKw1fg+xourBvn53JF1rd9vWb9zd3vVw61WsUZxqlfDGbX1svdLrJdCGbSo/XjCEY - rZnWWvtDlUvn9izNtRuJbidz7crMvXs36pXSdGtmaXopmy5Swtqd6HEDpMECOWBLUioRX1yYo9np - QqU41Zva3N2KSsw0ywudWqWzjFBsF/BHgBWEcVmu1qQ8VO0uN7ud6Hg5GQPGBZ9fWsil6iOjq9WK - IKaNmuAQeaVaC+fK1UZzptu+cuni/bt3SOmQZcdj8WK5XGs0K7VGOJb4UP+SwXFr9Xo4UyyUq/O9 - 1rUre4a7O+lMenl5ebrXzWfi0MPR1gblPS9WiZY6xXxSBrO+v7Qdsw+pyJLjhjqdTiQRr1brvemZ - O3fujoajdrPVnepMtdpqNHpwf609O9PpTkXDDidFICascCS6vLxcmvITsWg+lx3jvYKIohRAsUTq - iSNH7w8ZjxcTUYdM4P7FDEjBCMCxrXg0LDll0pnG0nKuCNcNGpcKmhOfJI/4S+c+0V0I6moRKdVW - QzmWqdRmbz64cSduh+Za3bn5djQaI4KQdqFUWT14uNLzSu3ZeDIevJ5cWrFE/PDhQ5s7PJmMp1MJ - NhaQCH47c8Ox1lTvY+GsiVQihark4z+ttBZEzAmXy7XHDmTrPZ7NV/LZJABljAgUASy3Xo9FSvVS - szXfm7pyYX5rbY17Km47yVgiV2s2p2cj6Uw0HuKTtPgRiWmc55qxw0koEp2ZnnYjiVqlMjc3u/Hw - oSDdrld77Ua1VveUvnHr9s17D+q1aiTkMGCi1CvL1YbNnqjMHsh3lhLJ5HhT0mBcgEzgPN7tdnis - UMxnby5ODzbWEol4b2auMz1j2Q7ggwsZdWZmEtlys1GvX+62bly7NBoONAlphwulSq3eaDRb+Wwu - 4soPOFiWs7iyL9xUg1C+Wshw+rAtTzDQMvRoMdKHvoINBBxONF9IHj3qpmtTl2dnH965mwxFG43W - zPRMMhEHTCyWmJ1fdLNNN9eJ5tPjTvA4tSAIKxKNrezZU2iOQq5TKuRpvBtzkASJaq3mZGrtqc77 - M+0bl2dv37wx8HwhnUw2W6mUatVqsViMJjMW4RHqLBDpDzArHAycBeBoQwxMSGlFI5Hp7tRUKVWM - 89uXOw/urTGNTqs105vrTPWcUFRLiLGfJQEyla3tWUmUO9KKNVLJULC62Ni13B8vAM0BiheL++LJ - fLXYnGmdu37pxo3rQqmwkNFQplFbqFfnSuVKPAV7/ASYCYy7mEbIWZibZZFkfW5vLF/JFuqZYs61 - P7jhHFwaIkIhXzxw9NBoeyeeCodsYTEGEjBkmBWJi4VUIV8oNKqFeq187/79wfYDUv1qMd1q9Dqt - uXy2IlPugGMwGf0FsF84ItysHspmZC5b6s1cubKYjDvNan5heqrbqtncxO5vyfzDXRkvZRzHtpQB - aUz1ZnOZZHOq2Z7uXb91b6RMJBrP54ud6V6lVUsXssTGAYMFmp30qMQcd9mcUGjv6mq+VOlMz166 - dHVtfcvzUKk1Ws12p9PJF/KxsI3AFYMI3JKxRNtNyGQ+Xaxe680O728khF2qJg8eXixWEr6KPb7F - t3etaNyKhN3xcAKcMdu2XMaZZfFEIrqyOO136tl06vr773u+l4ml56Zmpxu9SrM8sjAMFMm4DkKN - MaQMmEGpVDqwfzVTTk1NFa5fn/GHg1w02yw39+zZH8mVKDzxOgJgDAkZS2Yee/xIa8d4TioVjwDQ - CoYhnkjOLe4pl4rGTWQzGdeyJu8CF65drUXcaLRZqS1Mz71/7f2b9+9sDftONFRKFTuVdiNfS5XS - kVzMMMBoy3WbU1PhdKzYLM1cmb5+9RoHRdxwOl1cWFitVKci8Zh0JxlOsGd9EFrGaBKtNZhMJHMr - K/vP3f7R9c31K5feq5fCqWjagAKsEWOCxoHXABJOYmHPQSfrt24ZE8rmOrm0PZllkQLTk9QtQH5w - DREK8al2JJnMz7TTVy9W7t66uL25G3ajtUqz3miXyvVwOGoJD2wXkMRlJhFdisVmSpnbjeLt883L - 718ztgynkvlCqTPVzWXzthviEsCj9gOBMRgGI0A6VqnFShVAJAq1QrPT6HQ2N7eklJ12o1MrVXNp - y3ILja2ba/16rRRy7cBnWggrkco89vgT9/vk28lELPwBRSBwhhV2qVx56pmnJIXD+bDjWGOCGBGk - FUtF965kI6lCp1bcM9cdbG9YbniqN9udnm1X8kL1IzYPl7oiUU7mMxPdKYxLJQOtfCbYmBEWiL0Q - 6cA9mxuSolAsPnnsqcb8zoZ20sVqvlSNWIG4qh5fOGN8PFw0YBxC1Gv1VKHaareuT9WvXexsPHwg - 3WimWG60O/VqpZhLQ2voEQwDIRKLzCUyqXx5ul27PD118/rV3cEoncnl8sVauTjVqkdCthAaxBPJ - +vR02oo206WGYxMLzH9JjCE/QdUMEPngIpzIt6bSz7jV6R0O7UeEtsxAeT6BxROpdr0SicYsrstV - ceSIVZ/bNiAJXxjPGOODCTuUz6Uz6TRjBEYbm1vXbtxa39xOlRpL83PJWCR4BNoYQ+ATi5VHSUhg - IB4Kh6uNFhe0bRKpSiUWGjdnyATjM0vG842p8PM8xsLpSi7pWEIbMEMIRcvdmWOFVqY+3bt888b1 - 6xymVq0sTzfbpZQYbbfWtte0xVMVRxIDoCZYNjYCqNVoWjLbKLc5Rpl0tNasumFXayhfcxZIRQLK - gFvhcmUlUcg2eu3e7OVLl9+/8b438sLhUCaTKZfL5XK5VCqlUynLmvTq/yv9tb/uvi2AibviX/th - 8J//oaAEUlopRcQMyUkiYYChp0fGt8nYkge6VgBGwYeGg5Hvc8FcKcAlNAZEA4ID2MYnHQyZ/ipu - 3kQlATSZdv/lQ4M0zFgDaXKMpaNg2COZMg0oA8YgMQS2YEbQMW0ihsEwaCgPA66lDWtch0/SsknZ - 7MEfQA/BBIQNsD7sXYYhIQyIwSistyEkiGlthkYY6fgcUsMyiusBQIa4Jm5AAWudja1AAIIP4zOy - 2JDhIbABCJg0TBwGWsNoGA4l4KNPGFqwhLZgBAiGMByNSEOywJ7XgxnCGJA7IlsJMADKCAYQGaOH - nq+JC8kNYGmP+uvcDCBCsCI+sycJOHzjb2xu2I5MSBfbQ2iOkKskHhK4hxj3mbephprbMTBLM/SZ - GRFCAHa2bE8jHIEmj3EjMfLhB3aLBkwBUPBG0AoWB/nQI4CBRzwufcD3of2x8SLGtsgAtDZD6TOh - BYiBjUADkAJZIMuDHMH0h8qypR2oKyjFFR9PVwEoD8JoZm0MFRwe5hAYMGwDk5YH3IGSHhcWgxpt - WzQUPIQ+g7LhAkLv0tDzPFe4kqQxUEFsIzCjYDyQ9IgPAQNYQUVsFPw+1BDCAgtpxnWwThU49Fgi - gpjhoV0aM2XJ2w5xTeAwgRW2tathLJCBP9pyhLYoBC2DyYLP9QhKgkuwoFz0vR0uzIiH13a1J6yw - RIhDGmgTqAQGhp1BZDJgHNoYb0ACMCMobuzYkIlHAxcBiLH8InzyhqQAEmQJokCTyGgQKUYDsCHA - DeIjDV/BJgg+BJQeyP6AS4fBhmZQ8LjRXBnJBBgfq8Z68IcQDEKMYG0AW0DEIDqCSxqCQCb4LIZm - YA1HNhcYDjWsXSvct+AAGBoHSlpQZkjMA5ytTV8rx7GEY4EIRo1IaJAHEJjlQ4xHfER8MmRSygQ9 - 7rHc3F/esibbgCEEGHEFo6B8DEcDFvGdsCZIQCIQhFYwCqPRANaQOR7BJrgCAsCob3YfksXhxA2z - dw24hs1A0ApsYOABUkNqWBQAI4a+2RmNXKKQUrCMsaw18HswycEg2Wd2yIEASO2MhkPbChG3QUrD - 8zE0ilmIkQYII208tiuF7Q219njI5cQxEXbRxviMMIFPYXz9xoB8ULCjch9CQ4zxRf64eTLZmaEM - CA+J1hkbwBRgkkaBfAx2HjqOj3Bmm7ALOIAcwTVDmG2svf9//+//8p//u98p7Dn23M//2i//7As2 - 4GpowtBABW5rwc1XWvt9AV/aPrC5dffcD147+xv/9ktXb7O///f+wfFjT/Sm0v4IwjKc+1Aeh4CR - IBoabGvjGT8kdYgZDoKxTcBa4yDh+/6WpRkxCW8Etgs5Gt27/Qe//+3//h/9z3aouPqxn/71f/Uv - rTSyQAy+i7V75y9/9Xd+71t/9MqNEfvsr/76yvGPTbcTkxgzbmczbWA8jH2Igyj0qPPPNEiNHXsn - 8cmAKWhA88BQwHAzgvZA0lfWkIgLKB/QQ9uSBNLawPMlGcBA6QGJHSalRWGA6z60D1gDSAUmjbZI - g8a4FxBgPBgPRnueuLft27GIa41j5KMvM+Y/GAJBExRA2uNGEQlIpqEG8JRn2R74rtIhJkLBnNN4 - u4I2IR3A8RUfjnzOOedCcKahYDSHgE8Ywvc0uczY0KQZdjl2AWtthzb7VjTqhiVsgvZ94oYzooBm - 6PsYKS0jypbeBNdIGpxBcmjlcaMYB7wBAAgBIq2tLZ/B4gEQg7TxiRRhBEgFlwADw9A3apeU45kI - k1AGzINQIA9wYezgGSqjDQYawpBlCJrgG/gEaeDSSJg+iAM2jDQU5Bg+g8bAY76BbYEj0O9TEL4B - B4QB9BD+wJNyxK2dkR9WTniMHr6N3RP/x7/7jS985dIPT5p/9W+/9PFPLKYSAAy0D+VLzgVk0LhU - HH1gCISgXWwO1q9990en//E/+RfMyT75+BO/9vnPVwoxRQjijdBGD7cE+bCTCrTpwR8g7sISgBlC - PQR2IaMK8SEkA7QeCrNrcRcjaUZcE4wNX0CNhqFA+5UYhK0DQR4EpBAtGBM0mSMABhgpjHxYEi4Z - mD78IZgF7o58zaCFYNA+QAggh2qIUR+CKx5b84WyEQIsQMKjD2/M45CNSdeaDIwZl97ByQRT6Am7 - 0wAMmgVNEyPgw/gguTUwu1raEg6HTYBWRIDRZjigwN1awSd3ICQFT0cpyzKe2R6pzZjQ77/2g+9/ - 8Yu//R+/4nc+duyX/7eVp+vLOWRGgL4L4RjhDiE5PtjKHmWhBgA0hwc1gu+B2SPuDBi3AGnA1aNp - 4RDwJ1+RIezRWJ7bl9jWcBScsbWgAVGAmglCtg+1CWyDOZ5MD4nryQYFb+iwPtgAkL4X2dG2tMct - JO1tS3/EjQLjsJ0hOSMwBk1KC03WOC7AaGyOlAxxLoIgojh8ZkYMBHJh+KQN6gNDQIBJg3EGrgxI - Kx6o6xIHaNAf7AxG4VgikFYzWjNoST5G2zBDyKjisT7Gg2ah4QgNM4LqwzDDI33iwxFsBsm0VoqE - JBaoMHpQIzAGJpXhQ8+TDFIyGIzx/B8qI0iDtGEmuM8evJHPY0PbCkYbwlM2RmACXI58pYwWUgIQ - MGQMtBnX50EZggHQB7MU7AEsNsmjHrXsCYDaAm1BRLY9t8+kw0EG0sBiIO3B+GDWtkcDMMcKFj/I - eDAjmBHAwVyfpBmvq/HinuD6PgjK+kMlEGB8Q0PfCEk2QBjB7/vrd25dPf+//PP/6fXLDz7yuf/u - yEufW50rhgEb/XHGNv4VBsZTxIaMDcEc3XdpCDMAhZSyB8amAD0K8LGU7pA8b3xqIBAPXKU8Mn1o - Dc8BOQDgeEOmfUgLCFpMBAPPYCgxIuPB82AEWNiwsMfH0+igA8I1mJl02sZPUfv9bQ6fHAmjgjTK - A2ekJSffG/lGcGmNw6C/K5kCuTACGkpgAAwBG7CDpFcrKAXfAwmQHArGOCRp0AgYq/iAsc3RaMiF - yyMCMD50H2Hpge3CG0I44Ba01JorA24FbvZ9BTU01khLRmQTLArkdhBI5sEoY/yA2mUMPF8DUkj7 - P3muWnvMKMYUBEDawBpAjsAcwDKgPnzsCleBBkqHHm5AyrATQn/kc+FHhAd/e+fMW1/53tv/67// - Xbs690s//3NHD6xUMwmjlbQsYkQqiFAAQ1+jb0B6N2bvcLYFLf/1r//m7/0/3yQ7+qv/9Nd/6u+8 - rERwdgMGQ4ozTzDBhhp9A2nDAoTS5PUnttpqZOwd2NwhpqGGfshikinoIQa7HrOZGw90X5g/IHgB - ysQQIwhoDjAQPCjPKOZx7nOpoQSMbXw25IokLGgCM+C+wlD7SnIJcBgxGhpASAeGAltWKA0DWAzc - jHk7hushfD3aDZHC5oO33zn3G//+i9/+0ZmPffKnf/oTzz95eJGMgfa48ZjgvuEjTRzGxgBmCEUY - JXaHnIXhuxgACiYCLwwP2oeZvJHMNeSMQBpQCoxBjuu9weSFHr+yvg9SfS480AAs7FF4EGAIFJg3 - 0lobW2jOAfJ8BcOEJD0OdOAAhyHjQ4+gvQ9klYUFZimyJoa0IEAon2kf4ApyGFCFDYxWkisBBXjw - 1I6SO0raYdslCAPjA+QxoQFjPDHaBocwDuBCQdnwmR7BaDDbkO0FnSTtEZQBBxMGJKBgRvD6vrGV - HR49yqLNuCOuRno07LuuDFSAwKUmAuANh8xoy2IwQ3i7GJmhlRzajplYg0pA+h7ztwFAhn1uGUAC - MCNorSE8iMC7goyC8j3DfMOY4GPnFYCUBzUEDLijuAzulfaV8kaAFJYgCnY8ZTAkDQIHmDZiY6Bh - 8ZAEDzYV40IxeACHsYyPgcQIxkN/R3tCRfK7XCiFEIcNnwDP0zBMChZYdkOTR9aACRCsiTPv0DMj - 3xC0K5XgGmb0k++/+kdf/sPf/sKXVo+8+Au/8k/nFnrpGDig1QgMFJBEQcwfYx59rZkAoz7Q19sP - +0iISGYEWAaW0WT6AAcXBtzTtDPwmJSuBAO0r5g/EIIAH2SBWZqYAvojKIMQlM2GQB/MNjy8DeKA - 9CG9YDluar5ujEM6TUYQoT/0tdkJhW1fG99Xvs+EDAkBbsBGHvyHsAWYrSA9w4iI8w9QkL5S2lda - GyE45x/+yX96/A30bfE3qHVrtNbK97UxBAgh2USmlRjjgcvcWCE6+AATTCIQ/AO0hjY+kYYxRhsu - hBR2gFTQGsQYTSjjwfr+qzoepT7/9f8/QiV9ENK1Nlr7RjMiwcZ8mGCyBgWfQwVZReDUF5RpAmBs - khF9cNNAYzQVB5NB5A5+wBkEwQeMwe72zr07lx+sPxS2AyY8kj5zPGZLM7L0wDJDA9LE9di4mQwY - GcQi0VQ8nkwniRGnD12LmfwjaEcTNKA0fDZi8A0+aIoTYAmLENg4jAgKjKA8YESckxLEQJz0OHlk - QlqB/A4nsAC8xC1IC+wRRQEAGPFYJMoD70MpMdLwfC2EIAgOIgYmuZiM+QicSAAEI4U1TrQ4N8Bg - AGIQ4oM8En5w5wPvJgYweMrXng8JDikmrYaAcGiCp2EYcS4E/EdPJ+h5G9/ogfY0ccuWFKjReUOh - FTMOsTG+QEFz0hBwGVfs0W0TgWYWQGAkBFMGyleSS8EIRgSC5zAw2hhoKANmiJlgeRsD32iuNTdG - kzacszFHJcixNIgHsspQyhhOfIyLMSooMzmIBerhAUtZChvGgwZ8DS7AYTH0PTAyjm1zUmO1GgOl - lGYmsO+AARSMUUJaBgoGkZDlMwjA6PHFTcx8xgtgfPkE4hzMwEjwoCULX8FozcgwxoPRfkBXETxo - Ak1cDoPrNGQCcxzOATCGQPXLKE3GEGdOiBEP2r8mUMTjARbJGD2GmDPLBjMYM4nGWISxdK1W0D4U - 09xijDjjEkQQFuO2EGNe8iNNfQIjI0DcDUltuAiGT2pcxgPke4pbOn3qcQAAIABJREFUZtJz/Esw - LsYJeGRI8l/YfCbfHj9eaANNkK7FLSIMNfSEYj5WWJGWJAsETh96UTkn2wU0lMbk+74yzEAzE0hr - i0fPFBR8wLLkeGasg9o4BbiWLQLvGyIwZtkWJy6M0Z7yNFOagTMBX8NnEJCcDLcJTFqCOBhNzna8 - DEgbTRPfOtCE+jrOI4020P8vce/aa1t2XIeNUTXn2nvfe/vNVzcfTYoUSfEliZRMi7IVOIjsAP4W - BHD+ZAIIQT4mCBDAgZM4iGJBgQkw4kOUSVvms2/fs/eaVSMfas61z206DyAStdFA33vPOfusvdac - NUeNGjWKqveqdkUl9oFmM2k1gnRiK54Xk9bH+elT8MU+xlUttmpvr+w4xoj0dnry9Hy5tN6OG2+E - EwHsCZ9lLW3TdjwwxvOH8eI6xh4Ge3I5teY0tBMA7HHLfe9QZ43wQnd29m6DCMD2XbcHnk6gIUFr - Zxao1UAmhn78vR9+7wf/5hdp3/j67/32N7/5xqsYCQndX+D6s3/zV3/5P/yP/7M/fetbf/8b3/rW - H374ndcNSE0JO+YhymleHjn3SRYktFqiRh4SNQD7DSa0rayoVA6KSCgGfWuGGHDCe6+ZyFC5dtRi - 9E4/3ecNGqwBvsFCIBGSE1JKiAjXMCbM2tZffe2sDgI5ZsodGSGBScqMhM2WbjObTrrlYAnvnQ5x - U3mHrl+fMg7RZWbb1kmvgcxKZWaOW7cTOpqZDCMxYm8u80b4kydnP/VjU4vlaS/UfCwRfTNveZBa - S2uaKbNZ40PJTiSAZrx0D4LAGNkJktW5WEVQ1tEa0Ru37hAnATZP+3UQThOMRrYlLJy8pJdd+BzH - PHftghKy1idIiB3cZQIbl595nYgCIvdz3062bIOQCoi99dPTC1999vRyRghOuTWyORYnyqmgCOEa - 18bYgxHab7fut3JbjsRQWVdhj9vWewmTBWyO06XCNkCDbeAAfMRI1qh1I8v+0Yq3k8GB1jvTVrDj - nVGdQyFzH3tmmndvJUmHcx6ks3+TBmhOB9BkvlSESCITLtL4ZMP1/yke4/FXCKaUmSJJzm0GzDQd - E1FwkoBJCc7T1li7M5EpZ20yctuQAxnw3qw1w8hq1XIiT/Tmfnv/vT/79vf+m3/+pz984Nc+8fE/ - /Pq7rz8Fb7g9XLetwTb9CqSvSyLrUZvDwQZTiYN6naiB2JGEeVgDyRhhJhkiSwwEIW9j34f6diYQ - CfO7lAwARHgHz7DNqk2yxrID3nvuD7YHzJ1+aXO9JtJ7czdEFEypzmoHzb0ZJ4EcSuPl4mrH3Q8g - mfencYcNEpBSyCeFbgTNj0eREa21V17Z6uqtzpJE7MPpsBOsjcigFTlodjx9RwKuRthWVIWZWSRG - gEqSZg0ZMfZAbu5G5UgSNev2ju7nXDoCjhjYE95ba2NeIlpzqBVqau728p1+eXXabMGACWEYjsYF - BkvZ361glEPamlVXc+MSYM6uHZYFbrX6hqJhyjwgYkTQU6xYzZW4ao5sFTh7E+fBpDlt3dsKaQLA - FPeR15G3ERFRYoFEphIKhs1nSoLNDA0U5IYY4RDc3Pq23vDRCWhoDSqQgQpEoBOokSGGyEhkOM07 - QIwCRDWbszKIBGTTRZnzqfvxK2w9uLKOY8IHL84kSITBnLbRwLQxrm6CdH24Wjv1Vj6zdWPmZdsq - bERGqzcxwr1451aot8zfayoDAPDSt146BkCOdgHokKFvM7KZ1Tz3ELgnsZuj24qGiRiY7VqYYzap - 8gM0kr23GmKdqga5eV1t2sVYJVmJ3McD29mqx9PReAKL5fenz06VdJy3RiNyx/vX58+vDw/7PvYn - jU+fXU5b31qDPDP3EXHDZtu2Kk6N2Kw7G+AIsvV+OXPbRO171fp32e5Ch9dR3BxnHrmbaA6qju2m - fgJTcKKfmqEUxI7TpaPtEUmn0n0FzzpkM0uOD4OZd5p11pAMd6TRy8mmxKKIOqzMHTAMjdi9nWjH - W07edtYX1mvf9xv2XtrY7ck773zywx96S7r9qz/9l3/w+18BvibQjUYD5FR3aghqYAMN3S+OaNBc - TpWt5VTdVoPXapIpDPNIJWIAlcqIVJo3Gtx6OZfPqrqYJaE0s+YyhjKiBsBMxPKIt1hxEpo+phBG - Du43A1pZ3WDeUzrgTnQuGbK5TahEtHZqG7CRyAP50yJlRlo7PwGEbNV/pDmOSEAKlkY3gOZUuR3U - V4Q9DO5908JaXHEMgrudLyc4oBEh2qy7NAN1mxITe4qTed8KAZcMrmZmws6AQDcgAmKURZ+kCZsw - N12H10BwCRFwA6u/Qaq0cN5Ht26b5LQaTg8YlYgRiOits/XL2a+B2zUvG8CV9hnA8mOzmRr0s7UT - zG3OW820cFhrpjRFEoAcoPm0pzqOHDf2Rqe5Adpv77//5//62//8X/wv1rZPferTX/jcZ59eXjqR - 6nFkMoa21gVEDM4QZHZ5esElKudLlKoEsD04QAA1xKk0nG7mrSN3RAA3dDPfkuh91rlOxZtl0mMe - fNU6Z7WcDKSMKRA4bc2w1TXKW/OtETaH+SVrvroVrrm3LwGQRMHde6Pdzc7+A6//+6/8Db9+TdTt - tN+i1Sl0MBjKBNaBD2Cq4mscvJFGn54tnEuRUjRzggpkglAlOQAVeQi5/qZeH3wnPmZXOT+LHv/K - iYaO3LrErSYAudqJUpkjd7HDa7qiXloO+sAfDGxHqRSKSYACMfBvf/yjP/+f/sX/9qf/it5lvttp - 5+lmW8/bpodTPhR1G/AybKl7+dUvf+WrX/nqk9ffqBQrhNniLQcmxlSiRplXkFl3dmZx1RsxX7n+ - HURNsKUisYes2XE/qkvLlKachXFrR4iYpXywe5uf1RtazIMDoBARLR29MrF5IVXdo/ssoy+0ZTbv - khKMRCaawzlru9bKCYbrqdAnYV3fkUIyHXP4+fp0VcmUCJlVmK60gVDjo+dI0C08gGjmEjJTRqAf - xKcyacVUJd0kZxbIntCqwYvnR5Ex1dUhE5XwQtC+mIX1ew0oacqkBCYItQVEhaQqTzLArbEAeN2W - Iv6KWmcvDsRIGYuMtDv/WD51TSMzc9vmjc9AEu0RQFglgTlleGqdjJCnEjIv3gTAYjJkVZKBoMyE - eNBPmJCzHeG1aCXN88p9RhlUrm8giztQSEFLw0Yvr1FBtvqO7RGDokRmisap8zGD28GSu9MKIMEl - JTRpZkzTvRnfWeLzWkDEmixyrJCJ+rQICTz+4uM/1gq0mlho5ix9KtIaD2MPsJWhSSU2WXeEjn5C - 7vVsnF6/UCSp2jNGewTBXGjKJMOs7uUZ2WFmzj4XkCCjGUApU9WA0BqdmqJpbjB4Qk64z5w670HD - lpH3jCBrNztUSgexJrzzcQUAqWnrWu47QCuFEGYSDliHRq2KCi6t8m/hFrmnck6kUhxvqlX6pjjN - F83py4ygiT3hEqg0I8ny3CCTdazN/BlGbKyASQGhTKWZVzY1As3LRmOgCSHcxnf+/Nv/x7f/z/fY - PvSbv/Xu579YCp5zRvOHn/67H/zFd7/z3R/++He+9g/+/j/4R+9++tPtgiMYakqP4cXQRv1Orbuz - DtnKTB+vvJVNF66cCJxeqVmtHyecVgwsOUH1sYVn254m5wvIKCMTFG1QlNaGLLIMAreOvVaz0AQA - I7IOnrUStPodZnZaEWFeM42YSfWMAlbcvVXa2dwilSmiCNNHzFmlIVF+CA2A0Bob2wz1xGGLpDmZ - ipUV57h5JRq1wzMRmeYG2pK/LV5Tqi1W2zVTCZJzZuABHowgZ2iABMV0tr7341fdrm7bTJ5tSSnX - aSTM21ysrgDADWqTGWLJDIFZ/K4FajZrFU4Aox620beEZ0KRiKhFJKTg9TBn3g8UlzXFSHBYN+tm - hcmEGeLrfiRZRdZ5dY2oiXrzMK2ymaA8JIkGeQpF41fQIDD5zZyrmoLdiTCKFGugcWYaDXUq27y9 - WNTtfQGDlLSPMDevZiZSQD/AwNxIL+2ZD+JBgutcqzs+f5CLWg/AYdTsgBeRMkPH7CBJpTc/5o5D - NgtQlNUBPakROeTSX//059/5/o//5Xd+1F59+2Of/PinPoLecBLMKnP3/MAVVk+YBLrgCQhOBdBA - 86niXCk4AM4VlcdTkqySGgB0VgGqyitc23MdHGAHR/lv8Di/CcJgjmxIo3MzlOGpGKQdzq2ayKvi - EA1r/4I1HHefG2hNJOexvedeX2bZINec65Uyi7Wkast697ZHlj+wzdBvsF6Egg5X4DIGzYInDqtA - Oa+jGIfqsiIO54haZtmsrQ+F9RPrL0c0niV8hzeQinljjSiPxYyA3YsC97d4TP+wys8kZBj1cIq3 - veMKK3mdikzPmCTOPBtg5YTaCwnOazVAYCWJRmidki/tCmFCTQKmKf3QHEi9ilWCZe0A7qmRnCrS - RTLMJ32QOATEzARjagVIyCFW2dKXZqY2NOftegyn7p+BsJX58BDml4ZeXB+/zr0C2mJqGZmsJ1Wx - z7HYW9NNw1xuxJ4QUYabgMiM0Rrc6JyCRlavoybTqxnJExBrDO0MAlOG5/ebzIRZzfzL7FUcwLip - jbKYhs0hmvMT82CZj7Va3Wbjg8tRKM/bBX8gZOrOv6/dxcfpA1TMZ10QYbHDUabtHmiCtc5q2VwE - FQunSYxMIcxpdgje4aBIGMJmf3/jnE2HNFxzDwXRN0/kCFhfq5DkLFWCjmZTnQxUVa+uNxOahw3h - RI7MDDPAGtjK9MemwqU9qrwdd2oC+MNiWqUDmbm+YFUxKtxnaxGqLMGOS6pF+oF3J2CkmUMJ315/ - 463PfObTn/rER//qh9/7wQ+++5OfPX/16dlaxVERbHWsapvrzUFDJhToVVo6ygv0tbDtCD6+AJUQ - InnE2Nqd9Qk144lJrQgOVtF4QiTWeOG1KY7btILJ0rdwJhWirTtm6wgn5JMNMmRMY1YeWgF3h2/A - nlBiOfM4hUw5gA7Utsuosb9HKryKOvPsvwdeUnTQaH4otApZaJZRwZpOpoyE5Qzv7prUrc7IE+ia - Np1woCcckDPZ60wwAcr5MWnkcuvDPSbZHSod/9imhEXHqVazGWCVVGndXkKWFUnqoSu0sqEVz2bi - ycVlNvhWYahbZVWRSpYyplgsFtU534Co6Z1GTuKE4Ljt//4nP/nu937wlz/80Wc++4XPf/7zb73Z - bJVUaV59rUKuDPEeRuYfWcq7lcAr6zEEfCcasBEmKDIz3By1NaZCT8gBttkhnA4Gssx0d7bpXR+2 - qpczgnMICqzstSSAjTAKFmBW4G3LPaFoFil1HG1utfopCTo0R39nr18TdcsSH7s//kdJIxKAmx1L - e0pWEa2VMJWoYmRVlaWAkabUvgdgqzzgSI59uG93PvFv9yOt/01UW0mLCDOatUWALg5Umd6y9qe0 - 32K/7UnfnNmsGiL16G3XDx5SnImfEjmQQxq0ZsC46vvf++5//9/+d//Vn/zJ84exJ3brwRO4QTfP - h01DqIEOLvLwTPhn/+y/OD159pnPf/7smxEZ0ZoRHeZlcQ1DJG7ldNrR0Ag4HGTGPLX8UGL1jkjE - jt6ratSoMeK95w+XZ6+0jjHQGraK/zlQOHFyLVwft04C2ciJg83QWQkzhdhvt3FzI3uv2mVmjCrH - z4LJDIQ0bKf51ibkEEfY40cGgMRpa+zAhF7GZdlTBcvMwUG7S0TuJDNBeONs8QHgwLZ1JI+7hwZr - fectNYyuHTF27/RKXs1y3PbYrbmDMpb+rGjBeZm0k53gBz6FYV4nl6jo+M8AUiiKCFW9N3t0NGAK - jjj2PZG9uc2zjkCfv2VpDc69jg/tKUUY1Vpzq2/KKRv0iQwjETG2vonImhZifEkVeCTMU23t8xan - Rg66nZpp4sJyO5y8LYHYhyIItNYEpkiqrQhb7z8TrfWkstSHNk9yrp0oJTSMvorBEMJBb5O6LVRl - BjMS/mLc2J3WkFWYmw/Wga2ZhZSD7gjtMUiXVbk1PYHey5ukbYtY5P1+zOQhEUV8Au5zXM2vxJjH - ELpuo0NCDEu50a3V2409W/cj4EQilXRrZijhsIiMZvPcBgqRDWEnNsAL5gg2Bkdcrd3MkTx7dmQp - XcPxS8CklnH428Dc13REA6roITSTcygrKc9AMMV6soVXV528dhdLskdlSRDYbKUKZbRGax1jR0Yx - GuHE7NPCI8aFAN3NnxDXafaEyo331IsRD/sYkSPGvi+QCRWmMbLsxPxoPgDRzv301NuJ5oRy7FKE - 8OJhnE869cbNDRvSABgn+yMpIjOyWT8/hYSRGCm6NSQpNCKJh/3P/9c/+9//7F+jnZ988t23Pv4J - Bl5xPHUADz/6wbf/4i++k759/qvf/P1v/dH5bBVtSKikXjno9DoGuWLmOjOgkp9Vluxcxbe+gWIZ - pDuNUBXS6KQIYGuF2zRGmNHMrAjKyDFGIGlq1iDcIjPSNRrB1q0xYIHweawvWnOMkVHicwe6rwMT - Mvd5DcgMKTR5U58bJrKKldEKu6+dZBNnnydeL7uNMUIlCYFb83bvZVEkkac+2ZmYS4sxUkoYtjL+ - garcX9WPfd+vt2u/XEgePcoZmb7cu2fKZkiNGLfb6KeLt8bm+/UWke20lbrtQJLdW2ViIKTYI3pr - xBLlAhAiRuQQsrmZk6siuYBSlSy5Kh5HUOE8R9vM/is8jgCBjYCZl5ikamwP6Gegb9CTQLvd4uH9 - 64v3fnl7wOWCQChgo9UvNqJmYTXgTGzt3KG9X7bT+cnl0lpzYzFgVXl1yprXAhSSXGKvecUETGl7 - Jo0l/E8hk5nRPUnbYy9rTNa5VghbM8MjZxJK0poDbUSOffTe3GCtcFdQWIgcGZFZ1ReLzNsYJz+x - bd5a+ddUKeCeZtzvKe7/P1510LkPKaUR0cwn7+ZAYMRwM1vZaIIxgq2BiAHmmEu8Mu8qBtCRSgVo - vpgvVcHqdvvZv/33P/jRz77/S339i1/+2Cc/cQaeEU8a0M4IRZru672uPaABRE09TGCAnrTK+JGG - oDYj0AogWIqR8HZKjBE5Cw+Awd1P3fuoCfVeUTsjZems8FtGYZHhwdY2Tq+wRrhvYGIXwEkMlxEC - 8aiFAMsgaOGaWvcGM+yopoIAbs3D6vjRfEZZZ0+1ucFBUsqMKisSkMFmVurVvqQcqAJTTbntWzXv - AGZm7WjlAyLC63TzBpKp2IfRYO5tIhovyCOimUcwZwMEOfe11kSK+uy1KDLTYDhdIETGuIX308yT - RKX2fW+9fyB1movxvuOLaql8NoBQMpMK9Bq3zYocyExaMj1uA1tbmItC8aRmQEaaW6vQXfuUBH1D - sRWa7qXrmfnyQXpUUio6exYNA7CUK0mCFqKssWrOk2+gV3FlvQuATO45ksM2OEjvEDMUETBjnVAT - GchMLHmeVjFzlXxn4k2jO+Q1NB4N1QmkeXa4oaPq1EkAQ9V2AOcgI2kJUzUMTFaKu/Yy9WQksoE+ - s4R61kr6dj5vmHfNElKpkY5IrpEjT34ioTGm/OB4uEDRXuYeoUwa0iFymJDibt64BBcjsWgxFIHk - xfE0MBtwA8aORvS2npIyJjdUtS9E5H4bZjqdnZho4gPLrgQ9Ii6tC0To+hDn1myDUM2dK5KHto1W - 6OLy7Hx+pfUTycgxxq125+3hejmfW++nPhnH5IHjyjfNbi+u7z9cH8atOxOZmVszogmbAUYHMAZq - 90ekioIsPkk5InYNEc3b7IsfI3I0o/cG8+a2OEfNQndlCDzKCLNYiAVbIpQ52JbzvT06JYq9bTNj - DykiCZC0Zo/y3Pmgeu+AEYGxA2170r785S/9vR/81X/5J//1X/7ge9//3vd/83Ofbs2o4YsLdO/A - nK8HIIX9BglLLFkaiZwyG1Uj2VxWBCBlDiJp1SpCd5jPKddz9cglmGsDrGZUJJf/q1Vry8HHPWai - 1wZu4JJBGRs9wTFv3uQMkMAIkTJGVYja/DgSyiadUOw3h/VTnxo4+j5CUp+Ko0jsXhy5c5bSSWZm - 5kxxjowfZv1UZGGMAHw2jgiZirHLrFdXLlRq/ErJaQBuSCLPGH1Ae8v0KkgeBQ3saIDmcJ2j9mJV - nJyr6LhZXM1z9yynOA1A1Q1UPmuSUWh9Sl1Et+7W5t8ggJuDXnIBn2+9YOLsVcqZqinVjFYlSGjf - dzc4ydIn1eJUKHZzlzJCULq1tkYpXa8PP/rxj3/87/466X/wh3/0pS99uft97dssFUXVbvvmSFBo - blPBJxt7hEY/o1eLfAww0ZxHmx3AuMaICLFv1h2twX2C3hwJG2lqaBughl3Yx9CVdPetKgJDaCVU - dgK8CeMG6XbhDVtjb2UbI02lEdzgpyXWATJzxlwzM3e/q0urQ+hXT+Rf7+vXpbrVjImSSFr1IJHV - oXDQPMIkPlFAaC11zQbzBOjuJGnsKyHKyJKStO5QlXZeErf97XwkADMxkpJVuF+/VVKGJJvcq8Sq - HLkDjWRv3byJTu6GkYiY7MjK8RjQ2v28/67Cp92s1ltrfOftj33jG19/cbvdQtfIh2zang6/dF23 - fKS6nV63BJi0r//+33v7k5/q581nwxIkJ7tGMZP15rDSwPGoMJlINhw5WCYC6UaCghkNY4yH99rl - cmpPnr3yikqzuAawETt0AwCv0Y0+IgOUs2QvBnb61F4AJVkB0Anb2rQHUMU9WLMN3Vb0LEilxJjy - bcz6jhOsIl2W8i3GGDG2y2WiXyYXxC1ayxLNaLYZ7nOMq5g0P0epZXEPN6prjdDus95JQdSIPa/G - vm2nqb2qgnj3Li/BPtXApoKiM52rJIdwatyEAfMhSzTeKx061iHvl76mqaSkgamvXIkQzHovlY5h - 3g/TYuE1EKaKwIW5bIMFoMStBJSEV0My9utQtm3ztp1baVogR/IujMP6KFYwKBd7EcUvt+5Wmyci - JfRmoJIpQTLCund4A7KEJ3U0TVnwBFwyStIoKWjxV5xYrFbLkp/QnUSlshV7Oj2Ewjc2y20AYq9V - Pf9ex+pqPjk446L1aa2Zy6ysRttxZkvBpX7UgcRTglbWYiCdWCKyI2i9HL0OeqgXLgGwOZutqjYA - Gr2FJvXcp/prys6qxliUFJilawuBzObFlClXmiZL23TKzUsASwvH7kDolCBPhULVlsyJJCyRO0BF - r+a7WdCa4j4C3kBYroWhyQUtTr9ku0Zjy4OO2gVE6TnFuRHZSiCdmdcdAWtkb9gKOgnJXhss6Z7A - fhun1gDC3Nr2yutvvf2JT7798Y+/9trrU9GWtc2m1kRQDihHm3RiYKPQQvbmm2/S2uVycjcznC/N - OAKjwYRUWs1RZaMbRDPfYDAZZq8TfGuV+LqEAF7s+ZNfPux49Y03P/vmp7/whc+98/YbJ0ertbPv - n/ncl/6zV7/0zW/95x//+NfefOsNHtX0if7T3bz8ASQDYU1KRM5HbU7zVhH9UeIx+SAkYZk5bjuR - TvO+zV7DlZ16aYxpi9+mb2vAO0qF1d17z/KoTRF7WQJVVfo2gCrZd3fuB9UgYE8wW/PgkWOTximD - 4jS1QeHmjlJeAkaySgOZ4Q6jS8rMYmBabybORolU7MEKPm51p0rgKdrIqI6HrRF4rGpb+4+EsXc8 - beQj8tUMfesltHSzuA1Abh0mV3a3NqVdbL1NQYcgyVg93CuPqN/UvbVN1VUnEVFDfRqbq5YRAUQ+ - ZjbWQqjzZW6ornlQJThBfzIHFOASbzqgkXnL6M16M14AA0be3s/T6c233/nEb+3+5ptP2jyvu7uZ - pqIPZI7YI4d19tmN/nAdt1u89cZbZk/Op61gkBkid2OUlPsQMhwnsBHeHNyI7G6JvhTSJBtb6eJR - Fm8Aa/wz2soWMxYvNh9dcRFmtD6bJ+ZxXp7LVSVjmvkkkElznHwSLwBUw6CkKhnofuof8fzlqCyN - fcDNW7MlVMtMiXVAw9Dosy0QQI0H96kltQaolfSGtnpoJ/lCozfiUAjSGoZfn8f3v/fjn/3i/Tc+ - /NHf+4NvfvW3v/jKM/QDilmj+REiHh068/bwyIu8I4dihAYorjadilGgubXCmhLNkIlbRPMdnl7i - xqQANBCycn0rUV/lrK21WeWdB2XhkiVcnwxEq22om8mL6BBCs4HrkMxN/hmYXBun6VWuiDYlzzIk - wEgenVOsfHHCcgIhZcT8ItndpZRy7IOAGd0MbKLbqpSo6jiTIjtIYJyag6YVJwqbR4JKgwoKl1tB - ktWJaY+yknlB4uRk6zOTl5PBplq8kEJrjSAk3kOUDhn7epWGaWA6hSeNbJgJemkkXTC31gR1wvps - 9d73vbXVkF2+Ue2Rjd8hIdTcAFJOJy+lQCwX9Vr+nEPFWMsIwKiY6dXhE1VGeu3ND33kRTtfLpnx - sEPaveW00KucIgCg+QYDcVufmuYN7NB0RMBs4il+/A7O8jC0mgZhYExShGRrSDuu9XFELZwAeDOf - 4ghf6ydnjDkYa56sZxFkLlgHue9ghxO+nWBlZXrAuro+PhZddjY2zcbzirkZE5GbwylxhCL25psb - FQup0rod4T7NOk6rXUmKYOLYahsg0BuABq9oNENtTd9LYQJ0h9nZlYw9psShkh5lZpRRhhX6YW2o - gWznU6vDIMkdUoxm3UjvJS0UR0Jm7L2dPvThj1zeeL02QzNrp8us2wqhVZ6xsLbPgqGft6fnV15/ - 842PfOhDH3vn2WvPql0kkQMjx7iAG7eK9gZ42YFMahGCsW+9djyK/MneG9EIzeU9kWzFy2Ie8tim - 1cmx7tgSK5CEcx49gaX8WTKyuVyqQNZIiiyr2RWO70KgVR6BNTRD4otf/MI/UT5/eP83fuPdVEIw - 0FmDbYFjYx9Jr+FkmHFjWmVV43wrAVFtyHbEf2YaJaaQEaSRxsfByXyqqKIeegWkHFH9OOZmxrls - OV2ujk/CqfY9eEopoLGKe5jhg5UA0lC0oK3GP5rRjka6PG9fouazAAAgAElEQVSlXJ+bSYCbE1NH - bbDOViyMRpgIZuVqZnZYFMxWzvtOZG9+x6KAN7p1ZY6xezN49z7PtYKSoKH1GijTwHAYwpGGeXan - cCtQDPgkGXMoSwc0G7qwMsp6RdFhtYvLnMS4VlI90ZkX1sJL3a7hrXkDMBJ7Ko3bguOroeh4/3lI - lyRtgBYRmc1b5VIyZ7Fp8/unBZ9oQYA0OjMW3AfGvr94ePFwu372c5/7p6en//if/Ke/+bnfLMGT - eF/QrF203nYd0bWF3PqpZufM6yy+IvMR2w1a7917uYXlAKoZpNpT6LB+x9AsM+Xu3czrG1XJPDbg - CbLBsru3M065VU/d0gkgkxLpB/SasbkKGjKXUqmRw2wK/Pkr5ay/k9evy+t2vV4Gv2X2NO9WKqWc - Y8eB9e/JqVk4wKdlJqTavxBigJienqjmavytMeLHqbsyr9nQOP9elitTaY17989s2a7qh0jQbTrn - 8Q7RXzro9dJvrPvEMvedEiMRW8PbH/vY7/3eN9740IdhbRdfpGt7NvzcdTvlw5YPIhOetJrbUEzu - O5/89Ec//olS7JngVtYzXQSXoxgJt9IDVzl7Xt2E1UdkBpKEuXAyA8ZAXJHGfu42h2jNPSEgb8gH - cIN1cMvS4xwcPdY7TxGFapIbkA40Y1mNQxox0mcm1LDsqrB43Ym2Yp2fmEqYmMLPpIdhzIw8l+rZ - JuLLQoBMrAyEh36voZRFs7zJ49NVuV+0NDQ/4ko5O2T3WafJhMDCRUaq7CMwu5U1HRdGgpQvdpJC - YrJLKnpDrC6jJHKWCaWU7GAuIf5KA7VI0TJHINyc6KsfuboUEgml5vXxiHEjsRObqa0Cs6oTMyKt - tWZWrlgFpmcP8p0k0qSPObOOEQKttQbJlBKZ4nRQU2KkQGzVMVoGzdLyPIelpuDKCOJGZLJJ7bjp - OHjbBblrEy5GsKmYIBLYEiRa+UBPlM5B0b22DJFZvoQ6RBcHXJEAd8coCRLU1q2O0AC6mTJsupM+ - OhxeiiL58r+sxfzBeEnB1icv2lHKneaV7OdChTbXUjEQqxO+Bp3Nb3KBVFLziZRoMQEgiHDrGA1B - NAzDC6C1PKVhL6voDI+jD6i4iBkMuPKgl7PLQiTrAldQOxBHeeRbU6V4Qgswaw0zUO4UyICX2T+G - Y6Qs8kTfSmQFDlZnTRJKwhxhDMhL8np+8uxLX/3tf3o7v/KpL7/76Xdb1dczI/cyLuFqtpp9tmkI - Qn46P/v4J979oz/6j95/cf7Mp999+uwJpk1cpoYm71A4Vq7Z5i4tpXXCUIaKCKDKKQYDu/UnX/na - Nx4+8rlfvPrRr371S6+/OtsiysPm/NbHPvWhD3/qi29i8FGQOs4FuRmNkiIl0t0UpTRYd52z2325 - JlQIylk+WGAbMsFQ362IkJlZEX5z0SqVKkFrvUmMIMNtZngF4Oa4tNVBVdg5JpJKKIpzEbEHPG3r - +0xk6PN6udYLqqm8FhXB6T5Qbc8IUYBJTRBYLaMo649DFpYl5S1RgoHVnFpzlSKc6ev3gHP9Tyoi - 12I1qy6s8rso420SUS2SNaF2SUlo1l02+VqQdDIrX0Rimgrb+pBKJEyES48agDFQblFrUjCWGEtH - gKssfcW69S2s2DUfBoyazMNyC+A0IoeVMcg070lzf/pbX/oG+hd/56eX3/j026cyBKsM+KC+JkED - UzKtepe9nT/60bf/+D/5Y2j75LvvXi7nFccSrI9c4rjwdSwd6etq8TOjCYgUQFqzOdQlbXqQrELm - PeQeJZ9JImiuNpLMCHL+3Dy5xUAq5I00S6F8QMx9/hkOq7N1OJCsEUxHpvdy1J4b8FGKpAX11tE4 - MUOdJhpSqcCcqyF0EitCjGjT8Wf1Z6agLJBYXsQNgLrh8sbrb//O735ze/NT//A//ke/8blPNR/I - kWWx6rNtw1bVeC0ZLXZggVQSsBSINC6uE8uLxgFYIEKk+csqiII9E6BACS6PsrmJCRrcq3ITa70G - YJJXeleO7bM9gDnVWYY5s1MLNi9wWev9OGZWn8X8as6v5lqsXPZldaLd32HGERYul9IMEDO1Tiqr - 5q36Ka5O/HVurwwzA4R5K8wVjzaIUEBn1dGr+VzUoyakqtDcE3jajA5KQq2cPqSIafhSnQCP8/H1 - g3q8AkvmTYgYCZdawZIZCWZ1uBBPOrImqmemMqWmSsSUyMnUBrhe9qgGMEPMumOqA3qtNU3zbBkK - nK7cZgQ49ubZttObH/rwH/zhP/zEz/fPfvkrb7z++syTNCNUQKkpLChRYFlrhEROdl1jKjPsHtms - xp7UKg/MmeVete5aU7mWcD1MHAybrBZ+LoE3PdcCI3K6lzzClhAWj4aRNJlbF6khT5qjNMKYh1dp - 4wr71r0tC9VqdUFGlJVNbU4hqoZXtZHpm0KrwIB0kGhwZMNOMECyua+PhEIeqni2zNPKHE5kCQoK - H3OqnKYxMaolSoCqPbvW9ZT8zBQ0Z8FQxmrcMHNH2WGB1TGHqqLVrZqlK3v27I3Pfu4Lf/zH/xgf - feedj79zPp2r8HYcBnMHGchY0nsDNjT/ym//bujJ09de/+S7n/TGFKan4sLhZoWip+Uc6ohP5WrB - IZAxJHEWFYjqilfY2mKpcobxaTWC4+S7HzD1H6Z/oGl6CpYmwYg1L/HYplP4yeM4efnryIywmN6v - NDA//JEP/c7pK9fYX332+uuvvdbaqqXUXTc/3kTrVCzt//1cRavhW4GW906EgnE7TGamtOkLvU6G - 2vjTBauGSyhXUZEyQIVm6k7ksi2aiJ/rkqZ8dz7ZTGL4PT+fdELysf3REjVO0xCAo/hesK1ZJ7FC - WcXO0NzYsz0qhTmWbuHcaryApLIwoICQKvoWQ1+9cSDLlzDBKHRCN3fmMYoxZzixY9SykruBUK+1 - oFlhNNUWL+RaW2whbaw2obrfc6+tg+4wLmLBhuPsViASdMINfhSRNPdITn9jLFjEIAcwW5OXvXGh - 5XU61qJ9iXQ/cAvNVMEGB1hdAbH39uZbb/7uN77xxS/nb335q6+99opWOodZkKhoCxYZLcwnMkFS - VZ382A7lIRI6JDzYA0vfKcQ+xcnzbebWxvK4gwA29OldOWF5RQ415AUoDZU3hxkQHYCQxJhZaZX9 - ltZ/sotslWsobW6KenwHyPu7flHS//t3/W2+6tenELlH7FvfjI8J5cpDnEclQ4oxgNg2B4H0/abm - Pn9IUfD/peLG/9+rw/1hPb5b899y/QdAKSkJmLHfd8Ud+eyhPS1RbooksRM7kcIzoM/YBBE7aqaz - amMqMRpGyb5lT38e/drxKnFR4uGniMT5CbyL2w7cgA6cAKimbswG87qQAJ4P7MTFwURLbU3CDpTZ - yAlBBJZHWCZviWukxDN5bo6+UEKxnUcl2QAfv8TDz9Ed2xtXnp8DWCWCJyNa/gLxHP4U/ZXBMmqa - l1RiyAvg18SwGtA4OklJg0xHr4HNUrw/HtLRT5vTBXDPzuKhIcdeTyZ2i2xcDoEEYmSmnTbZdPmr - UyA1StfHXL3SdHBSkHY36Aywkqmik1ywWF0VbVlr39eJpbRLmP2JMgC3HSOznXfjg6PlgEUjt+lu - ZQU9rxjm2aFlVWQvACtfneM3TAmeBpSKGCP2Ed5627Y1/KSO5hWVyvEqc9/fH+PF0ydPOp8W4FqX - viOYN5lvKAaMEEbiReJmuHQ9Yaw3ww5x35Nta2YxdlKwBrc9ESOtLDVs+mmaYU0R4cPDTdbO55Mi - lKGEt14wMrEHrpnoeGKr+hiIPa6tWrrRUq0OFieMvyRSuCS2x9u10sSMgPrMpiyA58JD6px5NlY3 - F4S08i6a+CKAq+DJlnBK+TAYCI9oGU0dfmI3OYTckzRs2KErdhvxlBvSQTzst9152XpebxbRqunD - bKWRK/QhU8PvNOjLn+BRehaBMYLIXo39SIy87XvfTmxr0HwiR7Tmy70dwpzcyEyMB8RI69wuO+AZ - bgEMqCN7CPKE3YRrxxkvTtiBM3654T3sT9FeFfGi1uft2ndv3uAODtgO7uAZ2HbhVm6Iet7NnHPa - 9WqFzVoEUqc1CCFoYDx/2J/btqG9JW8AThVTJRjTsBN74nbbT6d+YRgegAE8uaoncSoqQtcpvEmm - RToD6jCT6Ze3/fbz7ZUGv+14+tfj8nBubwDPgPb+7cX+HOcWJzq8pfX5JgUEHnDawZtwuo5zxrlt - dQdKY/XC7GFjN1yo1Qk5kRUjV/tHYSXHC2BHbNi3HA1ACCMRwunVn3b7ZQ2bvuIVYvPn4C9gV+BN - 5KvjASFsl5WpAhGRmd5boY19H+7e271myXUtWaVEycozkbzeHkSc+mmJGR6BEQGxPzy8aNupbafj - w0TmPiKlrbeaHHG7Xm9m0bfN/ZKY0qiun3gG/Blw0bJSHYHuo+sFxo54VWx7w/sDTXi6vcfByM1a - K6J5Xryh1kUOIWhJ9AhzszYFGTfgpU7AOUkeNoTY4+TuhBL77QpoO58KcAtI2gBu+94aehXWoJQM - xhSFZi0DORJgc0MzABF5HdFOvXbTGEmotzVT8oDaRc0kI0uVW426JZ6hpv0jIIo5tCfl6HZMV62J - 87JjSNHMpGlpjNk+PuZS5ymWjCtnujYQN2A1z7InWomdHfDU2K9obt4F9MmhJux92PugEK/nOMmQ - fQIvE2zgxHVAcAAu2B5A3tzkJqAjXIEErFUum94GsQsWwFDmzs1O/VByZfF6AdwENO+ZvO2j91Ml - R3tE5G7g5s2rx1irrl3M+CNebZ7UkIkU9uvNjb61BUmqFzjGvvdtg9lI7SNoPHV/nAYYknEDE9YS - FkuJ8cGQ/ThCEwnsI8qK3pfPDycSSORNeYuE9TNsK7QB1XhRRGC/XbdmbtTY6Q3kftulNFo7nXdx - F7qjR2Jc4Qbr79FkaNh5+3leX5hfzpfXwD5h2GLxoQB2YAcCPIvnmoJVS9VwNR/ADXoCnRAYQiKt - Bcyu+5XNNp55P5Vi4sNsGEgp2kiPjs3SsFfFIeA3tAbaNfWwj7adaIx9dI2OcDpupt25eZ6xWyTC - 4T0d0vDbwA5kQ+toUL+DS8fw0vWm42rYAUMaBsFtuIct77yJ/ghQwh6ZU4PF46EpUzm6Lxfm1bm6 - xA3IRAxExGnze0xNKUbsNyL9fEnZnsyaunqUVoRWtzgHYsCayq3oHpAz826DCDCkUcYIzMvWi4i4 - 7mHe3MzMX04+NBc72vzjARo4gIfAe/vYMi9ml+6wA92ZgF8CVBrV4B1gpsYIa50GpXK/KQdRxCi9 - d/NuE4KhSvWrInEcL3e6yBDIG2KHOJLBFu0Mx4sAHx748PPXL43lxXPbX9jTOF2uwAnYgG32SSsi - lKcS4tEA3oCf3EbuIbZ+8jcs+zQ9MJjvdAdMiczhRlgteu6ggxvYcsXmXCm97Wm4wq6wBBrCkZ65 - Vf3WeiZeCCA6xsYdDrAlHDCrxrt9D+1X7HJSXfJTO5khQj65NWQOlmpdAixhudDmjhsTDu/ohG4P - D83Nt9PxjCffaQ7yIJwzIq6jWS/AmbzecBMM2IjuL3maCuUqn30WyrzsUlIBBGgNd7gpAVmKOwjV - +2R+j3W6P3ABI2PkcOTWLW5Xxam1ExKDGE1po5VdxeJCFMnq589A7z9L/fx8fhV4ApxqCCEn2ZiG - 28xrgri2GlE5gB3wMxLvvRh+aXbGLWSTaFeHM6qmHZidb56wkRiRI9S33g2O3B9eQOitzzUDPDxc - kbm1ZjTRAzSHETGuzQWrI/jOi5UfXmBwjr9TahcDiIaN2KhHXrbzRwfBmsIiww3TxetS6Qh1vT2/ - cn96urgcuzB2bZbNoyYCqGx/A3iBTOic2qr+FXnvuF9zhsccDkYDOeAVlbei8QTkPvaH1oh2qmT9 - 8f5dTKaUIM1ryDajXAGOU2Xy0Cp9ffX4rE8LWC5ltwGBodiROlnxkjZDkdpN3InmUATCT62435RK - lnPdr4rh0Gk7mZ0Eu94Sst7AOnNz0NKNDYzYkWzttK5wKqgjIjJVY07NErje9gQbdWrVhIXr9Ubz - bdvq8yc0BBk3zHEmIMD3wOfAGfkKwmB4Hvv7fK/RXtGp5RmOveE5QOAp0PKGCHiT9ZxZnAApqiZH - L1gIVAJ0YAkdqwYBJTKkVKQyvW3wy8pXIduFF0TPbIxO3GXLwg18YbhA23wQBvEm2BiAWusSHoCr - wYkOdcCLga0slHghKGSRRjSXeRUc2xV2AzTSxmitnw8dSS38gIjRkERKLYcbrJqRS2whT4DUxrL2 - KUiZDztOu21XgwV0G5fGUyMQiBuk6fgHB30k9kAAclwMLQXdykcrpT2E1uE2F3wMIAZid3Wemlql - JKPn4NVgHSceEZJ7IscesefWN/d2T7fq/FY1w+ThHPB3+Po1qW4zM1M2uy8B4Kh0F6ghZ5ZzMK4R - AALcaTX8rhzwq/mxSYoY7gC9NX8kZlsqgL+B10GR/Yfe7oP/NgvRpNENMmIlcQMNy1TRupuVwhBg - 5ADCbPzKFWvRwYe8IKsvo7RbNG5cylRouqRlAsn/D49UgLfJlm5zgjtWWc5K33S9jtatbSTTQLGZ - W2IrNjOXDiiFK5CEQ64w7YBwuiAz9rhR1pe6GKAZuBV2gIZHDnl215p0Pe/1kSN5iTqqQy8Aw57I - xu6bn0fLIkutBAbzyvJhH3vjpXX3R9ur3tqbWUZk0JYnrRwqjdME+/MoE6reXbSLAjwGx1Qbnrxk - R4+mt5cEAwCYa2JDCXtnMTYCIFo346nWhNWFMKHD7BXg6Z4M1B2RSQX3obKXTZiiM73q7m69pjGa - w7yEucjIfQ9BNOsbrAkArfdt69bo1Q2UAzT0RnADYK0YaiO5cG9HGWMyyzIVhqoS9w7RKqOwVDJr - cKC1mjQDzI49HVYQoPXTOYtzr5TKS4sAkTIDLmYqr819DLmhuduJJRECwHvjGuTCITAuhVcNtq5H - 5bgHh1qwu9SILKTKu8EYMCBojvRjubSYkW3rFqA7PYjhUwiWClVmJWBgDMRmWHIw9m2rR9pat1k6 - JlIjQ6yG+rpvJcq5u6seCOkD6JkGb270xVSoJiFUul7owAhrc7R25aQSymSvAXAH09bMbKC+NmVP - XgsMEkJS+cKHwYEniA1eZikQSNvMDJQiVY5oLLgxO/5oOE1/o7mmWfq7YniO+MlZ8OynrdejV8bs - MZ+Sv9q4AC39BOMeyJFqtpVvW2laETfkA9Dgp7IDjth3DoSf7MLttPVn8AELs761dgMSiEAjL+dL - bNqr16lU5lgtQN6Ro1QD7q3YlpGoIae+spTlNlErbQ4Svk8iTUAxmMOmerBxmys1BrzBbauqFbBt - IJDcDM+AruGxD2drHQAQQ9OcTsalN5Baa2bLSU1QSikjqjNxJZCIGCOGubEZoH3fmWzbBiESY997 - oxlOpw1mKiF9sVpmrVsW6aE0YOvdvN3MQthv0W8DzQXb4FXcmSo6Et1hMHADiWR1WWxWB45XSFq2 - GyNGZDKtcXOytyZANk3kH5EYATBzyqOMImsWTmbyELnR0LcuRY69RMRHb2DvHdiHbqQId3rpcShC - ZkabztG1R+hmW58y6hQApvLhett67TdmDMucE7JINxd96exlVCgDmcmaXJWctr4C9pEOW70aKwho - LSeolF9LnG+r/VGPf2A9+xsIqEEN0gB2AtNYnq1vaSbgdt0N8jmUAxgzQJljJPYdo8Y2EM0nGIm8 - sboRvYa0NUKRA5luTl/TSaaBMva4XkdspyfG/4u9d2u27DjOxL4vs2rtc7qBBkACpHgRSJA0IZEj - SjPWZSRLMyOPx5Y1voXnVzjC4Tc/+M3v9oP/hMNhj8ee8GhCDs1I1v1KURRvQ0oiSAIkJfAGoAF0 - 99mrKtMPmVVr7dNNCtSFACJ2Bsg+5+y116pVl6yqr778UnWR4kNjO8Z9uGcpQpKigqVWB1rw5URU - iyZsGs2AUE3Y+Y1cY7nAUzsBBJZaEt5dW4ulSymiWgafRJTiBYPzEpXYHdp7SWZ8YF3c0SMfYN67 - jYRcJyGnA5+lN4FRULS4yGCuBIU9x+WyLLDmvVODdcVaazYpXUhxrB3ionKJDni71CvEmd9yYK0S - 7LwYJSe9wdO7AhkQEHiywDEEn/rq7ap1AaoUqArEHSy1Bn3KuisYArzNVj+uF/VmTNsd3r3RZbEF - SLUEsAR9uKgcZIl5WYuqQ2MPW5VJjTeXYOpKNF4e7wKA9d7UCK+zUrfIlPjNBllf5KSRwkNFn2Ho - pGhUTHO42aLpl3tvtG7Bw9eU8o+nUEJeT8NxWg/lRNSihTUYVUJqFjxbNWQ8Uj8RSL7uOI7yEUQg - Gx8c3cwhUqhY1FdYAx0sS63OId3hmNdj8w6nXTH9tCuIouZ1+yj00NSorkEgjmkahEUoayzEqKVE - WR20kF2WMU1ZozXCKUopmycG3NEcMKt2FBxBh5SiRViCgaKK5fKi1jgycxyPEC2lHseaH4CjORQo - MgI2eoebFVkpUsvCIj4AKMn0XAAqDN3MfHU3UsXpFIfY3HbbUDMczQA/OtxQDXVgXyyiI6EWEKnK - gRLcQ+uImKwgP4ihdIVc4sKpK+TYuIbMkTW4O5SiYBlLHnNHS2p/LixUtEDFAHBZKhkRbGRqXzIH - X+fqQvMayReTHgIwdgC9edeQiIqjy4Yao4gDLxpYVlDgUrMFQLdm3ekUUgU5MQKMOIGeq5jMGLkl - jhDRIhJhZloUoTpMcKiRd7h5k9whxPMl2XOLHA6lBFPHcDDMpTd8FBOIhCHp9FEQhyeCixsl9PeK - Rta1pgCblR5FD1g6Rkqc1kgpsQA2FV9qySCeMaHU5SBBH8YcGHBH0QpJ0M7MLPJqe+qYzs1HcAgt - 4kBHZ/NdbkBzOLvE2sTEDDbkbudr16U6GUx7dqJUijkaUUZyjVk/AiwxbVGgGjymii1EN859L2AF - hGke3CmwwOAN7DGnjtWgNTeDSyQcgIdGlhGAKDkYoW62tthrM5o1iSLIncs2sefmZyAKCnXRTqww - QydMgQsW6kjKmmFcHimx5slQrRUi4s17MxfqoRTBmI89pdZCIUGLiFMjqAhu8E4CIVE6FGl6b92h - pQY1OscmudTioFnPVSMjKGdwZjz2wmq4QZTUXQFqKZdyUwHthDV07QOsyGpgBG94d5h18R45HZSp - NAeHt2YUKTJqMwAMF1uVRkHAngGBJIREWsexH42dIYIuzMhCxIsCWBzwHiF0SXjt1JgOd9vMgVu4 - WZcpqgYzZxMKGdx5Ec9RzdHNRZRF795bq9RD3ZxrUKQMBnS6qgp9xqx0c2trp2rZGC2EGahFNKjD - LAiYwt0ZJ6CIgCQBJATFnAhJLYnL4hyjFFGpQlBGt00WfQHUu1tvXqJKxDOJUmhpmMF78zgJKKVI - 6rB5aDXEXg65m5lplV9f+14LJvjm5PIEd04uuc6J+WJb4vuc3zn+HnqWhjXwCo7lXpL1T1Xr/vrl - jXKM8uC+u5+sqHaXnt5gLjypoA5spt93HcbVPQ4ZkFNNTItDxitQ0NzZGQCoJE7zmt/Kx5ImIwdI - hyKoQQDolI6cEZ0e/DmJOK6x3oMRLTBQd7ErYgUJqci02V4wk7sAIFjzlM47bVUcDLpbww0WgY/7 - AwhYMB45SIha1FKswGV2HB+9xB0wgUMi8NmTri8AxczNM50Yxklgzhgc86BZKi0AELh0gznVvcCp - 6AqPJJIRTWWDH47cGR1BURdYnkbm0mRkHXUHWDzYfbLCBSM8NgIKx/Q/2so09qgGjM1sVOhYLEBA - kRLRXhnTNKYDeALPOZCUVdOZNlA80FMHehxuEThGgAbiu6gjtrZnCuk4JScnth3hj+LeLVUIR6xl - 5j7aejgpOkiuI6xmvKk6qkeLsJH3iOa4cCwgCIG3ucbKm3mBi0uypB3wCG/J3W9SHRI8jLjzjPrC - 3KoNbCAHwzg1doPTWQQgVBLVI9zcJNSaxrYtoqgogu6pWlRDSRU6jsHjUoKWOthTzHD+NweoZPPu - Bi2TCw440YNvx6IDZjZARvCmmWEXHLo/FxmMrEx7LrvnxvpejDQHekEL6BlYYIQ1F1eEFnJhjxe3 - kb4+qnvcLNu2Dz9/n4NktpEDPlAiQGDqR3hxZAUHKlxgxqZsjt6hjcVwqNhpt8FcjBmep0nFs+ax - nlKACzxCCTSWr0HqHbkQW0uW+XgL80zMCjGXCC3kbpXK3UqFMI9Ee9HkGAshjh5m5t6GgKZap1gB - 4FpZ1QWHuAZeRR1YoY4L+iIzGWQME290h+gIVfM4Fi21gugWGqGY8b5RUCZUlv1Nonrg7s7pNjEW - xgRLCDaOcRF6NBSCLiGIZSB1pCEzoqurOMg6PKr7CBVM3NgXuLpp6JENokiqqpr7WOz6SFWSXo0k - 3EM7xZKBCmkJ640ZYiKdmdF3vFfEgPbe5u4wp4IRFT0He3Tg7UgIsfuPKGkDtSiN4+2FMDFYnjFs - c29wQgmE4m4qOkVP8eEK6ZMu6gScsnHaMg5uuAcMIZNtXxCcXB+P3XkJAmwgAEXm7s5PYiYIOnM6 - vSBnebAUl5yDgu82vMKYEzGkK0C4u0lSpDkCsUcXigKJb7AFjCihRzAre9yWZBHG0ayrlmbpEsYE - 4u7GnoG9liXhfe89vH3+tu1JkNlZ4+/bIevUopiOcZyTe6h2z5xq39lydZjCXxnAKgAibfyMlh16 - sFGMjBt1glClu4zNSdSPgnGW2UNYxQ0dQwHCXf0OfCWXK1TjZcTX0A2+48rNgT109wGL47kx15Ix - nDzyJyH+y8VDXunRGbaKQx5cYC5RTiHVXNO7k66UBo/jbWFk13SAYxO6La1DXwwZ2T6bLwdsLIpG - bXu2m2NMeLmIiFXoaLNY6TjH6iMe5s4ecljMeHbmTAUz71FHY1OZ/zeY9ElnCzcU9x/LH8xX4ehM - ngJSY/s8x8bssbseGFkkXWENFpmYa4JJlmByVLiPb3dvYMcAACAASURBVF3rmsN3AYHeUmxw08eq - mXM6wGBYkTKixYHQ53XGYYRSbKhzxsM5mAsAzHymExiT/lxohKPi5Bkg5thlgTvauq6tXlSK9A1w - cKBFaD9HNboDtjJmc1RB6agelStjRW3DIdA4AvuBZAKeqGrMWhMbkXNiSJ51tGusCXzks0j+Wcxe - PmfIDnYUgylNiSqBkYX4i5jYCj9gS7MUSz6QMkphQM/VsSGXadk/kiGN9BZzNztaO05rucat1WlO - J/voUiGQDSdsRHZzTI5jehydepuyiFmCIZ0eJc3xdnp8RUhUV4hSSGruMvSWQnBo+mefq6T8T7jd - LV/4ZJELTxrPZCtq0CwN0CXTxwWBvsdc5OZupEzc1HPCTqFri77kDiJpD+4hJJbC4zbOVBTdx8Sf - k7E7Z9XtSyjDkYFAhzn6tYgnN7gE3D86NE/Hbpz2KGSboUKvI7psZ+DEPtoiclcERi8Q3COOzpsW - 0bqI2bzBL+MhAd1eAQsQMRPAFpGBMUGNlU84KgtvnQ3olmj4LppUtpbz6eW2xckeyh2fTIc+u2D2 - OB8+lOOggEEBMYGqQiJblBvcQ8vD5/F1ZsFx94G6xsohhe9iWOd5xDz+it5K0L3TGkCKEiGbM/w+ - x8qrH2F3AYVWxw0DhSbooChZsQicyfWGe9kmGrcpau3DPwIOSsp9jcG3jYW5MgHSccx6DAlEl5ga - II3d3RW+IEMZjx5BKmMkuy8eH9FAdWqk6xLGhi/0wfZuYPhqHzBDbLdndx7nq1sxqTGdb+Umxmj3 - XMIF6OqjyWM9TIncT+PdmV5h8OQYBN4eaU86IkOyGegGdgEcJRIfGIb8cioKT1TVHUZ65LN0pxkd - Rj8qSVRGinmHmFG7hIcn4vCY+zYBpkNDrllfw8Lwb92+R9Bt6OZhnCokb2gwehwwM9JScAlAaIFJ - SIMN8g6CSOG1kCXOtlfvtpeEG8vJ+9c2fzPm3/4vY2mYiJ5swu+DU4rdSAFEUKSEsmSEDe/uagO9 - DdQhjhW6BYLpnE7BDG09FnSU8qDSfVsLym0dRXczA+PQNxbvFzcCY72Cd0/1D5lOCEBKCYz1GknF - itBYcfG6KJbDxFWSKOqqFeiwFWwQE7mI6PTuoePiuYSKcZWLEstTPhKlpKpobnBNfK7NPRheF5IU - 3PFf1KU5yFKg0MqI1AEwyJBjZomJxByt5YYnldVCrLB66IhHUghrqdqjNbSr456CTqwaEW0zFYID - QKlwrobaOxxjceFHWITnqEdFOYZi7T2AkSSUQOse6XlVo1wqcFhDt9RplOJUA8y8hgTxUhaqQzok - U9YAAN3Zj43KUoqqusM7eoMSshi4jn2Ucgur6cCKcoQvMKJLKPB2d/EeHFKA1lpjUYmtQbjDhEDG - ZmJstHIR1Xs/liJQ0BXjIxKorVYByoiB5CbZNBeUwRSOkIygUVNStJjbiOsdRNfSiUhEw8zoYTAA - BsWQFcnFk0cSJ+smpejW883hvZmgsmi0r0sQLVRBd2Pr5nQ9mMI6pHtxg6bmslKHmKPn8s3X3YJ2 - lHuulYbFVO8Au6EdIYBWaCHVDMejlSVgKut9BUVrnQc8iTcl9KUQE58a0EzyWid8ZekQp/AI3CUu - gBuxWkS/5+VYcEEcYtdhnaICv+rNIgICgCa4G/NwN9Thk5l7pP1SnXEs5cBKgjigQ0NC8aLn+RWK - AzDBseMu6cBN8CLYBNpz04ca2qCK1QFQZGFhRDfN5dDaUNzzlB8WIK4rsLpHRmsvrLne7AYDioCF - rEAJLchSICU9S6Q+6ei0Tsc8kZsI8K7ljGI6RLCOd/uFLL6gX6IDFShupd1ZlY6lQQ1y1bgobxQo - gauO9QjRkbInoWQ3c7NYd1pH671WTR6RDmZUUqsscowcSmhaO4BaC0fmOCoOEtl41uiYDgjZ3cy6 - waEFEA35wUjtRKVKIcpBdUk8JoAPdWR2i+CkO0o3ipfAbiRZ9pBSRZxYW4dSlEWLqirqJPyDQVoq - hFhH7yilSWmQZdsTjfVUsEJI2AqP9MWVY1+e64LesAJ1gUAzqANu6H11sIiId+/mDtaSm3e0Bjqk - eoq+uwpZpJTFgW5GmGoBDN4zyU4icdaPRy0CLaFmp5ppN0S1oVucDwwhWDMDm8R2c86dWVfGyXbz - qO2oo90qjoRGx3RAIUkdDi/SmtMRE+ZyqAUddg/9CqwoNzBS8qiiMnakWTXhxLVEqk+4dcb6GxQZ - CctiK2BoDtqxLnbQUnQ5wjt6Cajc3DtjU5CpuQKeap0wkRKEyIEtRhh0LwYpB8iEyTw3vUEHSzU2 - N1joa3prpEMFRQuriRrRO9q6qipEXbadY5zqRlCEFoEBV1cGw+Wyc70PNooUJoGnwVprAERFWISS - HDt4Kke6x7OqpIBpa+YgNTJ8A+69NXPWpcbbt7V1JaRogRmuOgpRKMBd+KvgzVf9hvOmABWd3mFy - 4nQGajC5SvAeq+XugPVqDQIutfoSqxQnzK25i6gBNFvilDW0P2RhURzDCbku4igp9D4FjHPtDvfu - buY0apHQrDA/thJH+xKrAkv/1El3q2YMEURVVXD4WUvp6az2+JEDlxou1gzmrgRVNQ8t2H3yqiMk - hX3tECxaQKdrJnozNPMeSY4l3WZbV6lVQgqjltzJtg5rqAIzg0oZlU1sUeZu6D0IX2aOWHAIYfQQ - TJCgUxOkdT+aL1VUBBRc3fPuqOojh3Uufbd2fYDl5hsxVVvmVupAiM0pPIX7bZyOOVQorFoiS7K4 - qRi8+9qg7sIOdEosAUtR0WWsZaW3BslEZEHMJ5ReYA2IDGJmbnFI2Byr4ZCnzt5aLzEh+Sx8CzTT - MXLlAaJdM5OQAtKNjaqwwg409As3iZWi1hhQuc32sbvZIHgZPsUimL6HmlSHWR6CJahmQHN0g5tV - 3UEnmFuMgMAMHpo9FRmDiwXQKlh7zvee+qmgQ7SQPTeCvaMJxHsZaT46pOcwnzMeOkDRkgnr+8Sp - m8kxpxIuSj1Cj8Dq6wULyzj7i/g0hec56lRv98C+qVLLMnY+SAdlRnOlcA6gnSUBRna/B9TdE7MR - xpQKJZU+VnqxDc4TzdbNVQpQBXT0q1VLTg6jG2MUNxI4E7FDBhQ4dm/WDocaWb2UUO3iltuCAHrc - u/cGQEo0vlDcGq2nXGnv5gkbwOCtwbrLwH+QZBhnKONSSqKpXUJGeSWEqBE3ZDBDA5SGMqf9ju4Z - w56uxymyKTk6ADdrx2bWKxZZWEqiVAThHY2oRGRs6YQHDcUcLe7Mu8RdxxJkFzjgLVTscm9cEdqJ - DQAa/DjOmvI0QlVUEBJ8sY837+aQCBAx094oDaKiWkay0oECRCrUAG5EhnRVvnAsZiZyIVF6jcTn - G81q2ws6SKE6aN7X3mvk4hKK04FuPTItW3iXCBCBEG6tZVBj8M2F8DoQ5w1ULBpYX1rvzfvRwVIP - EIrSupvnSUE2UL+HdhvlArJYdBcheIQoEfm4CQDSMdI8Jh3EO7xBCkAlRItsLD10M7oLSC1kcm4S - OCSKUrQiwpfN3JyukAVrB69wQSn9UG5ExkGCcLN+p/VCXIgedB7ZpJr+GoHqCKShw8OJqW1zJykl - z1V7h0gqn8cm1NwCyxo539Gtd5gLLy6rGtBzE+1l3C7hL3Ggd5hbkQ6BiFYUB+FszSokI3UiXce6 - hlgdJR1YyB1EqCgaOlqXxTKKL5HC3jtFal2QnLecQmJ10NL7xCGZiI4zEiNW8958oYcO4wigNOu9 - 91jwDlFsxGDFgKDxBrDveZoyYqiBbTVARMyTJAaIrC4CQGAmOV2IoNSJciiATM7LcfdripF/7fIC - mJwDAOOEOmdGIlSjw/Ukgslt7CeouClmMIfo7nd11H3qhfFqOWUjSPxQYKCq9BhDBVCB1kNSNka4 - pYxAldglIwtjs/gOKJJIKR3sx5xsh1ZSHvwyXSpR6RWZrRkIwEfzTTQBZoKXKYbA8KA2WYDYmh6Q - AnGwRQUIcBjVkkCTEDUl0CshKEKDG6yBGgkpCQREZMRK1HBVkQJs84SavAwNrn0uBpghJLPwEusa - cjpRRQza0fUcNeQDC0LkpyHCSCFQ9SG/wIH6lJAu50hvMDtAnpZPxCFQmAoU5FkXKnMn6QC5JDTo - AFGQmkGzQwIChhsPzEK7ecsUW5UO6y1wnlG9sbp3s661CIYC+1gkiwCSbJvoX9FbDAj8V8O5Mnb6 - 4oJu8N6FCOUqLYmlhhYsvBjEiKEI12b5PYds1zgW71ytIxLTYgRoEObNUUJBLLf6ju6o+T6Ode2q - VC2I8BIz793ZnUXSKxQPBtQBoOOQSFwq0yWOkJWQqsQU+oEK3dODwGT20GY+b4+XlQDZKECFhM4I - BvcQkkcdjm4dKkEMjQGmXAI9HQ9BNsmkYm3PjzZX1EPuzGkAqCoR6OSBihxyHz4bki5isIIoKaUl - ZXU+0J3s8CoFYh1KpGNKF0Yu3LA5YMlHw6tEqhnk7BbpUQgCRTzTZzjjA+57L6NPxZF6ccCwChrs - CL/QZMnnoDQUx4UDgiUGb7WxMRMA6jgQQHH4EayGC8fFUKqJLxSICSxUFWpArAqgELx0dbE8bXBg - 0dAsBiOdaQkROw6XKuk6aoFDyjZJXDuVzRIWJQu6ABVaDpKVOpuVQKmFKS0mAMuoagI1ehUyUn7Q - WCgslIDKKeDAa7l7eFyIHTUyVnGck9WcleJ/nkKD4WcFJONYZmQoHC05UgnM707fe70OVBB5LhC5 - g4NdElUAkiglzuQxosOGM83npeyUKCgQVcgSb3RC/hrvSYR3mogutyy6hBYsW1EVSR2lFERCByo0 - gvvnukJ15FELuHc7amEUIirNY66ugCRDXkRDAmO02Xy1mGaQU9SoMVFiyQ3OdnWG0m9VultDyfSl - +YcFDrDOqIfZRiHu49u0RlBRD0NXdzyRs3JCTPy0K3Oc/mUnOunqQlQALESPqawAlhA5EmYdFcFJ - ytNxwWgXB/L4UhmaH7s+PRdEcyDkXBun9iyp6hI9Iv5VAWsNeRnflbmO9ePWJuWGsDjk+lJy/6aY - j83fBKxakA+cl0tO0NCg92C3FlAR34+7iPycPYVSSqWojYkywWsSuAAhuLzBy9zRQrIXY3ohbJDT - Vvp8khIqBTwg92TbqwqlzO4qm0BF9kMQga9LNkOyc8psvMhjmQMz9IdzHSaFi+SUwhh7Eq2cnpUq - 6ZVz6Tsra6aHyZUHFsgIaSIQC7lkBu0xJxnk+qx5AlIiYtzJkXMQhOTYt9H5Iai1xPvL4CgAcTaJ - rdT39Q0ilucxAPMcOB0UmSmiOJwVWRSU/CsoKAcm42OO6JMHENwknuZQyt8LcElUYYZ1EntiY+Ek - vWcWglFYH4dbUNYAu2INPyIgMIYrCYfqlLbjrhQZpBLxgjIS55Goigx+0cPFTWWpSrkskYor+nXZ - zyOz+YYyTFFRDM5z7G82X0/sRhUJFiDm97yhjP9HKCJVwhXlAAGkZhb2fBsN6kh4ndFqu2JpOiep - 8VYquODk7DOd/zYbZYfnyfipipIZwZjYQlRseLAxKmWbzrafhJsoXp7SLkBhqdgtGGTMG7PfRlfP - A/QT1zafqaKJlc7XPVn6bhcDHJMUp/+QsRmU0yqDxBE4IawqNzKDElCgrDvnm3eSiISCMmdIaMn3 - WpSqdYw7CkBJJzTCO0mG/JHMsgsgkawpWlOLItVlEAenPmgmHPfF4GvO2pBc+5XcoqU7FxTgQFQR - mZJGUfCx1wwPw1iFlF1LSl2KZDbO3WwiglLGMKeCXjIshBDPnhGuckyE8b86XjB7aoi/1nBwrKOb - x+Ikj1xHs4Y3UgbmR4gqg8fAcK7zsuiruRue6u7zbtw1qGBMFzGlZRTk6aickEkMMEqkasnhJpLp - uZgVC+TuOld/uo2NUzdJ7O+78xGCMdc6JmQUkkdjIEf/XMCbkBp1FRMiLBp29rCszIAUwrNCSmwt - Tmsi+5KIzEGJMShlx3LLLuhILSAXIHzoMq6adRyI96GyOMv+7cc/Ov1jeCPGGjX4MOmrx2IwJjcq - WAEQkTJlr3MlwxWHGvlYizGpsuOFynBtQViK+w9wL2bBMtorp0HWGtpo2YuUQFliPQcIlMri3A0f - AEBd6qADzZ0M56jdugV1LA7AmAS0UISiIyNh/p9IrkUTiJwzQ57onsxPr6N9z6Hba4Np+ztPWmQ3 - kEf/Gw5wu0LTG+zvftKsf/3CBp7DyYAVbMdFgxMbx4kGlUTAiCSrSKhwYiS0zKLtBi6Qp1W5mRpb - IUnQMntV7CIEE7qNuSWqRAts2RBm78pi009RE1lOhnqKA4Z7WgL+sBb6Ry1GYhyrEVvcipQYUoz3 - jV0VE/ap84Y8jJk+todDsQiHLEtOHDpqlLGNWpA4VYnthzoif56zJnFEnQoHE67KZZvtCM2LOKzB - AefIbjqGccStJH4NYAuGlLyII9q+Z1raXKEZSCctmISOAnhfCUuAheKibXyZicFbLmYoKXGgCZfT - 81ROwajAUKMvUkIAPyaCOKTrQEgnKhH847m0mIeHY7nsw5mLj7gUB421uYtH1EDu5UOuwkgNdcwI - UhUHKQVgAwJuFELpW3d1sEE7vECGXhs60YUMXNeP8FROFsTx1xpBcQO3DPnclHKAAzSwURykOfu2 - KUFi1hTv5jiSS75ZrN5HmWL00RCZSBjKH+aRR64DI/F2JwEcOupIwAqBTWgyDvo0tGy8RGCAOuAZ - UJrrr+idQqPsYKNoN6EnkSrWdQKALtzlLsBom5hdUjfBPf3YHliYnXZbGw2oI3YcbVSkMVD76bSQ - PsqwCkyDccEKWWLq74QRxQUeeUotsu65S5PweAndjhlCQa9s2M6IOOjo5tY9mITG7L6GTgNQYIMC - n8yfWKlEBza4RLQRiidxItTKOtwyDCNF+/MaBwuKTkomAO0QgtqhipUM7v9i4yQwl3jwIQLXC+oC - RJyCCcQJL7BCcdB60NWLjGWSbvcY+OdYyGocG/F+jsq09Pxx2EYHClSWEbg111YgpExYDDswBIxO - nLTWsSjKD0dYfuyMrj95d4utZ3DrVNh/Z1yv47dYEJG7gOlcI0nOyKfLme1J1+5JDJ8dCsrOeSaQ - 99n6/4bG7dC8msBuculinSw8Kf6GWhMD8Z94ALcPx5kjsuHSL+YpKbZ/RokQ2ooJUvnpC+Z9EjUU - eHI/5jI1/XMUcTqMdJIysbssJgSoWfqt/fSkVLt2lXHrDY3FRfayXHvmVMatZucrECzzpGDfYLK7 - 536FhV3hgROQEuN1ldhOfEba7G1V/aAuOoQ3tg9n3W39Yv+dIT22g1xGGxNQyUBxbEsFEAmdnt7s - 9FcCBYXJlblW0PsbflcZOvvY9Q9jfAu3QueHJwEI84xhXsDcRXEP3eZ3L8EKLBdY+jjMOXn61vJb - CfddTwGhgMs10gCz4+ZUczqWmStSmR1xjDjuvVVglTl8Q+mVOSQDedvAJB2nQXmOMxbDA8gYfXEQ - rrNF5/aN3HfyRD/3K4ido/TTPyVOuvMEHNISs/5mhznp9YFYDZ91rS+Na8LRbUNk92xe+30sFsI0 - 98HTsV3vVHsg+OS5BAdcmT0Y86w+v1B2UNSGSEYZxu3n5H4KjWJ+ZY6q01cG8tQ616IhRZhdY2AD - BQrqAkCAw4iqEUjMcLuTDIymkIH/yBjju0649dHp5AKjwubUuHNn2dUWwAv0AoKd+/PNfe3GOmUG - FI+3iUrQSF9J4jB4MflwKrZeKLMRx9yhGnP1gNg28GhzadNvzGLMZpatHXPZmQWS/cWzH29VeuIw - 957I54XcXeAnl+272+gEo+ZG3W9d51oXjYNlAYQlMnRFAXXXNONMBgn9RPeVrR4JDy7J7G9g+grF - IMJkheoMnZuVsRFecQLqEdsMNytgq7KTMTbcY2GutqI/hQKx7lprunNs7wRiNng2ngCU3Czvm0rn - OnCshyQ0bTEWKwCISJcSp+gxeJaUHnbEgy+AmiylMgfvqJKtvLtSq49hSxJaEzccTn/nCibeF25w - fGvefvw80fzdg8c4xnQzvvtqguY7ISaZk8qUX/TsFODejWO/5Nu/2uhFo5Bjat2VegzS7Q20gpex - hNnmBRHMrjaHKqkD5hAALLnw2aOHO4+SP+/OBfaPzudz1E/sCIVAGYFWm3MGBDxQ5WRJPVf6w/sO - FxN/11FBnNAtT6bzgG4Fg746p+z4aJKsx+pqa0+kEx3NsK3ht/bOcm23ACC6KSXlbA6teTiUoyMJ - i1snFHBbpnHXyzAaZtRnJpvJrxMxbhTbaGI2SaDy99v1uft1tkDIzvadrKf6d1I1Q768EVdAgR+w - Yl0Bi/TxSZMLPqZoh8SkHrDEbPo9jIOhshd/4X3/f/odB9wp6wwUxoiDjrg1LuDS9u45sop6B4uz - TklWOKpDDLA7EDuqvsIiqAuwwBVGHIEjUICbO+zpCuzgRacM0lsjnKh5TY5QQ4ZrOHDTXbHpWPlI - fNyAC+AABxrWe/dqIS5qJ65gYlo71Uf1cd1V2xIhX6vkMy6BG97R7oGAKCSQoFmlvpOiRTKa58RO - IPOYRrVdIMTw3WFXUJocVsCAxaBwrFcAUEt4hJYaQqNk8GJ34Q1yAS7rFv6JBVDvsCMQ+T1higZv - OF6gSNNIm4zSoMeOuqKuGz+67YorGOjt/e6k77K2AuitF2EhupkzNcej1wm8mOPK4EBx1BRVjNSh - OvOlSqLKfcRH1cg4ZHThFdCBA44Fd4E7sIdbf6gDC0GswKvgIwCPMYUbKK9ALo5erhxiuJQr4atw - Qi6a17U7tYIoQEELHUY79rVD6hKaY2WpniGbqA7xhhkJRSBZ8OiskXh2AehHeAOqUfsIOmaeu6xw - A5crly4JVtIbQgeqdbceWVdYdCZDm5J9sNx9eiri9Ui0RsnjAsFIVLof3tEp43zDcHW8KrUU1fE3 - nz+cIjM1ZnHLiTHSFKyAO+td1A65AegV0IADrNgV7la0EiF+eBh+CUPTbM0bDrQVV/egglqtlJ6a - WEaUCqjn4SzQwIaM+TsAh/lGbe2vvvqyu6vqcnGptbqgdUAaZA0+OeDwAhtHOxIhVCA6cA/wjouG - wkz3fEdg6A+jCRwo6AVHtMBtCVyGMwhFEzlCjpCDsa5AQVPcAe463hbJ2WNjrIDgCL+CHyGXHZf3 - kAs1BjdhuviKu2gc/enbzdWnS8W9+YOu4v7jN9D8/ya2c0V+O7u2534d7U3aRm/8Ym845F+7rG/A - l30tRXoDFvsNYq/v8P/unv7aWvGv0tbf8TtZyL9qTT3ge69vd3xzDYbXVu1vunf63pf2/oq8/pdt - nrj/t7O9JvsunMQbZ+H12uxNUd43RSFfB/tes27fpDaBMJ7+8eTzIcH14Evuu6FvP1wHCK4/y+// - cIfMxm/EiI0G4HJSuhEms/sukalTxSP1JIiqiFylZpGGK4/GdV/Wk8OhBKAsz3lGOQe+tLuYu8kt - D1KwJR1zgEF9D+jQO0Rlw7ysNWhPveRdRfDa7/czRR58Oa/9O6rzOslgqLbtWihJVQj91C7wco2d - c9Ke95WG+3OjbC2Ib4ds8RYhAjoP8/d2/1nLde8mozXcIy87ASrZrPfepSoi15i7GEVLanilLn3A - lru3mrGnAGYmCCAUucyDMcpkGxtE3DPDRqCNWDuOQBEcBHEuIExMjRS4BluNYNHUpemtwdfiDaVK - KVUlKLDdua49sjhn0qmg3rh5n+dzGwnpuIK+LtVhBm9X3eRwINA6ioakg8ObUyeobeb7k12KqITw - nLt1iofYgiNoycEKZehb0wN+DdG8UWceOmHRNoQw5DJnOUspcj0cg7MNdz3r2m5hHj4A85h/1xf8 - pCvvTn/nu2XCJgEJ7/Q4E+IKjSN+3fra5ltOeqBDhDdv3EjRe0qcqYARbMvroLUPl3dyjpWl7oCg - R/QgzNA3N2bpLk6d7mnZdiUbbvW659xe4YTteDqkvstlwrznfTd60IL+vAb5G7JzRX47e+PUzBun - JN+VvfGLzQf89Ne+1RvGXkuR3oDFfoPY61sz393TX9vVf5U3+o7f4Wu45ru795up0l9v+9tr9NfP - XpfS3v/Q77zafHNV6RvEvotKe7PV75uivG+KQr4OdoZu/3K7D/DLn3nyYwJ/O/T2/uX1dSBhwCz0 - 07/jO3fYEVFzEiATCiaZBe+aBF08ZAuRmDiHGyJdGCCk7jKdNQMEhZFraxaXiJRZuwLaCaTiGPe2 - obdDzMzBE6ShYGBP2yvoEClwN9J3r2HmTCm368DlKTY6f7uGhvPap/fX6Q663V8iW0rLiQxFgIg7 - 3K6R1se7DJQtMKyTT0/Q4fGT+vzw9E38/hceZdm9iWG78wlABfRMouoAGaLu4ugMTQqGNGzmssyU - qNYtEkRcL8z4j8kJTbTKRnCywiqcVBOGNB1hC0BzmIwXseoANBNZzZDx6LlTV9Yic2wEIouKSyTk - JBIGZbRA4spRnEh/eAJk9x755WaFZN35LvFzNlO0WIKqeVEigCFvB9BNzHroAW+R6w5kwmphZDh1 - uNsYcT4yRBicTrLA3dzcQFUKS9GtxNcacI6oPfSZOOb+FJ1bn59NlV/jPiLp5Cab2rIDTmvQ6pCe - 0Z77cXJdviydgUPoUguc5mg9Cxo6qad9n/s7jjfcuvkob6D5J98bTnWL3eH2jjKVSLA9Q/ZVcVLu - /Mn3HuF0NI5WPdvZzna2s53tbGc729nOdrazne0NYGfo9jXZyQ4fG/Zxkus2CYnXMYEdXuJ7/AQ7 - 0PY+nHVnD4TurmONg226zypx8pygBm6fuoE2EjKKRFaBAHgEALrB6cvkEqfkb+YrnW+YCYB9iEkO - oMkcFqAXXCa+NathJ6e2+6NbqF82s6ZUGzIthMpIa3MKwuz/2334QHz22+C2J7DNUCLinuwoHDiT - nyKmKFpE1wc0UWasdU9Q776SzNcOG5o+Ezy6Uz3p1AAAIABJREFUn4l9v219aUK32x2T08mNiQ1A - SKkCemoVSyZOTYhvwFluQ3f0QYUev02QLsiqtEbpFSrM5FsCEFYhqIcUt6QBK69a40XZfI8LzA1w - euQSIFBqpRPoAyj21rqDoNRawMhU5h0mDqGgDOXAEA9xD42mUkK3uENIlotSGwFgqUOrSQRDmz9E - jMwM4ollWzduuZiEgHe4auRgDcjQdyopQ1qXAQ/TkDKeBCEWkg9GpXRctaOyiFTueuFJJfvub9c7 - WWSgn51A9g09Acrxi2Q9D7M9nZtMBW3xAkaK+g3t5rjm+oAafzbrrXejeSSQGqx0MM5mfGK4u2E1 - fqTvfGAhNBTuQ66gbu8ieyEn7Ee+nJ4kDU84Lht9GqfXnNxjq6cNXuZ9p19nO9vZzna2s53tbGc7 - 29nOdrazvQ52hm7/cuMAP3asrPhLykcDmCCHb4CA7O+w2Ql6m3THB6Au8+L7SuPXSZcYaOMopofM - Jk++xuSmJUg5C0gHBCzNzFxVI3RfHO57QdjAibi7H7rAdgHR+1K7wRUCF5ggk90PhXXPp9oJzTU+ - MFBLqStIwfEKEgw+EQBuAaNdR29PubIPxG1xKjzA+y7hTjN/wkwGs5nql6Fn2lrkroJ3COG2mpru - uscEj5wRFH+9QIlaObDODN4+yz5g4nmv2bHGXThFg+FRkX3clNGZ4MhcczAC1t1XURGIQULJVgwF - EaLegG4QG9nOIVKyF02gbfR5AoSMLFPOkWkEEPfMIQvA0Li6ZCJZckjEZs8hmUm0rHdXF1CUEqnA - 3H3k4SM6Wl8pKqKqGhn6ejfRyFDkpEDYzYjIGrYdBkRpi0Jd4R2tQzpK7Q0O1AID2Lv0dWhRz2OF - DReEFgFb7w6tiY27jPx9raPAxTvAzuwGs5VHY5qZiXkk7xytCgq0KACzrqI87SDXzU9+HkchG/Q/ - cgWM72/qCEGrlokC+y7DAoUIOePesADWXApY+z7b6eacZKuW2Y0JCJQqrubi4/AoSMsnw+Haa216 - BnEjczRBcbe2Wsmcy7hehD10m4M1RfJ3IyaKumnEcKuXvCkzI939bjra977Snu1sZzvb2c52trOd - 7WxnO9vZzvY62Rm6fU3GQXGdaIlkKqGACIIRttFTeV1mMS7bkUx3yMAOcXgtBTmhiJ3cJgQTNliH - +6t898VEK9p6587LL756u6u/5fG3R+hxpHpyiD8AvNhR5hKM7TjNsRYf+IT9PPHtE4jXE0C67xGO - O6+8cLSresDDjyyK7kPsNcCqZPfuab/31cNANR9YdQ96nT0oxNNPJx8VCHgsmJROHK/uvvLivat7 - a70stx6XG4dlYG+OkT+M8EGLJBDZdPORWSUrEgkdtslIAPTTTpRfNRCA+K67Jc42FQymHq0TnYCb - eI8idfSrF7/1zdutrssjtx59NJQAxGHu8CCuqnAk99z11NPqG4/jvv4lEW5084ZuIswEc6u/dLy6 - d/sOV9Ojd9ojb3vLcvNCCTMIhKIg8/zBDW6w4LFKt8z3KCKgmMN6hztJEQjpRHNKUJXhPO2PEm/S - O3ozVymYEhduMDO683TwSaQ7j5YXAWmteeZAd8C3HLwOp8PdInWYD1UQeFRHAukeJFfLhjcLRnQp - 2rrBbJclExvQ/0CXcEK1Hh3YM/lmfih75HUgk57JWQNP1W08BojesLbj7W989Z74Y+9qjzx8yE87 - SFCc4KmiiGMSww1OUlTggu6wDgqE4fJ2Osm4b4QxWLdRUUb0Oy+/+tLz37qoy82HHrr56CMYmtnX - AeD9YN2S0E8asu6vGn57L+Hg86NBn46XBfbJgc92trOd7WxnO9vZzna2s53tbGd7ve0M3f5ltmEP - U/M1MRNJsUzu4IxTNHCHbc1fcf3nbw/a3g/+CiZZFQCTbjmfEJiDJe2VGzNtB/OEGdDv3f76Z//0 - Tz71+Wfs4uIf/IN/9JZbb7lRKzsgBoqggAMKztIkKOYpo9CJvtOI2ApscJvEO4s8Z9b3NN4EGK+9 - ov35l5/99HN/3i5uvf2DH3r4nW+tC9hgHaIGoWioCW/AysRfBtS1q4qtQA8EYWzgW7Jv03F58H9x - TSC4CLBUuL3y0ouf+8wnvvilL+ojj//dn/kPby6HpY4WcZvf2D0+YG6NhihZASsgzNRwsMh/ZlvB - d+UeYg37JGgbG3qyboEh8+kOsMdHokVqzc/vvPKx3/ud515c+ci7/v2f+JnLJ24cKigikG7NXQB3 - D1BUBjv4BC7jKEf09ynuIFLgAMzczXaMbMftV17+k+ee/dPP/Mndb70sKy5vXv7oT/34u5968uEb - lyDcPN4g5YzN4B10iIrWg9boe24GIclSFO7uvWjmsWsOcXd3opckfibMDsLMuK60aBcsdWtqEaFW - DFA131Hi+Q7JSHzRkicvvQEGyeOaUqAQUIWqTODQHOoOZfA3Ha4qcDfrFHF4652iIkKqSEDNO99y - P27r9/2A0UWz90o0wnamsWPdjmMm2dptXGUOidc0tNsvffrjH/2dzz//xIf+/hMf/vtveVslAF8B - BcUAhsjGCWgKwNHX41UXVRYlsR7RrJXitbpw5yHvO82aaO6AZZ2wr3z5Kx/99T94+PKh933wqR/+ - 0Y+4wFj2ZeYc6BvNOP41og0++CnrFhNpnjcIpFi4r23JYxGJ47czenu2s53tbGc729nOdrazne1s - Z3sD2Bm6/Y620UxdQioykgMRcBeO4Nxtiz9Qgvj2/XKJ/t3gAYlS7AGPDe4cwgnY33F3e7/2hz1X - F+jA8c7t5z/zqT/65d/5Q3/orR/4wR956PKhWxcV7s1orDrJoPkymjjmRvozpODDwIg3/HCgR/ex - RncmvmkUAG299+LXf/93f/sXf/sP7eHHf/qflve+4ycvI9TbJ1rLPe9vPuYkOnyDlk4f+ICq2nGT - rxfOE3Yk4R6J2LAxDfnSSy9+5tOf/N3f/Z2H3vGeD/zIj9WH33qjUgAPjYUMxSZDaxYgjLAdKosh - dGATXx9g0mhYd4ZS6kn5UhtUtpcIcQy59r50mHfnKkFazSD/dvf2Cx/9nd/89FdfuXj7B9/11Ie/ - 79EbNw4j7xppqU46CxVopOIUyTrBGT3psgbXMSKKHGpZgYZXbn/lay/8i1/6/37rk5/78he+4Hfv - XIC3Hn1UDlovL2697z0UgaP1JgLRoaMQYhRk637n7t0XvvWNb3z9a88//xdmfuPmQ+/+/nc/dOPy - 8nJ5+Najxnp0Ukgq6TQDHGZwGAWiAChkKej28su3v/LMs1IvKGLmZr1ivVC39eqO1XuoNx572+O3 - bjx6cyFpZt6aaoFSkqlr5hCyrcdnv/qVO6td1PrEY48+dHmhFZiSq8G4BRAHFw5zvvTSy1969tlH - bt165NFHHr51ixyaECMbGxjjbQchn5wljK562sjbCPAU6t0GSorMCk+HyPymGVrrFaQo4C+/9K1P - /dEf/OJvfOLD9y4+8sTTH37b2wAADQCgnm+05QRLLNvta1/98m/81u/duSpvefw9f+/HfvShhw7L - oZDdbXV2kZ3Mwn5c+hhe2TXd0Y+9f/Hzz/zKv/nVtzz82FW/+sCH319vVqJ4SHoAKvkmSaLF7oZ7 - 1u01z+s71u2Wzc/lhL2OAdheB6fPdrazne1sZzvb2c52trOd7Wxnex3tDN2+Bkvi7QhLHwiE5F9G - sDM3UCIxvsG6PUFg/RSL2f767R9/8s2J3k7a3UaN9T1AcsoS9n3p3WBXx7vf/IuvfvFTn/l3duvd - L7x0r7UmPMJBP7jTmCnCRhE4aL8+mJ6JefCa2Gz+o6evlyXf/U2A4hNR6sdXXnnxc5/99K//+q/j - 0Xe86+/8xNpxUQJVZNJOPQi820NO0Fzu736KkV+rwkG7uwbR7HFmzrrMpzOeH9jOnTuvfvnZL336 - kx9/7PbdV1999eHWHBUAvafM747wN7DXPvl+WQoG9u37bGFLwkpRZTOsez6bWy/cWLc2C41JZs48 - WXbSOay3e6/82ec+/cnPv/Dwe+Wl23eb7cD2EDh2khMfm3RtvYaLzwqT0bt7byJOFpgqC9TRX7n3 - 0pc/+7nP/z//6l9/7E//vLK/47GH6oXcu3rlzquvXL16FQRlJzxhNcA9s9XB777y6le/8dIzX/jC - c1/6wnPPfuG5555trT/88MNPP/3Bx9/y6NufeOuHf+iHL2691cpFWRIaFBEGvdvMpWAg6BDxe+uz - z37p3/7ab8tygyLdDG4V/bJgvbp7p+sVD089/Xc+/MGnHnrvu4sCYHdjSofYTEholDuv3vnjj/3B - 81//xuFw48d//Cff+a4nb7KqBtE2ai0ydQU0z2+98NLn/uSZ3/zN33zqfU89/fQHf/DWY+RQuI66 - cx8gv291fA2o3f06voahzUJMUJ95xZABECbrdnar2Xrm7i5CIdzu3nnluS/82cc/9rGb7//xd9++ - Oxq+RRKz4dkmEDzKsd577tln/vUv/KtvvNjf8/4ffuL73vW+97/rxs2FEOtwj5oYY3Q6oG0MYCCm - BnTrx689/7VPfOyTTzz2tnc+9Y6rdgWwbhWVPmWcq2R+tfFhyIPMx23VtjOZyjdbT55udaeJu/Xv - s53tbGc729nOdrazne1sZzvb2V5XO0O3r9E8UZmTvwzpAgK7SPcJ92HP/fLTr347zPD6Q69/ekJj - PZUzGHYdedjfZXzW0e+o3blxoRQ9+mJyMDfYPUitEHf0DpOAoDZ0BIDDgMYNusU1rCT+4hs9juMv - 1woojrKDgUwFfb13586ruLg69iHyIKOKW2/dhZqKAEw8eBP29AH/ndTt/Yxa7KBb2f9p1y7usISB - RASkozksyIfu1s366n3t7Xjv3tXaLZiwbh1u12Dr0TtswuCDFBjpoFwcRoS6QSUyrN1TJ5ipHhyv - Qrte1xsGnX3PQAfUKVSIB6PRgbWD/aBo9169d/fuZTOpF1rQow4dEAo5KhxDqbdHY2EH3e4qmJKF - ResriYoS9HS44/jqn//5n37yk3/0pS89+9Yn3vef/9zP/lc/99PveOvh9kt3Hn3snQ/fevt6BRGo - oi6adFNvA8e2z3/+87/0G7/3L/6v//srz33x1Ze+RaD15u4Xh/rIQzc+9PQH/pv/9r/70N/7yUff - fhEnCTAoCRG4AaIydR0cvT///F/8+q/92v/0P/8vq6sNKebKfqFu7bjKhVw+8g//yc/7z/3j73/7 - 44ebByllUZjBzRgSIBQppXV74YUXfukXf+EPfu93G5b//n/4H/XysSdQHr5RFs2WdmpWnRsgn/zE - p37hF3/5n//z//M/+Omf+rn/9D95/wc+eHE4SHRZETHILn3ZaFPu3Yfvx89uIPk8ONmNxZ3Y9MBx - d8dG0ymoCBcRwA1uHdaw3rN1lbJAL3rere0J3fNx8z4v337x2S8989E/+L2/+Eb75ov86Z959okn - HnnssbeQUCFdZr/FZLTuXoTjLQAn7GIpCt575erqsPbu5VBcrMMIFUFJ6m9KuqTcycjziBxDcdKh - obm8uaZxWjKTrQ2l8g263Y5mTn3l2c52trOd7WxnO9vZzna2s53tbK+jnaHb12bWgQZqCkeaAQbd - Mm+Zwxw2iGVJ0YsAXN/DKgNsTTzVByfT3az1XlRFxM1IJqlsD5DCCXbAehMlZ76kRKiS4uutEw7V - CEg2T3GH3lGnLqw39StFE9GO+srdtfVIAubeYQbRSENvcHMrbpkFzdklcdtB+/RdpDbg7o1GiAPs - DRC4ZIImd3SDCxzNzGUIJrjB+1LE+np1797NekG9TDYpR0UBHPiKIjmkDlJEx3sP7CVh2X7s7lKq - hvKujHd3dE6mqnvIAvuQk4UAtI36ONJebeClU4RLEfEO8xESDsCK+AAuAYCCblh9LWyUFH71jk6I - MjsSu0Cd6EADDNBu6B2FRJ8VBOtYWz+oCaM/aqY9SwKiu3eHhMKCw45HqR2qFPgKrKAICLGm7gK6 - C1gDWwvQO17wFL5eAcuq1gOGiIY7vHWiQwuQDNJSK9hbdzdTCmA43v3GN776hWf+7O69e0/9wPf/ - xE/+1Ps+8IG3PcKru91xWfSyaIohB1lY4AxU8OrO7Zde/eVf+ZV/+f/+6mf/7PM/9IMf/MiHfv4d - b3/C3W/fvv3sFz7/2U//8af++I/+9//tf/2nTX7m5/6zUkEHzaADBtyfW7iDMLM7d+58/Wtf/8Ef - +pH3PPXU448/4WYF60FcYa3c6OXmh/7ujz355HtENUnLTDVYigMNKEBx1cPFxZPvfsdnPy6f+fyX - fv+jH731jvd/3zufMAPcoIJQVwHZDWhA/dzn/vQTn/jMN194+ZFHHn/H932/yGESTglhCq74HkeM - BjWDqLrD3JVbOjszazRSdE94H9924gqdjkIdxwAbYLoDdAFg7ZnwzdqKvsIdEGdBdKw8B7Du6K0f - ipBqlnRxEBcX9b1Pvus//if/0Ve+1t7+7o98/5NPPfTQw+nSmP+CNNDcNTvnSHCoQaKXcC1EP64r - zC+XS1u9dyvLYuw+IVpDPx5VnVp9gMoY0HKzo0ojNPJHRhUZCRR05kEMxKGWJFu33nXETJjZKi7w - mjVpkZytW/KS7zcPp8QHfXa2s53tbGc729nOdrazne1sZzvb35CdodvXZmZAS1QMSVSDJzUtQEYb - Sek9cKjAXc24D2MOjJCcmBJi8+9u7ta7i7i7mQdnEHmRD0XdoJeZWW+UwinH4AMspsPNXUKA1Nzc - ExMD3NxIEuoAXbFauwLgUo4dgfvB3Lu7UxV0uDe33l3dKYCbg01lKIqGVqSHUKeDjt67w0mquBl6 - g+rQ8YRZd++kAGINWHTwcg3oQoOt1q2UA/TQLCt2JF+iCLtlOi+6w5o7yENUp5vRHTIwKrKbe4cq - 3BKWdcC9gX0wGmlOM6hGkP1QfYULbddOKRqa8DQhwqqiMMJFi1HMQTqnbqczScAOt+400hFdIlB+ - oVADVIwWM0EnOqCJIjvRM9bdCffWrWdCL5hDrSHwXjFIHh4ExAw3783ERQmXeGJRwszX4yIsqs5i - KPEYIMH37ZQhOrm1QODNHFLdpXuq9rIHoJncSQJaqgXI58EQ7bB+5+XbX//682trtx597Mn3vPdw - cVlqr+VGXwtALeiO7rBxhBGaEPby7T/5d5/7rd/67Y9/4lOPvuWt/+hn//E/+y9+/r1PvpvEt775 - zT/6w9//l/9H+9V/+4u/8m9+6Yn3PP30j/6Dt77tligEdHfmeBns4NF+IkIS8B/6yEd+9md/9umn - f8DM1I6LWBE0vbzi4dbj73zbYw+VumyAJ8E4QbEVBtdi0Bs3b/zwh3/gmT/+3U9+9pmPf+KTT/7Q - T//Dn/kRXwFbIQuFBsBQ3NCOZv2Lz3zp2eeev7x85MknP/Dkkx9Q3XidZChmO7y7dwAcJwHm1t3g - xfN0RDVwWRLu3UGFROqwKWvhgGOFrW7qUngaDuAJmUpg/g6Dm5kUIWHWCCsqquqQdUK33gGD9d66 - qQIpiAyHcV0u6r/3gff8s//6v/yLb+py633ve9+TDz00z1HGdU4nLc+P4pxE0AnANWHuuJ/1I90X - reyEU2rpQ8tZAXGwt8hf1yCNO9K+w1tDbamvYMH3NScBczNaDUi3sSDzLEbqRIRgSYcfHTQTusZY - UjdH780s+g4HORrj/fyM257tbGc729nOdrazne1sZzvb2f627Qzdficb0CoGb82SJ6sCAt7jiohs - j1187w1eQhKyNdh697AUiG5XJJArILjpklJF9ZBAhKpuXLre3FxqnQTUIuIs63rsQh2IFABJpBBa - Slx87+oKZF0uAAixVPaOtUPdIChYr+68fHW88ofrrcceXw434CvWDriSDKqxXa2tST1IpRPW3Pta - F1jr69VRpbKoFk0MD+3evVeaLOXGQwDcsPa1KoBKwAzejTXi2QnIhmkLADte3RXvy1LNeWyydjSD - TWxGSCnW0GcaMDExAMYurTVBh3f0DkKVVF3qYoJ2hGoCuKuthnuHgw5cnJM2F1hTJgUjmpmShQUI - RJx7VJPuAhOY0OX/Z++9guTKzjvP/3fOuSZdZbks76tQ8KbRaDTaG7ZhN9lsUqIoidRoKMqElruz - D/u4r/u4ERtLaWN3VtLErGKHGpEUKbLZbO/QDo1GA92wBaC8ryyTVZU+7z3nfPtws9DNMdLGhrhP - +QtEoDIDEchr8iLwP//z+4QMtQlDQW49QguDQCpfSMGAVBDsUJRr7204BxBalkop5YCBWi2wEnG3 - HjoqFxyCo8gtNMYCDkgq3zdE9ehNAsZytWgogDLClZBRrRZhlUnXHM8BQh2EDCnhKRcILarVoFr2 - Hek6blSujCSo/MUAiu+MytrTzgopXAckQoNqDZCQEo7j1BNxqu8/N8yWpJRCiKgZbhH3Y74D5lDr - ai2o1AIGEQkwKyWxl5ULCQLIRqoGDba5zew7b7+5uLTY1tn9ta9/4+HHHh8ZG/MUEdDRkbnn7pM7 - awvl3NqFz65OT01/evnqvQ8+kEhCKWLDe56HenIrorid2VrLgJSyp6fnwIEDR48dJ0BxqKDZhAH5 - 2kmERC7BceruVY6+piTAFjYECZZkAMdxhwf6hnrafUfOzM1PLa4WKmh364mrZRkC0rAShLC6sVFc - z24GIfoHxtozvfF4+k5ETvUeNwEcBoHRgee7e0s7xNCWDZiFkEIKa0IJAqkohnbglsOQYZQSYRA4 - lshzwGyNqegAnuuQYiBapxCifjE1Wy2sZAhIo7VhVl7kCWYh4LkqkYjH4wlrqVBBm89gi6AGz3Ok - J2P1sjtJEGAMatVKzDHJ9pYzpztLpj0Unh+DJFgLCQNrwAbKiQQLd5Zv6m1cCx0YAwm//p0Q4Ljv - K0GVQinWlFLSidJaC2YLCUgBOBII2ZhyoCnmq727VwC+61hT0TpU0o2kx4pIQwMmMk2DZS3U2pGu - UAQWxEJYW6kKw+wp9pQmEdaqjiNltE5GBLZGmyCsAHAcx/M8KQXfmQhH/4klpkGDBg0aNGjQoEGD - Bg0aNPiXpxHd/lNElVL5eX9vb+MxEcjChkFFb+UrpSCWaGpKJkEiXF5a2FjfrVXs8NBgW5Of9Kle - GiMCo5jPr29srK1ny+UyCB0dHZmOTHtHu5TSModh6CglpQTA1oKZCJVqbWdnZyObLRQK1TB0YrHO - 7u6Orm7Pj7FUexYF+4V90RSEVhuEYbi0tJTLbZUrlUx7e3tbW2t7B5Mk5UAKGEiwEmC2ho0FGRZh - WW+srm7szO5WSAjR09nU1ZF0PB9KaEKo4cC6UgJGkHCUS0KVKtXtndxOPp/f3iwWcs0t6ZZMT1tH - r+PGSLDjepEuVwCKo+YpIQi2i9XlbG57txyGZd8V/T2ZjqRjbei50o/FtCXNkvfmIVmwiByygoQU - NmolRsEQCZhQawqCIJfbzG1v5nIbQnAi4XdkepOJzrjf7Hr1tjQRpCRBTLChMeVybXursL6+u7tT - 8/xES0tze3tba1tCCrBlIkEUdRPpixvM6xCIWbABW2ONlMpxBEFDh2AhpcOgUrmSy+2ub2zld7Im - KDYlvOaWgUznWCzmSh+WlEGMdbC+OG/LFe2nYx2DnHI8wLtz9zG7ZEkAxtpSdW2nlIe0iebutmSM - AKHJIUUq1GZjfSu7vby5UyuXyilXdjQn+/t6/GSMpKcNkxBCApbgSE8pXQt0oAULG5Wmf/2erx9s - FH5KIHLwkgKsMahWdXZzN7+5VM2v+0LHk02Zrp6Wto54UwqCDJM11pOiWiuHuxumsLKysL69tcvM - laC8srqa9nQlAcHUkeny3LjRIIcYsBYyshNYg2o5u7r00bkPt7Z3O0ZPPPjo48Oj+1xPsTZCkOd5 - HZn2g/vHlw8f+OzK1eWlpesTtw4eP51JOgCsMQKWok3wbI0R9TF+9TPK1jKYhZSe5yoJYSSHFSFJ - SN86VAMkgwjGWMOC1BdPDu/ZQaSrxGB/79jwUFdnx9R2cXF5ZWl5K9WT9nyq9+MJJAiEws7O9Ws3 - l5dXSDiHDh/v7RtIJH38elAe/SZlFDaS0aGxxvU8a025VFzNZrdy28Vi2VWqvam5uy2TiCdVqknG - 4kp6ghFJQ0hYsAlzWxthtSiR7OwwzPlsbmM5a6rVTHNLT3dXrCVlObJ9CAGQUCBLBM2GTUiC2Nqg - FtSqVTA7LgrFUm55ZnFp1Uo/3tTR1tmbbunyYkoKWAO27DoCrFEpbG7qMhN7PcqFVF+YAsZAqMuh - 3ijWlpaXgsqORNCaSieddEwkLYkCwoqyzZ1N6STFYGtBzWrjO64NdRiEmm2JaoWtfHZhu7ZbTVC1 - u8XrybSIdKfjJM3eIy8MIbjmuZAkmSishYV8ZX13Yz67ULXVJukNtHf2pNu85lY4HpPQDGJIZsEs - FIWl3dX1gmxt4VQq5sayaxv51ez6xnpXf397Z2e6pZmEAFhKGWW1VBcqWGutEEKIRoDboEGDBg0a - NGjQoEGDBg1+gzSi23+KqHkn95pxuLMzOdoIrINysTgxsbKwHh44dCSdlrXq1qeXPpmeXCgUgvtO - nz55dF96pBewMGGtqlfWNubn56empyenJrd3dkAYHRsdGR0ZHR3t6e1OJpPGWikjUQGCMCwU8hvZ - 7HYut7q6euvmrc3NjXK1Ek/E9x3YP37oaE//cHN7Z3My5ka5bX1rM7OV2fXNta3dra3N6cnJpaXF - 3Mb6UH/f8GD/wPBYW1dfc3t3MiUBkgxHCilgWFdr1aWV7EZ+deb2xNzS6uZOXghxYN/wsYPjg2P7 - vNa4EbIGKIKUElpHvbaNzdzs/PLU9MLqWnZ1dWFrc2V0bHRgcKynd7iru68r097e1ry3adoqaLam - XKqsrazMzC/fvDm7vJItlXaSSffggbFDg51pZUwYOEqFFhaOqFsowcxsLIEhSEqYuq/CEDRYri2v - bW4V8qXiwuLC4vLC8vIcCdPSnBjs398o36i8AAAgAElEQVTdOd7fc2h4pIs8RFpdKYhhKrXi8vLG - wvzK4sL6zMxKdm0nkUj1D/SM7Rs5cGhfe3s6llCSFEEyvmi7+IKLtN66NWytMUYoqaIWtgktK5ZO - dn1jaXl1bm5xanp6ZWm2VtnNtKb6+g4cPJAbHDjU1tvqpmDh6aB86+aN4tpi4LcN36VSA0PxOAxH - 2SODrAMNCBjOrm+c+/TatqFUz1Dz6RMpzwoKIUxpd3dpLXtzZmFqPju/mtvZ3u5sToz0du7fN9Y7 - ONTe1e3HElIKCwhhoaRyXauN1saFYAimO8sRnyeKe55fhmBYDSsg5O5OfnWjtLC6fWtqcXn2xtbq - bFzplrb2sX3j/UND3f3Dme5+cj3JVpHZ2cmuTd9enb5+5dLN7NqmMbS5vX7x04tbyy2ZhJOMeffe - 43W2C2YBeNH29KiLKayxlfLm+tqNG9cqbma8q/fQ0eMtbdIwiFkySAjl+QO93eOjw76jtja3ZmcX - iuWqZYciF3L9EjGDrbV7LWfUi7dstTFG60giAWuN1s6dEna9Yg/LbCzLO7PBuK5zjmZbSUI80z40 - NDg8PHR7c2Z1ZXVyamqg+VCT70cdbhFVRC3v5LY+vXRxbS3rem1Hj53o7umLxffupM9hgIUQkV7a - hqZWrRSKhc2t3OLy8sStW/PzC5ubuUQ8NtDZNdbf39ae6RzY1z28X3oq8q9IFVmow6nb16e3c7op - 1cuQNb5x8crcxGS1mO/tzDz68IOjyUOsJEVyEwspCEJaWMOarRFCWEZQq1XL1UqxmNtsmZm6unb1 - 7M1bt7Wh1kzP8NjRkQMnuvrHM23SWkiySkkEOp/buHx5Nlduj7UdO3XPiErCjeq5zKhWN3K78+vb - t5ey1yeuF7bXJCq9ma72ZGez30rS3wyLBaGPnDw0NpLx034Q1NgYX7mSUasFW9u7k5szi7dWp6/P - 59dySVEZ7U4f2T/cuf/utpGDd+QPxjBsAGFgdblQm1/Yml/cnFqYunr7Wikst8fix/ft29fT29Y3 - khkel6mUIkiObhZLJlxZmT935XrXwSOxrr64lLc/uTR39crM7OzRkyeP3X3yrpMnXdcVop7/R8X8 - yGljjGkIExo0aNCgQYMGDRo0aNCgwW+aRnT7X6Vuj6wP2qlXrWAMmCEZ1iCoFvK7L7388tmPp/7s - v/l+YXfl8qfvXvrk442NvKDYzevX9e9+bXzk67Ao7ZRvTS/8n3/z7y9dupjb3q5Uq9poBjzfTaaS - LW2tf/onf/LYY4/19vYKISKbwG6hcP7cR3/xgx9k19bK5VKtWrPGMCzIup7T2jVw+qHHHnvma4/d - fzrtO8SGdQAdSEm1kN55971fvfHuuQ8/MGFgTUg6cGCSvkq1dHzzD773wOPPtI13qvqodXYcQcLm - i4W/e+WNWx+8tb2+vFPcLgclSRR3vPHhse/+2Z8fffChzECfUJAQMNaWKlaI3VLlP/zw795+54Nr - 12+FoQ50WZtKLO75bjLuN/3Wb33rySefbH3gXiJYyzqsOErslotTU1Mv/PLF99/9aG52uVrRlqtC - mnhCjfe0ffXRM7PTk5VyhdOSpAe5F5XuSWOjRNFYmND6wpAwCPTPfvLjt97+cGZhvlgulYJqGJYE - BY5jfKd1oPf4/fd+5Q//1Xc7u5OJFKQAYCrV/MzMzN/98Gcv/eqtcsmWy6ZahRDSj6ue3vavPvfM - E08+durUyeiy7+lpv3BD7OWaBCvYij3/hQVq1YovmZi3d3Z+9vOfv/baG6sr2a3NXKm4BVtzJCfi - bb1dw1967NlHnn16/K6jToKq1XBq6tbHr/38+nLx2/9G3ZXo6Yy7kAAEhAFb2GqtrG0gb9yY+Ku/ - /nfrVbP/nvsPjg40t3uKiuHu7osvvvLS6+98cvlGPuCqVVZrn8KYMJ7j3P/w408/+/wjj3/JiyG0 - QK3smSqsTcTivicZIgqm74SduHN89TTXwoYwNbAF4f333n3x1fffeveT7UKIYEfaosMV5ahkUzKR - TI4eOP6n3/8fxg6eaG1S1eru3NzND9964+yLL6xNzq5UUCCvcOWT/2PmVoposL3tyIGRzkxrpiXm - +qlo5t2dmB4CQVitlPPlYjXen2jr6HRi0jC04ZiSkZMVWifj8e5MWyrul8JwZ7egbaQggVQOTIAw - hFIkhYoUEpbAbLRha4mE4ziu6yoJY0DMXiwGWBIqAIIQoYaKIfI5MGAYoTGO1YCFIAKDjdGhkrar - o+PY0aMfTGTXs9lPL316ary/uzWOvaFjBMDozY31Sxcv7e7mu8f2Hzl2vLWttd4Ipjv3UZQLsw4D - NqHje47rFAv5s2ffefnV197/8MNypVqtBUGoJVHKdZrjXku67d5Hn/nqN/913+C+tmZHOSAIWA0T - /Pxnf//OtYn2/YcOnj4T5Eo//+FPius5XSt50lpdSXa0tfX3WUYttFIbC7BkKww5cFwFghBSKUcS - 3ZqYmPv0zY9f/r+L85/oMNShdZUfb+k9/fCzDz/5jWeevj/uwpESMCC7ubH65huvX5vRncOPju77 - N0kfcBlGc6W8Mr/w2tvvvvzuuQs3JgMT6Oo260LK9T0TU6HP5BSUlW1NX//d577y5Qe6TxyKx31H - ibBaaW3LhKG+cPHSv/3R31y/MFHLhb6RcS7EbX50oOvuJ7/5nf/uf+RUKuHA8eF5JLQy1WJ5Z/Oz - a9N/9e9+dHNyaW1nvVArhAgTxK/HvM5kvHvs2O//yX+//+SD3RlHERQLDnh9dem1V174y7/9j1// - 3vfdzoG5mxNX3n1na2ZK6/Dm1OR6Ltfd05PJZGKxWBiGxhghhOe6RCSllPK/OL2sQYMGDRo0aNCg - QYMGDRo0+JekEd3+k9yR00bChKhAGm2dZssmrFXLW1ubtyYnf/XyK4XtxY212/19vXeduDed7pDg - luYUYLhU+uyzK//4y9c+/PAjz/OeePKpltZWz/cYvL2zPTl1+/zHH7/8yisQ4uvPPx9PxAUoCGrr - GxtLKyubuVxff//w8HB7W5sQwuparVa+fPni1emlt86+H+sYHBse9HoyrgshAImNpbmPr06++KuX - rkwv+8nm40cO9nV3pH1V2MquzU/dmFqYnp7uGV28e38PQIJIEVmjlxYXfvIPP6kszvoap0/fl0y7 - mstrK8u3rt28PXHtxz/6jxU/9shAn1dPnKwQNDMz+8GFS2++dXZ9PTc6Mnro8OHm1pQVYblcnJ+e - v/bZ1XPvvS2l6uwdbmlrT8XgSGZdWFqY/cWLv3rz7Ifry9nRkZGx4dHW9nQ5KC4tzVY2Fs6f/2h1 - +malGo8JBekaRggYggNAiKjxHE0bE1IIIgTV7Vx+ZmaqsLszOjzSPzKUam0mCtiW1rPzVz6dXl5e - /ejDi4MD+x9+9N596SYQwrCysrrwxpuvnP/4o52d/IMPPtXdNRSLtVhrCqXN0BTjCS8Ia9Vq1fM8 - QfSFSLN+I+ylnCzYCrZEEEJE58VREmG4tLzy6tsfvvLq69PTM/vG9t9775lMW1ogrFYKG6tbn164 - 8sHZ13fD8vPppq7xIV84LekUTGlu5tbFCxfSw/ce6R9lAbAEhYAJC7vLi+tCJBaWFi9fvdYyuC/Z - 1JpINQlRzWeXPjn/8dtvvjlxfaq9veueA0c6BoeJLdUKO9nFjz/6+Oq1CStS7V2DBw+NN7fGpSPA - BGt1qK22EoTPZ7r9Wnq7h4VgBLX1bPbKjemXXn79409uGitO3XN6/0hXSwJheWtjIzs5eXN5ZenC - hfOtP/3pE8/iwTNHfSkyHW3HjhxJGjPRdqF2fSa3W0sODD50+kxvU7q7qak7k+rMZIQChN37RkVD - rVgJUS4Xi/kdrdEcjyeampkAAfq1iVCcjHktTUlHUClf3Mpth6Gpj3YD6rpiqv9oGLAG1gIQQjiO - 2tneXlxcbGltM1orDmOuaE4lZEJoxyOCkAgCBll87psmsNhLl40Lq4gBaunsOXj4SGvzhcXN7OVP - L249+RD3d5NA5EIV1lby+bXVtampKT+WGhwaHBoZSjQBgI2UynfOOxiMSGANYHFu4eKli7/4+QvX - bkwYzfff/1BXT288kTJhbXttaWXm9uTtqTdef3On4n3r299LHRnyXQAMXUNpt5jfWl1ZWgrM7fWd - JKtYLHX03oPppCrm1/v7u2MxT1CkDRESQjKzNEwGYCGIhLQQ5XLlyuUrhfkgZjb7O7vvOfBMWyq5 - s7k1OzV1+dbK++9/aPyukdHhfUMZPyXBGoJ1WN1Yz87M5EP3gBCRV5dh9Mry8sULF1568cX1sh4Z - HRs/uK8pQYpL1d3CtY8nbl2advzk/ruPHX/sgUPHDrS0tBpoxUzMbPROLvfJhQs3ijMb+Z0DBw8f - GTqsKnp7aWLy0tml+Rl97lzPoXcP3ffkvkGXAGO0qZW5Uvnw7Lu/fPW98+c/7hk6+Oz9p9OZZquY - Kvnq1trc9c8uX/1M/cPPnrSprz51ylGwDDbGmKBQ2JpfmD/73vtBqntzYW6oufXoIw+nm9PC9bq7 - u5LJpFIOACklUb3YzWzBkLKhSmjQoEGDBg0aNGjQoEGDBr9xGtHtPwXvtW73Xt3Z6syRBECHgWVb - yOffeOstX5X7OxPPPvP0ybvvb2/vW5idGexIwerN9dWPPzr305/9jIV/3/0PfP/7/+3g0GCqKWGB - hcXFl19+6dbk1IVPLjmud889p/v6+mIxrxaElUrVcdxjx449/NBDDz300NDgoCChw1KltPvjH/0w - 9+MXP7m5cPXmzPziak9LKh35XK2dn5z45c9feP/DS15m6Kknv/z8V54+emBfJuVtr8xePf/eP77y - tus4+VKFpAMriJmYjdZ6efnsr146PDb8wD33ffO5JwaHOkDl61c+/flPfvrWa2++8fpL3ceOHXny - 6bZUjAGwBvTtiZv/+LMXJiam9u/f//Xnv/HUl5/uHexlwZu59fPvvvsPsJ9e/PTsWXfs8L3HT55O - 9iWEw+X8xtTUxIsvvbq4ujvY3fXcV7/6xCMPD44O7hR3Pvn0wqdnX/7ojV+uZ01ga3GhQK5laAsj - DYiwt2OZGSSgFMBkwlqpmE/F48cOH7n3wYdOP3R/99CApNDy7q2Jiz/98Rsv/PTj6aml985+tG98 - eHx/EyyCoLy6tvDmW68uLq719Y195zvfPXnXmfZ2R2usZnPLq7eL5Z1kMlELQsf1P58nH82mQ33X - fPSKoon1YLE3bk06ShfKt2/d+vsf/f3U/FpHpvPpp59+7JHHDh4YI9LF3a3rn177i+0f3Pjs2vLu - 7sjd96F9cLQpNjw82N/dDM1XLl/pOzGrHx3VgKovFdjyTm5q4obrtS4tLW9sbh1+4EsHDh5pafFt - pbi4OPnKKy9cuTwhRPNTT37lsWef3X/iuCBry7uLt6/F/NjZDz57772P9x28K5lOpVtHlafAEoyw - prU2El8Mnizwn+dQDBiY2vz87D/89Cfvf3S5HHj3PfyV577x7UfuP55pVuXd1anJm2++8epbb77+ - 6Y25X774i0Rr7+H9fb0dycGBwcHm1ifvPfNOV89c6RcLUyuHDp/43vf+7MjQYKvrcJhvaZJwQrDl - vYFgbCFhIVEo5PO728yIJeLxZMoSCBDyjqmEQYDnJuMxAa6Uytvbu7VAGwNbF1sISLn3+aNRapbA - RCyEkELOzc9/fOGT7d08W6ugY4q6OtpSmb5YS1dTe3c6Dl3TQrAgSQJEgBAMRXDADIQKUemeEq3d - Y+Pc3ZGZX5u5fePa2vrGTs02OZKjPrPV27nNlZXl5ZXlocP3j42PdHQ5vodo9hzhTlJu67PgpCQG - a3392vUXX3zpnbPvJ5vSZ848+Ad/+K8PHz3e1p4Jq+XpiU/Pn331h//h769Pz85nXzhy4uGh/u6m - pAdi2JBrRU+xMeH8wmJpYXNfz9Dzjz39xJn7e7vTm9m58fH+dDplBAiQEkpAMkFIZm2hLVshJUMU - S5z97FO0hwMDqad+5/nHTg33ZVrXF+befeO1XPH1q3NL8tLVU6dudrT67akmWA2yxEbroFQqV6uh - 50FKgBnWLs7PX7xw4aNz5+56+ImnvvFbDz/+cH93ypPVzaWVH/9fPyuvVzU7jzzyyO/9+Z9SDAm3 - alCW1giwJGzm1tZL5dqm+8Dzj3/t0a88+9DTZrcw89l7bybN+2+9MnN78rVX3mwbOnVwpJMZJqzq - crG6tfne22dfeelVdpsffPChb/3B7w3sGyaHdH5jdfraiz/629mfn33l9Tfi3YcfOHNKNUEA1lqG - FiK0wCeXPg2atlp956Hnnn3q1NGuro6l9Q0/lW5tbY2uk5QiymqtZWts9MVvCBMaNGjQoEGDBg0a - NGjQoMFvmkZ0+18lym2/YLdl0J6SEwxBJCGILTOCsJTLnXnqzO/99pOPPXx/a2sPUSzT2pxWAcLd - m9evTkzcKBZLz33juWe+8tWRsX2e70TBTWdn16l7Tn/n23/wixd+MT0zc/HSpVgsNjQ4mEqlxvcf - 6O/vf/TRR1OpZCKeCELtuo7veQqxE0cPPZLN386+slsJV7KbpcqASQhJRu9sTU1cPX/uQ+U2nTx9 - /ze+9fvjQ33plCs56OrMdD36wOG77lkuSW7uJymhocOwWinDWiST7f1Dv//t73zzicc6mz3fC8Dq - 7ruOzN26MXfrxs6t2c3trdmV1dj+EQYjqFazq1O3bl2/cTPd0nnmzANf/epXE8lEqI103XRL+8mT - J+IUbK0uzi4s/OKXr2b6Do0PJYBwbfHWrZvXFxZXuoeOP/zgmUcfeWSoryvmu8pvP3Pf/f0trlPd - Old5YXEJlgFSQsIBBAR/4SIYCwM4ArBaOqKrs+P73/9ztn6sKW2ULFcriZiQRIODvb/zzd+6fa30 - 4drC4sL61sZOuQjph9aGQVCcmbntxzqOHD0xODCSSjkApEJnV2u67UAtKHpuIp5ICbqj2r3TvWYC - 7OeDvFiwBbMxNtDQFhC8vrZ66/bNiYmJ8SOnvvz0l596+qmO9k6ttZKIx1P7xkZ//1u/9ZOw8taV - mSvXbqbHTx/sbB4bGx4b7kqnaGFubmZmMV+EdOBIK8kCNr+RvXLxYyPStyeXleuPjI4Pj44TsL29 - OTl57b133/KTgydPP/KVZ7/Ws2/EcSAgnFR8eGTwd771O1u7ePm18+9/cKF/uGf/0REXRkgBx3Ud - Vwrs6SCig7SfmyDuHDYb2KBaKS4szr3xxmuaEsdP3fOH3/3u6PjRVBqWkUglDx0+2N/XEffFTuXl - a5Ort6cmp6an29MH0gkPKYJyU8lUIpGMxZKen3C8mJCO40CqGAkN2HqFMSq1i/pFzud3d3ZyzIgn - ksl0M0R9tcQYS5G51loQ+Y6KuS4hqNbCSiWo1aAlXFW/WmALayEhJYgkWDBzqMNypfzRR+cuX7nq - x+Ku4yhoDsquEi09Q0dPPfDkV79x5uTxppjjClhEE8BAAkQurIUNAA3SgIKxFEt39sgD42NzC2sb - mxuz84tL6ztjiTaHouTdZFdXFheXCsVgcHhg/MCY44IJhvemeH3+mIkOT4dBrVwuX7ly9dy584LU - o4986Vu/9+39hw7HE6lazXpufP/4/vakKOTz8o2rn93cPn/+s97utv7eu4gISlBc2bCcz++UStR6 - ePzhx5744z/+095UyvfMvuGMFwccqS1CApvIdQsQg402FVOrkJRQDgMw+uSZ03/yr54/faR3ICOb - HbQlfScsF8LY1k/e2SlWb9yauveuUUISEghDa0NHCs/1lPKMBltAAYTVlZW52Vk29sCBA/fd/0Cm - o9PzjAvT09N94vjxhetLb7z9wdzcwuraet++Xl+Rg0AK63lOKhHb2S319vYf+8ZDT/3+106OHXWV - 25Rpz9xz93jGyS1NvnJx5cb122urW6Vip5E24XA1qH72ySdLc/Ot6ebnfve7Dz75RFd3r5AEIJlK - 7Rsf/dITD2/rxN/+9L3J6cXPLk/de3ysoxlKqu3trXxhE4RyvtCzf/APf/trTz906q7+NsdRrV3d - rBwiBEForVVSSSUpMl0QwI3UtkGDBg0aNGjQoEGDBg0a/P9BI7r95zG8d5q+4KcEMYgp0oLG/N7h - sfvuu+/hhx7s7emSKqG1cqUrrNVbpdu3biwvLjiOc+z48YOHDsUTDlF9n7RUTmdX971nzrz9zju5 - 7dzy8kqxVLKAEDKRSCYTiUymA2ytMVprISQkK9fp7swMDg5Kxy1Uw51iGURSEILq6tLC0tz05ka2 - 4+DYyPiBkbGRpgSUgDQCYCTjPW09iaq/q2KWIYkECSWkJNmU6fzy08+cuuee/qFWD5CQYO27NNjf - 3d/XfnV2uhrU8qUgtIAghJWFucn5udlioXzvg8ePHburu6tLW61JhAxJbqa9TR3a15VJTy6t3p5a - 2N4NjIFS4UZ2dmlxrlCq3t2/79jxk4P9/YmUgiM8oVq9tsT4fvPUkztLty5tLDLI1ve9A4BlK42F - Zcj6/KkwhCKGkiomM72t4BhANbZaiciFG4u7I8PDba3tUqxXK1prJoKU5CjhOCQVivnCenZjezvf - 2dGrJCCgHKS8eBwC7IIpCI2UwpF7ST1/PljqC85bJoKQggRAgDVrqyvzc3P5QiHT0TG+f39nZ1fc - 9yKZqVBuc3P6xLHD59/OBBduTM8ujG0WSDTH4/5gX8fhQ/vevamz2c2Z2fyBwWQyKSSAamVzdfny - xYvF0M/umpbWtsGhkd7efgY2NrILC9Pr6/b0aOeJ4ycHB0akHwsMPAkQ/Jg3NjbW29sv1ZXFpbXN - 3LYFG9bCaBgrhZLC/Po9Hi1SRPvp77xnoMO11eX5+dnNzcrRk/tPn773wIGDyWYIAQAC0o/H/YR7 - 7OiR47cXr0+vLC7NTUxMHB7rT8eaoQDXuA4RURiytZ7jxJUDx4EDBQphGMJC1FUNRCASABurwcb3 - 4cV85Xl3IvTPO+8EEBxBiZgf87VSDhANscPnmWgUtzNCbYi1ENTa2nr33Xf/0R/9kYqlpHIi6UlY - KRa21q9fvXzr1u2diq2yKu1sPvnog80JnyTtDSmDJcmQ0hhIDa6BCORYNx5vck4cOzI7ObW6vDxx - 6/bQgRMDQ20OAGiYYH52ZnFxUSoMjwwPjw6S+IK4+c5aBNX7+yAyWmez60tLK9u53ZHR8cOHjx0+ - cjzVlGaCNhACbiLZ29N9372nJ+eDjy9/MHV7bnZmoVq7K66sIAvWBC0FwXEfeexLX/7yVzoyqZQD - SIlYAiYf6ACuE1XYBaKb2pKAYDBzLQgYIt3kDu4/cv+9956592RnMzxRA8oqHuvryhzcP55IXtws - hmvrm9UgoPrYRiuIiYhIMFMtgInWWSwXi4VCPu84TktLWybT4XlOfcibqxLxWDKRAHMYhGEYIhoa - FmkzjDE6bPZTY8eOff0bvz14+GCrn3IC+AS0NsedkYHe7ti13NrqRqFYY4ZSQkmU8rsXL1xYX1lt - SjadvOvk0PBIIumxiIZMKpWIdfdmhoYHlXt+Yys/M7t4bP8YEYSUriultAx0D4/cc+ahLz3xzEBn - wo1bSGryfSMkAUKI6CkkWFB0qCKqFv/njpEGDRo0aNCgQYMGDRo0aNDgX5hGdPvPwIhKoHfmOQFA - fft8FDkQ/GTyrpN33XX3ydHhEYHQWAgBWMDoWrU8Nzu9vp51XTcWj4faLC1tIJpRDg51WCwVfT8u - pKoF4VYuV6lUrbUkBEW5DpswCMIw1KGGtcKWKSxWinljTKBtObDVUEulIIQNa2srS5vZlTAwnd3d - Xb2DyVR9DzwJQhjAVuFxKhXTgGE4ICGF57qCVKa168knnh4YGoCMCmUS7ENwe3tzT0/acVhbHRip - GQxCWFman8qurRiD7u7+eCyxurIKshWmsmXliJjZ5mrRcyyAjc18vmSDEJ6sbW8ubKyvGEtdPcMj - o+OppiRUAAESJOGkWlpO33ffp2df9j5aZ5C1MHtaCmaO9r2DEc1G0trAjaymApoRhIGxgURVo1Qu - mGCTTQ5hEAaa4FhNgpTjQDlKkEo2xUZGBy9+vHz9+sTFi5fBsb6+oUQSsRRcX0uQJWhtg8A4jlRS - fT6mDHd+qL+MoighhJQgAmuztrq6vLxsjRVSGmPW1tZ81yPYaLyVCsqwoSusNWZpZW0jV7KAkNTX - 037yxNGLi7fX17euXZ/ozxylJhcMWyxklxYnrt3IVQjxzt7+AwMDQ+0ZANjcWs9ml4IAqVQylWze - 2SmUdrdKCJJJ19ElGRRhHJB0nFguV8gXS4aNttoxGtoQiEiAv5g72b1g9AtvMsOaxaWFhfk5Zozv - Hz9x4nhTWpGCBSgSR7AFuK+/9/CRg7FX3l7fXJmcmiwWH7atjhAWEiQCY8IgsEa7UvhKQroQlmCi - 6WGAqP/Fom6oZUFQjnQ9gGAs857IgYgouhbR0Dq2rlKu4zqOS0JJAfVrygcCwMxhGApo16NEe/uD - DzzQP3og2Zxxfd8yatVqYWdzY2XhFz/76VsffjIzM7uRr5R2to4f2h/v7fJibvTBoihSQ7mWpTTg - KuBAxkMVc3x57PChm5cuvPv+R9cnbg0dW370kaMgwASolmZnpldWV9PNyaGRwb7+7jsfa+9j2r1f - DGYIMsasrazltrYBMTg4PNA/3NqSjp4/pKLjIXLVgQP7BgYWguDdpaXs6up6rQZfGMEGJhSCPd+L - e80PP/z4Aw8c0rswgCSAtQ2roXCll5CAFJAC0GBrpQCkMErWaoFhSqebT91994mjR7pa4QIWQhvr - kE34Tkd7m+f54S7yxbLWpp4438n7CZY51JFVmMGWjYVlpZSUkoQARcdpwSYMglq1Jkg4SinpoK6N - YABah7VquaWl89Chgw89cLoAAHAcQAMCiPkdmbZ0U9P0cjEIWAh4LgTb/O72jWvXchtb6d5mIUWp - XFrLKi3IgHzeitnc9vZGqAOGKJD20+sAACAASURBVBQryyvZWqABRUSJRMzzFDMOHj5y+syDh/an - ExrQFUgFKQUJy1BKspWhDrFnutiT3v7a46BBgwYNGjRo0KBBgwYNGjT4TdCIbv8Z6pPJ9sqXYMts - 67U5tsyGBCnXbUqnfc8D2JgQpJSEZABEsLvbud2d7a1d+9d//Tcv/PLFeDxm2Njo//2CwiAolorX - b0y4rrOwsFipVkkIzVaQANugFmzncmurq1OTk7ncVmFreWtldmdj8VpWF7ZyLQd9149bBmAFWIdV - E1YJSDe3JNMt2EuGAMB3oSV0yAocRS1s2WoCERNYCqGEBAsEWvvEsIRqLRFzMpkECIatZicwMJJh - a2GtYHRYqdR+8cJLn5w/196SEAqh9GrCMTZMmI2YXp+4/NnWVmvZ2d0t6FrNpFQlv7Oa380px0+m - O1JNLWALDsAuIC0greUwDINaqENPKmNRrSFwEScro5KnNTAWQkgFJSQbQzAgB1LCmJ3tnWuz07Nr - Kzu7S5Xicm5jcm6yNPFZWMx3+G7NGoioGEumu6fje3/8XcGvvvnaxF/84H8bGXn96NGTj3/pgX2H - 2jt7XN9XAq5ylJTqiwXUem77ufi47ro1RpdK5SYDAow2W1ub6+vrDHzyycWtjc1kPElMBCulMDqQ - 1YJvKiuT162VO7vF7UKtqtHmu91drcNDA44zs7i4eu78hTN3DdiuDNisr62uLMxtZVER3NnVdPLu - U909vb4LAJVKqVzOg3Huw3OzC9z281cqSpdFQFSLcS2GmqLElYnNteyOE4vv7BaK5VIsASgFoXRo - tDa//s2/Y3X+gvRWCvje1sb66uqKtUgk4olkUms4CiSgDaSIonQb87xkMi4UB7pcqVakdAkeggoo - tLbCbFwnRkhUq2zq1cqABAEOSAJ8x0FhwcZo5SgpqVzG6traSjYbGtio5CvAlskaCEIYVorFwu5u - sVCNlavWRq1qQFuwhWBYDZLCId/3HRZACKJ4PN7b48lYSiplGdzU1NoU72xp6sq0jxy+63/6n3+w - vr4xMzOzsLDQmk52xFoFITQwFsqBJuUSgQ10GXDhtWhAKbe/p2ugo9lXNDu3MLu6aSWsBRd31tcW - Z6en8oXiyOhoT293sskLLFxx50gNsZH1FSALMAzXqrXNza1Kpeo6firV7HuJKOzUBtrCcyKNL6VS - iUQ8IeBs5wrFYiUW2yvw+kqQ9Vyns6WLWQQBMglQDdCAJ4TjxYQfAAYQFg5F3maybASxUMr1PGO5 - Uq0m4zHfUbquPVBCSjCTEqlkIp1u9otgknUtBSGSLzhKOcpRruf5kBIgguu2tra2trTUqrWtrdzG - 5lasqUdbY00lIVWxWFjPZiulspQqlUop5QhYBaUkCUHWaiUFMxerCH0AEAS2IGugKJ2MJ+MJq2vW - ykhmEgaVSrlUKZaq5erm9MwP/tcfuO290vNrhgPLPm80qV0uLcxu+hubQXOstrm1XakG1igZKZah - SSCRbPL8VD2dFXV5hzW2GgSu60opHKe+W8IaK4QgApgZaOhuGzRo0KBBgwYNGjRo0KDBb5RGdPv/ - Dr5TSSRLJFGPoIhhmZnI9X3lKAJLIr6T84IVWNcqQagtOVWDSmgo0EFYA1hJKSTBGkfQ+PBQW1vr - kf3jbU0pyWxDXdPh6urqR+c+Wlpayq5ls9n1Wq1qyluFzcWwlFuqJhBYhiBRb7RZo3dyW+ViQSmk - Ukk/HgdgGKaeO0dRDUW2Vs3w2FqyloihwDFijwAJKGHAAARISCEVkSJIIgFpDSysEaZqqqEJ2ZIJ - bRDoahAIw1oiFAjCqrA1R3Df4GB6cMQmjvV2triOAEQ15Fqg2RhfCs9VJAjWABrSAwgstRWaJVuY - sKYQ+AouRfkRwRJIQQgmSMARIMvQIQIzNTl19fripWvXFtdXdypFISrV8mphZ35jmXO5VrYtlqwh - rQkuwcJJJTtOnXxg5/l4yhu5ObGwsng7t7G0vjYxPN49ur/31L13d3UNxONeFBczACYGE2sQgVS0 - TdoytDUQUEo5UgkGLCRZWM3WEkkLGYS2UCgoIiEgwIIAaypB0NLZdddAX2Ls2HBvmyMA8r32obHx - pu7MlbWF7O3L53PZM8FI0mG+NbN0a2GjApQJsbaeE6fvy2TaPMAFTK0U1MpSAuAw1KVyNXA5UFYq - qhrDHPiO19rWcuhwV2t7ZmSw3xNCWgPLAEsiAlkLbaw2MAoW9VSKiGAZTCALIgiVr9R2i2UNuH4i - FkvU2+AWgiBIRom2K5XruixERZtStWZZUj3/MixqIMNMYIdIgsCwgI461AARxJ02O4FIiGQy1pT0 - ycKGoalpaAgHInLhsrCwQhCsqYa1wISOH0s1t5J0UBcRGLCFYZCCUKincBJsYAEhk8kYS1U/WgbF - /Ljb2pxOrW2X9o2OXLs9k9/dyWazpZEhzrQSIAkkIAAlIJULCiFioBjIjd5sbvIGepvGhrturhWy - iyurC9V4O9VK21Nzs4vrOe02Hzn1YFtHjyehAVkvF4OiyvidqWtEIBhjdnZ3qpWqlLIplfJ9f++k - 7JlaiMBCa7bWCuIwqAa1mjFwpAQIbMlqQEiZ0PCNBTkQEhAWwkKSAN15GNyBSAASQjBJA9LGWmsF - OPqoEnulZyGkUkzCECwI4Kg4DbKCg6BWYgEoFdjogSMh1Ojw0OHD4++cO3fxk/OitePUmXvamiD0 - 9u765tmzH80srXYPjQyNjjW3NEtBgKX6ZgQiKIYwgIWNzMB7YwIZ1viO43suo2zZWIZlCFLEQgca - GlAcah1WK8IYS0JbFjao6cCxsqmp5cjRjpbBY8PDA4mYIwmEMHqyECCVS44LgGS05GVBtr5Ww5+f - NOaocgtmtsz0n0a3jRi3QYMGDRo0aNCgQYMGDRr8C9OIbv8Z6huc63GsAJGFkFEGwoKYLMMQk6ME - EVmDOzYFq8HWgRXGEAk3nh4/enLf2EgyHguqZQEb85Qky0aboGpNmMm0Hz92dKCjXemQTZjb2Lx8 - 4eJf/e//Nru+YSzHE8lkMtXkGc+Lx6Rp9pLLu0UwrLEiyn2syed3K9WykvA8x3EkAMOwXD8AAJCS - AQOEBgAbyUYISz6QhI0JA4chieuKANcTLDgkxXAhHbAALEwgTRlhwFopOTI0cvDgUE9vO4S15Gio - MKx5tJt0ysKG5A/Fmg8dGW2NewD5ATVbOKRrri0qClkwG4SGFQsiAK5h11CchDRB0UMhLZEAfIAh - qpZICKkQuSuIQ5BGUC5sll95662fv/7xBx991JROtLU1d3c32aCqRKy7p61SsuWCETE2KgwIgmA5 - 5qqunoz6+leGjo2dfu2VN8+fPzcxceONVy65Z1NDY/vDWvye+1L9oynXESrKuTiqFgYgArxodJUG - 1axmBcd1Yl7MYUjLRPAUHCkZqqtn+NixQ3Ffxl3pKmF1GPNcV1I5v6uIke5rGzk+dKArIQCOoWV8 - aKw0Pvje7ML1tcm53NJkJdeh4v7l2ytXlythU7LGMa9z5ODJ080tCR/wEZIuwYRSoLe3Z/zw0Uz/ - eOipwBV+jBDsSJOPe4lC0QnCZH//wN0nxppc39UhjAHbKIs3DG1JW2jAQIpId2u5HmqKaCKYqLKs - stIEqJhyY0pCMIjhKAAChgF2iYRQmlQZsmLIRHGfErAGMoDUxmqwUMoBgWEIBtZGdVbJxPV8DAIg - EqlkvDnluwQXcFnKEMJDvedJZAWB2NqwZGo1svHm5o7eAceP10MzoWGgmaTrR3c718fLSVgBIiHF - nviCYDTYSsdlEi3NzUcPHVxf39S16vb2TrlSY4YguF+UMCgfIEgXSADKYyg2Tsz2DSRPHB1aXL+Z - n1+Yvnq5+56Bamnj6vTtxd2aahk7dv8z6fY+WS+x1jNoUQ+EbX1FiAiCGahWq0FYA9lY3HNcwQwI - CAlZb8ozrKhVjQlDKbSQmtjUavBjEhDQWhjNRoTGM2hiCQvAAUQIofcGt6GeRwOIInooC2ZIUxcP - UxgGbLUHKEAhlPU/p1iowHJdeB1FtxxCaMXVWjVvkAilrFrougdC9PV0j48NJGL02afnJze3Zxan - B7vSyhavf3Zt9tZ6WHO/9MgjR07enU47ISzDENgGlo0UMmbYsaC6JgJgHV0AgVA7QjhKgIylIHK/ - KJlwVNyGUBYt6ZYTd98tM91KOZ7nWWsdvRNHybO7eeooO32JvhNHh7vaWh0pAF0R1giWACyEERJ7 - SgRYA5YEEuLzbJaZwRAiUj+wtVbKOybmPRHzf/nfkAYNGjRo0KBBgwYNGjRo0OD/I43o9p+Hok4Y - UyRXNQRFglgAQkCQEIZEzehqtVorl30ycASUAjFMyLWqJ6Xr+K6Xvv/Rpx6473Q6GYMOFLQrGLpq - gqquluK+k4jHUsmE4zjQgSPVxGefvfP66zO3p+5/6JHHvvTkkaPHY4lEjMqOKeRWp9+6vvq//PAl - IqpVa9ZaQDquMzQ02JFp13q1XC7VggoAR0QXmCEESIBRqdpAiZQDQBjJrBRkDJR2ZEJaCB1CBHf8 - o0p6voyTBtc0haGrQKBAceiSleS53vEjRx95/P5DR8ZIMeAAitlIKkoqCdKgFilbYzGYsExSGqfV - 9VIJd901xbBaKNdivu9IGasrTuFo67JIKOWCAwpyNozOogUUKwoEFMAarEOpd6RTwVb2+pXp195+ - ez4Xfum5r33t2ScPjA4KrviuJlssFty//MtffPzxWrzZIx+hhAIEJQmeDnXcdQ6MDXR/57mvPXN6 - aWnug3OXzr4/MXFt+Yd/+1KpRl/v6Ug5MQLJSOWJKD1ia3TNKJIILAcwARupw7AaSAtPEEC18m4h - nzeGDh666/lvPNfbmY4pI4mFNUrAQlRqIUlFXkrF0iquEKISIkYdiXT11PGDK/Ozly/fWp28tjzc - 1dU/fHN+c76g4v3HpIynBw83dfY5ClIbMlsJZeO+y4zhkaHHH3/s8P/D3pv+SHKkaX7P+5qZe3gc - mZH3XVlVWfd9kcUuXs2enu5ms6fnnpGEkQBhBruAsIC+6cP+ERKkDwIkLRYarYTBYo7VaqZ7ju3p - 5k0WWSSLLFax7vtM1l2ZGRHubvbqg7lHeGQW2b3S9GgA+YOqPCI83M3NzB3Inz/2vIdespVohSh1 - K4FaDtVKoLS1kbPVKKg066oiBEcAgSRuLaUJVcJKVI2CwM8PDVi4FEknr6XFvnZW1BxrjE6KOb8c - u6WVtqeZCmCB7SSctqlCyjkRSkmrerM2PCqskAIUQ6eiOkKpNsqYUBsmhoPNXLfWgoRUXiLN+8Gd - JbEKCVt0Hi+1Hy7pFBxDLBBAGJbAcA9WHt+8v/iwtYxqMDgyVm8GYQUQCyTQIXNkmZyDTcVoEjAs - kQqzgF4bAwCz8kjNOmIVVSqjzYHIcKcTQ5zLvZbw3SMOcGCVoJ6ANGAE2gKUwCRTM9G+3Rveffd8 - 69btM598vGdTePfu1beOfXh7KV3YsH3HM7/aGA646LftZVIAoKwCHpExwfDIcKUSJkl7ZeVJu72U - WqtY+SwIEdgkoY41phpVKtUKDw4PDDcH4JAmMBAwGzhFgTJNE40HFTAA1wF1QIlIGrvEEYi7Hl4B - wYIsGKLAGsowK6W1Yp9eC4WExcEJSJGu6LCqK6KDgMlfiglcO+BkoB7ENm6Jq48AGkkbxiYnPjt+ - 7IO3Ce3Nm7fo8cm333rjvXQlpNh2ZNeuF154/gfPv/yt2YXJVGCIjL9DkVYqVLqqghppY8laWIHx - dmoA0AE7gUu1AZQV35uuIk6nHauExycmX/3+95ubt0ZhqIls3FHx0oBxkXQe8tBd10jqo9MNDFQA - LIHaSixZJQIrKgWn3YQZf9mLQIQgvqSbcw4gzSpOYudcEARcWm5LlSpVqlSpUqVKlSpVqtQvWSW6 - /Tr1ljYTZVmgeSYoZY5MEpCvtcVKadbd5c8AwTnn3PDQcH3gy/tt6CBsDg3PThJc1QgCBhJI0oaN - lSIoBgmcgzgkyZnTX5z87IQi3r1z169861vr1m8MKjAkcEuPrzUut6pGaQ0ERnm+AKWGhoer9UaS - YnHxzr0vF2NsDbo4IUmROihiZsXw1YUsUSdNk9RByGhohl+zDBL4OvRC4kgBmlnB2QSJSbRSA8PD - 1XpdcBsiAwMD8+tnXVY4CpoBHhVZYrKMKlBxCZyIg4rqI41Gk0nuL964c+v6/Pox0gbEcQImuNQt - t+OVjk1SG5ILODUEdoC1jkQ0JYB1MATtiywl8ePFxdOnT1++eg2Ndc8cef65I0e2rp+xncdR6GCX - Hz3imZkPq7UHUDZ2nU4ah0EAELlACWtD2qjKYDCxbnjTxrGh5tDDR+bChQ/Onbl6/cYdJ9K2Masw - G32BRzrEYF9ZS2sTVhxBRIxSksImljWGms2RkRGie04oCCtzc7ORtuRSFgsmqNA5sqTBCgQLIBWo - 0HEQRmbn9i2nPjn62bGlS2e/ODc3A127emOxQ5UdBw9aU1/YvmdgGKGClkQhbQ7Um4NDRJdEJAiD - 2ZlRqqPFSGzV6NEALUHKqCsQA8oCtoPE+hpSpBTgkiRxDg5ZOTh000v9V3EQEdDo+NT45IyQvn7z - 9tWr1/bv3sU6yzhgYmIFcQ8fPLp9+05q3dDo2Ny69WEYAT4LAcIi5KxNxaWZn9EvuCeBE6QpNMCF - BGFSUbU6ODA4OIgHy0/u3Lh2b1GGJ6kRZLsEsYDvP166eeeehWoOj0xOTYehb3gKdgCEsqqCIJKs - sBl3oRzEQrLAgrw2GydJ/OXinaTTCkwYhqHWOuObPm42i7xWFkgA8hZaAchC7Mjo6L49O2cmjl27 - duf4h+8cPDh+99GNMxevhI2RqfnNo5NBWMnuJN1/PUne6day4onxiYHBgTRNL12+ePfel0Tdt32w - KgR8996D+/fvpWl7bGxwdHRYax8KoUEKDjZ1SeJSP7ICIAZZACAmYqbucAM5MxYokCLWADkRZ1Nx - KeeBCfkNTRG71NkksUkSO5tCXNZ6EWttal3irCMwA4lrPXl46uSp02fOT07N7Xv55emdB89evGRb - SwHSiqlv23F4z54X5jZMBHXvwfZ5xwxxNnXtOA1Smzor5AAQRHuQ6oA0tdYJRBtWGszZKAdBNDY6 - 3rp1h4hr9frI2GizUa0w4KCTtpEEsM2g2XB4rFEVSJoALcBprZQyEBAzcb48wTmIhZCQ1lqJiDjr - y+R5C243M0HKpNtSpUqVKlWqVKlSpUqVKvVLVoluf47yVEoPegjShVs5uhVAoFgFQaCjCC4GGRBn - C5LZTM+tGx25e/7Cg+tXLy7e3jI7MSt5nCwIyhhUDNoriBMogtGwErdaly9fuXbj+tDI0MaFjfPr - 54nhHMACQeqQpmnSaRl2tSiEjw8lHdUGgqjuHK5fu3rj6uWlRy9GAz6ikZCkSBJQklBiYRwAIifc - 7sRJ0hHpaOXrC/lwS7+Cm6xIah0rpbXWCnHHJWEScDg5tW54eNS50zduXvvyyzvWQUichU2FDHti - bZEqWK3ACkzGOh4eGh4eHnbOXrpy6fyF84ePHGBS1knc6TAF6fLKzZu37t6/3263IyKjldGQFLDW - siXSTmBjhCECZaBCPLH3Hzy8cuVqu9Vqzg5s27qlUW8wsQoCotiJOOe00caoOO7E7XYSx1INnBOk - QuKzWhlgaKObQwcOHjpx6uF7R69euL7YabeYOY2TxGijVbaYHwyAWBkFB2itw0oN0ACHlcBa14nb - RtHcug0LmzarDy7cvHntwvlz2xemVIXEJuxcJTBQYK2tI2sdKQZBa1I6JEnZ6Y0bN87NzTHzufPn - RsbGVW3o1u1b1aj2wpEjNqiv27KjESAEFAJQODQ8NjI6oTUWF+9eu3plpWWrVWUUlIbPPY3jWHFC - OvCL8smJtj6IlHUlItXqdFbSuJ0mFavh/Pp5JvhMCgDOwoEUT0/NzE7PMujyxUunvzj13W+9WvGw - VUBGQQeIV65cu3nmzFmXJDMTY9s2L9SqETTABlAW2gmlSSxJh5yQEMEH0AJwsM455FeXX8sf6Mbw - 6MTM9OzgnZuta1fPXDh/YqK+c3RC+Y0UGJYXbz+8dHkRFE1OzGxcPxcqjyEt4OJO61GrDR2FxlRC - LQKBY6JOu8OAqQR5aHV+fTOSOL579+6pUyeXl5fn1o02m80oqmSlqsSB8tAUgAENZOvqFSBAwuHA - 7PZtU+vXTV299MXxj2+eOLHlUefujdsPN+3at2XTfBRCc/dgq7ht9zeBtUxqanp6ZGTUOfni1Bc3 - btyw1rLSWeCqCJGyQmfPnb9w8UKrvTw+MTI5NR4EMAqwGolyjtPUdtorabySJlEqyDKLSRNYswHg - PIsu9ABlebokIOdcmqTOWmTu4DzdgQhAEnfarbjdWkltCgcwg4wV3e5Ya+Fs2mmBIig8ebJ878Kl - K5euPdyyZ+/hb7z0/KvfV2HA1rK1ga4QKhAkLnNbt1PWBCgGEKfpcmvZVFaSNIGIdwmTAnwNujhJ - rBUIK9aKOY8rqNVqm7ZseXjt2pPl5WvXrpqZmWowFUbGMLQ20moTpRAYDQLiNmLbiZQFk9ZGKQ1A - M2umbKCcRZok5DouiZNURIIgqNfr7FE6oJVyzCIlvC1VqlSpUqVKlSpVqlSpUr90lej269QtoNSr - U0P5n+riMzNJAGvtSmul04k9VYU2PicUQaiHRvbuP/jBpYdvffH2W6//dHSwtnHd7w3UlDLeKQuI - AlxrpZUkcaUSBswgdgJtjFJqaWn59u1bd+7cnpqeIUISd9Llx+++98GPfvxmGncCFht3GAAzjBmd - mJqaXdccji5funjqxKdXLh1pbN7QqDFAiKru8ZdfnPn83TO31djm3//Bs7BEZKrVehQFzjjn0Gkj - Vp1A2YxwpNaYIKrWrXNpGotLahFXVBRwdWp6fnpmNooqH310dGp2ZMeerTNz07VqRTQpBpEWFbRb - nSRtK1KaQxU4pYPJyamJiYnUpufPnz1xYvbBg1814Ygx1TAMHj9eOXPy8//lf/jvPzv6tohopdIk - Xl5CtQIYbXwcA4EqEItUbCAOQVitNRqNAaV03Ok8fPAgiWMGmJVN08U7X3766eXTX5y6dfPG2NR4 - EJhatWqdNaSSNLlx/croQHVoqA6VhQOQptTaldaKtUmaJkkcN2pRyIr9sDNDFJyzadK2gRW02+1O - J7WOnZN2e6UZcBRVQO3Jqbn16zdUKuHZs6fffbe5b9fCwrrJgVoN4iSNk06HDScOcWITK6x0qDkK - mKx11k5MTm5cWJidm7t29VonfuPmvSe3b93ctOvQvr27ExU1xqZ9iKgIg/Vgc2RsYro5jEuXLr/1 - 1lvb9x/ZtHvvwDinDmAYQiUI2510ZVnIIgqoFmhEVaQWxK00FUatXqlGJjAgQWrjFM4owHlDuV9U - 70AYHRmbnpoeajYX79w5+fnnZ8+c2bJp6+iQL9hkpd26fe3qW++898Ybb0Lc/PTkpg3ro4rPKGUk - diW2iXWVMKhHJgoJDilil6QMBWXAISsQYAWphSJAKejK6MTsS9985fbrX9y4f/Pdd38y1dTTwzsq - AQhIOsn9xcW33/7wRz/+2ZcPVg5NzRzcv3eoCSbYpG2XH73x/qc/+g/vmmjguWeeee37r2oma+3y - 8vK5s6eNVtu3bjGBAZEkMYGgFJR5/+j7P/7Rj86fO9ccGtm+bdvOnTuGR0aodwMgEOeptDB59AE8 - 29URZKgSxZs3zZ89feH4FxfeePudJ0nny7vL39mwsGPbQkVD5beQgqg/HZWgTaPR2LB+w+zsXKPe - uH//wcmTpz469tGOXbvqjQEwWHF7pXPr6vW//pu//fj4x1EU7ti5bWHTRqXhLDixaCesw2q1Ftej - atUEBpzCpSkJKFD+bIigCCxwDgwwcwIbS2Lb7ThOQBSGlVqtajQnFpohPjSg3XZxDCKtVSU0tWo1 - NAEUwwoSJwgaA8PGLFNWiw/My8Mj1cbg0HIneOfoSTR/dHt5acOmhUAZcghVGJpKLaqPT82aMHRA - oEEIYrtc0TqqRvVGNjWJ2cHGSDodNpbAjCiCUom1rdYKEQKT3ZiHRoZeeOmlxYsX3j57/i/+4t+9 - NjC4bmo6TSAQcqk2AdqdR48eLwUDSYBIo2IqkDbi9tLSSqvVIYCZCM4BSQqIIAgf33tw9OPjf/4X - /252dvbAgQPf//73AYhzQRCAiInWjGmpUqVKlSpVqlSpUqVKlSr1D68S3X6tPFrJ/kj3UaFFdEsg - 5ZchZ4uPmcEKxL6aFlijWl/YsnXbtpvTx85evXTu7//ux8p1Nm2YH2o2NAlcsvTo4Z2bN1rLTyYm - xp9//siQUsYEUDw9Nze7bt2pL858+OEHjcHBvfsPKK1XHi1evfjFsffe/OLU2U5rCUnLJW2CiBVK - XDQ4tGnbrueOPP/j906e+vSTP/+3f/LFpg2zEyNjVd25f+vetXMnLtx84OoLB+sEgJQTnToILFMH - 0iaqMBuwt+lJ5sITWAeCaCWBgYOkCY0MT+3auefZwzeOHv3snXffrtTN9p07piama9UGkbRaDx48 - uHnv3p3QDMzPbdm+defYZB3A1PTM1m3btmzefP/+yscfHftf//iPt2zdODQ00W6l16/fvvzF8Rs3 - byoTjIzWg9AQxPl0S2IipBZOIQSY4VKH1ELpWmNwcnomqlZv3bnzs7//KcfLdzaua1TVl3euXLpw - 6qNj5y9euphaXxdLiMCEx48eXz5/8Sd//aPBKFg/PT4zNaKU68RPFr9c+uijj5aXnywsbFi3bl1U - qWhW1GX3Ah8US+QXVYNZERtQAGIip7QQAe14eGRi2/adhw4d+uLq3aPvvzfcCHdt3TAzPVmNKgRp - ddLFew8fPFkW8LYdO+fm10+NjfhJxay4Upmamt6+fcdbb7996fLlJwlpxXOzMxvm1yUccg0M+OHQ - Sg8Oj21Y2HrwmX3HPr5xxi6WxAAAIABJREFU8uTnf/Fnf7r1/IWpDQuVmgpMW+Tho4cP73650mnz - utn12zavX5ifARtYDSinjVDqbCy2Q6hqgmJmnyzgC1lpBWEIw6aDA0MLGzc9/43nP/383BenTv7J - n/wfe3bu3TA/3qhz0n54f/H22VMn33z7vaWl5WefOXJo7+7ZqUnlwwkUgwxURekAsiRp2yUxnLew - E8R7ORkEb6kU8oiToSsjE3PPv/jNj6+3r3167d13flJB68ublybHRsQmDx/cu3Dmizdff//Rk3Tf - gW8c2H9wfkYHGgQ4Zx89eXTq1Km/+Zu/ZlPTRC88f2SwUSeRTtx5//2jt25en5qcnJwYHxoaqtdr - 7XbnydLyg4eP3jv6wSfHPxXBnr17X3zxxYnx8cBo56B8CAixAGmeUZvTOidIiQgcwRkTruzcseXc - 6c+Pf3H81KnTywhZ1Tes37hxfkb3fSq/nfT9mN9ltA5NsH379kPPHHrrnXc/PX48CP/t7tOnZ+bW - DTabreXlxRuXL5z67N33jyZWnn3u0P4Du+fWzTD76AYFNg7aiXM2FtsmDCgGswIJoJDCQUiDASaw - DxrwByYmYicQEBGJcyROcW7Y9882WLEiRaR8cUbKA5FZWzZQhpm1CFkQAC2ohjt37dqz7+bP3n33 - g2MfX1/6cmZ2JlKRdkpDaaWiSjgyMT2zeee67bvn1o0GRhMrgFgrFWSRHExgfzPyES7EMIa0IWaB - JEmn00EYwCnUGwO79uz5dMuWY9dufPzJx254+NHdu7NTU7UwrLJU2T1avHFucWUxNjM7n33pwObB - uvgbtROIL14nQmLZe39ZQfH9+/dPnTz1d3/3dxMTE51O54UXXqhVq0prAYhIRKy1zMyl67ZUqVKl - SpUqVapUqVKlSv0yVaLbr5OvUJ//bZ6hW+6+B1LaGGMCYwKjldZQ3m9rHACBEoIJB2fnd+/edWj/ - pTc/+PTtN/7++IfvPnPo4MzUhFGcJp2rly998P57USV85Zvf3Ll7TxjVqsqQDnbs2nXxytWTX5x5 - 6603r16/9tKli8YE9xavf/j+WzZeaVHVxh3EK5LG7BMgO2mlVt+x9+APW8GZW8sfnD77r8+cHBlp - rp+Z3DQ7ce3cqfs3Li0+jl9+7ff21yNyAJQVs9KKrY0Jbbh2YCq6ooAIlAIptE6ttDspERutQgMG - 4jRxK26sMXrw0OHl5eDatTufn/j0xMnjO3bt3LhhYXJymom+/PLq2bMnbt26NjO14Xvf/fWxsYnx - 6QFr3eTkzL69+7/5yitvvXn05KmTH3z03r79e9atW3j8eOXSxavcefLK84fsxPCVR3ZZR0ax9kvk - RZy4TgwbMGkoggBJkpgAtcGhDRsXxsfGThy/9Od/9qc3Lp3ZuWn99OTwmS+OXzx/6sqV+9aNDQzM - VSrGSZokccWE9+7dPfbhsX/1r/616yzPT43t37sjCPHkyd2z565fu5EIxl544cjBA/sHa2EC8au5 - xcFap6xAK9a6AjggDMMgrCldZWW0ZiZrrY2XWpXG8M6de37jN1ae/PmPjr3/9vnTn23ZsG7Twobx - sdFarbrc7hw99smNW4v1gcH/6l/8i+bIyPz0GAHMCkGAuDUyOrZ///4Tp07du3DlxvXr67ft2bxp - 4/homGpfngvOwTpAKdMc27xt93df/bUHT372znvn/82/+eOpt96a27R5dn4qDDsrK4vnzp27ceO+ - 4voPXvtho/rdjfNzvuhaDOZKFboTd5aT9mNJq4FGQNoQQVIfjwoPXx0S66pRY+uW7b/9W7+90v6z - 9946+j//T//j5oWt27bMzs2MPLh34+qlS6dPntLMczt3/+5v/ebBI4dHB0Nq+TgFDRXp6mAY1cU9 - TFuP45VH5OoazJqRcFahS6CAgHz8LwAChxidOXS4uefza59evnPys6O3rp576825rQsLaav95Y0b - Jz/7VBNv27Lz9//T/3LfCy8NRoj9Jcl4/GTp5q1b58+ftxLs3rnz/r17odGVwIjgk+PH//Zvfvzg - 3r0tmzctbNq0sHHj3bv3Ll+9evLU6Va7HUa19es3/Oqvfvvb3/52tVoVEZtapXo5x7ZbYUwAgsAK - EgKDIwhBY9eurefOzA68YW7eWkyC8bHpufXrN0xPVniNN7MXV1D03fpQXcKePXsePX5y8fLV8+fP - nz57buInU1u3bZ/fsPHOrVsXz5y8eOYUgQ4999Jv/Nav7z+4e3zCZLG9JkBYt6I6cZx0lpP2ozQZ - 1wYIjI9lcFaciNJ5fjdnDyUYbGBUGHL+zKnTaTubGvLJGwQwwgqlHcWpIlKwksaShdNqGGO1jtNU - AxGgEigCgghx+8CBgw/vxp+dPnll8eaZB1eYue7CqjOIXeJsYl3LuUMvvfLa7/0nP/jhq4PjDU0G - Yh1BGKlYK5YADdZQWvusW4Yl6ECHQaUSxHFraUnCBlVITDWa2LBh+67d8xcvXzrx+Z/96Z++/pOf - PHvw4PjwcCNQoSQ3Lp79+PzNi/c7r/1nf7R7/R+NhfVKhaC0NoEJKkEQwllKEw0EDBgDsffu3795 - 6+binTv37t2bmZ5+8OBBFEUVY0QEIuJcmqZaa2ZGqVKlSpUqVapUqVKlSpUq9UtTiW6/Tt2YBCCr - kdTjMERgU6nWxsbGpqemalFVsc9FJYEkjmCdAkAaleqzzz5bn1y/9+2j77/37vFPPj535tS5058n - cScMTFQJt+/cuX/fvudfeH5scqparxtjBNizfz9pk1h7/NNPb9689Zd/+e+NCQYb0c7de/bs3G4G - x/7t//W34zPjw42aVkqb0JBAYWhi9hvPD/7XQfPHb3zw+k9/uvLk0eWLF+/duKpcZ+PC1l9/7qVn - X/7eln2HNQOWwJVqbXBqajxtDiiOM4up9evXE1KWla41Bufm5uv1KOksk0iko6gxCsLk5MwrrzSq - tcbP3nj9zXfeXnry5OOPP07TY0yijYsq9PyRF5599oVvf+vVmdkZECsdOMH0zMzv/M7vTE/Nv/XO - 62++/fr16zcePlquRYPPHX728L6dRw7uPv3psdc/OXunxY1a1RgkqTUusYorgUl01vWsWJsALBgZ - 3X+g+c//eTTz7mdvvPH6pYsXr188MzRYHWnW9u078Id/+M3TZ+5dvdZa6azU6xGTWLHj42PPPXf4 - D//oDz85+u6lc6d/9vobTtrMaSVqPnv4hQPPfO/wC6+s3zZDACML2CQPGB1I2JP82MKKq0SN5vBE - UB9otZacbWtdMQNNUDo51Xz11e/VxmY+2L3tw3ffur94++gHx7TmIDA6qJhK9fBzz+07cPDFF1+a - nZl1gk67FTCMUdBmYnJyz959m49+0G7HqQqfOXRwz64dFYMESHzQqgIRksQZRY2xqVd+5TsjE7v2 - Hzr747994/qXd49//OHpM0awxLQSVaONGxZ27Dj46quvbtm2hTXBKQi1RZnawOiEqa2bDk1eM6xb - pEyZ7DfrQGSCCKDR4bGXX/pmrT5ycO+hD97/8MbVGx9++P6J447QrgTRvgOH9u7Zu/u5bxx44cXB - iTEFGANyABiiLVUqUW1+3dzc5HBkyChSvWBbhpAUTKkCpIkYYaiaakY/+MGrzbn1bx/96JPjn5+/ - fO76tSsqtlXWOzbv/MbzL7z40je379sfTYw6QUhQcCI2jKLBZnNiYqJSHZqbmxsYGAiCQGlVrdV+ - 8Gu/Njoy/Nnx41evXjn24bETJz7vxB3nEEXRgUPPHDx46MiLL27evHWo2TSBJoIRDaQetHp0q7yV - 3gHsiFKHBAiBAI5AYXOoMTc7sHHjNBa1Gdq1/9DBudm5KMgjKFbfWnJk26W3THCQNB2bGH/5my8P - jY6+++777x09euHS5WPHjn164oRYWwvN7r37Dz97+NkjLx48/Pzw+DAAayEpwtTCotoYnpqaHp2e - q0VKLMggS+gVxawMFIDUwaYCONg0JSshCxERsdLNoZG52bmBej3QigALwFkSyyIQIsLkxNhc3B5u - DkJc2om1EQgkCBpDQyNDwXi9PliBJkgi8XLr/aPvv/7T/9BaefzaD1975rVvjY2O1dscPrG2lTxY - Xr544/qf/dVfXbpy6f/893++YdNss7LVDGjb7ihjJqYntalXa9WkE3OoAXHOAQrOoRM7UgPN5qYt - g2OjQ1FElRDk78zG/Mp3vjO8deueYx+/eeLzC+fOHv/4EzgXIglhRxrRhg0LL/7gmee+8+r09LjR - MVwHIDDXGoOzs7NRGEjaSQRC3txuBxoDExMTk1NTU1NTO3ftajQazGytVczWORExxpTctlSpUqVK - lSpVqlSpUqVK/bJVotuvlOe2+Z/mWaF6/987bhGEtXrj8OHDemzzwubN4xMTDsRObGZsUxANaJDU - x0YPjU5X6o11M+PbN29cXlnutNtJkjDzUHNwdm5u+7ZtmzYt1AYGldZCBJHRsfHde/ak1m7avPnq - 1avLKyvMPNQc2L1rx9Ytm0y9SWHd1JvbFtZVjCFSMBXAojowPTP0UmWoNjQxNzH28MG9pN1WcLXQ - LMzP7j303PzmXY2xQXGA8EBzfN+BQ254R6s2PzneDIynNQAUmEA8PDq6e/e+30ypvrBjamIk0KSh - tKpJ0glDPTNT/+bLteHRodl1s4t37y8tLcVxwoQoUoOD1V27tu/Yvmfbtm1aGRFLRARuDAzu2rVL - q8rQyOD6jfPtuMVkokp9/76Dz+7dsTA3MdSomNH5W8tuy6YNgQan7Au7aw0LWIEiMDFpA6QIKpWR - yjeOHNFjG6Ymxu/eupasPA4NzUyNbt+2cPjZb23a8uDa9eUnK082blhvtIZztVptw4YN3/vu99ZN - TV48c+rh/TvWtUwgtfrwjp0v7dn/KzPz08FAVj2JcoMksyLWgMoNk26w2dy1Z78RuIHh0dHhICCQ - IKggjTkM160beaFSmxkf2jg3feva1YcP7wuEmUylOjQyunHTlm3bd2zcuLFWC/xMyqablUZjcOu2 - bd9/7bUdu3cnMPsPv7Bl6xbu+j0B5f2SzgAaQX1gavCZ6tzwyOZ6c+zyjVv3Hj1xlAiWjUkGmoPr - 5rdu2bJ3166dg41akiJNktBRNDh05JVvTT0SGt04NT4YKDDgkDrnFPzeKS/ClaWCVMLK9NTgc89G - U+OTG+fXX7pw8cvFay5dqgRoDo6sX7+wbevOdVs3NyfGxWgWKIakEJuyUVNzCy+/Ipt30dyGQ2PD - jVBDYEWYvGeT2F9iFhDvOiUCDLgCuM2bNkfDI5MzU/Pr15+7dFNZqqQYMpX5mfkDh4/s3n8oaqqO - xorAEBiOiAcGhw4eeuY/X0ZQGdi/b2+j0dBaM1MYVg4ePDgyPLR506ZLFy/cv3/fOgeBCcL6wMC2 - 7Tu279i5Y+cuHYTMSik4B+ec4h5i7UWnZDZcJ7ACIl9qjINooL5rz+bf/d3fvP6wyY09m7YuzM3M - GgKkx6qpb2fdG03mPvYbVau1sBJVqrXB5tDs/Lpz5y8+fvIkTZ1SPNIcXDc9vX/fvvlNm8amJh1l - MS3OAULg4NnnXnDrlpaG1q+fHfM5sLAuy6YAM3EGyrMEAh/+QhaOhZrNoUPPPEuN6dmtB2enJzOg - T5SVCtNBYyB64cg35h7p+cn62Oio3ycoaI7NfOP5l0fngsGx5wZqUIxO+8mXi7c++/zk+UvXt2zb - 8/Ir3375+68O1ZqNlKIlJ530wfKTs5cuXLp++acffnTm7Lkbt+48XpqbGGgSq/kN67/72vdYRRN7 - 5o3WvhQaMcHB5zNs2bnr27V125L6li0bjYHzsJUJWgfz889OTqmx8fHNmy9duPDlnTtpJ9Y2DpBO - jTTHNuxYt+PQ9LY9jQhaNCwgaAw09+zb/7tucGjrcxOzU6r7DIN4ZHT0wIEDf/AHfzA2NrZt27ZG - va6V8uNFRBBh5rJGWalSpUqVKlWqVKlSpUqV+mWLROTnb/X/S3mDnQM0QDaGa4M1VCUFQ6ClDbvs - Oq5VGWtrpEANqApc3HI6hGIGtFikK+isQIWo1AEFIgjiOAbAWi0vLRNzo1HvHtRa55yDiNaKiZ2z - zOStvzZJrHNBYNI0iR2pMEwcJI0NuYDBiiAWYgEtHFgoAax1TKSZCA7Wxg6kTULgThxxC7QEjjqu - 8YhNyDAJKgk8ogR3gAQuQZrAShrVl6iWAhEQIk2XV5g40AZKg5UIllfaRByGATNEbJq2TKCYAohK - UytIlHIgSwgI4dKTFevSxkCdGGkqK8vtMIwqAUFiSAJE92OVhhhQCAFyCcgkhBUHl6AawBBY2kiX - ED+GM1Ida6mK8/WjXAobA4kmR6phrbYOpCAKDrAuNmSUkKSiSUAOEoMTSKez1FF6TFcqQugAMUEx - CBDrAsVGALGAgLhDZCHVNIFYxB0Y44JoBYjSRBHQ6YC1DasxkQKUAKkViNIKBAdKUgfFPvYBWSCD - 03AEly4vaRaEFaQpxDkOYKopUdsiAaxCQDDI/pE8gn2AWKCHwIMQAiF1iK3TQapU3I47rOpahQKk - CTrtJG0tD4YuqCkQtZPwbhIGDVQADbikZURCrWGdT3AGAc6KTSgwYGOFrBABmj2+tC5dZmWhKhAD - 0Q52OW47TSFFAVGyjDh50hgQ6BVIY7lVEVKVCqxLBI/YqYAiSMWvyU9gE+ucI2Jd04BLELeQrKBi - YJSDfphI2+rBSlRzQFvSxysc1bgGq9ABOgQNBEiMW2EiUMUhSCzIBz8IPNkDIDZN4k5gDLTKrjcH - 6xyzElCcpE5AzCZQcSyUtqOKBiw4TIk6gAGCzHWbgtoWHUadXIgEoBT6Nvg2MAHMLXueCAAgwF9S - 1IP0QpnFvfsPsA7wAazkUvvw0eOwElVrdQ9+HWBTx4AmIqbEpZ00EWLFoVFwHYQ2AbXhOpZq11zk - GhgGahDVeShWq6AGx579Ox90m80/SeFW0NGdTtVoxJ3URVKpdRgqe1TQ0UggKVwKat5e0SsawxV/ - H1iCLIMSuBXEdaemE4YCtGst3fvk5Imz/+1/9yefn3zwX/yzf/YrP/zm5t0LFu2a6NBpiKCztHj7 - 6p/91V//73/502Pnbv43//Jf/vA7h/dumAhhsVzBYw1Cq4lWBW24KrgKBBZwy3BLQAI91lHhAwES - UGobAaoEJDGsg1It65KoGnLvaQdcCpcIRS2FNlABIoCSB0haMAxJUgwuBQOPgQrQACJrQU5SZ4m1 - 1k4EwCqDrYiIc1TS21KlSpUqVapUqVKlSpUq9UtW6br9Ocr+Lpeu69b/Ch/1yBWldcFPR1Am8PXo - LaCFwBpBBBCSjhATK7BSDBAxc1SrOkGcWlYq27diJhZx4osPMTtxJI6IlWJWLMSkAyMCkYBBRjGY - SbJGgkAKEPYuNUW5U5DFF9QhaEAbg7QDp0FKKw4JCtDKFy8CLERsthtS0N5obDnDwSoI6kwOLICD - E+vIrx32pY2E2JiQCeLE2oSYmZSIY1IAOWfDSggEAJI49SvWCSxJQkr8EuYoRIthHXzxqVQSGGMY - CHpxFWANHUBCIkU5GmMi1hpCRBbEzHAOnQ50CFZgVnBwDjqn4YCCpACFtao4ZVOQhlIwlGWbasUQ - iHPkTX8ewLpUxBEUVAVMAqtArBiOQIGvVW/FOuuUMkopB7FOKHOaMgAHdDpWaRUqMLPPVNaVCpz1 - hk/4EyFiQBNIZe0RBwcIQxwzAoQaKZDG0CEImsHMRAxQGIQCdoJWK1Wso8ggaLJrIW7BaA5UPcw8 - xE6cVsr4XhXACciCNUhlXSvkrCNWTHBWmIjYseYs9hXKWaQiFWOgHDuyKVgjChtQHSBOrARGEcAC - gSNmVkac8nM2tYkgDbSWrLQfwRIQItDgBC5JXWpU1ZkocbAWCqJrERixhSgwIQCAlOCIjEutn7OK - 4RzS1GnFAKx1iomYgyDM3dQE5yBQSqWpdQIfVCKAtVCajApBrktc+yJrqfe4y6VgB2iFJIVKoEQA - pEgcWIE1dJ/fdm1yAjJ7d5Y+SxAhokajwUoLkFpLxMx+NktqRROxUkY5K+JHQGvAMlY6CJSKgoZG - GwCQutiwAocAQyAWIgLtrbcCgYhYcgw2JgABymg27QSiQco/uxKA4ASpS5BEoWYNBpIUSqw2AUQB - GqYm3oQMgJcCehy373dSWUFjKamlSRiACIbEOUmYHEyi8fjWlZNPHt6r1oZqjYkwHHBQ1saKgRpA - UAoW4pAKNMAuTdkmYEAIIgIYggqgjGJxcBbMEAFzoI2FJKm/zKF8sTUoytJ7/b1ciDWCEOQgoklb - C6e6A0RwIKXgpNVqmSDI/La5RERESm5bqlSpUqVKlSpVqlSpUqX+EVSi269TAdZQvpa8+wYDCmy6 - 3q7MUsd5bSNAiAkaGhCBc37RL0CKIURE0Fo7ILVOCgSAGCScVXf3LwkAAYPA4kulEQiOIFkV+O5K - 7l4ib3Ysj4AFcJTtkADFBFZwBqSYMrqkCoRJyDdAAOVxoIJzHoP4UyQGUoj19eq1YvbLsgECEek8 - RdUx+yXhCuQjZKGNyukZMZHR7Ly9Gb6cPWmGRs6R83NT/s3sPBnE4ABkuoXj2C9lRn4gEBGIwNlZ - C4F8t2e8RRisIAqkQIYsez7ql/BLnlGQ97D/KgTHJER+9D3tdgz/CoMNOOv/bLpQNoxS2JEnh711 - 9P4drWEJYsEKTOBsvFXuH5TCx4EAHII1HMP11uRztjtWgAMLZTNXa7CGpKG1iQeBAWAzIimaFSMv - yddtJqmsDwSEzPwt5MgblrsTjUgIDNacpwMQSIM1QNoiAJRWOe0kgJihhFXealEkCk7Ib8IgBmko - /zQiZUCxyZ4jWIC9NbU3nzWsIGEwkXFQfiJzdg0QUQG0EkEpiOs2xbeHyFGeFeEE4sAEVgzJ3LNZ - VAXyeZbfEXoZtuTPOQApEqj8xtqNn+i7mfQpuxR63S5ERCbQOcn2HUzMECFhn9BACn46I2s5K5AB - KygVUm9koRSge7eGbPoUV1oQQzETxIEVwGx7dzOABExgkCJQqAHKKXYWmKAgGqIAwCFNkiBMAmPq - AwOVWm0lvnv8s89HJyMnjwYaplYJAoZrLz24c+X8qY9PHD+eprSwsDA5NV2rD2Qd5u3uACvf7fnF - 6s+T/Ewi/7RGA5rAxNmYCYFJaaUAcS670P1jNmLfx71TYwZ05qZnVn7qdNEtCMTMWa5EIfK8O+BU - cttSpUqVKlWqVKlSpUqVKvWPoBLd/mLyf+fnKI88zxFfxSpbEO19Wb1MTOSEVzx74/wlgeqCOzBg - VEYpi4gJvZ+LRY56O+bsEwUAmMFll4c9+D1Jl972ISSlQSHA5E8DPXYEBSYNpN1XfS6p50FKQaTb - DgIpxVzYb6/LiERrnW+os+/cNT3CGJO1hQBlIGkOWqC7a/NZKdJd7M3ICSoUWIMMPGXuoTgUiRkr - VLRfoC4E8US0MEgMViANEBQpzsNt889nP6uc3ZAwiEhBE1I/oI5B3GVjGcxFQJp1bxiZqAjMGKgE - qtBnGRb1EC6/KMljPJ1HEBM8gAIAVgEQAQKj4BTgIMUF3dSdJLVId7k+ayYdSYbJs0nLpBjIJozS - eRdnWNbvTGvKcS4V2F82IKw8P/cTD0p3s1sVIdDadLktU5eeZTvUWnefLAApYKBM3vwA5LRSDib2 - l58GxEEEWiuFNGtByhC/WF9p5OcKxVCcUXFizntfsicM6F6SUEZ3L172tvXeuDAAFhhk+D/nrIqh - s3ntu0HXgCGgAiAkGAPHcIWJhL6v3akhhZ+RzaLs9IlA3u+ZMVyVgd7u44vezg1QbwApctCsAMO+ - O6j7IIIyAC/ZbYzAYJfNXs+KOTDF+w/7DgIrTYHkN0GjoREAqR/0OO4YEwWWVlotqADR7NS62sTk - B65z4m9+9L/dWvz087P7N26Y3jQ9NlLVizeuvv/O2++98/bFG4szuw4/d3jvpo0TQwNaIVXK+EcF - fnACEEFrD2qNhoQQgTAUUw7TsymoFDKsT4BoEGnOeka68xncpb3+FeHs6RRRRcH60JVsCBQIzBxF - EdaohLalSpUqVapUqVKlSpUqVeofTSW6/cXkyVofZPHQgCl3RK6tNS5AZs/MUnP/o1XgOpKbbwvH - Epe5FHPuIgD7sk8ZXu2vrtRb9y0Ag7R/R8ESequFAeT2yy5u9uunhfopcI/irTGl5T+4vL2UYet+ - uN3b3OMkycBhz+GcnRn18y/K7H6U+2ILgCz7MaepkkFC10PiOQDrDmL2EvXth9aeVrZfl9kBs5J1 - Qt3CZhl0K7ySS56yq8LpFFFp/zbF3sg7w7fVkJ9U5HKbd5ehM3o77/0ggIMSiMAxIPnsJfiPuL5N - VykLNPBZIEXEnb+D3m9AFvYq0IUnDz3nreRhBHk1NAfkfJ5Mvq3Olu5T78OABQGk83noFNIMuRem - ZhGVPq3Xny5aRVKJurslARiOkAIKxB4DSnf0xNNTIARAkpuG1xyYesd5al+vbni3SbJ6U1l9SyKG - KFDRI7zmWstuIavuY7lZOh9QJd04XhJvQyd/gMyhj+wWwQ7OEZnQEEQzDVTrcbKUYHBwfOj3f/93 - 5+fm33z/o/O37v7oJz8dGx4Y4riWPu48eZxaNTQ8/3sv/frOI8/vOPL8zPRAjtwLd52C2Tm7qjLY - 2h2Y/rGk3GtduG0V3+t+496LBHh6m03Fot0YpUqVKlWqVKlSpUqVKlWq1D8Blej269T/5zv34wLv - yMv8X7L6PaALNYmzFNrMhIfum/Q0RIbCFl/LD4o+TpJV76BL1Hrctv+kug2HgkMWhgCQ546kPJHO - uWjfgv2vbHcfWO5vZJdJCbpmxLVnmK9rXhVOQatwjIe8lNV/WoOBVyMd6bHUVYejwsJ/8QRL1u5i - 1Ye6RyGgbzU1FT9Z3MPXcttis7k4pt1va18VQGAYKcGBLJAtKe/uKu/0HPQKkMFRkgwuW/YrzL9i - 2vba3QcAuw8hsgY2gILLAAAgAElEQVQ/ZeIVfhNPw6j43CFrXj74kk8J6wOi+4+u4RQVHyuQrxdn - Bd627HMu8nN9Kpzs686fo35I6sMzerNYkIVqcPFpBBHgBCZFTcF0JxlL5iVe1b+FDn3aY4xfmN5S - Rm+LEy4DlAqg3jA97SC9RxeSc1vq8l6m3EGdzZY8K0J615uABOyghJiURpzCKRhFoh1VwzDYu3/f - +EizOTry0ZmrlxeXolBF8YOwc5fHhgeaU9Nzm3c/89y6XTtG5qeA7izp8+/3oVv/RjYVslPtB7j5 - JVnok77rK4PQxb35OzP7+1s/Ji5VqlSpUqVKlSpVqlSpUqX+SahEt1+pAp+UIiXIvuWkrAs2+qyR - KH6Sun5SgNY6xp5KbvIfaO07BVTBxfdkFcUoHGUVi8ztwBmR4i5To363HeV9IMTUI0c5dV5LOGXN - T7lHtbBNnz1SCr1WSPzlNWe+hs9yHsa6luCs6jDkJCo/QB9h7PrwZG2PY3Wn++jUrsE2Ozhly9F7 - e6bVu1nduKe8TZT37FOOXGy5fxDgAIBVNx+j94SAulDeYyzCalDqpyPBEVah56Ktc21bpYBfuc/C - mG3HxY98RXeyrL4Gir+JH6c8Q0T51ekFF7Y/WZuHM/vtev7frzrwL67CMPpuLZx6Nnc5P7IDWX+t - OBiLgPvPvDiW1D/t1gDcQtOzd5/yVnd+UW4xXT1LyNdpK/DP1RcPFS+0/GD+guratzPXvcvDsim/ - 0LR0LySfAmME5KxL45YWx0FgqqJg42S5Um+s33KgObH9tyt1UwERAnKKl4EUouE0yCRKL+d5IIDK - LdXZqajsBPpha36i3fnXuzR7ndEP77ufl+6TivxKoR6t1V3X7c97aFaqVKlSpUqVKlWqVKlSpUr9 - o6lEt1+vAuSgHqsFeuyyy8m6EGsVus3QHslauvtVfOBr6G0fHsyIEPVtJ6uasHq3kjOjnMxKDxD1 - 0C180aKuOOO5OQRdhacyh+9ad2nhxdwBLHBURH7ZZ3vwpYDqurh4jbXWB8zSWnSbn2TPAuvtnC5n - YasYL+VZAd5SqVZ13Jp+pF5n5+3tHSr78pR8jVU77AOyX/XCU0Y2O4Oc12a1tUCA+LNgZGxRCv8K - fQkIhH3yLyg7Xyn2IAGSUeBV8LC3Q849kavOTBUndt9He0Zb7ju3Qj92LbOuV5Iuswxzlx2SA7l8 - QNHzluYBDaCu1/z/uahwqnmf5dM3n6zZhUYWlACBBdts0b2AYl9Gi9b2/tOP9gu1J29KBh09ve13 - 7vo2icqex5Dru2f5TVZNTCrstnfZFfqQ8qmQ9YgfYOeH0vnZppTWIm1gBWQZsVLaga1S1UY9IGgB - HFK2HbKBAsH6nFwFzT0TPq113aLLVoH8AVjvrrsGSnP+hEb6kG/hVHnV7vNTX+PwLVWqVKlSpUqV - KlWqVKlSpf5JqES3P1ddZtKXv5q/UviGrnW1t8I95yrd1/rQLVbTRPTv/+kvFGhyXlfrKVyoz5BL - xd9z/CLekicEcd3tcmKV86kcYnlnqctPKjtR4X6mKn3fejxurd9Qsu9FcFQ8sx5DyjagvM351lxE - vau5be+XLrd9KllGfw6GPz/V856ugT+ULSEvum4LWQ49LPwUcvtUaNsHa9fOg6c5NbttzSMtfESs - 60237HWhXoW27v6yLuQuQC/0KUBZXz0Fvq7atGe5LbS6L5LiKZ0Hzkm336Drme3zUHr8RoVptCb7 - 2OWAF8WW/MOCN3nKbz0wDQHYd7stYnIAQOKpab839mvo7c8/PhUuBgAAr7bxUnZZF2401GOwvbn0 - lLbIKj5bOGJ+Nyg41gsPFJyAnS8ZqCHkkBISICGlnSg4GA3tOS2DQCkrgguyfIyUKQsCz7uoL/5h - VUIN4AMTpDeTeueT36ny6fO022reI4WZ1L1VE0R5TPwfO0qlSpUqVapUqVKlSpUqVarUL1Mluv0a - FTNdqUsF1mzV9y1HG32c0YG4l4i7Wk+jeF+5VR+twFpfZKG1BQjBBa60qn4ZCeCydd5d52Lmrus2 - KHd4dtFt7yjdSk2r2GgGe1YD069nWPKV23SxExWY+Cpuuwa1EXJ0WwBrfW30Kpr10CudVRyP3s9M - T3mF+19ZTWGLQ9xtbf9wf8XgZ+MrvU8UbJySAdxiJ/c6vcfd8/f9On/JK1ytmrTFjumHfcXWSd7z - qvdOH3Lv+6nwe/eFjJQVDtgtf8W9OxL1/lGvfpRfye8zpom6zZD+/v8HArh9tJMBEgJ0lkFQnH0Z - D+ytvedsvvHTr/j/t62i7kMX5NMjQ6y9xuYQMivC1QOvQHGk+3ecq7eBh7+FOZd/7d5GsmuWFcSI - uLZFqlSAqiLWAkngLBiAgdI6pGp+Saa+1pyfRnn1s94XPC2aug/gr2508ab7leeXbZKPU/da8ej2 - Kz9bqlSpUqVKlSpVqlSpUqVK/X+kEt1+vYQgUljsjaegGL+YuuCHBOWuwR4wcf04j1btoU9PJwir - GiCrNy06IqlI1CjnkgJyOa3tflz17cv7B1lWmc/8Ly6z+aJb2qiPTBUA4uoPFzeSXg2k3qsCWlu6 - alVv9OqMFU8VT+2vnv/O9rI0/RtUqGfVh4AlN+eqp1CjNf0tKDCxPrsfF17p+ynnTUVC3ncG0tv5 - KiQtGaGTrNs9CM2Nt/kO8w90a0z1eFsX25MQXCFuuNcbRXQr3TSQp0gyIJivnS+0sniafZ1WaA/l - F4TrMtHcOav7hriAbguu2yxZ2r9MWXfmB/wHhW99lysDhcJZhfNkAUterrBwA+iO2i+nYb0Byx9L - UK+3uXClOyALGiBaNcxFZR2+agrmB+wNubhiUjF3ve9JCiccVIjDBOKgqz6Ro1BNzFlnLZQJRITI - wbkUFqzWJBXkk7P4dIOAwhn2TeDVfSN9c7738af0YkEOkpPkEuGWKlWqVKlSpUqVKlWqVKl/MirR - 7S+krsXs6ZSwy6H8sn7JmBb1M9AiqCvsKqdyq0WFLVbJdX19fv15Dity8khrkZHkC8yV68GeLBi1 - F5GaU06BKpLF7tYEAKlAAL3KBPr0lkKK6QVfiUQKrcyP2CVE+W7QF8BZBIWFQ0u/mbWICNc2rYc8 - s5pMXXorqzfMrXm9owpEIOzTFaSLQF0vKqHHc1GgoF23afEM+s4Lq09tzQkSF7fMzmPNSm8ptr0P - 3UqP4fV7fwsUN9vbqo7r7qbv/CibkIWV7673AYKAXGHyAwSRbg2wnACr1RcYCVi8o7aQqusXtXez - CLhnuf0lcVv0NV2vvix8K4kAJYVgWSrM/Z+/CL97tK/fsjAv+q5PVzyEn4HUFz7tBEJQ3ecWX9cQ - 6VHRVTMVcBAHKVhUu7TXCSxB2EHZHlX2qNfHEysIC5Q4CBOgLJPAkSQgEBRl8bzSK4QG/N/svVmQ - Zsd1Jvadk/dWdTcaDRAQCHABKYmryBlRoiVRXmYJBSPkJfzgRz/YD45w+N1P9oNtPcthh/VihycY - jtFEjOQZyZJmkeTgMAYkLY6kwXAkkiAIrlgIEsQO9Fr13zzn+OHkeu/9/6rqrm40wTpR0V11b95c - Tp49T2Y2Sf2L8VtZy6Ei8RpR1CFo8X1HZ0jc7Otrt+XwjTM4gzM4gzM4gzM4gzM4gzM4gzM4g5uD - ZXbhGazDamAs/WYKa09TTXm4bZhE88887y3FbWc/mAUQmxeW9qyX5Mq2htSNmteag3Fazg2wJqLZ - 1Q4AYpDS8JYgT6nqqEDTVugHtkDJAhUrYZTum0UFzV89yttiNSW1wW1bMv+VC2pfibUo9CdWwnV9 - f0qYa5Gi2lVny6F0ZFbmcdnC/KMZihtEGs3Papi3nkdhaEKWsxzUHv+KjI78XHMa447RefEcYexe - CzABERRBAoBrSjGXG8Co+8qACdgQZI6bmwNHgOXQszdvBnUegpJPBgvGErql9NGQo83SS4Yl0CKM - uC1kWOVJv1ZSEK8lVLwcScvXW8baTugO9upS5mUyjT6NAeDDaRIcAofkp9saQQM2Ew6vAhsemPcg - DAGMZOA4MBikG9VJVxrsyKcVaOtyY4V1dwwYQDoOoo2b6+7vzuAMzuAMzuAMzuAMzuAMzuAMzuAM - 7jCchW5PALbyG2og1GM0liMMZshBFFv8NPWUWFn+WQYQ5212AZuaAth8u4heeFQ3hRp1VsDaKhVt - RW1YI4VTBOvRsW0Rk+M+WQQcrf+9Hkxh8xJddHJRyeJ8hvIuZ93mJ110qC07i8m2HSp/G2DQxcct - WnoSsFoBFoWafszmQHPH2xDXrGTfgabZLuW2710zKENDiwug+XkabTxt1tU8xhWCRLfM0BO+GKIh - 5uitIqeAGtgweKiU5kQ8ARsgrve6H+42Yl0pDSgQS4xP04g03X/HggFNFNCAiMHSURYlhL0KJfhM - 1mF1R3q63zvXUknLtmUuay6+pXjzOhfsGvaMFLtIeRrSwDQQIAAFjPthbyQocABMgrzKEEYMPjt+ - xq2LoQhMDA3EY9gbuOz/aBLtO3bve4Y5xcz/wPzDro5yAkS5dXC7oDiDMziDMziDMziDMziDMziD - MziDM3gL4cc9dPsWedrz0Ir1D+e9mkUQm/zFtf6vRbqaP1Z21tdPbDVacVT3F4935P7t3Ea8+t2W - Jx0uVvflnwj6cGK3L5yWHVvkna7nl/ZdssWTZZljg7W/zE+B2F5XFzgD0PR2lf7m1eT95wRQOmqg - ebUy8jVM0vwU3Fn2MZqhzKm5icVZO/a2swQhiNVb8WoSuR8sixI/Lp1Lx7rK6QTg+gpqnnkdSQ0c - +k8NbAKazk5ow6c72pkdErEVGrZZr2pGozR/uVLdCm/k8qvZ2aUefxU4H9jCQCDmgQFG5EISDIQB - gZxImhCyrwApgwYm4pV4a25wzh3HknHeRyq/zTpe6m0RsIaltwm8jYd2BmeAHzfyXqxKbS3TLBv/ - RMBSjx1R+gzO4G0PPUtU6fGW0v9q+8k96fZ0dh80GQZb6+xsbeterTZ/MqFxc7C700cVOYM7ABX/ - Sx8hv1uZox001jxcPt+RELOzkgXV1k53m6JtW8O3DY7T4NuGyH+8R3FXnXVbwzDtHzaPqDX+u1m5 - M8eawqd7SmFbm/VPyeBbpfsgDK2GRCjfxJSjGGZAIIJyFyRhBZlC0tGyRoSAfJBoMPh5m0xAOaKW - 4HcCpcBaPQMyx2cseKiOif0ypQAzSMDgf3hxwjlOR+IqeeTDD++s0SkwzqXDcamN/Pst9CkCQjUC - FRpkeR3BMPq4e8RQU5+fONni0Heipz/9EdcLoxrslSAMOZL2shVBAOdMu64s4OMc80VTzTme+T2h - OwGTUFBTEV0vzmpQn4nTD/v0gGkv+5pBcUfcKX7GgN8ylQZC6le65YNlqdBJe4GWG0npWFGygiJF - UBiBAghUj5Dl1KI2f1U0WcYSIQBEUBhnkvCopjK4uW9qKN/64aHtRMIp1EYvFpBD0exo1JDSNQfD - SGk03kqd6fbKqqxOBkIAhuMLgK3lqJE76YwGqpOUWawELSv5ElDuuvLDrv2XLTe+0SJWS7O2O8ic - 1dJKZffUZMFx7hETBgLPaLhQcmhZCU7s6faxwr2ZlhkcnDc7qm34dsC4j/OMcQAwOpkSaN8PlB7y - gReUpiksR0xlKJQPjqY621Y6mbg1PWOQpZTkxBYCisBIYAXUHDeRwCBO6b9OMP51vnCt9zSaeTkS - jm8KdApu/fV6nTNdVK927D+xVjNkWxDz2+BuO+zo/LxQd554I+QXdVj/dC6pTwWWU3O8BnYTwLyO - baXX2tomEG4GrJEcmTxW22yQTKsfrnbNmi8XenTekfIv+jsvW6DZB0Bh8uaZZUm7bOethGqWlgPf - GQoTEBuCuPg0F1AAyPUqA+6/+VeWxBPRXTS0UwPNRMTJZvHbB+pOlGTZpGOZCv2R2wRhixy8Bbh1 - IXNC1XEGZ7AdSiwnAGwGE7erNfsgzd2+eW9YNrKz90NGhUVWiPOE1oi35Ld9DJWBSUETEARDBPYA - VkD8ZopyObICQmBGoMYQIgDm29sABM33xFi2GGF+mBW572mUnAQGOHkHM5/x5qCaVcmEMoCghJhN - 5WyMJn0ogIKCe0TJ3KXt6mzWVgt3RFDsMm5srUTvM2+BWzOPT2XgZS9x8Ks/0nU9lk5uk5SM4j8M - TQ6scprZAABTdVC6ar3/Q42EkAGHAIA9+DUtdSjFO+dcRb4JBAwJQGIHyfWyV60GUwRxzabYVwyF - rIu/vY7I+RXUVn3O9gUBSNcIlcUVq46BJjsqmVJdmdyHwnanpm5v2b5d+dwWL6i+mb2kcnkPdo1q - m53c1d40cXtt0bsqdAssOAANZqw+bdmpuqNzEj09oKbm7tIrAhmDAkx7D6XcvN51PgAwASYQ/Poe - xkCgukZJAFgQBTqWzdE4R1R3h7sx61cj5cAPJU2d4x59NjVV0WQlhKNAJBgwlrgdLDAFQBgR0FzX - AEuyiQyByBAIMFKifDmbFXebAAPlIC5qNM/SOyaM83Rv4+we5gHUac1opYrMFqXl9XzEqb971K2M - mZsSyMmCuSy3ZkTCKLX1NWEvK++51c8EQjZHWmLI3lchYMK8TMf+hbI7UdJEZpErpNQxF+j5lrzc - R4NqwWzGlx84oGACd4FeAGY5RFZDt+2BzZQIKV8yBoJJFu9CoKEOKV8d1o+ie0CjWzupd5QMM0r5 - mINhVHAetqfT+jQ1Sx0EYAK5CzweabqdQCw0k8Fpuq0NjbZx9kqaVJjfZ8Iyb25tfO3pakm//dDI - XdtOupRVhI60Gu0acoxyjp6WzSoxE5fJ7jpEBB4K2S07S6CAYb9QV43q7vtXQxXZ84hxT/JIbSSi - 7QR7winNv6VaBxvxBBwmb0cgE4Y9JT4ERmAPBkwwgl8zSDAKQEQjF2a9WXF3OljaUzM7eF2RrxrS - vY0214lV29HidQJFc/4GAX6kBYDuwr/TgBW7pXsze7I+/HxUkGa1lpFgfbk0NQa0V1YSdnXjZANZ - Pjne7C8rsP5XSisL1L3eOfu5BFnV+rcMxYrAtuo6nFvbc5sZP2gC6XVclskPmde3DC0V0FyTdSaA - NWXy/aD5mRUGTUWtbOCYiYW7APKFnG4GZNNrAgYP3aKEbkFgWL1pVYFoIAEZGOBwGgRwt4Gh0hUD - +d4IBqX7P9OrUo4TFRiR5ht2qa3idJBUKXNuaZ6gBpxWb04RljLn5uCuG9jbFyyd2ZWlh0YQIVAX - RMk2PDJrZDvB3ZW6urFiTDUNzWCLNeICy6+sHciAsgZFG8N+BDbA4KHbmJwGTW6uGib2ZIIi6chz - kgw2uXFXLrdV+MURBk3Hb6Wu5sgXOU4g2cld0zOLoe1Q4garslqTEvPQLbpkKQPUUR1TJkuOZqfU - h7V+bO3RUcVPBVZtUis2VGtoF/ur/LLLf7lZ83hrtScHy/k9odBj8ZONIClFThlKGFPxkJQxnMas - ieImnGizZlh0kE/vAdxJstD1glC1UU4n0bQTcYCmS56doiw1jcEAddaeECaDKQbBICgXp/hs9LzY - W0xWOwFqfSdrZpkMRkbz+c6xKUt+WTb5SplsVwnMQKEPcN/ixLWDuYXPs8otj9aIbIaR4k5Ra9y2 - vtN6J7fZ+1QtldvKzndV6NaKmgF2YN+6n5SI1hn3twFhVm5st57KiAAr24ZzKiTmcbRU2ACLwAQi - BQtCmDnTBpCnhKlzOayezNgy4GKYbUJGMTpRg1y5/oQt1zgAaKzulb9lJmzKdViAGWXRYSmP0AiW - gr9cY0RW4p+FC5r14ArJ+i7x3TLw3NeZoTyfz/UoyKIhAyjtr7cm3K+leyWpb86jTT9WmkrTSov3 - q0kxFSO81j+sEe3MSqrNeFijE6nU4aMjDPPDVrkJIBpYQZQDrlxjJDnhpXWAF342+cKbad7w3gzR - pA3G2TziVh/kCGgzSKRMbwLK4QRUvDQQUGLE3CNRQBtAgf2kQU+X+TMLU/sH2oerhUuhU+wNUY7e - ojlSmYA267Y+Kz2c6ZieedZGUR/MoyBN+nAt1H1PAcM8lxsARv+vkXV9DJE0Da52iooBshyEZmao - fFDiOSAYmR+mm0ShQDewwcAbgIARRoiwIX2SXQVas0dOYlP03SwjMjRhyE67zb5ZuB15aHOsW8O3 - TaWdGkRf+OSjOQqOUema7bRWqOyjJKDLWJkJTFijCQvUsrdi/a0+Wda51soakRZm9f+KzU1bv1nM - fl/ulKK3XZXlj1YX1xJ5tajjtLVOdLRnOdhcB9QNrfxm3TFHWUs1Z87TLqQRkE2d8viUVyZOAyqt - au2z+KKqVLQ5p1ONQiNlrGleAOQ29v82goaZ85W/hGIuaUs2fmy72wVkBnLPNpULpyjeeuNl+bKF - FY5dF1BvJzhNRXIGO8HavdkGqKc0WOPm5XLpP488lttTahhnVvRmrZHEivX+D+dSM8+x0HLjhOVV - 47ytRmEGAZib7ubf3Gpz2y+U48+yR6EwlJU560S/5GaOu263XYn7b9XkgtZsQ25NuSq4Pf3fYAr1 - 49y4Fjm6Izhu2VMBa/VvbtlmZNQaCPMF9ALUFDp22+1fzQ3Btzr8InJTmFXRPOBEeIAy1G+aLl+Y - QaioDqnosKKF+yM+6q8TQIkM5/zUyX9L10QLjJMKAyzFX9pSChEEX/hQwwUpayLpi94OW8yH1ae+ - dSUPpHCoK9U+oNTMoGOGlmVyvVZXM04H7OgiJ6iqmottz3vjsxk3WVn57fT9eqe2UPqM1Tu03Uam - vntCt26NHWMquzJ32nig/vfqUFv7votBLmQzNZ8uRpuiP32y4+KzeVduEqj4SrMOzIotpyWzyOpX - nbifvadl8a3t3gxsp6CTK5rTA9rRqs3je+vKnOb45rqsM0dqX7CbZWpKb2m2qJ9tXfYMVEqb1Gff - zvt9AqA6nPQr1ZgV7aCdnxgnYm5kHWvYW7j3bQ+9wOykaPqVqpSdS9cTI2nh5pQqOgv1tKCjAeqe - LbVEO7jT7Aot7JbFyyOaW8UazQZ1hHo6BTgRixx3sKeqqk+1nrVaV+aqrBRusUDQfHjSrqXm6HjR - SMN8jizXcSwye0uBfGl/bi3RrN8FI2VsVHeZ1LNj3oZQBZOV8/e3ZazkRI2EqlWZd/r9uqkPy+9v - z1k7gzsJ1O1fmO/pXJTd8uY29Khvg4E9AzNsLAel5W2evqpmIMVg21L28j7vvAevtQQaflyqqi3a - aUfv1561kYVVjdg8MizyGKpcOjbcgsl507BscxG8WtXrS0vh5EZyAWsm9NYHvlLDrJ8r9NF7xH3B - zgBd2odHOMh9l5rT39BGRVe+SvSTtiTStoMJWqvnSDXVTWYy6rzmdt0bAIzTvGTC5jYivmV0twZr - qL15SLbBrs6VbIBFJ7YPbMkdi4+x/vL2wd0TugWAfEjaClcsXMReCmQ5eopUsBvWeWnLmwXvEerD - 3V0+bULo+kmLh225FandQp2kJNxmtsTK0sW6mroN00ZbJevRjW3/9jRg1v7Ce1tGEDpEpVIzotom - c1xGLwlysXF33uwxEED5Fqsyz/1AbgLIZiQ0G+M2HX8n+f4thpvMuvoJwlAFatc2elbZQks04/4T - ioKZSkJp/PSit3OzecvELgIffhL6bZBqO2s8VnMzJVi/Wa4KEfJq+bH7d2xYVXCrz49XgTWzf5Jq - jtW104WZLsjPqp5Z70r3YiufbO/83CFatZkXC5tHNHGnDOcTAS0i1DRHbKv8s56nEr21U0tyuUuh - M+xt7czK+mCnMju1+Z95c3clXZ3BTwZQxw+01O9LUbKooPx3Koagh/oWLh4xMBrIz9erp24RALDB - SAWsGLnNytgykh0W/+6+neDpeqn1TBQqBkjzInfS5g9utp93Do5ov4yiOZdx7euTILbALYR/j2hi - QUD1V5vN5MIpwJYlQ8J88/Ks0V3PnTIInInE8g6RRemq4IIB5UjDoxF0HBOpmrPJFPHKy97qxLJ5 - aiyHd21+TBbNkituGW6xngXPrtmic1euZu1QWwArf+CI0G374A7y9F0Wur05uBNZF9Vm3DpNRMdT - iy3Rb/vAlobjLl0N7Lya/jg9WX17xMdNJTM/buXbO0zeW9jrWLRCxy14U/1Zuh7bw8zrkE8c4GQ0 - zCX8QsZQ849tlbuJvi3/tg0FVan3rc3HdMSD5fsOR9kOstYkWvZlobDPYBXeLhg6WioZStijt+PS - f3MDbmflN4u2/rvjCeeTtbVid84fLMzYu5kIqmFdOzpHW3GQtuLzFMX2rdVzl6J6ZsMcha4jjI7F - u+Xi4xxsRpZHR2Z3VNgoh90F3zIgAFVFr/Wx6jlbxvidFZi2ZqG+HaCXTbw0BfqyJ7WWbqVfx6h3 - 6/u37XydwZ0H6tah09rGGoH2XkDjOC7djiNbPOr9wsIiCEbAGJJOFEUjuixyuvtoKIp8e7Pp7o7e - YEOrL/rhn7KFQ6sOybzXlPvpVsmPgzOypAOaaW1a/j6/UG5R7uSduB2Qrf+1F70G7W2Qylm0CNIu - NE79tfG7193pgjHn1mKmrGb55XqoVFuvvr45JbOez9jcxjxP7DJfUqF8qrTB0upxzqOwdGTiaZ4E - eCpAFbHLM/3mJdf/3OINWvNTntwZC2QX3L2h25vQNHbK7umKjNo67d7+YgtA+3djm3In8RdNUZaV - SeYY1Yu3l50A1vetOz7I5sKo1tJcEzRHN60OfwscU7ZUz6TD22l7XAvKaZFDCy21pfOnd6ocNaOu - rmYJjeZ/F4fDrnYujaWYbwBWQ7c2+3yB8a4n5aMtxyl2KKOcMEWlim6g2zOp0nGPhNr+GlQ924S8 - dqQdLZTrGfz4QTEO1+fx6Nn1o4Cb0p25RtRd7da+rI8WrNaR860Q2C4SPdbQtkjJhTitjzuOp+Ou - 379V0G6E3lai/tu/KPL9NgxvuzA7JbjzUzJrcQVvS0OKuv+3LOtZVgzN/QP5xZZOWPa1yDKVH4mQ - 5jrg3oe4Sxx2AY4AACAASURBVJfx5urOGo+p6bz5tfC9omaiQPlW1bttYKcL1fLFnGKo+637q9og - twVBhaJWTehtcDeuIZzBjy/QPEo0FLep2tx9OMhq4fbxrNb1to4olOR0GwrzO34C0s1P7pv4r6G5 - 7kQJ7Pfl5s7WyynNNzrnxBIud7J0DN91pxH3p8z5jSlZobsOroJrPTde6MhQ290CWyd/afCsDKd5 - dCrm8WnB2vxkhuB6A9CcMxpSDmuJtwUtM/Nkm09Ki1ZK1m12c3q+5Pa7FJZJVz33nJZDk9Z0quts - 68z3bzplmQrRjKXSaUXmJ/4GIC0ndyr3dm3guzWYZXWsGJOdZdUF6zqza0HuZer70O2MV+Zw2/Fz - 94Zu7xrojOwtgmpGJ+uSrpcVmsvZglhKiJMSG3dCYHXRDA2FLXq1JXo7a5jm1NsOp3Gr58KBesqf - N78Y1JIxqP3/VKBpenUF7ujPTxfmMnS2ftPgYBlXbmLO9TOG3xyHedZtv2q4HEg7rzePcurWHZqm - OhrqZ7/EbftmZ/ScnHHu8JL0WSkxG1D5dUHnZ1DhtgehTgNWOraqIrdYnmVVItE5l+f9eZ2d4LIt - LFN5z2HFUFqFBaK3fnKCoc3NrtXyM4auItq2fHuXQGsHrVPA9ncz5Xd6Y1zOzLGqXxFPW4y8k8z+ - acKMROZ2xfY+0Zb3uSIrfqxtHfSiB/VRq5dWu1g/bhXDERH/uwFo+X+n2NNN2G0xl2Hk/hvpHSGM - twSyml+zClI+VKaH4rJ2BW+TXtsldHbCzLY7gzO4VfCkDi4MkZ2F/BYdsc1udl1nluMaWlt71Ipr - iuAI2jdiqZGmCBtAIa3jodxjnOySsvbWV5t8meKCNif8ljTcVbvq1HktB4M6e3EFCEbpNjUGpWXy - 4xqLdxhO7Pt2g15QyNxzO04XtvtvtwiUpiLfct97qH5BWDroo51U6lLYOGU5WFttx1wNSrLfvcI5 - hCaZmVLhTPiLyhoUUxu6pfp58/86qm35m818BgLa+9JzHLirg6AgAQg2prrcFvHrvcoF43cdaTf+ - The9xYqgWKfViu363pqf2ZN+3jtDhOb2zG2AuzJ0u0DLwqxbh5PonmPCvK3ts3FEr5rXRVDIeun8 - f5Uq1YpddmjmurbQxAVXolo0W19aIHmN4NcH2j1aM6Vr9+Zf37ZYW1443U0Rd04AeUsrKLQ5mtry - 80fpT7/OoxTh2R0mvc5ozJxGqa2B7Zjjed+ScdXagjuYxUq3a0PLXiT/n6xcbZCRowgAEbRPTd7a - 9Bk0cFRI5m6BrfL7ZB3N1XT5D+lmj1bkrWTd0oxmE7+coBerInh3Z28CKpdmgdBZHOm1+f20fllz - bwXehdBn1BxPkbvxWej7NozuZLN/UjhBpafZflo/a9JXtx/pMZ8Vz5Jqitfvq43bmB1HdwbVoUKf - T7IDFvegbjXI7zowgMAGQkk5g6XwLGkTrEgWH53edc53J1C1SfI/je+UNb6/otmH9ZTJah/fOhHM - iOmkFZ62F3IGP/GQ44Oh8IjV55jZ7bYuC5eW87KV43anq4giaAMaDZyvMzKoghSECIwAzGAKs/lO - 6/mfVP5zv1erUCjXZnafHicycBNwhLdcwWVXkdvcfvxjoJF2qeitPt3Cs8NCZq7DsrHTRJFhq6o0 - o7SAwG75lG0s/cxW/mqg9d1b2BHEnKOurkL2x1NQW9SVFzEwGJiLhV9fl4Crpefbzfpt4clqYRhA - jXq1+ljaMgBMs2NOx7l84C2FzoDcsqi/iphttZX5KrOmO2MOhHy3yW1F1F0Vul3iqHuHxoJ7i8yj - Wfe6eNgMWoW6mMIAgKBcvutLZLrgkl7ZUUr9bRnDWgucpr+WvNxILwAuDaqY4NxEM5QK1g5/W4dm - z+4Uz3cOZA/LLqwrp1OEosednxUIs55twXEvuP0R5dtdNFS5EtrQ7UowlSouKO0cKSuQq7uxO8d8 - yZbZeHQ10+9D2QptNbtLkoIZRFZLKgAwr4R7ydYjbmfQwlKu3m04WrH8jiflZwPJnjyMcmZ6e79r - ZgUiT5GwWsW8rXWjdhd5be/tipA5+dBqB5yXsm1nTeCsZUO3wTr1dHtm/RZZrpUx24FmJbde/XZ7 - BEBrEZ6cAHZ1aCdXnv5IWhw2hFQpMo+RMI/4mwHq22EXSn2LBdT85hPYeCndpGcJle4Xh+VnDQYo - Gymzr+42WbYCzdK7gSyFbgs2LA9ZU8jCv+njmW9TcO9R85VGnZUPwNJ6LYN4xttUcdQ+O114uyH+ - tHyntxte7mpQQADJJndiCad/wGCW7J0m+Wtm3lPa6LliqN+0NdKILwUpqk1SExkbeR4Apvk5fY1X - QJRO2wQAoy4ainzoU37X6SZqfnbA8Q3gFYOk86e6/yyvIdWE6LvaCzliRbV0/CYufr858/hUYO4C - 2/yZn9cBA1shS4AMgTQvSM9iNcVzpo5mU6E+dOvWSfOqs8g7E7xzRrijcAMN3dH45mu6mbzzmfip - xg7fPl/tCKgJ6QBN5lTxGAhK5dq0XKUV+s/jWT1C5I7AMXm2JdU2ztBaiDtdoZW4yfJ1QbfVwta9 - Pk5fTwXuptBt5zlYa93P2aleCHbbyalp3SpVU/ty5aBZqh8a/GjnDggpU9IaxZbfVOIhPyq6rOQ1 - PdkGqwSzILjE4T2RUluUG9zvZpi6PWRL0+mXO6XJukBAtVvqv9g2ou7pqereIjO1BExLK01zc7HS - Ela7/cIMJK6KgKCuiprezqejEV8uqTUHPrIOW57Ojlmsv/2jfgUs7xXZgoHlkJZggBlIEZCO2klr - hDk8PJebljpK+ZysnwzYqn92FO8wf1duMd7uGxz3wxIBAfKqV6KRdFlrm2obEhqqgKAsAlEr7H5v - yW+r6j+qk1v+PvqrVnrPF88Bs6YAwYVNBNjyMnFjD57W7Pfya8u72qVdNWx5tv7Z1h0Vrb22s91b - gaMHu6sogK2q/M54fJYlLdu8B4VYyJrsAqqv6t792tetF1DXy6ld2SzUiWXyrUaUVbYsM1mNrjaT - d86Qd6FI6yF3kK25YJrgpyWUoZRhUf/V2xg0LxM0927XGTdAa0y/A1tkP5wWtm6unrfIvT0h/ARQ - 1NsMDKZATOfJJpEBZO6AWWsOrGnl4hatH8Z6cmuk+YMM7J5Na195fzQ5v+Qr6Uw1sxFri6/UcHKK - h+a/PVmkG2CzuZ2K2loby5Ixdynx1W5x3SRRClHup9bv1mu9dXly6jbbjidoZuH41VL/y9FtnDas - dTY90yaPoZkmAmCMDRAM45aQR2uNtE5wk63rFtJuL9dQKKQj2YprZw7WatRnrrdg8wQl9Azkd320 - HS+8ULqztPf8eT3b1k0tTWmF7SmFWtdl7pwGuQnzeOm+NTPdPJpHN8p/WcgUaCPeO1yeY4mY04O7 - aieW7aB5dxq28/9tkQxdb8zSDxSWf3KfLXOAoujSoiy9+2ZtN3PMdtmk1fXGmX9nBjUoupqtfb1z - KIvyLelaXzSFAQiAqtq8asvH2VGLmDU/MNXXtVSCDHZEp+djMFv0ZKVUTy91P1GZP2DZ1ab+Umq1 - UFP42B2rdaZdQ21P849l9dLOUCZ6zZUghUpNgAmIyF8VOWFHdFyzpwQFtI33JLOodGjnoBJqKw3s - FGR1nA0B5+7UKUlsIjUNSQA185QDWCuv6iz1u3e3Df74lLYoWVjgJJNeix233SOrTaTdzJllkpoJ - R6uDcCQVcaQQNbV+fuct5ldmZqrt8909X5MVW8dlZkdOyhFI7sSW9QQMgoVKlPXAhFQcZIVUDFVG - WeG6BoOFIa35afqwTnHHls6rg11Mik+9VY2CIqzrsypTTWGxER9FzGzrL7B9RixD36HyVf53/m6h - ddoSM0xirVw7R6Z1jM1MqBbh11WQix0FM11tSGtb8wEUPJevqpxu61hrYvWFNe86Wjyit+uPbTlH - cxarvzfk01onlu2XhsZSzxJfaEtiXbXz2W92BvrfMzyoOA6tVt2+L8ZPQfvsp7aVOWO34rtdsEuO - LR7n3rOBs85vZXfl7EoO2qIyt9j8sbtvx1dAJ1VVJ4JEmqvGJNxB1fn8KZBC2wI0yQadv1wQqDBd - qX9tUEs2WRm7mfbmUdvlfgbMqmRYyLlVrG7nYv93hybdAcc0SKpyvz0zvrXmBafUksX23lLj7vZO - VPiIUW8hGM1KYRflLHpyc/O4bB8m0Oj1RyBmGUHujUKSOG1FeqFFcsyvnjZ2S1BNJlK435raLhFa - 9zUUarCQLqzvlXWjBamLLJsB1uy0I6NOXFKrU1oXs8xD1Q25n9W7aXTGojP5QW2GAIZsuVM7bWRc - fLlWcT/g7pdZpVWbW/tkWSbjqnuyhQf9larKcsRN8T7gn3/P9tb8eTER5jbSOkKWI935Oo9r9ufa - 6Ag1nRRWxmHVR6K0ztC8RQQ2gJhZRUqHihWtA6Dmy7bqurewC2Iqxgjo8rDKldxeIVWdY4BG6ATT - Moh8gJAVYxgooysd9LXwBj/pqAOD60stnSvSon5ugEHUo1mJnf0Cg8ZONBS/cKlwZyJxm3pdPMmj - qeNvcdfidf6hSw8rv7ZoyfTaahe1bOPXH4WpP+1t/2Ld2qJLCd2LEWzr6GlC+I3f+I3b3ATQzC5R - Fsqzo+1EySzpmHSrDBURoYZpMr8jPO187aL/JZ8caGPsp9LzyrUKkcwABokqShxA7I/EIGZMJKpx - ipy6WmSIxSmqEsxxQIbIZIQAsPMdsVOOECvBCIMZm/ulBLVJYwSBQF6FswQRmUFVVRUgIu7FTS93 - EpBbpVSO9E6zA8CrEpVU88GNQzNj73QShWowX2Q1o6jkOW2aNwE2K1PpNra8k0QlJguDiFRVzZhr - mLp1PqmnEB/g6qvcmPuUSmiTeLxuMiCKaPqazEPkTSaHmaqoSjRVwIgJMFUjSsP21oko+yBKlCZC - RFQ1hFBmJHXHx6IGS6F6gUUYKQKapXMDKK39RY1M7DqBokANTFAVi6bKFPKuigjaAAD2Iti0LmUr - e6BTg0rwPVY2qOXr7uwQGo0GpXCwgRmGAFgmVFP4eA1mEDVQOVO3oSSDqnLCHYmZqhFzWZOy7vAN - 14lKPg6n0qz2pkNVoTC4KI9gmsw2RAM4QKECkBgdgNI3FglkEiTt3HXTVciYEKDJA3AmKc2bmW6h - HFMtlF1cpjKzPu+Hh4cAmFlEYObkrqrTNDHzklBbGmjpZBWKx+LdUFWJsXxSCN6cFA1x2pgZcyjz - Mk2TqjFzoWYRUzPmwq5KlLhgM22mKQ5hVDURYeaWaL0tUY0xMrOKtGMUVR9+O8Z24JvNhog446fF - Q4yxjsXMzETEsboNMwBijKpaOtA26uIAeSVUVYlAZiKRYWAuadiJbYmQwrVKRKoQFZ9Kc5vIRZBE - MkVYnGyWkSsiqmZmzNz0YZ7HbBn1/puqYkZ+WQm6T0hEImKqHDzdXKNITzlmIgQCs6arnMHiIsJ9 - JzUEM4azlhwCB+A9xRhLg9BMJTTrhjV+Zss75dWMNVSSi5ZXowhZVBYhAIIZRCrz1fGTwVREGEj3 - MZjVqyXKA3VrDEQWNRopEQOmUIlKFFT08GATeHQ6ipOqSeIFmErlvlaAp94kCa1ok/pUp2kDmE9E - GkqR4fmCXScCZjZVVXfsUVDX0gIVediMi6hKytSH/K3bSpWiqsXfyZNWcPnQnMsKv/ifyCzms8uU - MA+YUkjbXA0mGtzG9Y5REEI0mE+Ogk3Spl0iUVLmdG6CKkwXx+YnGlSFaXJK0jsFEaAxThuvwmBK - gzYJU5U6La91lsqzoio7mQxQgWq+X8QZoBf+zYwbetpuTamZTFuaIssnKuJybCnkzUxFOdus7vqo - KjFlJ1MNym0CRUGB+hBJFVGSEjYFczKEqu2xXSarSBE75EugW2ynYtIkHPeqpxRaJjZvM9iWyFSR - KUaXaYkg01cGi6YbIoLumdTDfUVhFJnEEA1MGBYyVkHi2BSJ0yRRhIldqfl0iyplpYNsdjovJBya - xWmyhSYStc1mwxyyGm3bhYhkvJqquCYt9nPGBrktTTQ3EiSXaUVukfmqenBwwMxFk65aEXOizTNI - RCpi/YwU8nAREUKIMbYGwHK6dW1mS9Orz0tvtVFepekiM1MlWakws/ivmY9adZkFV76AqG3aTFvT - Lv9ZzacsGF1UFkW2w+qQngu8xWmaDg8PQwgESDMXm81GRcIwYM3YE9VpmgrBL2GJ+WINdniGQDew - DXjPaDwgiCYbOng8MQo2E3iwwEqYDJGEwKHkvkoUOeRAbWx0acKhUSVoTLW2pKVQRvIzDSZyQGyE - fcKAmMRUPkpdCQKjzaGqDvnWbT9aoZoKCqgYwdWT11rw4F40qUE0HchZvHIGTAUSiaonbgZVS8xp - mhw6ItNqvcNMRdQdQk5qV9Wyb+22Td1FDgIhzhMtzYBI5CtPg+oAo2YjADL5apY0ySMkJlU4VRvc - /+qMBjOLcRIRgzIzgcwQY0TLPmabzcbMQghFCxTu1mKfdNQFwDabTYxxGAZKYZNkGKu6TOMk6g0i - WvCjZsmQM5gZl+l3rFLpVQpRtDSzIiZaFlDtuHupc3sBWAQ4stOUGyGAFNhYNOJ07kAEZEMUQRNo - AIIgpHhLanMCHQBhEzFZYE5R0EbqUx/3sxwzJVAwgTu/Pvao6aa04tmrIGhM4VECiIEgRGUnXECy - uRR0MGlU5gEEsACHV4EJPIKGTYSIDkwwU4mmE/mlMGRQFVWQ32vqpgXMLN+BkztMZCBRyEZHpCud - NahCCcw2+Bm3keKGbhB0zwbSAAMClHQygTEjMCDRokyBSVWz7qtCL8ZYpJmaddo2z+lMVCaeBYGg - IkjBGY/TWLGpfdrnetBtG4IhV5ucLrcL3aHw8xeT2T0JRBBCjmZMU8KSihkJ2I+tMqhotu4yMWRt - Ms+5ziSYyRLZ8rs9cIcOTHAdQEXuA62zakCoU59i3GIqxprO9gGHJJRb5y8V9immfJXlTklxIigR - KFMjl6+mhSCYGaZiFo2iGJiJ2UUdh5AMCY0e0VIDs59dm+pkIoIalICoMDUOVCQYQVVNIgjpwGoy - yW8TEftYG74g95MX4aSVBQCiuksRAFHKx/dpIgopW9UQhjEEJoJLCMCYSkeTl6RZFFq5bSRHQ1TV - /AQjF7XMKfRM5E74zCVoey7Z/qsAmJmHSt0kKkLfmycikMXN4ZUrV+65eHFv/zwAUVFDNqATfmua - HXx+wekgJnCyHgBArVpY1PezSJ9qKebwh6ORQyjM7AIpzyUgCiWo4TBCVUa2e/bAIA5WPDhViAGG - 4ONi8zljQCfgAOF8lLhBGDhj3iCKyGDHtNOIWlQaCEQGFTm8fgiLAxsPRtgIWCWwBaZE8SENkIiL - ElKFKggWmEJaRXGh6QYPG7KCy2GcHIRxTVzqJBiJCBmIh2SIuP1iCjGEwRfvoYbp0A6uxfOX7PwY - 6xb3tIiWKTstKdaJaWjf42LFJch8bVUQ+Uf5F7elZgVCCM5TbglJdolDCNXgzmbETK/M1UzjX/nY - CSlqaJmNOVdbu+qvQAAyd1ucxPsQOHRjcHRbsu8BiCgxnFU4BM7Tl6pyZUjkrn4YBiIK3jdmp+Fi - wesMmfmhV+WIchQVfimeW3FEy/A77Lk68JJUjb924ig7deXbJEnS5woTJlIzTBsRkahK2Dt3HsQw - j+5DgWmKZsRMlhdrE1WV+2ktbxa37OFkA5HyYkYrnVwXiYiPJAQuHfapKagoYwwuVRufjYjUbJom - p6sUGs52LZmvJwE50V7UguVECI1CUYTIApFHng0QmEyKiTAygp+UVSPac+Kntj8lEtSLuFWuSUzp - fXEWB0BQ58+8qOPoYbIkYGEqkWloDuBzogIs50mzW12qKjk0bG6iiWgIxsRDGA4PNkTD3h4TUVpZ - cfVramqWFEVy3RtzP+lTn4CsiShw6AebRmQgT0InJq8t04Br82yz93jKoViowcQMGgInl8RSXMkj - WGZaiCGKEfEQUgBURPymvUJIMUanE2vM4hCCy4p2pbObXbgNEzeHmxjM9s5NESNwLlAVwmrGgzL5 - JXeWrjq2THeBGGoQQ/a03WqOomIAB2IeOorKzZrCzFwphkSOauAInShFykVxuInnhhC4VK4QMWYE - 6lbpy8jKmT3mho15RNXx08rS9F/vSJR+quq02XAIznqF6GOMpjqMI5KxmbRDWXyiHBBsRTcAZlLP - lSMGM/u1IJYOdnV5hSGkvqvPvkEFGMH5rBeCCojADJceTsbccGXbpWr+uSBFYqlOzqgWQVpYA2kB - jHgZrXTvKAvqwjQtbksIO+m1RvgzMzEH9yebhT0ABGES4rRFwEAH1xENINs/T8wW9ZBZgVGLGe4e - K7mdlogLTDwQjKmJC8MjJ8zB+TCbiJqlt6t7yhhznzOE4MgbwkhEplA1NQUQvCSZz6wqhiHRmKoy - tzMCT2Ui4mIwDDnGV+Vdjo6l+cpmRg6sdB4TMtptQcMlvJs+IWq1NhqV3ZJBUaJ1llWdcVoaKGZt - IpisICTrfSLyVaKiuazpWxG8bSvtQmBdYGhGN/PttTjhrU3VMNvqwkMp0GIszfU0qdk4jt69zWYT - QhiGobUAKVfAzOMwEJGa+ap2lbc53rQaKy8WkZOWs1uy6LJ+L2akuwhU2Kd6RgYoLCJOhzh/wGBG - SDELCVGgESGo6jTBRt/yG5Az7BiFWTJT5BXZQn6tuCt4bl2eikDknB+3uRkWBgEGEAz5YhYCBdgk - 08H1629euapqF87f89B9ewwR5gOmPcWYbawcgCO/TdsgrmsoJwMyERmg+cRNbyEdNUpIYRSjZHJY - DmGlkknRpJGIpLlK5leyTDwUyGkFsdyoXHkApOUAtzQnaaIjsRBgxGIwyXcsGEQjQ4nZoDCidEw8 - 5ZXupBzN3UyPGOaIEHN72UeG3mAOeZnfpwrN+pPTGEroFtUzcpoESETNlIiZA0B1cNnro0YIECUP - ItloqkQ+M5z9sGxCNPqoEFgactVICamJmBZeUiffKE18NXvcpDQTM0+ZohIxYwCkUAEHcnxSDt1o - MqEJogAwEIAIOYAS0b4Cm4iBk+4tQf+8zq7pyEMKGtWMwsB5UwzM4JlOvsbAed0/+akmkAk8YBik - 3uaXz6WCGrExMAymiAImDOY7c6In1CuIiI1AYGKGMkwgSUQwc2s7O+GoqplCJXBR1x7kyPlYzI4r - RQw6kjGAQDZCGWxmiJDNBgTsExObmohxQn9oHTefrOzOJ94ofF2EcLtUiTnk4ondU9zWYDm1oqoS - EYERc3CCSgYYGROnvDNPKcgEmSrLUR9mqCEKBgYbTOXVl186uHrlvne8Y+/e+/ncMAmyg5tQV/wm - QiHX1XDkbQvW9nDnzrotGrr8WebAzGgI7K6mCAJcD6nBKJ3jOYS0v8G/Tv8kjqrYOkWsWd5pQQRT - ZVMaCCKIk6ryOILZVMQoKjZRwzgOIYghEEIIBDONppE9x9M0hH1XLaoIhrS6BQNB1FQ1ELsdCPX8 - DHMR4LYok4LZw2tu+bjVJOIWWzWPFmu8VWc3T3IMjJAVZCJxIgaRr18DPA57xOZi0wNhPPbHSOZF - YCqKM0s9DgxfvCcyNYDKArVpiuakFbAk2esEluEUB6z4SCJShEUbT3U8XL1y5eWXXnjmmac/+MEP - P/Kud497+05L4ziUDT2oAwYMqhqYsvizzPCJd7W3I1tr0sy4TzMs4olKDM4n2kSTRCcQqQorYRNf - +uEL1y9fPRzo4Q/99HDfPXkdqJCgggyBOQQDWQQU5M6cHiDq8z96/bUb+/ff9+BDl85d2ofBRE2J - Bo8wmcHUTEVDACBTvHb5+88/e032w73vfOd73jfuIW7UNyWFQKpmsMAMYhCx1bUQVYhEGHgM4IQK - NRU1SvobJXpLxZ1OwZw0poQ685Q9BIQwZIWDlGJHw156ECd57dVvP/M8PfTe8eH3X7w3jCHZB8Xk - QiK3fOWU9yun0yFbMJQdDLd6qrNU1EWxU4kouxbI7oTb985ZhfyYuRjurZ/Qeg6UDXHLgSFrQrcz - 38a7y+6jZxYAUtbJ4AaKJwMSQU3i5M6eBwpN8w12eVCW1U4OVzGRDRyIA1opQb4iCafz4K+y/9B6 - U8jRgaHErPNXzp7jOCK7f5Sbdgm/t7fnT0pwIbDPWp0pL8lECMGfO4ZFpKzQJBHX+GAOzCwSVXXY - G0Ti4cGNN197/Y3X3zhUffRnP7B/8d6RmYmYDKAYxSjsBT9AyojM1NLqlTslKXTL2SlDect50t2F - Czk30znc1JJ/lvV86V7RdwVdFf15/Y2ZN5uNVxBCmKapCPpAVA4WT1uIrchthYnYFIUZ51LcmIB4 - cO1Ann3ltUN+8MH773ngXowDpfhgGVdDhJ3naeZzkW28FH6twR33iFDOF/aJ9HWUtJHC2SLtyVCo - qYeIjDy9wcOVSppSWZE6BkPO/vTMejFRHcYknXPyipkaEwXm555/7tr1g/MXzj/y7ocu3ntBoWWA - ZqZQBvtQnfzqkhSSWED21cHMPAKWlZnbekQpM0XNLCBFiDx7pRisWsMmGSznmZLv5xaDhpAsPxXJ - Qa0UkKYQQGZqIpEoBGYQVBFj5EAh5EBbk4ciIsMwuAPTem4llFMkiXvvGqeDq28+89z3bf/SpXc+ - SsP+vXuBR4b4JE3x8HDDaufvkbpg4f0TWAQPzKwGqA2uCIg9xTNGMdiQjTmXOO6A+wZNU5iJn/NP - zDYdXL78+guvvknn79u776ceuP9iAEwwTdPAFDjkcBup+L6BkBOzKeuZSjjeTycREUlhOOZZJMLl - TIl6z4g/xjhkFZCJnFzgB59oopLKF2MMzDwMLqNijGOJBiaThTSqqYAp8MgUAI7m8W/f4pJu3BGQ - qg4EMj24ccjDhWH/IgjEYMNmQmCAq09rZprFYBmaNlQxIwZkLZO4252fnv3TtpJcXfu2fJVKUooD - FbypTOZQDAAAIABJREFUasn0pLz2hqy8/GG70pmqAtSUWYF4/fLly1euXn5zeP3Nw83mKgd5/08/ - cv8D47g/cdZvgrqlKjR56R5+HZgBJnCr39WsXlbZ28GOQ5gVYsjx3BSAGsfgPqCIigoBNCTfkpli - VFUhgqtgERfVBed5lRMURZJqyxasx3BVhPKTYmD4v/v7+/O5y4yIrI5r1kLG/7i3Bw/rZ6sjucpm - Hgu2HOloI4YSo3fPdVmcJjSkVQxgp41hGCgEItIcrA/MVkLhOfScpiWvCrfEgyZ0633oFgyaTM+E - sTyPyJHEliydtMoapwcVtFmy8uY180j51ifF0aKq0zT56IpN4r1ynAzDgGFA3gZUNMswDMjpyWXg - lsNMCV3ZZymdT1ysWtdHC1NQvlu1ib9DFTBcfuP7P/rhm/xOufS+d70bo6tCEYsbmibTsCGahoED - I+RkW0NUDL6yyJxNBU4uTmKdRDzwwVIyYq2YPoXkVC1NcbZCkvAd/Up69vicp8wjkG0ODq49/8x3 - vv30q/fc9+h73n/Pfe+4aDEOdoAhmI2Csj7ltjLyrRUecnaDR5KMYBggBjMMvvOQfJULyavKm0BS - pK6Y5JyUq/skpuq+Jw0jADNSUVFVA3NIgbb8rxvxyUUxSXfPJJbLfVYhRGIDQYAoGD32Z2aqIBs9 - rgQARr6d07KZmWsz9egcyNI0hRAsq0yDFpWCbIMR0TAMKf80k1BdS3BWKtOX5tqTBgaktCoRUWaP - qebLuxpoAwlEFELWIHmvEKfk6ZR/M5Ou5ZfiERfPsWDZA7dteVDOBQOKfCsD912AIQTfJQvAU4yh - CDlcysQRIgYjX+IIKZbHask7TZv/WeLm6ms33nzutWt08aEP8z0PDQHuYAHwXQIhJbIQdFKLxEK8 - p2KmIQQyg5r4ErTCZwRRrCQjpmVvjZgOMRDGtD9OgWxSC6AWWAAEECNGDAQQEBgiEFEyBGKG+BY7 - YhoYGlWVmIg5b+WBeZKcERGbRlWBRgIFMpcCAzENOW8YsBS6jKoajGHgtPrjksFuTDLuexSK1KAi - xgMziFgkurNTdndlsycvPmWbwwVfcgZViTmvYhbWRx5BEsCe1lB4z8wIlf5jjDAKAQGD5zSomBGS - m2JmKlSqbqSdq2Sfz7gBA0xmKs8+8/Rz3/nWoz/9M+/94EcffM+FKCCywOnoCQM8AbGEC2qACGkI - VgNts2jbbYE7dGACAFU9PDhAk8JGKe4QmPnGjeubg+uyObz85htRZP/8BebAzAiYJqghBDAhZ4Av - gOp/K29vGigJ7jhNKjEEQpygkfZGhAFmMQqFIezth3EvDMEIB5sJZkNggqU1Hwax0yUDgxoONzDF - MCgghGA0kHtlIVE4s+m0iRKGYUimPSnswBTMg6hF0WHwvfMgDkxsZleuXDWz/XPnqnScI4jKj+Wo - qy9nmkaPWhARDFPU69euAxxCONwcikY12UyHTDSOe0huIEXXmwzmfO2apW0vquJnF7hrTXnBGUQx - xs0UN5uJmDmkk7OT47qI3rbBkWL6+MPBF9+adWMARPj8Y5/7g//n9373d/7h+fPnH3rooUuXLnEY - mAMRq0HV99AxcToFxY1v5hQ9MokmkYBkoRNTplJqIk3IysZNYRHxmEvxooshmxlfjWHMAgqggQKU - rr72+j/5gz/6w9//g//3sc+978MfvO9dD40cfA/FUOaLCQMpmRqKEgFtcOO1Z59/4bf+j9/+e3// - 95/7/g/fce/FRx95mAeykDYVs2x4cwgD8R6PRIw3X3npqa89/pm/938+9md/+aPXrr73pz/0wP0X - wkAhhBACAzFO6jF38iMm1N1id8+HwOPAzGmrgKqfmkrgtKrnroQ7ywSouuWhZmImHvdXFYkawjgM - I3VrDAqbYCbD3gQi4OD1V5/411/6n/+X//UvvvqNl65PP/OBj17Yo0EnJiIKZaHdADEhI08G86U1 - yd41Z6AcNvUATRVBjd1Mi0hWzahq3AY36EXE99CV+mdsJyKatyw5eVhJt8zVlk2LlAMHyH5v8kZy - FI+JYKoS2TeJiYRhGIeBmGGIIptNBLEZiSgxMRenCQZjZiNspgmef1HzfqCq0jTUjqL0ITFjSOCO - V8muKrkkkjIakCiKSFT99/ZVUcDFBVJPohyGYRg4B6jcSZg2mytXLo/DwIE1xd3Y4Ls1jIjVko/s - LrTP5xuvvfb/ff7zf/B7//hPP/svfupd77r4jgcv3XMxAOT6PQycKRmIpipRIPmuczIwgwIosT8R - OxOjoZByCnDR54G5DLzg1wVGsmOIPbVnGAaPysESHyHT6hCSnATgrScwqEQyEWIjMmAvLxmBDMGY - EYbzIe+KhB288eL3/vzxr/7W//7bn33s8evXr77roQcevP+efGRPjgUs6BbZy2UiJlKRKJKmycxd - 3BBCDYjFKCKiyhyYA4jFSd+8ChRfJTAHZlO5fv0aM4dhCMwiMUYJIfhyEQADRE1ErQof8gNCDGKm - rlOGMJpiczi98drlf/Db/+Af/9+/9y//5eceffQ973zknSrCobCmB9KpHRp5fNT8qIqczupizllG - NMaYtwyTl0kCo8n4zgksvi9T89kLdYO0GXLoCkREgTN1JGmZ3XNzNUQlNBQGDs7ORkTjOKTASsne - CsEVjeeLuQHtXWzlDJpAiS8EvfnaK9/4+ld+8zd/8y++/JVw7uLD73rvA/ftD4BuDqaDawdXr/zg - Bz9449rhcOEBGmlg35QKjocUb0A2AFvYU2ImMrWQR+JachhTuqwBqh5b5zjZ4eFmDEMYwMwmE3SC - bJ5//tl//s/+6L//H/6n7zz3g0Mb3vu+D4yBxwF74zgwk0LihglwQgzsCQ9ppUKLv81lqaIwXauI - p2lKksf3hqsiY6lghjK48KEs0MrbIrQ9ZMZ5vMibFTxa5DZJo0GMyVffM0VYsmIJGEIY3dUgNg/w - TZtrV9547tlnDzYS9s6Fcc+XfT0eEQK4kQeucjxg2kZFOWckFTfDSuprZnYfUfHz6/CzkVbjXCXt - BKBkJ6FaXHkDMrtoy5ZSCSU4s/h6VQlpWc5GJObAADY3rrz2r770Z//wd/7wf/utz/zRH/3JP//j - f/q5z31WdXPp0rn3PvoQQw2jYs8oM6tPtCrZ5FyneZ3aEe8tuk73hopw22w2BIzjWCKMhaP9iURR - g+/N9iznMIQhhDAEDiyqpuKyBcD1G9eJaBgCMYlIIbZsA+gU4zgM4zh6FK8gOU7T9Rs3nEoLNabQ - +SI6OTMwynx5fM1XfH27jMTo+zZaJ4tDSDmefuROWjRKo375pZd+9OKLr77yyjAM58+dG8fR6cfV - o2OVmQbvayv9iq9OFLLxUEK0s+MRKHNNS35liaUMx7HX0nhht/Knd2C59lBOskqSt2yQyniw/MTF - 5zgMvhDr2BtCcPLwDqQ84rx+UziiBMFn+RwORaQkVmqG45xYpnuaJmIuaQGFMnxolhMFzIxtAjZP - fPFz/+1/9z9+7s+/9tK1vV/45IdHxh7pHqsdHlx5+eUnv/GUDvvnLj0Y9mFAhEYRCLHRkM4SEMAg - iAIKAzVzx0Qc2KdWREwF5EuNJlHyjAQ/BrJqZUsRFwEmGCkFhHwtmSgpbLpx7fJn//RPPvN//e5r - b24u3v+eD33w4b2g4GsAG+17HqwqVDTGSBy4vdHbZzbGGzduRJDyAIb4tkDfQqQim0MCKCfEJANO - LfO+cdpgLhIjs289I2KmkLI9RPTg8DCEYRgHDp5lJZx2Rwx1vYQU2MA4eWYGcSwFZVaiqDYY7W8E - U8QweLCCQoBrLXI3WzTRr9cgmCYplMvB6UdinGKM2U6wmE7ocguXnURdhGo+OqA8T0SeswoKffpD - UeUQYrLoyA023+/PxGZg4nw8AjTt90o2alrB8b1sIhzYiKYpejChHHjCudHCzp3O4rqA5g6jWzwu - +ty2LOIOeTkNTf5WcUAON5sSH4gxqmiggQD34gwYiIPnapkg3oAeAuMkFHn0BQOJuHb51T977E9+ - /3c/85m//7vC95y/732PvOueQOn4QYmSg8IuCYVZiRXEzHuBRxAON9ONg2sHm8NpimYWxj0fWGD4 - YUcjIyDCbiAegEeM5/1KpBhBhBFgVpCphesTNIAIHDAwginsAHoIOkfjOQkww2aDzbRRiePAYKJQ - dpn4og8bSKIS8cBgpoFpSLk6KR/FUS4RU8ShwEYjspHHgUaYb/A5AF8TiYzzPAxhf+T9QcnUZKCw - RwOZs5hspg0BwzhyoxQoE3SS0pkwiuJzw5WZVVSkHqVASRpojHGaNlEmt7WZyGAiEkUChySuQlJH - SU4QiFkM0+bQ128pxyTSFafJhaBy95EC6lm3UJkOvvTFx/74n/7h448/fuHSAx/++McnBTOFULam - kpm6mesGZl63yWo6OxgloyznAZ1mPLKFO5d1CyDk/BQ/1OnGjRvf//73n3766Wefffb1116VzY0B - SrC98/fc/8BD/86nfvV9P/PBS/df8tyLKMhZ3324uwM7XUypQgFihJDzSHwl0OyZbz71re989/s/ - fHECX7z/wY/9zZ9/13sevXTfxTCMBBVT1UikzF5LvH7j2pf+1ePPPf/mjc3+/fc/9NEPPvJLn/yw - d1ZMjIIRRCwwed4lcxgwgiF+PANiIGFmVTF49kdavvNFDWIe9/aLnbQW9qfy2GkKORGQoEwGEhhU - CRgChXHcH4aRCCGMxEokYQhIW4xz8De7Sp6jxgSNE8HC3shEacu+iltqEqMqQhgACmEAWzocoO4x - ZUsH22WTojn5wTIsnyOv6nhXrly+/IPvf/+rX/nKr/3ap6fNBgYmUl8S9ghPZqnEZFbTHAn5cFsP - bqR8xGQg+kIfNd0zP0zN97jlXWaWk+zckmMV5/TAJLA0n0YAqciLL7zwrae++cK1N958842oUqZP - Rdmzvpmgomyg0ZduGQSVON24cvmN733vmSeefOahdz56+co1UWXjMvUpgS3JNf9QdXPwvW899cJ1 - souPXDs49C0yYoCr2DCAlTObMZOa+Xm7KdDgwQioJ9IRiDkftOkHQftm7bSeZvDjq4AYJU7T3v4e - E1MA5bM1PCJGACTCIsLoVhKAIfDmxrVvPPnE8OZm//0fj54h6ekT7rCwEw8RBSJ2MnIjqUt9SsOp - MfcUpmmmtnjpIScYos9RKi6r0ycTlQA95TJtIgn6lEbuIyn+3NNLk4+dUVZcZXeOLbdLBIIxA+ZW - F+XjmCOlFHg/ZKmu5OcjVcAc3Atj9qh+Sm+kuhrfEHn+sz0os3WZitPiz9s/OW82LJsuOQdnC896 - smqr7OHjSWffJb/ODOrrSbD9vT33gswsMW/avpIjRGYD+1o5+c5WFXn1lZe+++1vPvfKa1evXZ8A - A6Y47Zkg7INYAFEMrAxldi+UU5qCqZ9sA3Bz+wWJ+pYrtz+pnIWaqMJTKtzSFXE5mSYp72lLhqwj - UtXTdXKwHvDVGWaZophxCG7tuC9qKWsyUbgv3SNGbDYIEXtqgxEwRZBhGADTg+tXX37xR08++Y3r - 4ZGP/dyHDw6njHBCzVnroKV5AJ60WJ3jdEBHFpcqJtEDqc5uqXZOf2uJdyMt7AUm5jCOe8wEEZFI - QAiJTLLBAwoEC97TwrRlv0ROvfSCYQjhpR+99PR3nw4jHxwchOAHHiSzybFMeUWkuA8rw3e2VV/x - Nwoh2aJEMfNSoluD+VkH+bwX31uQlj7Tybd+dJc76q4UPAOApmlDQAjERO5mMrkhO3n8kzgk5Q6U - UzLS0J2/zMr2/BJk5Nx2WfJpiDPH6NWmzeHlN1//zre/uXf/5b/x4ovXDw/VLvppVk8//b0vfeGx - Z5997sJDj/7y3/2P3vehjz/84BDc2Pezoz0qomocirA1NTXxXeS+fdK5MoW8DUw0DoNnfZlMlE+1 - lenw5Zd+9JWvfH247+H3fvSTxoMfZViOds3rJb4eWG1iApiJjMwqdkQ8kmCm3SHaJUThYVaYsSf1 - u7zq83A9nOQ5iWm7Q95DLSIeJ90bR+S0VvPs13waAHLsxrWDSSSbCAJi8OCUK0KR3GcAIziqxIjD - +M1vPPG1f/uvv/HkUw8+8ujP/c1f+pVf/Q8u3nNeBMxpAyY1CYaeYVKCkn4Oe4k0UTaZnGgKE6NJ - 2spcrCJCmX9dChRZUM40czygDykWiVE+L852mQJq5qI12yhz3PWrl5975jv/4rN/+tgXn/reMzc+ - +nO/9FMPvvfCeTbRa9euUBJ1GlMKB4hSsp3n2xBAOcs7W4HJAEMT0aMmrJYON0uHn9RlXWZOuwH8 - bI48UI8vJCOVKe3GgBFhHAZmcjsQTYghC8LEtJSzUz1I4WuuZZNKUYVFLSaZ37A5GuHcTh8aNVre - lUB5ayo7KrKmZgBxmg4PDr/4hS/+9V//9eUrlz/96U//yi//yjsffifnkyuRcl3BKf0Epmq+z49g - WZsPvoRcprb5v/TZyt+NMeBkXIRVKV8orQReqbzNJFpQVGpzQk105fU36Eot5gCuB/RL/Dfl8YmU - 4GnqFaV19PKk2JOUdwqHENJZB3k/RTnqtxxqnFav+/44tLF+/9NUy7YnHzcOD6+8/upzzzw9vXnP - /R95+cYG94wwWNxsvvn1J77++F/+5Ze/9oFPfOrjn/o7P/eJT+yfJyKmQEyU/AEPYKW9jT6rlrUG - PG/PbUKm7OC55uEkOd2MdJyrGWlZADYDCwYpFyWZGCaDHR7e+Pa3v/3EE09897tPf/JX/5OLlx4Q - wY9efv673/j8l7/6zH0PfeT9H/p3f+ETPzuOCKmlco09AeT7xK+99upfff2pJ59+bhPO/Xt/628/ - 8q6H7724n3GlIZsnno9jwbcamogM5eiBlGpalUo6442YODDRMAwhMOeTDIsQ9FbSKQJmOVMx4dCP - Qbhx/do3n/qr73z7K8+/cO3jv/B33/ezn3zkkYtThAXsBRAYUDXhtPpAm8307LPPfuHzX7x+/ca7 - 3/2eX//1X79w4QJ8/Z09rsbGbH7yYSCmcmtDzekOJUPBo6X9ETGU5YiTsct2j69Z5iAiUrVkoQc4 - mbTnzmVeSIZpkS6uh91MhfldPwxK4QC3YcXUDcXkhSFndalK2raeYsRk5GehepeKi1ScL+oz2Yko - 5HOxu8VU9+mrajK3jsWYDFyHRYE4+u8EBKjJG6+//Ox3v/m1r3znl/72i9cPNlbnGIGZUUJ1LlgA - RNnEl1985ZmnX/rKV7/2+psv3dhcNahR2D934eFH3v3hj3zsAx/6yDveca9vXlXFm6/86NVnn/yr - f/tvfvBGvEYXN3TeM/XP7w+X9umBC8PHPvrRh97/sXse+qnJIH4o7gDohOtXv/sXX/jyd1958fD8 - R3/l1z7+sQ/dewE87BFFI/iOHh78RGaKUfw4m+C2GdK0iMjm4OrLL73wzNPPffc739vfu/Chj37i - /R/4G/fef8EImg501jExvxHiIIc3rk9//m+eePKplw820y9/6uc/8Ysf2RvSKnVKkCHyI6Tak7ta - 6Vaosfyr+Q4VTisobuj5ekkyj9OMU4AxM5lpVHguD4rlTyAiFYuqsJR4p2mBaUxiCQYVqIAYzXoQ - 8vwCCEMidWbce8/58/vDV7/+jW9+65u//NLlC/dfCqOTCQEBbsLNwPrfc0wpudWnHY2cwR0K3fqk - lvOb1Oza1as/+OEPH3vssS984QuPP/74wY3riIfBZBxYeRj37/mv/uv/5tP/4X/88Z//xTCSAdOU - 1gtymI96zN0WEIMaBsYwDMl6dKqK8cmvP/FP/tkf/+WX/+rqwfTwe9//n/8X/+W//7f2L913cQwA - WFU0ThzATNB4eHj9pRd/+I/+0e/82Z8/eeXa+Au/+Kn/7D/9O5/8+Q/wYCATEQxB1TbT5tzeSAyo - gUPgEAE1kEIshlGIRxUjYg4evoDBJFmcdP78uRRnKwbsHLJcRg7deu1kOeSiohR4CAPOc6Lc/b1g - CEZgkKqKCfOQIm7JnEMUYQIPQSUSAWmZikDQSQAMgWOMvpYchjGw+zH1B5S2EGo5CKyR2pTjQWYW - 8m5ud0qtmKQ5qBSYCHrj2oZMhyEU48fMUKQFAN+tk3fKpmKUvcSUKmuqRgDl/BS/lKBYXZoP32Hm - sL9vVu9MqCa4ipvC7vL7eTkiCJoSl+Jmc3BwEMWF9v/P25s+2ZUdd2KZ55x771tqL9SK2oHCUkA3 - mw2y2S12U5S4NEdchjSDcoRHDn9xeMYx4bAd+i883/3B0oSlCM2MLXFMjhaK1FDsjd2NbnSjgQZQ - AGpB7VVAofaqt9x7zsn0hzz31gNHGs8Hmu8DolD13rv3niVP5i9/+cuwspkIPAEBGATyRKxUHKo8 - EIGcd03ylhkYI8aIRAuCWTrPaAk+Ah2aPQECxJFqLyds00adao1mRsHb8h4I2SiljZRhheYyWml2 - AqedRjLMXmqAhWxDGDQonPfIGCiD+WrLO7IoAHbexRyhRgTNnptpo3bSzDIfRaXejoqiDNBBXAII - DS/LcVyKtLepz7LMUyG6LkWYPlc3YgQUzSLIPS/xKcLd5ohtEWHmQQIVQcKz7/wHHOr8Ja6SIKlK - qVKpVPyJilp4gEDlaPE5lNZxS/sp+avOJVE5v3YR/QagGYPCAxV+qUb2HlGh1gDMXoIQaDbS3b39 - cqlSKpfK5Yr4qOSZkSXzJN6MMZECSbLkng4HCa78CU9x2CIqC2h1XmhZMNFC5qcIhHJc7LRaWWtj - jNQYCrukIBaFwxuCqZJlk2aZwFhKKWDyTnA9XW2rCjMf83nM7x6FRA95rVaIdcX79p6yzFmrIgMm - 8gAg0K2KicGFLyRUhGDQaCAt4rAAzORBaW6Rm8Og7MxK0NuAUuUIuwB5IhjKTN7JPSojbuMpMUGD - FsBBxpKl6FKWivdSyuecdZ50FJtIQbAGrFCAC0YBkgA8eXAOrAWypJgMKGBnQQGAESc6tTa11pHS - JioVmwJaRrBlcT8TcgulLjxnCx7RGruS997ZOElQ6ZDsYmIpk1fAAM4LhAehyJJIeI3lShnIe2dt - liVJjFEkIVUQrVCQi8VBsMJAAD7P1AAIVsqsUOnIVMoVBahRlZIkjuIojlRoZSYGnIQ1hoG0pcK3 - iokudnnhcxFL/zplTOGNeQsiNCEHjXyOw/YBAA5KxDIynog8M6PSiEqaTUm9JgIQk7VWIyJobZSs - A9SKyGdZZnSMgBqVD/0YMJdIAskrqLytkLU2RtRax3EsvzHGyPYsinOLJ1M5xypAeeSRichn1lrr - nAfWoDSuLq/83//Xv3vwYG5o+vly/0S1b6S3uzfWASsFNEAWUCoEIwBADezBEzvvpc5csAsG2Tio - FIIHrUEbDQxsvbVpXDKgFXgwCjUyAqSZzRxECQCCz+cBEU6bMYZpElE/hag0KMCWzAoDeUAgCFoC - ADloW+BKnJ/0KodsxFBT3k1I5/8lIq3UM0JJLbQgYwy2AKCqRTmnOAjEAXLeImcKnFYKkCnzu0fN - mtUcd/R2JxJzgbRMRIxMMjc3/9d/+R+uf/DB+PkrR026fPWFUqnsHZdKQQlYLLC4HDq/OuTeESJG - 5lRlmFoUtIqlHlyLHFSVV/E21Xru5bZdQGEVWCjPnKGnx2sBMjF7qR0pKqJaJUHzA9fnJymCP9jf - nXt475fvvLm+ZkdGX/z2d747c2myVILayXa5lBBZx82jRrZzuFtuG2gvlysxBDMgiI/KIwJUKAKR - rXBeDhjis0zJ4ucAshcafEqZ06xuyN1hruKI4VnCPgOAcrmUA7JOa2O0aXEcCm5pEA2T6xZKO8YY - QcYhPz1b71PG1nsftTgS/5BXkuN9+doOagzPlgrJB7XSEv9qpYDBW1er1d5+++0f//hH29tPq5XK - 5MTEmTO9Ogo1w8XX5uaY2YcaHcjJUK1LCJ8F96El7V34FcVikw2onkWiT32hfLUXG7PVQyu+PB9X - RESf+1rF1Jt8OxQPIpQ955zguM/IVedWNIifCB82d8BaCdGy8TSAkKy11kHPQRiGEDSpW50lFQ6O - 0/2lW0oGn5lNOI3fwsh4AJsqth3V6mEUO9CpA2KQ2GH27qd/+zd//XdvvPfC+tNm1DF6fjouVw2A - MM8kk4ZEwA4UABqFmgGJSXJRCsFoKUBSIBp3+bGHgDoHhkQYTUjywkbU2gB7ZiRUDnRgqBIBegZH - QLX68Sef3FxaXDImnrny/Oj4We/hyeON//izv/zf/+g/jJx76Rv/ND0/PdXZDnGEOjADgRlCWsAT - ePf08dYv/v4//j8//UVqyt0Dg20d7R1tCQMIkIqRAdbA4B2RAkCldEifCkAUMsdK5Wc2A3nvvHi0 - ChUqnST6FLBDUKLSAPmSD0hDAd2Gl9LoPJ8cH9/86MZ/+PG/fef67O//wd43v9s1MvZ81gRgH4uL - zkzeo0apP6rXa5988sn/9q/+1clJ7dUvvvY7X/7dtioAgPek5bjTqHQk3FtEDJ4xKPGoxKS3CmFj - kRXI1RIg19SW5WetFehWGxOy0qhQBF4YFMp+ydEAFRyhwiQiAIQWv7I4EVjJrxBRCxSQ50qYZacE - 6BZV3gAOQmRRpJRQB5YaMxWVeYVjyTlFBhGLg7ig1MhOjOMYTkN+HXzvIrkPnoCBWIsvqZT8SZtI - 5xi9MmCM0oqRU28BEUFFnkFD3oRd60LINzykYpc1dneOb92a/8XPP/zzv/jhUW0XdGZiYx0pEw8O - jfzeN7/zzW9FV64+19amNAJZ2n6y+dH1d/7Pf/1HH8/t7NkEog5QrJCqJdNTMYNd1e9865uf+7K/ - 8OKXsYKxAiCIFIDPoHHy85/+5E/+8pfze/zf/2F09uxQR0dbZECBAZFLIBdL+oLRea/AaHXKnQpZ - DJfVaicry0vvvPX2D//8h+Wk/O3/6g++84Oh9v6KnGsMwM6TIiXyTIowazSPTn7ytz/5Nz98u5F8 - 8ydtAAAgAElEQVS6P/zDf3FhZio2CZP31itdlkqW2BjnnBxnYrh+FXZqqboAAPGg5OALiTEFwOB9 - gG4BQUmOCCNZ4M5b8l7rSKNSCsWnR0RgIGLnHAbNAyACQIijCJmAHbB8rwelisO7WCBhAUjAx14b - NTE+cmXmwo2PP15ZWZ5ffHT5My+UkpC24XDyt0C3AUsovusZ682tdMT/316/OdYtE2XWSq0oE62s - rr711ls//vGP19bWqtXq61/7al9PZ1o/vnP79sLSypPtJ3/yp3+yub3zz/9l1/DZsbY2E0Wg/jMg - 9ulA/TqHzOgWXVTvsvpJkhiIFBhVTpLI6IP9/Z2jWgZ6bn7h4pXnpmEqvDlYHwAAULi3u3Pn09uP - Fuc3NzYsd8VJuVSqZGlaQoYoNpHxAKjQRBFqRWzBZrKsMwugQBsg69k7RNJaUd78UAjnkiJlhiwj - RGX+C6Y0j64g98goHIQKItQAijykTUKttAZtwHnvOYtirZRmYOc8QqQVWAcawWjImk2t0JhyKYll - XbssQwCdRFopsaFxHDEoREOM0n9L5Qql1gN4SOLgrgEU/QVzDhFiEQxgnk5sdQHlY8yMzM1mM8vS - OIZyuVRKEkQkT54Z8vovKUFVGFQ4mJE9seDXAUsjn2aoIpWY4EcWQqgt9yAcnCiKbJZ5gDhJRJsy - SZLCucwrixnIOWarkVADKOustuidL8VJpVQppTUVGIphgrTWoUeZgEFyYBYHidZJbMrlJC4lrMxx - Pc2sz6ll+Usp0AoYIMvqTqNiZVMFZBRUSqX2zk5AYwEMgI7ASGcwQFE00EoKZzjPuIcMEorHyZLX - OjXWCBBFeVwnbh/KUBOA1wZNZKqqTJ58likVoYpWV1Z+9rO/X3q0Mj4+9d/9s9/vbosg0kIVtQBk - wR0dNuu1arkUd/d0dHV7gBAEMiGgVH4xABgQOhMTSxcmYlZGIwDlPViVUqFAMkcbf8UXlzcUqyj8 - EoIPLZjIKQuV+fj4OIqiarVaDHaRMcY8WhJnxRYFzmEo8VfCCXnl1BPFoWDEGmNC6WLw4AHZAflc - C42AWGL1o+PajQ8/+vM//2Ff38CL1659559+W7aV1JvIDHlPxKS1eGHsHBmVAzoFZfL0WoiIhdxe - M01b/yvhDQK4nFor7CEJSgvyWnFmq7xmVh5QkKYwmIjCfEGllVZRHMvKQQRkHcexO60/ZUDQWjub - EbPWWmtDzJl1qLUEGDlLUXmfeWcjo6ulUjkpNdO0aR0AJEkCPgNEhWCEEgkawRYCcCFzwyiBMxF7 - L+l98XEVKsXEIvQpZCvJ8ZxWwQEAgjG6wAIxZ/OiMvmWkdX6n8Rs8iUIcZJo4iLeD+sqTyYVwbTR - GqIYGCHSKnIAngGTUh5i2NT7TGs0cURJOS6VicE5Z/LS/9ZL5wcWFzWb8tvibQXeUfCMOMxCUgRF - 1on0AyhtUBuhCgYVIDEUSourrhUyMQKWyiXZYigUheJ+Wu6NmKzLvLdJrLVClffGBFTACizbNLOZ - zZopKmg2mlmaxaU4aMARa611pPE0i4ZMBAza5F0PC3a6EG60Mko5oiy1OoqkC60xmkFjHlOLgchN - pVYoYFYIUVCBRi1GkJmdlZi+sMqqlJQwtOhmVGhQM5PR2lQqgJoZnEjV5LIwuRFGCM1pgLzHfNZk - Llr32im8/szSwjzOwSSOhZ0Rx6U4KaMCa21Jqe7uznNTk1ubWx2dHeMTkx2d3RK5E0tXZoIsBdS6 - XHX5NEn/rcgkgAWOKswICQGBCISKxtaTd0Zo6ETgbLNx4rJmFEG1ra3S3qE1EEDG4ByghliBNibv - tid1Fj61lhFRGyNALyBxyBFGEUi5LeYS7cWDg2jRWmvyEungZgiJLAe7T5GvXAfgdACVkpp3KKjo - +Te3/gsAoawcEYSfm2MC4P3i3MN/9+//er/Ow1NXfvD970ddsTEg4vVytY6u7t4zZ6yzXd1dU+em - oiRWEZgIA2WBQyWE6IZznjOTs6nQXG6tMM0rCsObOR8Tn7OPw2rJOarQen4BIGIURXIyYlHK/Z/I - erbak+I4aMX+ikEWtpTcKoUiFdzf3116NJ9l9Zkrz7/+zX/2u1/5+thIp1FAdFwqNxHt6srS29dn - /+pn77/0yldf+dyrL312WitABrJeaQLAjDwYEwEiYpZmgrvJVbIsk3xqnCQhXGSm/KiCXKRY5Rwi - IrETqBBNdKr26KxnJhMZBeCJsqyptTZGsH5PREKkZSbnguqr9y5X0NHFCtFaJ0mSpqmkflVOmS8g - xRDWIkqLIZUb5NZlFqr+8bTE3lprrY2iqLhcMZvc2tcXFee6LtqYOIm7urra29ra2tqsdV2dXdVq - VdAvyPFjKNLbRNY5AOGOKJTyphx/LEDM4oaL2ygevFghlEtFycNKPC8rVojwhbGSkSnWT3EJFKHP - Fkpv62qHPB9TJIyttZCryRVLVJZ3cZOtdy6XEE9MXMdi01HBqS9KrHLBzSzLRIQhiiLO6Ury/d77 - VrQ3XPpZYWKfd1DQz/KsUeBMb+u1kyx2HgwacB4YuVwu9fWe6evt0QhdXd39A4OValW32H7PQA4i - xag1IAEgSmUe55WCUsxGntmHY1GQEecAQRkDDM65ejMtlcuR0Xzq6zJ4R6DQqPxsEu+GlHboTw72 - ntz46OZ+zV2+8tkLFy739wACDA729Q/0aAOPlpaWllbTJlO18JyAGLIMSjpgrNysb66vvfXGG9tP - t0cvf2ZwaLjS1kYARKzBa8xDbFQm0o7ZEaAGbVCrJBy4RasiplCXobUJAgvKOU+OlDHEQYFUm6LK - RQapyO1yaFGVIwzSO1QrNT19fmR4KE3v3rr96bkr81/67efjGKJT7kjQgkAg72hzc3Ntdb1Wq50d - HpmaOuec9x6iCCKTE17CHsEoCul357xCo5QJokcsKXwAAJ2vLsqFlQs9K1nMKMrsEDJ5YoxDGgGU - 9957F0VFtq9w/AKeqwrCTgEZEHnvHIGJFKP2np2z5ImBkzgxJliG4kGCtQnNVJTWQUIk13kFrVAp - Uxw7xYGBOQJQHGfkvculkIo97p3LrI2iyKhYxHpzD036hKsc3vCQpgAaKm3i6UMoXPPepexSlIPb - JEGyg9l5b3L6WvCotQLgvb3tX77z4Y/+/d+//+7syXHt0syly1enxibGtnf2Hs4vfnLrzs///hfO - q67uMxOTZ5MYTKS0BuBms3HoPZSrHe1945Eh7+ro6lnzaHVl69/82Z+++dHcc198+Pp3/5vnZjrK - JdCydSulZu1kf2+PqKfS1tXe2YYaPIFnYGSllFGxMHuZOY7i4D1a4TF7jawVxHHS29M9MT66N3Px - 522Vg529xfm5/cOjmgNPUI4hwthEpFgBAfgMKIXa8d7W1tOdnSbjyPSlgZGJanunQY7Rg7LAHgik - AEZsSDBWiAKsW2vjOJYEeStoo7UuiZ4n5pB+0YgxbBbw3jebjSjScWwARG2jgLTYea9QG6MBQRul - VAwQQCTxHhkgS1O2qQZKYgMmAlRh46NxnjNG1KAL504CYqVGR4YvXZhGpodz8++9/+HQ5KVqtaTg - dP5Njg1BccnCbIVQ7xQV+Q28fkPQrTyXeEhoDCplrXXOTU9PX7lyZWxs7MrMpZ6ONrLN55+7+t71 - Gz9/463tJ0/u3Lnz6e3blWp7W1tfyKlwGLR/aHS45VK/ntE7TSMwS/wmpD8A7unuGujvczZrNBp7 - e/uf3Lp99TMvPv/ZzxmDsZI2ZUYhARCQ29rc+OijD3aebjfTJqHv7x/s7xsogGgEFJ9am7y8CgCk - haFCqQ/URnonMBFJH2ettZQdFF7zKTr0X/6EOQc0nEwMIPgCnn5bzlVVCOjBe+cBI4XhxuQ7Iuly - z5Rfm3JREZD6eEBA1AhFuXPOcAIguWzubbaGAUXqD1qEmQT34WfbxRaNAgp5FK3Qe5dlqU1TFSUK - TUHSwWcDW4V5eTTk5gRAK0UocCsWWAbm8l6n99pyKIJ09VEKAaSygAPQyeBSAFaR2DgFgEZrIFSo - vPPeiuCpVkYXixhQWtoxcCDoeUUISgJ7owmQBTtyhNYzo1YKRXISAEjC49w6aqMVkjYq1mgCwxpC - v5TcNlnPBB5Pz02EUCuHKJVfzKcqnkRA3oFyQKyNxuDxSH9MnatHsjAjMm80oDEaHLP0GeGDg/3Z - e3c/+eTO7u7ht17/3Y64R8cGvJOuIpKDJ585l0GWNq2T9IInb4SXLRk7dWo8OTS3R8xdmSLpV5z0 - BekGW/hrkG+fgmFxuqiklUSApPMqnhwILvRbMQdni4+rHOhXz2r5POPWtFBITvMQuRoDPtt5maRZ - bXChSKqUURlA8NZubW6+9957AwND5Wr1a1//eqmcaANSRSK8UdllkHeTa0X4IXeSThces+hnEZGz - ViG24h0FMIR532SdU8Ior9SWsEo6s6tnOwIppahQqQuTEXIqhVA9MwjvO3BzOV/M8u5816NwOLVm - gGYq6VwstAA0okFAhMy6TKpZUQEReet1Qho0hsRIGAciIAuaQHGOJCJqzQiFcgkioEKROshnNL9e - MKeFYwoABJ4JFKHOZTjkXUzkEU4NSysxwWWZiWKtNaCgt2SMIgZiVs86O3nEU+BUYYYFZAOFkUat - hPCLxCgleUTiTsE/8GrN+yASgM0yzGOAYtGGn4MhODWGOtTVIwqfIv+LJ1F8CyOE+aILclTkyDGh - AiXKn6GTJzOjlu4LQupVLXkpZmHogiZPWTNl7zVgkCSTsUGlgEHzafqiWO2Y56GYgXIiXkFDDNYR - URtQeTMSPH1UPj0l8ntCkApDAfFDThJAqiVC+a8CAHCOmXwcaQBi9t5arRG1RrExSgML2i9Huxwl - wAgh5OFTRq3ITxVnYjEu+SO2nKG5lcOc/AXsMfA3vSxDVIp9Njw8/O1vfevSxUul/omJyclKu2II - ZwQwA1kAkn5v0tJMMRjM9Q0ACZjJK/EWVBDsEdYwEiOw1iIhzQAEyLHRkUYmSFPbtKHoRCOAhly1 - EIITFUYftVaMws3I54GYGRnQ5N1FChoo5wJ/RmtoMWIyDsEyAqDUzCoFzNIFRf5aILDUohXLzEU2 - rlhQzCyybUVUaZ0DgEgToLQocYDR/u7T9999d/vIXz7i73zzO+TigDoLyQxgfGLi61//2sBg/+j5 - K1eeu1qulgunV+UPBQF/f4ZV2rpDix9aGX/F7+VflWO1RHTa7gwRpXDnWY6qeDWQR9StK61YbHza - bOAU1S38NGi5jUL1Jf8qzLLm8fF+ltbb26uTU1MDg51d3QAEkWkHY5h39/d35+YevvnWm5XO4amx - i56ndSg6w/xkxrD88jhftWg+tg6UeDKtE4otBOHTYyU3NaecMqWAgIlYY76KgmiZmCilJBXDmMeq - YlNOe5fxM4nhVk/gV+YuHLtFf4WWjVx8NkxKyxfqFn9G8EGVM3Bb1kB4GOSwEeI4fu2117q6utIs - feWVV3p6egore9oZLPdeii/hvLivcKKKycUWBRJoAV8k/825tEtwjoh8C0W6dSieWVreF9eCZ8eh - sG/yXwHiZRuqFtEMlQsuFfulINeDFANJJW+eBivewOJtIp5OQJ4dkdsuMGIleD0FlRUpNlItkYs8 - VHFXRe1w68PKiKm8CjL3yhg0GmRylgHBxFEJtAGRUT1/fuqfvP76mcGx8edfPn95JkkChGFy70CF - pchAnslZVqgTlANYSV9Ryi2hTHyosg9lOjkGjYjEIML0uVpfcLRV4VKGHenSk6ePN1fnFh5ZLF2c - +Uxvd1+iAQm6OqsTUyNXrl74+M7B1tb2wsJiEo+UkhjyPFy+tAAU1k6Otx9vrSwvVTv7z52fPjMw - EJeiYK9CBCluG4JIHhBkFowCo8E7AvIIbHT+XqlntRZCnVOrAxpunhkIi6gip+mFS1FLhAsIoJSp - lMvnJifHR0fbKvHmxubyytr+AXe1YxTLxwmAtQlFD97TxvrG8spK7aQ+NjZ2+dJlqdujXLKPpYEz - glIFeQmL+jTIVyYX8m55n5VgN3K/GnPJlGDlCt0WAETlPXkAo5VSmomYwBMwk+j9CeFGEIAA6nsL - yEpJTxNWChQoQWRFJRrByw6FPL5mhlAnKb/JhbACV1yoW7LglBbMoSDkntqB/OAIDyXmIpc2Kn5p - jAmgc+EdhgkKK0p7F9xm1ADgPDgCE4XNqJA0OpQcnpf2N0DM3jkdmZA1zRF716yvrS79/S/+7vbt - O4ilH/zgv37us9NT54d7B3oPjmoraxszV5/3Xvf3D5RKZQBwkrdmB5wqtEPD3WdnXrv84pd6u8tA - NXAn9nD76MnqrZu3FhYXVw5+1nn2Slfn589PlAA8KIJIRQoVIINCnSgT2vQhA6NCbVTxrPnohwgV - QaFWSIgAilFH3V2dYyPDZ7o7dtbWHt6fXdvc6q9d6WgHRlBgFBAxKcGC2NrDvbm7tzc3NqFU/swX - XhmeOKcQiPM29OIUs8pshnmsGqQ5WuwhtMxm8LFbEnj5XGMwIAoAwDt23mmtpQcSkVcKFCoKoMFp - JJJ74C0uHwIBeCcMH2FdYugRrJCJbZp6FaEKddgiNxyDNwoBub2j7ezwwOBg38bh4a1P77z29f3e - M0PlKI8P+VQkN0SNrRHOMy/+h37563/9pli3xRnMLDNQrVYnJiZeeOGFiYmJycnJLG0aIB3hb732 - 6uj41NO9g+s3Pt7d3f3k1q2LM1fPjvShlGIAtGQU8Zlh4iKWxV8jenv65UrrcgnISjOlgf4zk+Nj - pSRGgFq9dvv27ZcXFg4Oj9raqrpkYkStIw0W2LGzmxtrH3/04dHRgVLKxMn4xNTI6GhkItc8qp00 - jjJqOmCAKDLIHFEWURYxQUmVuzolhjHGgLO1ht0/spkzkjPX0uuZWCkdx3FHR7sO5VBFD5p//HE4 - T0YpAiBg36jVGs2mc4opIUqYNSploqS9o2RiZVQE4A8PD/f295OkWkq6SklHWRhXBEkpkQwWIHuX - pc1mvVEDhlKpVK1WQalGvd5optYSoQJWhBpQewZPQMwdnV2dbacJ81NPl0OXVQk4A6YtLImCXpTj - trn3pqLImEgDcJamzUYjy7KSibVWJJ4BgNa/OjAKVTiYpecPAkSR8pA5J1y5wtKoFkbDqdcFAIhc - ZEHFgxRczxgE8mlmIq10rHN9axPHgGCUShvNZr3hrdfaKG2KYwJAErsMjCx4PZFE8i6zJvHAzntr - nbceCLQykTZgnSdCVujZA3mQ0tFIl7RG0DozsQYNRN41M+soXMgDAAFZZ8AbhbExspWIGVGOSSbv - mEgZyUoTe+e9s4wOFBOAiXLnELwnViqOQghEnq3NwKDRWkStgBV7ajYa+/v7T5487urq3dvbO3um - WmYEzxwDAkQKVGQUUNqo1Y+Pjk/qcnA45xQwq8CbppZkR0gmICoFzoegUWgXnBd+FkSPwmku8Fyt - c7JJ7jEL0UMISlEcG60lFhXzJYyMIrYTMDc4UgAYReJCmWdp8C3+P7QueGttcW/aGJ1X7TGz0Li8 - 86C8NjJVQNKhhwlRM1Oj0dje3vaen25v1+sNEyfahFwXEYh6sOROpJpDaaNaor7itkMFcR60e+8z - a0ulUkFQAgCBZcWp9FlW7IXWYENrLck5IoqljLdVkOtZUT+lFGNgzwWXXCYFSLUooTCLuyK5hqB3 - ERnDCNZRo9EolcuRiQBICROSCJz3zhMFvgQAg3eZIx8lPjhyhEAhoUrsrNUIqBiVIg6V+8yimwWg - oXBGifMGTa0mVZQTmAEkycfknANFyKgjUIGaSUTkfRwZYaGKTAwgArD3vtlsllBFkVJKWZcRMaqE - GcCT9H/F/IRjAPAEjkRrzoMHoCAZjaDiKI41IjhP1pETpR2l2DmAHNEv1mHhK+erFBGZqJmmBfsb - WpsXFTBngW0AKqURkARXC7KosmcBI0AEEf0OoxXqmAjIOw+OESNl0CCC9+zIM7FGI0otRkWodF4d - ElJ6SKCUYSJnLTIYrZM4jnLuYYHvs1R1QlhbiJAXn4cvYs4FoCV5SWQ9sTY6xhan/dSrYOnbg5JX - 0GEQiLyzRGS01pEGUMDE1npSYErF0EorKqNLCoG8t1kGRpuipTETEwBqrY3kWqRFOOQkFfIkrUi0 - 1mFSnjUpxTnYOlOtbwjEwCxDJiJK08xTSEt7S2eHh85+77uAyqr2QzAuAg+giuf3DhSA0QzsPJD1 - GlEwG2ExCBZstMZQghkaHLED75w2gmgTkAP0oKBSTkqxcQ5O6vWTetPmeURhIBEBE2sgjQwGJfoy - cRSC/BxUZyIvae5ESlRFXgZQa2Z23mdZBnFsokhKoSHPUXEOebeeBdZaozWIHVYiO3jafVHeI33h - hFhXAEY+b38kp4A0O9IJKOWBMttsREk1bdbW11Y291zX0DR5oJBiAWbwCMgwOXXu4sTAd37wfYIk - hQRQkxeGdWhqWFRzF1BQkDLMbTK0CPXIXamid+KvqBYginpPUa+qWg7KotBE5cI+gigVX3KaBM3X - WIFntUK3ci0vshItLWeLsw9EQ8il1noAH8ex6AOSA63Et6Bmo7Z3sHvweGv/4LDezKgg26ECDikw - D4qAnXWJiWU0sizz3hfMVpl6RiwOccrFbVUUcQ49Q0AEwk2SB0BQCoxGVto5J8isDv3oApytc5V0 - ABblbuL8hzwTDDk8wblQYzGMwtmUES6O3ai4mRygLGjLAjKKiQ6EO62FcyffmaapeA7qV1qPMmNe - T+u9Y0ZtzOvf+Mbrr79+Wq8nWVKxsUq1FpuHDoTM1lkklRe7MOfSBIUvIQok8ozyc5HN9d7H8ekc - 8bNlankmhLjlfz5nH2PegA5bivBOR5jZey+M5sgYFUUFflrIChfmMWzYOJYHsM5Jj8HWnQ553Te3 - ZCYQsegVKU/UbDaVUjqOI6WazWZxCfmrzieLcn0keYq02RSj1OoQCpbdKhARUEoFURC6UmiSKAYN - oEAB8cj58yOT47/z+38AuqNhlAOwDMTOqLzLloZwunmXObAEURIbjTnUJlcp9I0lpZjJpLD3nhgA - y+USAFjPaZbFcWLEIIM0PoJQESgmWQGgrR1srC4vbD5+MjT6mfMXrpZKFfCAAEk5npwae/XV31ra - +GDn6f7HH98aHKj0dJ9BZQCFFZyHekod7O093to8PjqaufLZ555/vrunV2vwAJEk7zyBc42ardWd - R+0RHUDmbLVc7uxoZ++QSSMbZXLHBm3WPDo6QlQE6D2D0iZKknLFxIk22ihwAp6GXKUkUoFPi60L - xAgQwSgd6crw0PDE+Njg4MDK9sn65tbm1uPE9JUiozEkeIyJEFCKqFbX1pYeLTWbzfHxicszM9W2 - ihK/KEQ3vl6vOZd5ckROcNI4jpOkHEdlQFT5qvPee+e81s1m8/j4WAyItbYkryQpiiS01t7aWq1G - JB3mVJpZAOhob0/ixBjjPTvnmcmUYmZIU7u/v5eU4s6Odq2VyFoBkIm00kbEFRlof3+fVdLR2WEi - xUah1ajFLRcfSawHBvGsIO7IQF66nAHkLpkyoCHIYBVZqJwLUsT+xdYrckIFkB3A92egoMDzBQBH - YJxFDWAM6BgYrANLIItCadQKNHqpaLGOvQRITN57NvwMPMdQqx2vrDx65+03d3b42me+9r/8z//r - +Ln+Uht78J6V9fyd79rHj/dqddvX36ONtCkjooypGUcwMTT221/7xuvf/W+nJkoxgoHMnmzvrS3+ - 8f/xr//i53dnP/n0vQ9ujo10jo89X1JOOshU4qhcKkNdeQ6ACSAIkwGLan4AAJSGQ4iBOKUUgtCg - 2AFQpZwM9p0Z7j+zCH5h7uHi8srIPnR1FYwE9OJyGwLrmvtPP/3ow42tzbj93Itf/NLQ2JQHQAee - vGYnTY2aabazd1Ckq2XuOjo6itQU5CQb8j7NsizLJCTkvEKiXK4kcQlR2kNClvHBwb61zd4zPVpp - yRoE5BDIWe8c2cxZ6531noJqY7lcieOSiSMVATE466tRpJUGcGBT8F4w1mYj29470uVqXO0sV2NE - 8ABpmpkIIEJghiTq7ek8f+7cxu3lh3OLm4+fjo4OlbqKHI4UZTMWrJFfgZAYgszmrxV3/M+8fnOC - CQWMIntvbHS0p6dHa12pVBAxMoZs09VTk8QDAwOf+9znHi4uNdL06fa2OMGOQGErbvubeBGH5FBe - 9CkZZwCmvjNnLly8cPHSpRN7/8ne0fHh4c7u7v7BQWdnh6RCMmcZbAQuy9K9vd211ZXjo0YSdw2O - jl+8dHlsdETp9O033/n5O9ffvH4jY83AChUCVTT3VJJzoyMzn/2dr33rD6rdGBtw1i48mH33+u2/ - /smbRzWvUKdpqrXR2mSZ7erqvnzp8v/wz//F2OiQdRDHOSH8/xvBRgAGb9Nm/YMPrr/73vs3btw+ - PnLex0olcZycm57+l//T/zg6PhiXWCv86d/97E/+5E+bTf/CZ17+2le//dLnvzDQE4k4klQvaKNX - V1beeOMXb7/1VpqmM1dmvv/971fb2t98++13fvnLxUcrnpBBgTYmKmWOUOm2js4f/OD3f+/rX+nt - qsaqhQ/YQo3BvHYpjmMpdvPOScKtqBETx8g7K7FHZiGOo2q1Wi6XUCnrbJp58fvb2juiSIVok4VI - RAootNnKCchE4D0AOc79P2OMKOxA7leJGTJRJB5q4ZahUlGQVkRkb8plIEfkQQUBbSZGy1mW2iyL - o7ir1IWI1lodRULoA1AQGfAO0lSVdBxrD+r4KCMf91RiUCowZhABNedN2IyJWIEPXTYw5JGdy5wC - cKpRazZqQB7BMIM2EQCkBOBAERsA50kBojJAREzeszaIgD50asYgmud8o1HXSukoQR1ZVt6HnK3S - 4B177y1AZBQGwdMSgmdniUgpjUbL8BFRFMXlcrlaqWilgDxQ0PFMCWLnFGK5VHKlcpSUUFdfeTYA - ACAASURBVIFB0FrbZsNR00PZAagETJwrYzKD96AVttQMhkAoyxqNBhOJr0xEtVqtUqkI3hpq/Qqu - XP5BndeTFmGDVsp56beWiMteShIG8M5FOd8KAJz31rkkSU4RT2ZtjIT99XodmE0UtVWrgpdxS6Uh - FerJiDoHixFAa0XeUppFsQEAJhKpLgAWvSFgNsYkSalcqRgNzkOz6eLYGANetOkCzM1MLH3ROc+C - kvdHR0eIGMdxoSHVmjWV8W3l2MppHUcR5Wl/pVQcx81mE5jLlYpWSvBrJVRiSWu3tBd31jaazTRN - S+VykpRNZJwP1DyllCefZVkpiZnB2SwyRtpGeWeddY6o3mgg6o6ubtGebpVoEMuXZWnabDhnK9Vq - XCoHDM7okilZXRDtkcFbzxFoUJFJSqAdoCWiRrNBmJTLkewha12MJtAinx0WKBp0qFB9GLImRMyg - tAGlG82m1qokherCEMnXms0yCeZ1sGbGOtdoNEBpaV1vnUuSUqQ1eBeIJgAOwHuONILWoAnQW86a - teO0zpEul9oAALyzadoEAB1FUZIwABEZoxGeWercIowAAYBzQugQwQoEcDkaKPQiZs7S1KiiUoSZ - XKORps57higpmSgxsbCCII4DEueck5DRO6sDGOkAVRQpZynLsjRNlTaRiaLIcJFRD9ETEzuFbEBD - oIQYANRKJVEMxOQ8E0cm0lqlWSo7lpnTZkpEcRTFcayV9kQaZZoY8nUjdjJtNMJ204Y1kTcmNpLn - oyAALm3WQIUOanJ3AMCgMIqjAIgjAzmfZda6zKMDZ5JqHJvIQBwZNiERqbUplcsIki8UNVXWWlpg - 0clJzTp5T0UYInK/pVKpsAnUguYUZcUCjgg4JbhVgUs65yLFLrNZlkaRwaQUJbEnFnlZHUdAAOAB - 0SgTq0BUCksVEYwBdgBssyzzzJ51FGfWg6VyJVIAqLTWIPr7WikiZu+RjVKgI8Mu8zbztolgI82o - mb1DZKOhvb29rb2j8FmE52sQtEEkBeyBgWxG7EypBIjMvtnMADUq4zx7S8wYR3HQacxfYpFEAKEg - 2UlMW6vXszRlgDiOZalLhkwAiwISEhRY52isILZFuFiMKuSFnIJ1RsYInUphBsigVVQtAyiNEJkI - 0XvLjUbGVAUEdsARMIDzEKGCoLCMAGgJNEIcn5b3QYsigXMuTVPZquVyWWsNgkAZAwBFt27B0ZRS - 5XJZUpHEbEKFApoo0nmzTXnGNE29921tbRJ3F32c5YNApPLKp1b2E+QSwPBstkBWIxHFcSwHovxJ - 5ep43tp6/eT4+BAAojiKktg68AyJ0NaIOJwbBErpKDJRlCfAgKyPIgZm5z0bNHAqxZCm6cnJibW2 - p6dHijcLl6Bw4WT6bE7SRMR6ve69R9TOchSV2tsjpU/NPTN475UGrVUUix5lXsKPSlBCZlaoms00 - y7JKpRrHiVK6OB+VUpLUL0IhMVMAcHh46KxNSqVyuWyMKZfLRSFOMWLW2mazKdBnkiSnJzWEFplR - FGVZ1mw2kyRRuaBB68N6743WTJylaRTHUr9cUCWKQ0FWvicyQTgLsizN0kzWntIqS1OtTZBNaMHo - 1bOnMOZK0Jgv3YIPK16ZqGe0pgGY2TvXTFP5pbj3AFCv1yNjSuWyfFZigeLYKsACGTqdJ8NqtZo0 - rmxraxNjKO8WU1AsYKVUkiSUc/o41y5ohddbc/CyAeXbijuUvS8IWrPZLJfLxUqT/VWI70mEIgoe - xSYttjMzd3R0tGLNignSlLwtJ0lNqcz5egoVAx4JEEFrIAs2A/QiyKgRFBqxpSFlaDOwDa2BSecm - S2ulQpuvXFxAQhNUqpSUQCuX2eOTEyKO4qS9s1OiSaV0DqYRKNBKUS4DSZgLjFD6dGPxwf07tUba - 1jM0Mn6ulKhEg9YA5Nq72i/OXOroePBkd/+jGx//1suXAc5oVASgFEQxACtwDN7NPXxw7+4dIj8x - MXHx8mUTn3pfxN7VTrbW12/evHfj4zuzDxdO0qYplc6OjV574YWXv/D5c5OTHW0VZHIuA/IAlDYb - CwsL779//f79+2vrm/uHhwODw5Pnzl99/oWZK1dHxyc6utrgH309Q6oBBmKwzrJrxMoPDQ68+NkX - t968s7n5+M6du/09L/d2thNL41CwNtPKECMzPXjwYG5urq2tbWpqampyUmySpH2s5d3d3dn79+7e - uT17/97S8iIzdXd3Xr58+aWXXrn24ud7enrFCMS5iM3KysrNmzfffe+9x48fHx4eWmsvX748MzNz - ZebK5ZnLfX19kTGPnzy5efPmj370oyzLAKUpgO7s7LwwfeHKzMz589MDAwNJYgBAKdjbPZqfn/ub - v/nr8YmxL//2l4aGBsqlpFRKACkQwb07OjxY3dz+4Y9+klS6vve97w0ODlYr4hWCJ8jSLI4jozF0 - fjh1j0UpXGlltCmsKqaprdUaqMRFTYoDulDmkb0cjAxz4X+KAUnTNE3Tzo7OUlz2FrQGUpBRypo0 - RLkZAsngg3OWUlJGa2AEx+DSRrNRczbVCsrlclIqy9IWSisW/Mzg7XGWpicnR7u7++1to0NDwwjK - Wqct6wits5mlSqU6Mlp1TsUxIEIUgQYFQM41mk1InFXKKB3UPBh8uZScPTv4W6984eETNXv/3eWV - jeXVNcTnEQhcBseH3lrJFTJokvZCp60gxIUmzMsRi8fNSQcM5IAdGFZJMtDf98JzV7cWF5c/nl9a - WRnffHx+crBgGRMRgNdAYJtHO09mb9+u13Tv+NDY1Pn2LgMA2oAmA94C0OHO7t355b/7xRv3799/ - /Pix1vrs2bMzMzOf//znL164MHz2rJhWSfsdHR8vLS3duHHjzqefPpx7aK1rb2+fmpp6+eWXX3jh - 2vlzFwCgVktXVpbefPONnd2n3/ved8bHxzo625QKKVGFanVzdfbu/QcP5+bnF1eW1xqNNI5LPd09 - ly7NXLv2uc+99IX2rk4VgwnyxAzWBR6eUnuPtz+9d//vfvE2R6XRqQtf/frrZ/p6kxiSONbKAzvw - DpjaqpXnn796b+Pk3sbB0srquXMTvV0dudELA86AQDlFvzAMvwqyMfzjf/t1vX5D0K24AuLbiY9Y - rlTKlQoUufdccguA4zjq7OiIoqiRhWYGCDkM9Zu53eIVkpIAAMAE1oIiKRTS1erAwMClS5dWHu88 - 2TsCazc2Nh/OzQ0ND1WT2DEpBAT0zm9vb29ubmxvH6Up9A52Xbp0uafnTJwAAO7v7j18+PDdd94B - HcswgdFlxVXNC/19S5uNJndde+Vrl8+XYqRGo7a1ufnhhx/s7DWV0kWbB/JcbWtberScJOXf/d2v - XLt2DaIWV/8fWzyytxVylu3sbd1/OPuzn/70rbffmZ1dSJuaqMSstYkWHi21tVd+79tffenlF1iB - te7w+PjB/YXjY1cu956bnD7TOaANAHkIHa5pfW3tZz/92xs3Puzu6blydQYA6vXaysrKxx/fvHPv - PqAmAkCj4hI5BqWrnV2v/NarRY493F2OimIeNR0eHm4/eTI7O/t0Z6fZbDrnosi0tbVPTk5OTk6O - j40JQsTFggEAgFrtZG5ubn5xeX3jca2RptZFcXlkdGxmZmZycrJSNpK38t6BqFYjnOzvb21uLCwu - Pn66d3jSIA5JpO7u7tGR0XPnz/X19VUrlUILj4hWVlYWFhbm5uauXr168eLFvr6+VqaDbaQ7m+tz - s3eX9p4OXjo/dGl6unsEUbJSSo6i4PcLLSwnU4Fzzc2NOwsPmiV83DxcXd85OUGmSk/FXhpVo71N - a3qFRuAICADUqR40wWmnNen9HdIO3iOQvKfeaM492l94cG9naz09OTTgNLuhwf7PXL1ydniwvb2j - VVlCKWTitFFfXV1ZWlxYXJjz3rOOvY5Zx+1tHdPnpycnJgcHerXWRaMzCCIADBS4EgcH++trW/dm - H3744UeLi4vHx0crKyt/8Rd/MdAZd5W4EVUmXvpyz+UXB6tGKRVpZbRirZmhVodbS4uP73+wu7nR - sIawGpero5PDUxcnx8eG20wsLAImZvSolHOuVqstLy8vLy+vra3V6/UigOw7c2bq3LmxsbHe3l7T - ArnKsMt9ttJwsiy7devW/Pz85OTk2bNnq9Xq/fv3l5eXnzx5kiSxMVG5XLp48ZL8tcBQMA9irbW7 - u7tLS0urq6tPnz6t1+vMnCRxZ2fnyMjoxMTE1NSUcLgajcatW7fu3bsHAJcuXbpy5UqlXIbQBhCd - s7s7jz/44L1GPe3vH/jsi5+r19KbN2+vrq5f/+BGM0339vZv3br1x3/0x9KUQBvzyisvn58+b6Jw - rFtPiBxwp/z+jo6Pt7a2bt68ubOzk6apRL9xHE1MTE5OTo6NjUEe1d++dWtpefng4ODll1+enJzs - 6CgONsXMe3t78/PziwsLDHDt2rXBwcGOjg6ttfd+Z2fngw8+IKLe3t7p6emT4+PV1bX5hfnDw0Pn - nImi/v7B8cnJS5cu9/R0Q5F+V+rx4yeLC/O3bt360pdeGzk74py9efOTldXVRrPZaDS1iXrP9F2+ - cmViYrKzq0spVWTJUXp/o2DcmhU2iLZXHm3M3l5Y3xm58PzA5MzZs13lKHQszUtgeGttbe7R/U/v - PTx/8fnxc5dHR8eiSBK3qrCmXLjxCJKgVyrEP67e2N/fW1le2tjY2Nl5mlnv0BCaZuZ6e3smJybO - nzvX19dbKZdyGgI5ax89enT9gw9ktAcHh+/Nzi48erT9dEcIL4Dq6pUrVy9dGO7rU0YzM+UeDSBC - s9nY2763/nB2Y259tW4bnbEZHuxOn7tYUXbFeSfkzSyznigAW6eLnU9HGxFySdBmms7Pzy8sLGxu - bgo2QUTt7e2Dg4PPPfdcf39/uVxGRCJva43F+fmV1bWNrceNZtbMnPWko6Szu3to+Oz56QtDQ0Md - bbH1wJ6V1mmWbayt/PLtN8dHRybGxwb6+5YeLc4vLT/e2a+lloErlerU1Lnz588Pnz2bxEIy59Aa - 4xTJzRUPCABVKUmEkYoMaZZurG88mH+4vb19dHRks8xa297WPj09fWF6emhwyGix58HWgveNeuPx - 48erqyuLC4tHR0feexMnGCdJtf3chQsTExNnh/tDxhZD3R+CwPKsVdHSCIChWas9efJ4ZXlpc3Nz - b2/PWmtZOzaltq7+/jMzly6NjJ7t7elkIkQGhcjqcH9/fX397t27fX19V68+7zytr288eDi/u3fQ - TC0q3d3dMz4xefXKTFdneymJCsYZA7z//vurq6vt7e0zMzMd7e1z8/Mry8vbT58KktLW1jY1OTkx - OTk8PCzzpZRCJGO0SNKT9NRUyACeWSGe7O4uzd1fWl5W3WNXXv1G3KljBQjgCYyz4G19benO6s7N - +c3dTLOn2ETA3FatnJ8+d25ycmho0MiJyjmih8pau7Wx+Whhbn11+fjwwLuGRt9/pmtqciRJtM1S - YbV7QN/CaxKtIK0gP6xYKWRCdu7x9vbK6urcwuLRcS3LPBEQoVamu7v73NTkhYvTPV2dcZIUa9ta - e/fOnc2trTRNv/CFL5TL5ZWVldl7s4+fPC5Ymc650dHR8+fPX7p0qZQkjEhEN27cmJ2dZebnn39+ - ZmbG5AoVOm9GT0Szs7Mff/yRUvrixYvXrl0Tv4UKOIwIkGs72w9m76+ubH54/eODvb20oVdW1//s - z/7tSE9bZ8Ie6MrnX5m8erlShp2dvacr9+7c/XRw4sK5K9c6e/pMZADAE8/en529c6e9rW18bGxs - fHx5eXlhYeHRo0dEJKrrL7zwwuTERHdPT6PR2NzcvPPpp3v7+/V63TlXLpeHh4evXbvW1dUVx7FC - JGbIK0+dcwcHByvLy0vLy0+ePKnX68xULleq1WpXV9elS5eGh4e7u7tPsew8dVeA10Vqs9Fo7O/v - r62tbW5u7uzsyJlL5K11/f394+PjFy5c6O7ulqbqu7u7Kysr9+7c+PTmz+/cuVWrw/Ly8l/91V+9 - /+Hcma5Sb1fp2mfPn9TXbnz004XlB7OzS8D8cG7uJ3/7txvLTyM2ve147mzXlYuj3cMDSmkPmNrs - YGdv4f7c0vLS0dFho9Ek8pVKtaenZ3h4eOby5d7e3lK5jDlDk5nn5+dv3rzZ0dExOjo6Pj5+/fr1 - 5eXl4+O6VtHk5PnXXn2tvaM9jsE5EDAwj+cZAJx16xtrb7755uDg4Pnz54eGhpeWlh7cf7C7u1uv - N5zzSak0Njo2PT09MTHZ1tbWamm3trbm5+fv37//2muvdXd37+zs3Lz58ZMn23EcT05OXpienrly - RRK3aZqurKysrKysra3VajUB1qvVauHDDAwMIKKzdv/g4Je//OXOztMkKX35y18eGhwMRWACoyMS - UbPR+PDDDzfWN7x3r7766sTEZBRFt27dWlp6dHR0/IWXXpqentZGI0i1iwaAeq2+tbX18OGDpaXl - LEslS9RsptW2tsHBwStXr/T398uEYr7dNjc3Hzx4sLW11dfX9/LLL5dKpSCUH5LQ+tGjR4uLi4uL - C5cvzVy4eKG/v9/kgOnOzs76+vrc3Nzu7m6z2RS2RFtb28TExPj4+PDwcFFtULwKX4tyxc/1tbXl - lZVHjx4dHOzX6w1m6ujo6O8fmJqaGh8f7+3tBQBPtLOz8/777x8fH3d2dr788stdXV0hLZ2fhqur - q/fv319fXz979uxLL73U0dERaO/WLj56dP369ampqdHR0eGhoXuzs0tLS0+fPnX5a2Zm5tKlS+Pj - 40UnAESs1WpLS0uPHj1K0/QrX/kKEW2sr8/Nz+/v76dp6pyN46S7u/ull14aHRmpSmofAhSgQwU5 - E4cqfoUIzOnT7c35Bx98Mjt85eWRqy93D1UF2ZEEmHWwt3e0+ODG8tzNZqPWcMZyAirp6uwYHBy8 - eGF6cKC/vb1NytjJO610s9nc39+fnZ1dXll5urPDAFGUVNraRkbHxscnJqemAPJuxgpC5wuBiYJe - H4Nr7G49Wpy/bz13nBk5OzoVR6C9JFups6frwuWLvWfOLK+fPLj/8OnTp/XG2XJFhWYACsABEIG1 - jxYWFxcWSkkyNjY2Pj4uiXN5ra+tPbpz85OPPp6bX918vM8qKpVKbMyTx4/ffe+9tbWVr3/1K1cu - Xxzo70MP9WbzcH/vgw/ev/XJrdn7s9ZaVKavry/L0ocPHy6trD1+uvP5z7/02RevmVhyDx7yELKF - EZ23SA2rDgBB0jb9/X0zMzNv31zZ2z+4c+/eC89ND/W1xVHuLoj/nKYHB8ebGxv1Rv3ChQujo6Od - XZ1yCU9Qq6UP5x7cvn3rww+v7+49TdNGpVIhcvV6/c6dO7u7+yvLa9/+9ncGBgaUUsS89/Tp0tLS - W2+9dffu3fX1dUnklEqlra2tg4ODu3fvfvvb337xxRcHBgbSNF1fX3/jjTc6Ozu7e85EcWLi5PDw - cG1t/c6du5cvXXr99W+MjIy0VWPvIG2mu7u7773/fq128rnPvUjUp5QKYj1AAIQI1tvDw8NPPrlZ - 7ej72tdft84TREQgsvjYIlCDGMj7gQMryhXW7e/vbm5srK+tbz3ZPq43U+s9Q5IkHR0d4+PjY6Oj - g0NDSZKoXMem2WweHBy8++67wHzt2rW29vadnZ0bN24cHR01GnVrXUd7x9nhsedmXhgcPJt0aUBp - W+UVGKWAiXl/9/HDT24+erp2DAdQBWNK1crwyMhUj82yVHqlkkTgeYolBIYcGswG15OJyEstBREo - rRUqRM/AkuY0WmsNeclA/g8SggMGRNYmLjxwBEajoFKanBwfGX0Myjzd2X+yvW0dc+REAUjU2bxn - z4pz55ODjBIHMVYUQa4AkAWflCCgu8EPQVVKnr9y+dGdT3/24dzSysro6tqrXxzUADFL1k0hELCD - g72n66sbKxuVzovj56c7+zqiBLwUfhH5g/35h7Mf3rn/0d2HS2sbaZpWq1Wt9fHx8ccff7y2tvbF - L37xW9/6liQgBRu58+mn779/fWlp6ej4MElK5bICgMXFxaPDo82Nx7/3e+rs8AgDp8109v79paWF - L33pi8PDg0JXAqB6o764sHD9+gcfffTx4eFR2rQm0t2lLvL/L29vGhxXdt15nnvvey/3BbkhAST2 - fSMAEiRBkAB3FslisapYi1SSrPEmWxqPwu5xh2d6wh0atxwx0VKMNW6rJbVtqWSppJJqYxWLO0iQ - AAgCJLHv+57YkZnI9a33zocHUrJj5pvt/EBGACQReMx7cc7//M/vD5HIbkdHx9zc/Mzc/NHmE3mF - Rfr29t4ZxTi5G1lYXOp62vO0t79vaNRod9XK9OSZs/pj5HTmDKOgqQDMZDLk5ATsdkdscnthYXlr - O1RSYN/Dhb0Y3eip3v8ClbB3Az6/B9GLP/5vpdvCv6d0q2nai6Qg7Tm4EP/WOScEA2cATRVFMRqN - qooqCEJamlMfXSK0dx7wv3wav3VK/lVf7IVkrDd6lKqSyAkY9HwrwHabvby8rH9sanRqHgAtLS/1 - 9w8cPHjQYRFA00wc4YApsra0tLi0uBCJAEPg9XprauqMRrMigyAgnud5jgMGwPO8IAiCYDWbBE1O - RbYWF1ZXNlvngimNc2VnHHbbkFEQjEaBMSrLEs8bfOl+RpkkKRiTZCI1MjI2MzMXDu9WVFQKgvm3 - 9tL+f95Cz8HbspRaXly4e/tWR3v7zMyc0Wi02RxUM0YiCUlWZufm/u+/+RvBhGr2VxCGcvPyjjU1 - bW5G1jc2e3r6Lp6/lJ+TLnBAVRUjQBynicngynLX4654IlZeXt7U1ORL921v71BK9XAhk8WGCY8I - jziDqgFD2GiymC1Wo9H027kglFJGKXkelBmPx5eXl/v6+n784x9PT0/rLYTBYEhLS2tqajp37pzf - 79fvevp81I8xJFPJhYX56Zn5G7fu9vQOJkVZY8hqc5aUlr711lsmkymQlWUUEEYgaxQTAECaJM7P - z3W0t924eXN8cnYnEge8h+jKycmpr68/c+bMwYMHc3NyzBYL0pNqKB0cGPzkk48/+vjj3//933/n - nXfcbvdeuUYpYywejw0NDb3303fbB3vPvvnahXfeKjkYAISAIEHgdW0uEY/rc34OELdHJNWSodDQ - QP8Hn1+NYmVsbaGrexBhBwK7CSKnGgKnDweqDp5JSRIwpFFg8BsfHwNgmrZH6GQMMBZ4jIBnHOHw - HlhUUdTl4OrQ1trNzz6ZHB0Kb64xJYWpUlNd8cW33jx18kRpaanFatHXAHThVxSljfW1tgetLS13 - uzofpVIpFXEq4kEw+n3+s2fOXrz4ssPeaDYK+AUkck8foXoqEea47e3ttva2X/7yg5GRcVFUKMM7 - O9H5yWEDS1kJMJv1rW/KTfYMf0k2z3EcIQiAajSeSC4tr/a23Gz/9J8WpyZjKcSw1epwHmk6/NKl - szbrabPXp28CMqqpqkY4LhaLLSws3Lx5o6O9o39gYC8cnBBZUcrLy7/0pS8xxgRBcOqS34uN4xcr - /Izpzm5KaTQavfbZtV9/8OvLly+fOH48Myvr/V/+svPx47m5OcaYwWDweDwXL168ePGiz+d7YVMC - 2FssjUajo6OjV69e1TtDvb3XHV4NDQ3nzp11uVwul0v3Are0tLz77ruxWOwLX/jC1772tZKSEj3a - wiBwiUR8anryO9/5r7FovLn5hN+fEQxu/PCHP5ientvaCsmymkikNjY32zseIUwsVmu6P91gNGYG - spwGMwLQGCiywgucbsPbWyhW1ZWVle7u7g8//HBiYmJnZ0fvyS0Wy5EjR65cuZKbm6ufQVEUH7a1 - ff755wMDA9/+9rftdrvD4dCbJZ7nVVVdXV29fv16S0sLxvgb3/jGkSNH7Dab/iUWFha+//3vI4Rq - a2ovv3p5fn6+paWltbU1EokghERRzCsoPNbU/LWvfc1qtfAmo6pRjJDA84uLC5988smPfvSj//Jf - /qqhoSEWjb3703e7u58kUylZVjDhrDb7m2+/ffnyqwcPHUYIUabtRfogZDYaeY7XR8oaZclUcnRs - 9LOfvvvpnfaXrnz59OUvOByHDQ6MsR63jUEDTZYHh4Y+uvr+T3/+8Te++aevvGb3eP0IC4QA4TD8 - BqzM9C78eV1EkW7kBBYK7YyODLfcvdPT0zM1NZ2SZJkihWFZowV5eQ0NDa+9evnA/jpzIGtP9qVU - UdWBwcFvfetb586ea2pu3n/gwO07t++23BscGtHoXjrQ66+//oUrr6c1N1ssZt1mCfouCGPaztbg - ZP8nrddanj4YHlwnkE5YuseeeOPSvsoiQxxn6DDteDKpUYr2GHXP25Ln5rjfGMZVNZ5IbG9v37hx - 49q1a3Nzc/q9pCiK3++vra390pe+VF9fn5OTw3OcmJTWV9du3Lz54GHb4NAwIKxQJimapGger6+q - et/rV944evSotSRPkhTEmNUixKKpnt6eb/2f3zrZ3Hz27On9tTXXb1y/fuvu7NJyNCkyAJvVfvzk - iZdffvnUyVNen5fDiDGqR2BhIADaP/uJTxkgYuANiAFVKaNsc2MzriSu37o+ODC4uLSUSiY1Vc3K - yjp/7qVLly6ZzWaXM03/vnWXoiiKK8GV7sddDx8+fPz4cSgUopQSXkC8wZLmOnX23NmzZ+324xar - wJG9In6v3VJVBIwIPGMUAQOENUVeW1t91NHeev9+f3/f+vq6RpkGnMyIYLIXFOS98frrp8+cslur - MGJkLwibbmxsdHZ2fv/739+/f//Xv/4/h0LhtvaOq59eC0eiyZSkqtThTDvW1Px7v/vVutpqr8et - KLIOMGGUXrt27f79+4WFhW++8Ua63//xxx93dnZOT0/rjhWXy3X06NHz5883NzdnZWUZBEEX3YxG - g8VippqmyJKqabpIo2kaj9ny8tJnn129du2Gr2T//5Zb5Rdy9SBGTaViMgmxnSfdpq6jnAAAIABJ - REFU3Z/c7/rw7qOwwiGGGGUYsNfrbmo69vLFC01NRz1uF/ccbIwRqAzC4XB3d/enn3zU86Q7vLNJ - MCVILSnKO3b0cG1NRSQcohpIkiRKsrInCeyVJ88R488/xHGEskQyMTw02HL//sO29uDqRjyRAiCM - IYx5p8N57tyZt968UldX63qePqRpWjweb7l3r+vx43Ak8ud//udpaWl37ty5d+/e9PS0Tp/QlyEO - Hz584cJ5p8PhS083GAyyLN+5c+enP/1pMpn8+te/7vf7M/z+F847ypjurXvw4MH3/uZ7Zov5C1/4 - QlVVle4EfOHdA1UlvBYMLn169ePWe+3TU1txFUSwx6dm/p/v/a0FKxasII58/T/+xdvZBRazIRhc - vXfr1g9/+P2TF17/6h+7qh0uxHMUQFXljo6OH/3gBzmBwJkzZ06ePNnScvfevfudnZ36dW0QhD/4 - wz+8dOnSwYMH19bWHnd2/uKXv5yent7a2lIUxev1Hjp0CCFUU1MTCAQIxoqq4/UxpTQWi42Ojt69 - e7e1tXV+bj4lpvTCJs3lKiwsfPXVV5ubm2022wsn8osFlBeexBeD6nA4PDw8fO/evZ6enpmZmXg8 - rkvYyWSyoKDg0KFDly9frqury83NRQCrq6sPHz782U9/uLIwyxQAgK3Rid6Rvwfsdlq53ID7z//D - 11bXhv/rd7/DMERVAArTvX3TvRO/Yh8S4MsL0l+/2OS0vuT0+wjHy0wNhUKjQ8Mfvv/re/fvxWIx - XVCWZVm/uH7nK1+pravLysrCz4nYDKC3t/e73/1uVlZWc3Pz2bNnP/jgg/b29rXVDbPZdvr0ubzc - vPz8fJ43KYqqQ8t4ngdgjGlU0yRZmpyc/Pa3v11bW/vKK5caG4+2PXz44UcfTU5MSZIEgGRZPnCg - /tKlV956883ns669ucvMzMyvf/3r995776//+q8LCwoHBgeuXr06OTmJMW5sbHz11culZWU8z0uS - FAwGHz58eP/+/fb2dt09rUu3gUCgqanp/PnzDofDZDIpirK8vPyDH/z3sbHx9PR0n89nt9msNtue - 417fnFDVaDT23s/f6+zsNBmNDocjMyOTF/gHra1XP/10fm7ur/7qr3Jyc8ycRd/GxQSSifjKykpn - 5+Pbt289ftwVj8cRAMJYkkSnM624tOTLX/5yY2NjcXGxfjR0XsHU5OSvfvWr1tbWffv2BQKBrMxM - vYnTnkurQ4NDVz+9evPmzXfeeeftt97yeDyI5zVVTSaTk5OTHR0dLS0tCwsLu5EIIMTzXHq6/8qV - K6dOnXK5XPp/657F+3mNxZ5Lt3qt3tfff/v27Vu3bunpbbIsGwyG/Pz8c+fOXbp0SS/5VFWdn5v7 - 3ve+t7i4WFhY6Pf7Kyoq9jRojDFjmqaNjIy8++67nZ2dJ06cyMrKEgTBZDJJkpRMJjs6Ov7yL//y - lVdeOXHiRH19/eeff37/3v2R0RH9RMiyfOHChVdffdVqtbrdbn1ZECEUi8VaW+/fuHEzGo1mZ2eL - otjW1nbt2rWVlRXdcpuWllZcXEwp5Y4dy8vLe25CRMBzHMFUVSllDGHCAYeBAAGNLi0utty88d2/ - +/Glr/zxZXN6jbeKcAAAKqNUw4moNDoycuPTj+7f+jAS2hE1TkUmWUP+9PSqysrXX3+tseFwaXGx - jkZQZFng+e2d7f6+vl/84pfPenpC4TBCGBCmgI40Hr1w4WJWIGA0GhkwXcjTmfj6rjBFwBDwlIKc - CK3PL83PIGyze7J8GTbCAFQAgQEBm82eV5CRkZlJhuaWlpbX1tYi4RzC8ZzAvdDIQFUhlVpaXFwN - BtOczkAgkJ6R8aKXVQFGRoZvf/zxo4dtnMFWVFx95vzLbr8vIct9/f0D/b19vT0Wk9FmMaX7PBih - eCw6PjH+s5/9bHR01GQyNTcfrz94qKp6X//A4JOe3o7H3SplRpOptLzCxlt/2zb+W030C8joC0cX - xYTwggGo7HG7i4uLXa4ni9uJ0bHxjc3GwhyfkTfrdYr+DyYSiaWlxe2dHaPBWF9fn5mRwfN7FYUk - q6tra62trbdu3RwdGyktLTrSeLi5+ZgsS4uL88+e9Tx7+mygf7iubr/X6zUajaIoTk9P37x589q1 - a7Isl5eXNzY25ufnWyyWR48e9fb2tre3e71ej8fj8/kAQJbl7a2tnJyc8vJym93JGwyhnfDjx4/b - 2x/5vF6n0yUIBktelqJolFFN01ZXgzk5Ad2ToSt28IIXgfX4BBrZ3VXBqPsQNQqKwjBCBIMgcM+B - uZpeFFNKQbeyYQIIUsnE+Ohoe0dH56NHA0PDoqIRwbTHcLDbm5qaTp061dTUlJWVZTab9dMUj8cX - FhZ+8IMfqKr6zW9+0+fzjY6O/t3f/V04HBZFEQAQwlUVNV//2p80HjkWcPg5zEmgqKASMCAMkiQu - TI7f++ijX995PL0l7lAz4jiTzdrYfOy15lIxGkFMYwwkWZb1SBUKDChPCAJg2m9yVgEYR7DRwFut - Qiom7uyEkomURm1638FxPAc8A1AYaBR+A+UCQIgRQjEBgjHheHiOz8X674i50hxpzjTAfCwu7u7G - FFWmvAoYQOAIAqpRVaUa26O7639XpYCQ7iVAe0LVC1c/2ksT0MEKAASoAowCRmUlxSWF+QRgcWlp - emExLh/kEJgwCARhQhBQ0LTIxmpwfjayBb5iX0lllckGFIHKABDIorS2vHj144/udnRNr2xU1dU3 - NjbW1tYSQsbGxvR7W1GUQwcP+nw+g9EoimJnZ+ft27dbW1vT09P379//yqVXrDbr5uZmR0dHf//A - 8nIwOzvPbLa63S6jyRjd3V1dXZVlmcHeNE6jLLQTun37Tmtr68zMbGlpWU1NXc2+Wp83IxyJjo6M - ffbZtaGh4YftjzREjBZbWknm3rsVE1CUlZWVGzdu3Lx9d2RiUqbYlZFdGIsaTSa9PMQ6d4Xq27oM - DILP67VYLaIkLS2vbO+EKeTpx53AHuYXI4ThRXDH/8c98fwT/+Yu038n6VZfsVAUWV/UIhhJoiwr - ssVs0benEdMYlRGTgLGV5eX7re2bm9HyqqrmppNet49SkBTgeUAYKFAEGtaZoQy/mP7pM4w9tehf - 46V3DTrEhwIAGMHA9NVUAAqKbBVQY11V1+PH7VTSkGFrc2d8eiGSUNL1tTimApP5ZHhjamR9bUfC - wIA4fDn1B2uzfGYLT4FFiBJiqgjAp3kLmo8e+Z++8mUBs2R4eWlq4ManH3SNbIxMjw1MTdQvZ3hL - 04gksYRmwg4O+IxAxv/xrf/dn+tnqWRkff32p59+cO2myNDQ0sKttrYzTcezvGZAOgXgxfdCfytq - BZ4zVxHHCxwhYkq5cPHKH+YUBTIDJo5fXVz8xS/e7xsZX9nc1ihZWt8YmlrOzwtk+NLrywruIWk7 - FF9Z3Qxu7u7GZatJAKYBKEC1teDc/MrKRpIy3mPy5ucV77PZnKHNDSSFTXQ3N9N1uPmlw01nigpL - kM5xQwxzJK8g32jeSx5BwEDVCDAgmCEkA1Ok5PbqYtvNq7/88GpKw43HzzU2HEBaand7bXFlY31z - e3x29QwYMRAeAcchDsuExqgKnZ1dI5OrY+NTZpPpwP5DLpcjuLa4uro4M9lz/bqQFOGtt97OynAa - eWowMASqFAs/evTo+q2HLa2Pd2OprKzSkxeqMtK9qVhoaXZ8anL8cdvdsZHhV6+8derM2frDBwEB - hyhHVKxFkSYaeY7nDBRxUVk1CQYjAoIxphoBFWiSaVFKFUKMAHYZOAKaQFQNa5qqcBoIRGAIKXrH - y0SjKlGRfXT1s5ab9zsH+hIcU8x8VnF1dVmNw5KW3JxMBHtvfzR4p+Vp37IRhHJkszPBwPT9VgAe - ABEBAAEigAEw/+L9TIBxDJKx5PTE7NT3/15TUmIysq+uNk2oZvHtqeH+jaXZn/zkxzvh6LmXzh9r - OoYRYCoxKcaoNDM1/7P3P378tC+yHdp/+HhhXr7X72WI9Q/2jwxP3Ltxg6UUzFBNfb01zSIQTIAh - PYwSGRA2IqQBZka7Lb8w+9yphnQb7h2a2YpSqyf/8P5qh6DYeFkTjOWlJel2k5UA1mTGVIMgrO+E - 1zu6Z2YWktvToGr1zccERuI7u8MjU08edmxvJmzmzKOHhdysNMKpmMoClUGUB572/NMvr/YNTRCe - nDj3anZOVppdQCzV3dm2vLj10394Nzi/eeqlMyfOnkBkr7DEwAgGnhDCABjjeMIQIFGikU2btGsF - tL4a+flH9yO721vbQVt6+kvlxVSKry/NzcyvXr9xW2Lm9Mzigtwsh8UIVAJOQ1RUU8rVDz+4dedB - 3+BoTm7+5SvNZSUFoCW2Vuef9fRMTYzvRlO8wXasqbGoON/htJ5oPChurXzy6bXe3l6jJ+OPvv6N - gkyLAalMSQwNjbz/0c3VHbmqovb8K29507M1Cs3NB/Ny08fHFgf6pwEZfRme6rpK3sDb7K50fyAr - p1jXvTBQDgHmOcKwjlZkACAnQmtLHdd+/uG1lqhEavYfLy7Js9j4pLi7tR6aGp+bndlQFczzFCGZ - sASWImYlZhcIxgYJ2ZIMMCYEAQGVQykPjnoMKtPUXc2UwhbKmRDmkCYbmGRjSV6ORWQ0MLkw+t/+ - IbK1Ho/s1NXV2a1WVVUGBvp3wzsdD+5ZzaYLL18+eeoUJoRgFWlxzMIYyRzHP3k6ODIxPTQ2hDlU - WVNbnFe0uboxvzg7OTN58/Or4XDEanfnFpS4HAJCKgGKgSbFlEw1RHiGeMZ4M4HqvOwpn/0GVQbH - JvyHwietZg0DA4lpMkIAlMlicnhwdHJixWiwZfrzM9OzjZzAA3AAlIBCQQHgiB6VqwcoIQDMcQZ4 - PqsYHZm4+vEnT590Wez2cxcvBHJyCRGi0djY+OTi0vLD1gepZCoRS7391ls8hwFTzCmUxjQ1CZq2 - sxVub+/69NqdncguZYZjjacMAh+Lbo0MPe1++JmUiFhd6fkl+5xuA0fADEBUiYa32u7d+MdPr/Yt - LUYFS+WBY+WFVVqS7awM93V3jXSsR4h3eR3clfmCmWCeo4xImsoRQEgDTWOqRgAQz1NKFYQwMDkZ - nxrs+x//8JO+0cntaKqgrHZfRVHA71pYWBifmu8ZHGPcpxpDmZnpHGLzMxMPWlru3ryxmxTrGxpL - KyrSXA7G1L7e3sXp+ZmeJx/thLbXgn/wZ3/GeM6MNKameCXKa9SArdubcntb72ef3VrfXJapeuz4 - UUVTdze3Z0fGxlpbUtth3uCsOnK8KMMkIJ6nKkWUIayTqzBjmDLEVEAYkMKozCNAKg3tRN771Yeq - Ca2vBbO8vrLCYrPAjY30b66vPLx7fTeRWgpJly697LRwApIJUpVYLDg1+/FHVx8+7Z9b3corrz1X - nJcf8Iup+Mzs7Nj4+KN7N6LhkKzihsajmV4joipilGGBIdAwr2dKqorKMQkJsLs2/7Sr6/s/+omo - YX9u2cXX3rZZjaoqbW2t9/f3zizN/NP7H4ZkAzLYy4oCNh6AyaAksRJCmsghfmZ6/f1fXx+dmIgl - Ev6cgtpDPkVJbawEV+YWhjru/01w+Q+++WcNJ854vTaNYwApTVFBUiCmxTYT7//q0xRVl5cX/S7v - 5YvlZiOZnR5ZnJ981nlXVNSwanrt9VyvHYEiWg1MU0VZjDMmAwKGCAVeF1SAYUCMB8mIEgTJFFEV - gACYAYDFl5YWPv/kw87Ojun1SFF5TUF1fW5WOijS0tz03Oxid3uHGEtFI9FLl192e10CaAQU0MTQ - 5u7f/+Mv2zv65mcneYPjUEN5WVneTii4ujr/6c1rPb1dqWgImA6+MXMAPIARgNerat08QjDDRgQa - AiUejQ6PDn9+/cbDR50en/9cXUNWdq5J4HdWlxYmRwcHh+/fv7O4Hf7jb/xJw/6aDJcdM5UgxYZT - BmWXKlI8xT641iqLsd6exwV52efOnuYxHhsZ2dxYMziMa/Pjt24yFZlfuvT6wX0FVqxWF3hqKko6 - nowsrKZmFrecHi9vUPQjjwjCTNtaWtxZmEvF46X79nsKK1WTneOAAGAGjCqYUcRxAMxq8RQXlnEq - ynENdfTNbkic4M1qOHTIxklWkrJYTHW11W6ngaPAawkTxHkmU6aIFMmIZwAcKAgUpChqXJai6rPu - gfHxuZHxYZ6D116/FN7ZXF1cWpqbv/XRr0JbW7GUev1Oy9jQQDQcLikpqqzMj0Q2NjdX+weerX0n - 9vt/8GeXLmW40gghvAGLAPHY+mJv3/iPfvL+6NQKQ/TlK2+n+9NNHCSjoanRoaHRyfd+9svN7YTJ - 5vb5fWaLgeibc3vYU0appmoq4ngMQABGB3sf3L7e9rDd7HAfPX6ipKTUZjbGIqFnT7pWlhe72+5s - rMy/87t/5MjIIxyYHe7ikpLjx45OObS1xYWtHbCkOd35B90ZZf40Q2aa0e932QzZX36leTm4MLAs - zi8m+ZzKQr8/3+szI8jKcJdUV1m9fswBpvHtiaH7j/p//uvri2u7jvTcV99oSPc4eaRub64MD/T0 - PeuKxtRX32Cvve5zpAk8VnlQkSyZY9tsNxS32h/3jo7NBbue9YNgbD59ZnsrZLLaJEWhVNUThxE8 - B5IDYjrvUUrycjzNxG9tbt2813n9Xuf2xlpK0U6fPctzOBIO9/UNTM/Mf/DRDcI7z5w+UVdTjinF - WCQsTpR1nsZMhA4PDI2MzXc8GRAVS2ZxjcspOP1OzKsEJ6ikTo9N/MM//mx4eCKaiB0+cqS4rNjv - 80uJ1NTE5PzM9INbt2gsKqfE/Y3HTGlp3oyM5obDLLw1t7E+ObfgK60qc7spAMcYBxowmQuvbcwu - BFfXU5yjurbO7c8wGhFQ2UA4gQmpmJJMqUkNDAgRqgJVAZPOjo5Pb97petYTjcXSAjmn9u1LdzuJ - psxMjc3OTs6MPP7h3y5Mz775xju/l19UZhGwmlIMmDMgRKSUiak85hgxKbxV4wQNgCGVUAUoM0EU - MTGhyAkkqMTAADFNie+Gp6cnb37+Ucv9dkmBxmNnamoqeEK3tlZX13bGJ+czstera3kGCGMVIaxq - DBhwBHE8j5EGIHFEieysjU/MfvbJJ896R80W97FjjYWFeRzBi/MLmxvrg4NDNfv3l9Xus2BkBNWu - STZNZTKLybzCORlvRxg0TUNERUgjhPKqbExoaZrZyEySyiUZEQjwBgqJBGIyT7i14Ob1m3d//v6H - kd2I1Wj64ltfiEVCa2tLc3MT44MdsprSBFN946myfL+ACWFANFVL7KLkTmhj6+NPPl1a3xkbG/Sn - e8uqShxm09L87Oriwszo6PvvfRwK4Stveh1pZqOFmRCAbtCgekunqRRUAgogDmGmpYgSIhpoiKaI - UURgBDCBgkBeWFx92t33i/d+sbQyb3AGXr/wpt+fQQi3tLA0NTkxMdT/XngntBN2/G6GzW438dgA - 6s7m2oPWth/+6CeRaLKgrOoPz56Q5KQYTca2I8vzy5Mjo5FojLNYLIRDxERAhxwwHjGGdDwAgKoq - cRpeVzc3k2ZXnuC2KvzzkSdCwIyAHEZCjtSWbMxttD8dnZifzduoOpptAQCBAgcASITU5szcwvxG - OM75ag40+HMKLAbQNFVAGpUTm+vrjx93dfaPB8oPnjhxurnpVLrfL5g4hUqV1SUvnT0Z3g77PC6f - 0wFUQqo4OjLy9z/++ezKduWBI1/60hcLCvMzfRl2iyOQWdDQcPyttzZSsuxyu01GA8HwXKkEACAM - kL4fTXVAE34eIwMchxnec5PZXWmF+TTHnba5vBycmlpb29xMqmY7GIAQpmJEAdTo9lx7W0twK2Tw - 5O9rOJXm9SGqIaQBY+LWUvv9Oy3tHQtx9c0/+tPj9bX7ywq8TmtSTpWXFZ04cXx+fmlnO+J1pxEt - hRQpurHe3dX1wad3MgKlTY2HX75w1uOyWy0mgUNFBblnz5yZW1jKDmR7nDbMUoK6i5nGm53l+49f - vHAuI91rNnCKlHjlfPP7v/hZd8/IzTstNncgkJNlMGg8CslKTCOCzLsp5wRmAo3sGaExApABJIFF - MZMoEAmsEjVQwBwGLLA9jMbzXzjCMY0yRgnhATQADagMiIU2l3/x85+OTszLGjl24uWcnCyPz62I - yYnR4eG+nr7OB/FEKkYNL128HDAAk1QLj4yI2pBk1uLLoXjP0NjgxLX14DITzAcOl9oMeGd9eWF2 - ampi+Dv/7ftf00yveU6nuQUBEFEVE5eMboeG+/t/9N//x/jI8E6SBopLDhWWez3uyamx5YmBvx+8 - ZVY3lW2VAWiMV/f4P0CoxjSmt8+IBwaAGABBNpvN7/PnZmbNz+5Oj/f/7d/8X2995Y2mU0cEs0Fj - WAWmLzQSAozpyiAA1TADggXKQKJaUpNkDCoAUECYA4pBZVhSmCoCSAYLttrNZpOBBxWoCBpSNUQp - RlhA8FuYeL0NAQ2/cF2w3/ocPBcCdEmXEcAGAB440ZLuzc5Nz8pyL61vLo7P766Dyw1GIzA1yThG - QeUIG19Y7h1fTGlQk1uyr6zKYQSeAVaA8LC8GnzydKClrduU5vvq+SsHjzQW5eele9wYaFVp4cmj - B1aDq2abG5CAOEsqGV+cn2q5fW1qauFQ44nzFy/XH9gXyPLzHCiiWFdTFVxaSkqaLyMgmExAVS0V - E9SUAQPmOAk4WVUFApHgUm/P4LVbD5hgf+Xtr5450VSYm+mwpXHEoCmstqLsYHXJvTs3Pr5+t73t - odHpzy3MFAjwhMMU2h529XR1jo+OvnLmxKsnG+92PtvSDEnOvEs5KwPLXqoKIEqANwMSgePTPB6n - 3SEAjm5up8IRwgAjIHoGAwKMADFtLy8GfuOcfv78n39wL2zqn1Hp/tVf/9bS7d4bCiGEMcL0eVY4 - QoQgoiFZFpORZCwaw0jT5FgytjU/P9/S2jM5MZedXXz4UFPtvv12m4M9T7ABAAYyA5mBgCivr1HC - 86+xN5KAfx3xdq9CBdDp6RpGwJsJUhGoADIA43kozPDk+tK8VuOOCJFIdHZxbXEt7Pd5PVZADEBK - QnQjODawurTBsBOcWa6swty8TJeNAkmCGuHVMNIkAAELLr+/6OiRZisPSjy4XuCcHml7OhlMJCLz - m5urmxu4yGbWqEHjiGLkmMFq85fvP1BYlWthYnJ1Ob48/HTAPR+R50M7/ZNTh/cfArdZn+moe6dY - QSADcAgM/3wkgAjH+TzeI4ePZeVVBPKrfC6HQMRIwBGc6dsJLQU31xloMUneTrAAMvvcnqr8DL8F - L6jyTjgxNb9eXhLO9KZjBIAUoPHN1anljTWFGgy+0rTsCqcvl8MKYZqNKHYcp2ZLbn5uzcGG/ftK - BQSIgr69zwDI87ke0b3+lOnSiMQglYjNjA/NDnZvLi80XXjj0OlXGg9WmSGeCi/Pr4QWNkV//j4m - EEqAIuAQICYSFkUUJqfn3ClbdsG+msrS4vxsj8sYXBkfGuq8fa9jemrMYMlpPvWyx+MwCBRAkuLh - 4OLEw3s3HneNrG3LhxrPNjTsr6ut8LnMNLm5uVjQ+9T56Onok6Fhi9NntKfllVeaLSY7RwFJHI1j - TURUA4Y14BRi0BHivKbxoHJI5bCEUQIxjWATgEMDkBAwIklMlhUJyxoBBAirCDFQjVSC8MbK8k5H - 5+Mn43MJ3q6ZjJklhceONNSUFLutJrpdPv+MX+6//XRmazfhAg+fwkTBiAJw8OKCRwwLe2Rt3S3I - ANheY5mMJna1Dc5rzi/IajzWUFkSyDJrQmJtJM/W/mzsQffww0dP7O7MffsbzSZsQpRgcSs4OTjQ - f/fBfRFZSyvrXjrzUllhkddjI0gsKfAHPN57d9vG+4eMNrs3L9+fZrFjYJJkYQoQIzAeKDCEGKHm - NEdJWYFTULx8IhLeFVckV6Ck4fTlLLfgtlBGUE5RqdduNiOVUklVJEmSIvFwVF5XKCorKawoO1Jd - kOGkqri60mY3dQ1vTI2s9jyZ96f7vRl2A04KIIISW52a7uvu7HrcY3BkVtbWnjl/LhBId1pkrG7n - ZJo624Zufv5ooGfM4cko33/I6jYLehEKYATE7Q0wKQCjiPFMtjHRpcQgnpycWEyYs8wWUlF/tKQ0 - syTTxYnhuaEnLR1D/ZNbPSPz3b3jTqvTIRgBU6ApKbQ4OrHQ/ahtZmrB58tpbHqp/vDR4ny/QMPR - remS/MzP73ROLW5cv3Xf4XH7czLMhFYW5UDj/rHeJ6PboXtP+mtPzZlNJGBhG8HFwaGRrr5xe3pR - 5f7m6rqjNqeDgXys6cBWSZaRt48OrVjM6eVl5a9feY0YkcGYZrP5ArmFvMEEQBkkMeN4xANDjIGC - QAGgqd3NhZGlwYfB6ZHapisHTlw+cKjcYFZSylZoPZGXM1deXE+woKlJwiUMKGXQoiY5QuQUA07E - dgnt/fzgQQMt5lA2zWqEMTVFzJJg14gRMADVgIkWdRclQ5vb2mKMUGIoy00/dbC+przIaberilhS - mNf5uLN/YKCrs83jyyytqne77bxBBbaLtG2gCU2jQ6Mzrgynw59eUVVWXVBRGigKr26PTg5azMLM - wlR/X19H51Nk9DjtfgQAoCKgGtNUBAzr2EzBQpgnzVaZbs/2Oqe2dkbXd9eTYHKABQCDBiCCKqbi - 8aWFlfCOEsgozc4s8rkzDQQEBIgxgkDFiCIdJA17m1QUAcOAMDBQGCAGgsEayMwUjjb6c3JKqqvT - /RlGwonxZHlRYWvbo/ttjwb6h/Jyis6dSTgdNt7IAEkM4oxKwNjiwsrGdkxFfGlFVWlhUXZmloBg - e2PSZ1VGhh8PDve2dPcddZYdTDcLAALIWjy0Pjc+1N81MDCM/IVVh04eO7ivJNuHxEhoIWOmt3N+ - MDE2v6Uwg4rjCqT01EKEOH38SRAliAJjQDFgQhEGSuemp562P+hua+Ncgbov6JGUAAAgAElEQVSG - 04cO19eVZWW5hLXV1f6xhbHZNUw4hYKiSjyPeMIcFlND/QFideaWVQYKCtxpJoKVonxfX9uTR7ce - bE9OjGVnLW6u27L8Zg44KlpxyogQUoXlpVgkKiosVlhcUFJeUlRaCEiLLwV7TPxc39D04MiTnjGc - dygzw8QDIEoIBgWBqg9SEcO6rwCpwBSgMmiKmpJ3E0p0dsFVHGg8cbo6Py/X7bEb0FhhRu+Ttjud - PY+f9sYtuSWHjxcLVo9JA1AiG0uzA91PH7SERJJduu/4+Qu15Tm5PhuTYiuLRSW53s8+vz09OXG7 - pS09uyTNlm03aBzIMkUS5XXADgXACCNQgYlWFHc5rMUVNRZfUVlR9oHaMruZIC0aCy1nebSb7ZOd - Q6udg4tFpQvZ2ek2EwaQACcF2MVaiqpsaSmiwLhgNpVUVtbUlWVkOBlNRoLBvraO6b6B4adPHj16 - yvnLmr3lGMAAEkaaAAji2trcumIJGzPctYeP1ZWWlGRlWgVteiyj7xm639kzODKasJSUHn6ZN1jT - OA5AAqpQLYWYgoAxwBRxVG+tEEbAeCYaIMFhGXGgASAKIEdhc2FudPjmrfvhpOwNFB89f6moui43 - 3clpiZW5nL6esTu32xamFtr49urafYLN4DZiYHFpN7g0v9H1+Nn45JbZ6Dp16mh9XUVugS8SW52Z - Hx7tezY9OLi1HMcAgHgNmRAAB2DSq1LGAEADxPSZMyMcUxEwgeOyc3IPHUFFZZX5RaUZgVyrgMWN - ueC418FLjyY3ukcmGxa3cvLiHrvNACqAZEQpM40pycT6Vjw5suj3WQ4dbTpYW5abkWFAeLy4YHN1 - iSD1yZOupaWl1o6eQOXxipI8hyCVZ9uqSgsfPZ2bC4pj08GyikKzWQUgBPEMsJpKLk6OhRfnBYRz - Cssc+eUyv1flEwRYpwYzAIod9vSD+w9X5mQHLNzEdHAXDO6s/LOX3/BaFCuOCzyUlBZYBBCYYmBJ - I4sJAIypEhAZYQZAQCZMEhgQhWwHw7GYbHE78wvLystyKspzE7tb04PDXa1sYW6ps609gSyzq9s2 - s+PMwfrCHK/FqiUSwY5HrU97Nnv6Jw+M7dTWixa7YOIAqALyzvJoW+f97uGBAcFVXH/owEsvv5SR - 5bcQRYttTWS7LSZr57Px7ifDgYJnp84dz7QadQsW0dfmCMKYYcJRhBUAoqoWI1cUcKuHa7x5ZVkl - NUVFxTYjSUa2CwLe9taW/p7usaHeofHxio1wekaa2eUtLSsxsZdGPNxA152n/UFLRkZN09GCqoZc - t9FjpAWZDpSOPfjC6Oiw2LM6H5x151Qd2F96qq7UgWWz3eHNyDB500GTIbE2393Seffp6PhMdnXz - qaMHzjQd9KcZBUjEwytZaeqtBxOjo/POjNmc4sXqmsw0J+VBBSXhFCMmObW9vrUYQ0bzel5ZdXlJ - flVF5dbGdron3ePzGI08MIoRRQgzQIzu7aJyCAlMNWopI6hraxvrKd7qSMsP5JYV5tRVlxsFbje0 - HcgKdD0ZmZ5dbbn/xJWWXpxfbDFQQCnQdsywY0JxrIpDfQOcM9fgyK+tO1yQa3E6FIdFKc3JQBBb - D84P9z953NbGcY7S8rLTF88WlhWluzxUVKaLJnq7H9+9fn382TPObAuU73O70wwWW21F6Vpvx/jM - zOj0rKcunF2YYwRACHFUATWurU1P9fZs7+wiZ6B4/xGHz0d4DVTKUcarPKECIIOKeRWAMA0Su+Ht - 0JOurgePHq9HouWVlYeOHDm0vyYrzSooqaWZvKEB10Dvo77hhbb2VsFXcNGaUZyZZsJGA6a8Rlls - V5BFRJlMOZmYNQwaAMYMgwxMNGhhoKIMSOTMKidQhBCjUjI6OTYwPtIb2tk+eeby8VOXDtSVYkhG - QsGV9djyajwzrwrzJlWTOSwibESI1xhoe/kRGoAENJXYnh3s6ZgaH6PMcObsuebjjUWFOTxBa8Hg - +srK2tZGemamSjBDgEB1aqJNVZCKRWpOgF2B51R1UBCIBGmcJBljql0UjMwMnEXmDBoGAakEJwFk - TVGXFleNoSglpLisoq687OC+KnE3tBqcGhvzdXW3DQ73ytZ0SK/IzvMTACJrTErZiGZBqeTuTkdn - l9GTW15z4EBtaV6O1202bCzO9nd2tD4an50KPvMuFlesVdXlua2cSdOAMswAM4qAIqTpsHsZwAQE - U0nQIhwDFWlxIogYGAAnR2kqOtn76MGNm+PD/VmFpQ0nzjY1HQukOw1I2Vpd6XuW0dnWMT4129Pb - V1rfWF1bm+UQOExXV+ZGh0cHB6fqjxw/cvzI8YunKUvhqCxvxeZHp5HDxhuMCuGUPRWTEAAMKmYK - RYSBQBEwqklxSIYNYoqzp3kEt5WZgen/RRgATAAuE5H3Fftn80jn053RuUnvwk5NPTgBBA2AaQBJ - KRocHulfCSVUe0FB3SmnL4tDqgEljKDEdoNjTx9PTEzsqsL5xpcOnXipqq6Iw8AQUCZ5vbbCnBwx - oVBJcpoxKMmd4OLYyGjP4Gh+WXXDyZOHT55Jc9qtnEBUsFkdGZlZJaVV61vrFKhB4DDSFQqmM+ew - BkRvDDAP2ABAXrRSaC9pXgMA3mLJ9KHqgtzN6c2p9dWFxZW8UDzTb+cYEI0BKEAT0e25vt7HcUlL - zynNKau3OZwAImgiiInoynh/14PtWMxWWLXv1OW6yoJijxGo6qSihzk5oyE7Oye0E/W6nDxINBqZ - HOqeGB8Px9mZ+tNHT5/cV1/GA2CmEqZ43PZAdm5RcQUDlGZQgSXNLM4hSpHRmJbjzy4tyvVYOEA0 - XpLrCM48XVldHxmbqF8JKxSMnMTDDmUpBfMpLk3FDsY4UPU5Ks8YRUgCEA1slwORApGQTQYTBUyA - YqzBnly5B9DAAAwwY4hpGiIMkAZUAabxRPW6nftq9zu8hWUVVTkBr9tpAiUxlevPsuCWhx2TUzOa - e6js2CueDOAxD0zhNNGkxkxaNLS58bD72S41ZgQKD1SXF+f4XQZV3FnqfHD7YX9waGr28dh8ac3a - AXPAxiOeUpDjO3PDT590PewboSZX1ZGqQ4cOFhUWuO2WhZnc8aH+yYHI6owkhUCzgoYFDWPdq8ox - fU14L++MMcAYOMR4oyknK/fcqVO3U53Tkyvdj1uJkS6vB3OLCgL5ed7MDD0qFZ4/AQzAASWIECww - AIlpCSpKmFE93E/jQAVISCvT0ysLswCiJ8Phz/DwABg4oAQQD1gAzCO019mj33gKVQwK2vsi+F/Y - PNlvGccRInpSIYAGZmN6lntfdfnWUCyyurM0Ecys9PE+oCBRYAoomipOrqxOrISIBbICBQWBHAsG - I4ABKUyV52ZnnvQNh+Pawfry4+cullVW+px2EwGgisdlzs9OE0tz44pRQXbCCevBnWfdHcHFKbvd - c+7Ca0eOny8qSOM5AE3mqDXL7ynOydxNqgkVDBYLUlM8lc1U4oGqlEmIZ4gAlTfnZwZ7BzbDYnH9 - kcMnXq49WOF3Chg40DBiBHyOQBoH0fnxidHlpcX+0ekzYXA5wCyAgDmDzeHPzrNw+GR9FYpvz0xN - RndxnFhi2CghMOu3EUPAOMAIQAPCm6w2s9HMM0iGwuLuLlKAEOBehMuDhpAGwPb4rbp5FMFv+AkM - 9rjf7DfS7r/R69/HdbunSPM8D4wyqiGCOZ4nGIVCodnZ2dnZWZORk5LbqytTn3322djEtqylvfH2 - mfPnX8nP96oaUACDsPd8EKgAIgOEGLcnt+jhu893Wf/1HhbDTANGGREogAL6DIbjAe9FZfNgsHDZ - Xmeu35NaCe0mkstrOxOzK3lZWS6rBWkAYgJ2gssjfStLO0CyzYEDvuxSh9NiFlKAosDCBrqLqQKM - F1WTKHFSEtIcYHY6Hca8QKbVZCWJKNtJJUORMCiKFZAFGVmKx2AhnC2h0RjIVkR9PmN1kWdfdcHK - wNy6lFrc2hIVVbeAsb39UgogY0gBGAD2nqOqAUPAIwBM/Nk5b+SXA1hSGqgiCJrkNKqHq7OfDljw - EFDMKCdQgxMZDB6jy+R35Xsso8boVkIenlioqSjcX5mOOAyggry7vjq1srkB2Oos3J9eWMebgYk8 - YZrbAnaSiKtYUeRQTNwOaRaOCAwYD8QIOsqb6ZvIOp+FaUCBYU5iNJ7YnRjqCS/PZHqcl199var5 - st+BXHwMsPcAcirIEXu+VUkBADTEUoRGCUB4N5Zbnf2n//E/15TlZbgxIEncrerrtS0tTT8djk1M - L2+FxJSi2UDTaDK0szQ19vRR252VVS636Pjvff0/NTbkupyANTDSCBwpPn2oxPvh3cGJhb7+QYc/ - s/nCy5mBDDvHACSBJTBNqZKkKlRFBEycrB8tVeVBIUjhicIREYGGkAGBgwLIgFQkpaioyBLIKkIM - MFYAUZAxUtWN5eEngyNjk2GNKzvYwKWlVTc0fPWdC+lmSCNgZXWpAxlj922xX92bWhBiiOxqTKQ6 - DZ4SfcVF52H9Nl5El24ZJYyJCTEqJw4dLr985fzl1xudJvCBiJTVs7VZLk/bk8GZ4fFpf/bwq6F4 - usduMgHwanCmr+dpx9j01MlXf/eNN754pumExwpGAgCpitL0urKqxFb0affovbutRy+/aizMMWGQ - kikBqbzFAhRAAZkhxCOT2VFcXFCcbnRKwaGxuWAy7gqU1Bw9V1HgzHQBv3fGGaYp0GRFEWOxaHyX - ciZUUVr1xa9ePHmq1gmyGyKwvlCbk8P94snHrYtDA8GyyrWq+hzKxQWWhNT6yJOWgae929u7Vy7+ - 7oVXLx09UcwTMICC5IUD+/x5mcUT/cGtYGRocGpheTPLnJdm1RdYQAAAgvWQZcoUDQMPitUAHi2h - RiIT23MZDbVnTjdeeeNEYbErA8tGCK1V+Zze7OC7d5c3Eo+6h/aXV+Z73WBAAKnQ6njr7ZtTY8MW - c8Zrb3zl1EuvFJS7jBhsOBOQ+8jxRhUswV/cuvfgUUVtZV1Drc+MPV5HY3Xx46rS6a7x4dnlu0/6 - 023YXeiaHOkbHBpeWts998rb9Y1n/QG/AYPB4HU6qpOxQGSbctDqsmdWVta9/sbrlFM0alJVMBjA - wAOAxiCJqAGAA6orjSABKInwxsKItDZmJ9qJphMHTr1eUolUYBS2eUi7fJ7jVUAIRElBKMZzookl - jXKYJhRNwzI2SL+5blXQomZxjUttIqbJgl3mHQo2MgBAFKhkkiMQ31lfS8XNxuyq/S9fefuPvnjJ - ylGEGKjSiWONaQ7r3PTE/Oz04NDIoZnlKnOFzaAguovotqbEZVlZXN7MLMn7xv/69bKq4oK0bJvK - QRwOLFVl+B3vf/ze+Nz2nZaHGfl1lSV+hBggBYGGCKIEUyCUcRjAhBESuCqfo7Iov3c0Mrq5O7G2 - 6zZYvUYMBIBKIO9Ew7vbmyFGLWVlldlZRWlOzO8Bc2TAmCAe/caSoe7RtCgAwXuhBzIUF1fkZ7pd - bitvczCjSVIUIzDCoKq4kOOE+cXgxNTC/Nzy5kbEbLDwRg1ARCiJkIwYXZhd9GTlNZ+9+NY7v3P0 - YLndAFoKttfGK3K4n//T3O2hnduPntpqr+zfBwiAl1OJ8NbkcM/C9JAoa41Hzh97509fOeU1A1hg - TUjUL/dV3//AM/vBZ2tJTYFYQolKVKUAPAHKMALKYwAO6XkgmBCGEAXof/ak486tVCR84uybF7/6 - vzQeLUk3gsBiwOqOneUXN6WhkdFAullVZRBwTma649TxL7z5JtjditGaYkzACSOIVSX+UqeLW916 - 0tkX2dqYWV4KpKdl8ALCMs/JZoypzC0tRL2Zxobm2i985VLT8QZeYASrLLhR7067Fo2+3780ODJr - P5o8Ai4bBdD0MEamAOMAEQCEGYAMTAEkYqZSWVISYkKTHQFv9eEj/+kv/iLTIJgBgCZO7S9qC5gG - RscGV9Z2h2ZPL++4XLzLxADE9aXJiScdCyODBSdeO/PVPzp69mi6BQxy1GHQqquLmg+U7W6t3+ic - bGntaDjxclGOy2XCADJTSDwJRivPY9CYXtUA0ISQRo41HsqqPsP7Mj12EBAIwHjYAcjITxexPfvp - 7PWp1WT/xOKp4/v9QABJwMkmEidUVCW6tSWCkPydP3j79MvnD+5PxwAYkgY1+TQn8y5mE/M3up8M - QO6hfQ3lPMcEkAQemxBBMbocC/rLK/YdPPonf/L1Yq/DTgC05LGajP2F/Or68v3J0FLPZPPMTqbX - mu7ngKYQUzAoCKmAGEOYAtbnuxoAAOMgJTCVQzLhsArAZAVSocjs4ERfT2/fcG3zhbOX3nzty18x - ODgrAiskq/Kc+Rm5TCJ3bj/uedo7OTXlzHS5M2yg7UY2JqbHF1aD64h4Kqor//CP/0NtlUdFgA2p - uNy8tbjwnb/8z12rnQiAAq+i/5e39wqyLLuuxNY+51z3/Ev70vuszKzK8tXeG7QBGgCHaMJqSMRw - hjEKRShiQhOhUSg0GulDHwwqRDEmRjMi+KMRySE1wAC0QBNgN9ANtK+u6i6fmZVZaV665901x+jj - vqyqBsk/gi+qIiujXrz77rnH7L322ms5BrAAoUIgAjGAgSwFFnVb21gi4c7OTA9NzETcS2R7YdmG - IUVILA7ieE9/iqrfffe7H+6uHraKldb8sHRYCOYDzYRpBq3m3k4pSE49+eyFf/Xf/ZPeNKWFYFJ9 - 5pEHW9U9v1X6t1Ft+/Xrl2+sX9uslaqNbE9rtuAen52yncz6Tnj52p1nnm1nc5yRTZwppduN2q3L - H9U3N3LJ1NjsQnps3u+mahDxISI1lIFCMju0+GAvOvvZqPSfvvNXOzLRPzL99EsPTwwgxRC12zaz - LYKlQ8e0PdOwDAAVwQoRR7ghmcBjzIW9tb6fHuobm1v89d/452dPTyXcwGbh2gfHCsJ8+4+/9/ba - 6rXtPzr7whc+87nnvvkrr/QkNLcqwEFvn19vX7l1e+tOUa1vNadmMw4A1UGrePO9v3jztZ9omX30 - iRe//qtfm10oeC5SgGWa56f7ji2c2tz9nZXbu9/78x8unjvdP9IXExqYVMYoRpw42Y4VGPgKPAyX - l+YuTOcUc0xuTKX7OWADXDbPLk7lXY3m3g9/9uHG5vbV9d1EIT+ctgdTs8em+ifz3G7tr9zczg+P - nHv80eVHHpnJIQckTAdBYnIkOZHN3Kzd+Iu3q/nRpVPnL/zy5x/M8nbEvDbIAKq1q3dv3/jJn176 - 6fVUavG5z3/jm19+brQHSWhuymBDM4NRKj//b/73P734ycHoW5d6Rj0nZ6VJQzb6ZDNr1Mr2bjlj - j09PfPXX/unTTzyYzzg6BAdSNrgBVEhGEQlDUApaagKExTwyCROIqHOw7xv0nnrsM1988amnHz7T - n/VcbiK/ceHCA27yv9z8ve+8/d7V2anZZx57IjmchPAhD9NWzWNt1cH1K9cmz0y8+NVvPv9LT52Y - gQUIVDw0SDVWrr3z0Xtv7W9vP/f8o5/75c8/88pzdtpxDHcMOz5zbHF8rHj9+s3LF3/6458899Vf - ZXqiT4j5ybGVvgyF/sc3bua3Dx4EKA5pVICwHmxevfTmjxqNduL43NyZR1I9vaAQYBQpIUXCSltO - ytiOBBxo1MtX3nv7ow8/2NjZnVha/swXf/lzX/zicG8iT3BV86HlyQdPjb4/nykd/l/XV64dfvfP - J049Pdyf70kRJOdRpOtVEbQhdTsin0F2jQcNeAjVdFQZpm0s4VsJyW1DBGYiv7ly4+PywdZAX8/X - vv6rJ8481JuDjpo269diMCIWApZB1FECLcuxGLelQiTBSdo8BPOhaq3S2s2P323WalNzT/zaN//r - 0dG+VIZIYWl+ngjg6BA6MAYRjMypIB2FwoiQ0k2d9A00QMRA0qBtIHnou02VbHNHe9zOSEdoKFAg - hA8TBr6/dac4cWz+qeeff+lzLz94fKkv5cJEpr6+ujInOxuf/MWVv/7T708//tVnHj/tCVA7NH6n - JyF6LKn8YGX19iuPf/E3fv3VseF0LqXTiFjr1OKA53cSP3pnb2W1/t4Hq2PzY1kiqaQwMXRrCBpQ - cbEtAhRxjtA1dQFII5uwgrh5sVUOD7cvvfnaT37wvUR68NnnX/6Vf/ovevuRY3AR4fjM0tT4TGHw - t377362ubfzwzXd7J+cGsjbTweb6yvbWNijx8GMvPv3C48OzhYSFXti8jaeeegk2q5PxOaIjuIgB - gAL5DNxAGXBo4zeNbCZhUl6ux+pNUKxY3hXmTAAOY5X50fziiEk64ZWVm+5K8fMKaQAaUB2wVrN6 - 5/2Lb+81Qj5wbur0U6l8P1QnwX2Yti6vv/v6n+/s7OaGZ88/8/mxhTElYAy0MjAdzxKJdDKb4JAA - mghKq1cvX792tVL3v/b0S48//6zX1xdHayTBAAi4LvXm+xQiwclAGSgNbWC4NkwSNGDBCCbh8rjJ - 3UgQGRhtjIHiBDCrJ5t+8MTi3qXbV65fX11dH92tnFkadg0QaVCIoFLfX71+7aK25vPjy71jQ14a - QBmqispuef3ytcvvJGceHX3yxf7FJS8HxLrvgtvCBtDX29vXOwgdorPfLt/54M3Xtu4cjk4ff/Dp - Ly6eHjJxc63UOvIdmycSKTeZ0hJC12GqKWpZZHzJ6zLha4cLwBhmQidFy8cKxxenL33v/b1KGCoN - tG0qEQskE22WD5E+gqYBQLNYatgXps5NYIgHlA7JM8QYQgOpIUzXXrQbIhMDGZKR5EaSJUESOhzI - J7/+lS/ZudlMYVq4cBksMkBraSR3ajRbKm795fXKmxdvfKGBEwTXAYWGhW0rqHpRvXxY3Xrvo+d+ - 9b/58isvvvLcaVcjqyqg6nRetfjFGz+69clm+cMrawvjAz1ZBjII6ltX3n377bf2O+r4o898/mv/ - 6KWnHhpIOyJqOU+eW7+2/NZfj/zH3/vdleqegqOZo1gXqAdpMqQNZBzwGzCmOQxxPjk2+bVf+fLe - ZnlnfatZ3ftPf/D73/v+Xz3yzDMvf+Fzjzz5WL6nx7WYReAxW9OAAEGMdbUUTFuHAUUaNmNAwNEM - sF/68K2fXr10GUJOzAxNTI3FJHtoDuYw4TDuxBXhu/AsAXTExruvnHCU6NM9LMUgZpERAYwsMNM7 - mHvoofNXi1ealc7V9y4t9p1EPsMcaaADtFut2q3t3Y3DTnpgamh4qtADF0gAnghls3bj5o0PL1/P - FyYXT104dvJcJm/zeM1qBUjYyu3xXNMXGVcRtja3Xv/h90l3lk+efPnzX0r0WEYgNJBh4BppuZaT - 9gbSTkcLIzjVtUc6hUhABZEMmMW4hbBVXLn+8UefpHtGJpcfnz/1sJsB0DZGsZg3YaSd5qdn+595 - 9Ozv/cl7N9eL67sl2D1kE4AzDz185tRZu3nAhS8/+kmWSyKnxb22hegodWVAzKkFBLiwXNexbKHg - V2thvQEfzAUjcAZ054K6q6V6ZPx9RJb7mxoJv0DSLf42VZdfwEsrJaW8J/WrFLT2O/6ljy5959vf - /p3f+Z3f/M3f/D9++//8f/7j/3vz5nYul33qyUdPnzoxXBiOZfmIdScgAxg4g4hFKnCXdBt/cFfc - 8+9VaILu/bwrrgQceWEmkrOzc6dPnXQdG1rKTnPl+pWD3W0BcMZ0298sHhQPK/VWB0IcOzY3NzeT - TqVY7OMEBdKcc9ieZScs27WdWGya4HmMCWMMoIVFnKHVbMgwZIwJYQnYXNiGKF6WwrKJURTFLjRM - 3PUk+vRN0P0TyUAwWAQYAy4gPECEIXSEhA1wYyK/VC75oSQb4JaXyOTSWW5gpEw41vmzp2emxlXQ - unnz6u2N200fMBxSB5XqRxcv3rxxHYTjiwvHjs1rgAuAWW1fdkJ9Z6v23f/ynX/9P/6rX/vGV77x - 5S9/87/6x//6f/qf3/3g4/26HwMiUikZht3ONGJKK2LMcVzLsqSMarVao9GIpLRtUkoiCgETSUQK - 0kADGgijiMAF2TB47PFHXvmll+cWppNpphVgmJvMTI5NP/HoE+NjY52gub65WqocGCjBeaVUXbmx - Xiu3JiemXnjxhcnpiWQqxpBjCzA3PTS9fPz44xeW864sHe5d29gptnQIBi0zJrSZUQxtziURB+K9 - 1hgT0/OIDIM2xviBChSLdSFsGNcWqVTSTSSZsJUhAybAAV093P348sVqvT48Of3KL33piSefWlxY - zKfh8HjFkjc0fPbs+c9+9nMTk1MQwvNcLrg2iMJQKUXotvX8jaXQhdpy+Z6lE8uf/8IXn3jkkawH - rhHKEOBez+Ds7NxTD53vtbFfLF6+sVZswYAh9O9cuXjjk8vExcTCiaVTZ5JJcEBGCFttaOTz+XPn - z45NDAVRsL5+5+CgRUAqnbZcGyoCAAHHYbFRJsCQcDyPM6aCwA/DSAhLa0gFAFLJMAqgIsZZwkvk - c7mxsbFzF85/7etfW14+SUCESEcRBJ+cnhgcHIDBbrFYrzccx7W5DQL89vXrV7e3dtKp3Injp+Zm - 5wQ/6mQwHLAG+gefevpxx6Vicbu4u99py6PxkQYKsW+O1izWN4VBGJIxnucNDQ+fvXDhmeeeGxnp - 91i3vDpQGDx79tzI8LCRenNj0293AEArRLJcLl29cuWgVMrke555/rnCcA8xMAHDBbQdNIPJyfEL - p+Yt1SrtFXeL+4a5sFPW4Ng/+vLXHjx7GtW9n/3Fd9/78Rs3b6x++3t/efHS9Wyu/8EHHllcOs4Y - jAHnJpFwXMcSQsAwIoszWwhh2a7jwPMQq54pBPruaXU0J6Q0URgSM8w2TEApGUVKSXCQBYdDKAMJ - GAbHs4lR0O6QIYtsQTBax7u5PEJ8wDmEDcY4I26iMOj4QdiRiMsySYv6ssmennxhduHrv/ZPHnjo - YQboKDBRAGPyudzpE0svPP1YX8arVqsrm8VyW0lwcMbJcEvYicy5h+PSZ/EAACAASURBVB57/Mmn - j83N9OVSNmdggIOx4aEXn3lsfrQ/aDc/uXZz67DuKyhjQbsA58IQ9w060EHXBdlLDswsnD33UG8i - VV1Ze/uHP9rf32/FI6L8yu7Gxffe3t4u2sne8w893ts/cE/vX3fFbbvnTKy7znicMQAggmAQHNlc - pjA87KazxEUklRAWIwatkpn06MjI7Mx0KpVkjGliihhiSgKMNvAjle8vnD134dVXv3Rsfs61AYDb - GOzvf+D82fGRArQ+PCg1W373UDJ+s9n4+PL17e1aOpV/4KHzcwv9rBuOpGAnx47NPvTImSeeemRg - cNCx08lklsCUAgBGphsGEocRJlJQEVedqFW9eWvlyupWsqewuLBw6th0yoIGlOHKCNvxhgdz504e - n5oYs2wLxJ10ZqAwbFkOQIxgMWLgCkZwa2Bw4Mzp0+lUwg+CZrutFAgMMKbdrDfqYSSzuZ4TJ09/ - +atfOXHyhOu6glkcIpFOnVxemhgbFpyVy5Vmo2M0ohBQEke9SRTHAoZ11adIgYxlC9f1ksnkq196 - 9dVXX02lbCEABXQCSnrz81MvvfSZicmpdju6cXPtsFRSCJXy11ZXL310OQz01NTU+Qvn0mkwBmIx - aMgcxzu2sDhQGKjWDje3bx8c7KtIQwvB7UTCis0owkBBSxjAcPCE5yUz2ZTr3bWLJBgGqfM9A1OT - M735XovxIJAaVggbysAoLjs6CsHFyPSxJ599/vHHHzs2Ndg1zwQYZ1NTY7PTo2nb3t8vbm3tRLLr - 2wZGvu+HQZgWmRdfePFLX3o1l88aExeZYfXkjx2bf/yxxyYnJ7Uf3Lp1a3e/rA1kpx2E4acOBNyN - rABAE1cETcxAM4LNDWwqbqytra0psqcWTy+fOZ9MCpcgAA3let7Y+NhTTzwxPTnRbneu3VjZLB6E - WoGhtLd1+aOLlWptZuHEq7/y1cJAHzMgowBw28339H7h81947pkH0T2qGO6eVveCrk93pnGeSafz - uVwum3Fd2+YQ8ThHEZQaHR3p6elFJGv1ZhBGrmuBM8gQ7aaOfALISz37/MvPPf9CPpe1LWG0hjFe - Nts3Ojw6Mzk5OZrLZmrVWr3RavsSipzBkWPH5ubHB3Vt69rHF7f2K2WZIJ4QkE5w0KruX756Y6uu - BsZm52ZnxwecWF5XdkvI1I0VWWys3v1VShn7mykVt5/B81zLFoyOGuyOAtqfi2S11CpSyUTq3Lnz - X/3G1yenp10vIZgN8MLAwKMPP9Tfm89ls7PHl194+ZXHn3wqkfLQZSPSzNT0sYUFCFGp1/dLJT9A - ECFqd6J6Y39vt1JuDBUKs/Pz09MF2zq6qJQsmZyYmBgfH+eM3769XiqXW5EMlDIACc5iNX+llZKM - 4HAkXCeVSlmZnJvNe65jAQRoaA3GvcTQ0NDE2EgsGkjCvtsgB4I2OopCKSEET6dTtt3twTJSwhjY - QmsVRT5C33GsZNITAnedWQzQbre3tzf3d3cYMw8//MjC4lI2C6VgtI6dZ/sKIydOLA8PD/uBv76x - ARCHA23AuMUZY2QJ+/jJ0//sN/750tLxZMrhgG0jlsPTykBpxnjsysY5OGcsbqvWyigFYwYLhbPn - zn/2c6+cPn0mnU6HYdgJfGI0MTZ8dnn2weVxx9QOD3c29varkoElwL1QW76xIoGppeUnn3niC597 - anIIHFBGccCDINibV1evXLoWGHts6fTi2YdsL81hw3C/HXBQodD/1LOPjE31l2t7V69f2d9re15i - bHx8bGIsl+vZvL2zcXOrVjuSBmcGUbC9t3fx8mUQmxifWFpayGRTMdveKMmkik1wFEEZQIWtyv7H - H72/s11MZfpfePmL5y880Neb4AQDBeLwkhOTUw8/8ODJEyeSyfTW7kHxsFpvaQNAaiMDZiLPYo5j - gXPN4n5paGhoBSOFjkhrGAokgkhpDUgpo1BGkYqiMAgqlUqjKZWCJSxmWQRICaUAguu6lm0DkMoY - A25BCAFiUBpMWLZj27ZWUafVaNQrUismwAUonouhis8MCYLRHBFMSNBgTIPi5k7ByMBEiBhiMxJL - axWGYRCGR7a1WitJRK7r9Q8UTpxYfumll2Zn5zzPjTo+pKRkcnZu7tSpkwvH5pBK7ewUr93YDxXs - pOd5bthqGz/ozac++4XPP/rc02OTk7l0Jslsxjg8d3J+9vGnnkgmkrtbxcsfXSqXyhrgtgNmSbIM - MQZtmcDqhtEASJIVMC8iaICZ0AJcGDJBvVquNjvKzS2ef2x+abk/D4fi6MUCrGw6MT2cH8rbfrt5 - 9ebaXjXwJVSkyEijo0h26o1S0GllrYQLQeCw7BjAMEeXvq/jNeaddXcvrVWz0eh0WtpoSziCu93Y - WkPFK9oQGB8YHZ2enhoayNcPy8W1O5U9BEHMwZGI/L39vfc+eFfqcHx6dH5xprc/Y3Mb4GgE5Z3D - 1WtrjOypucX+kVHbg5TgBFuQbdkMPFIqCJXWEUwE1dkvru/v7YWSBoemh4YnHIIAjEYk7501yYRI - JlwCYoyMweKwWQw6dPFaAJaB0Mrcp8LOGVndqoQlFpdmJqb7lGpev/rJ6vXVMD4JGINBab+8dmd3 - c7s0MjJ64sRCLgfbjj+Atxv13d3iwd5ef1/fyRPL/f1wnHgcJbQBmAFpA921voKMwtXVW7VatTA0 - 1Nvb5zjwoziPF7Zta6mUjD5F2DHaGG0MbNtxXIe6yaFBJOu1er1WT6VSjmMpGakwMEZzHndmMhMn - kZ+Cn2KDJnP00XTUk3+3b5zuP8BjoVhhWyQEWIzcMKSSMzMzhaGC44HHYgwgKINkYnRkeHx8NJlM - 1OrNVtsPFYDYDxNaK0APFfJPPPeZ55974dTp0xwQDOAEJWemZ44fP845Pzg4KBaLRALMgpSoVjZW - rt+6ecP2knMnzpx/8OFEwmHKh5bE+fjk9JNPP/PoY4/OTKe75tIwMYwA4iBGgCBYDDaHIA0oFYRg - Ynh86pu//s/+5X//P5x/4MHC4KDfar771k9++7d+81/+i//2W//3v//wwyuNDvwIMq4ARKHf6XTa - HQBcWMRFJ4xqPg4P/fXba2+9/sa//w+/++ff/+FBqTR7avmhB84tHJtjACMOwSFYFAWB3zEGf9vr - LrHzU+jO3w0YMjC7p2/o9OkzvdlE7WD9rZ+8tr1X9A0Htzk0a5f3b69tbB6UZXLm9OOjk3OZJISG - AChqNKp7m5sbxf3S1NzS1PRcJmOLOAeMYTcwGBuwQMoCTEcd7u9dW9thyaGBkcl8j+UIMMAmJF3X - ddwugQjggt81ZjdGa62VVsYYrVXUaN65c+f27bVsNjs0PNzbB8GgYZRSRmlIgygEY339fUtLS47r - tVqdUqmqlCYgggbBdiyeTgBKycC2BGOs65t+/2Ad+c2D4HluwvNszpu1eqvR1BFIgx3Blp8m034a - Gfxb//wiX/9AWrd05Gd+L6IlwxglkomBwcHp6WkGpWTT7/QmEkWlUn67/vGl94lzXz1cGM0lk1AK - lhUnbcRgAfze6NwX4Rr61A7y9/C9u3xouocSGx2HHWAcjI9PTC4tHf/LN94DQh00V65eKp45pi/M - a633Dssf37y9X+tEBvCc+bmpqckJz2UwDOZIopcx4pYBM0TCQaTRqpVK21eKxcMgkDDasZjrWJwR - qGsVq2GU0kpqDRNC7u7tr9/Z3NrZ0ZFKu14mnRZHLkl/+0SKx0qhy23hwoAbcHRJuEDol8uHFz+6 - vFkskePl+6dGRiYGerIuBxkNxz179szPbjbe+uSDrTsrd+6sl2ttu0fwUB2Wayura/vFItyhxdmJ - 6fFRFXVTLl8S2YlItldXVtTqHqQWSntM9N+YaTM888orj5471ZsUpJSMImHHZ1fsicETXmJycurm - YCFYOXjzzTfbbsGcn5vooXxSI/YnMFASpqs8zRgEJ0dwLCzOnzyzkMyC8xh/YeBeNtk3PjqVya5v - NwI/qkeyo5GSSh3slTdWd8K2mR0aPX/hdG8fBIdWEKQ0LIYkku742PijZxb2dtY77frK9v7AotDg - kCqtA5u0tFjL5pIxruHymHrDQVJBxwr+6FKchAEIyoI0nGzbAhdhoKWO2ygYorByULx541qr0ykM - DF146OFKu5HIZZxYcxMwfkC2S0MjJ0/bfe9UcChjn0s6so8/kmO6R0a/fz0YIi+Z6hsdO7a4ODGG - JI5o8cKj3ODg0PCJqbE7N9da9erqzv7AEuYMc9rN8sbK4fZWIjVIXqYTqb3ddlsrQlNFVdeRjbov - bE5Cd/x2sbhXLTfNUNIWAmBQR5ksBwxpYkorrrUhCSOVDLVUrEuy6u6QXcUgAxgwYulMZmR89PiJ - ycF+cEDEd+nY2YGBnr6ehOeEvh+FQXfha93pdDY3N8vliuv025YThv7egaXRcmTZiiopJjttv68/ - RyxoNKqlg0rQuSvwHnZZU4bB3JWJhomk1sa27aHhwtyx2YWFfCoZp5caWvFkanjEzWay3JSatZqJ - 17UxCILyYXlldS1SwkmluC1avu8fOowCm9qkWkGrRgz9GW7pRu1wd2/3YGl2Hpwj2Te/fPahM6sf - Xrm+d/vaT/9KdXbWfvyzi8oZeOSRJ0+cODk4kCAA0EQKBKUjrRXnltEsCnXgw+LEOO4z5JUEBQYo - FgfvxCCIyHEyPdlELhHqxrvvv9vxlqQ+NjaVTuc0IxhC3ARlCWLgmjg0J20zguA8rnqKuwcfExAu - s1xGhuuAqUAbEwEgC8ZwE9lMe66bGhg6efaB8THPYoZrFWNkzLKGB/uW56fef/fter22UTyshSRh - 2YwRjDYkSUzMLx0/cWJooNcTisc3wMBT7tjkyFhvymJm96C0XW5XW0hnwI0Hw4hpw1pAh0yLaWlI - kOXaozOLJ6zRH968Vdy7+vbPDh+eaYy7HmCZoFxcf/+dt8rVWs/kqeWzD2R68kd7qOmqItxbUNQ9 - gDjBaBOjDhzEwZkgOGDQWkdKMqahpYhCv90O/I7gjDFoQGpz1HhDRhutYUj09Bdm5uZOnTyZTIu4 - fMAM4DrZwcGebM6xbS11FMgYg1em02g2r1+/XS7L/Mjo7OzEwOBRZKHTgEIqMTzRu7Q8n7pRbMGz - RZJRt4LBoHn8Xs0AZpgho1jYaJYruwelw445Njk1Njoy2iNIxi0bniEDIGnDK+QYiwQPAQlhI2kh - VESkgchoqUKmwlazWq83iVOXk6P03RBJGa1hmBCZXG5sfPzkyZO5XksbLeIDVgivMJjvzVqWCDq+ - DCQMVNxEeTT+AEwsN6kJzADakOZCuJ6Xtdnx40sLC/M27spMERy7b6D3+Iml3uudjd1o4/aden3Q - wPWD1vb2zvr6tuMkEl7S6GhvjzVNM6kbTd22tZKthu0kbMcKgsb+wValMmfMMBSBc8uC7HIxYiQf - 8A2MDWGnbbdtQRqoEBpa60g2W/VmGIbK5iJQKgojqXkE14aA0Y4JORlNPFsYO3n2/PTUWH8m7lKQ - ElqTGSwMDA8PJBP2YbNeLpc6HURuqCwNgDFmO07eTSwuLJw4Pm07oAhaGUaA7aTzPXPz8/0f+9gL - NtbXDg9GQT3EWGxvERuMxLP7KD8FQJJExChUpt1uZzVsBjDcub26sroGOyHSfUY4e7tlYcExbaGb - lul0GswS3BYsiqLN7eJhrRVvPaXd7etXrzTbnd7BoeMnT6bTXUDOwBDsZCq7tHR8feYi4Z0j9DY+ - iO4LWExsxAEGkNEgkGN5sDlzFNDW6EQwFOp6gyq1MFJGA8oEHV9GknN029lIMwYuuLDdpROnlpaO - 2wICxAzBEGwL5IEwPDQwONCHzT0ltdRMMlek+0aGxIXjM/XDK3ubqzfXtxPTUz2uC91E5U5p587q - 5m6V55ePLY+ODBUScI/CKx0vsvguGIuzd8Qd98Z0Pb6oe8OCMQIojk+I7rmYG0Pm7kCQ0Qba5HO5 - +WPHLjxwIZFzQJrAIbVjuyNDQ5l0KpuN+kZG544tjk8OMN4tOIGor3ewMNgkziu1ykHpQBkoZVrt - TmNv/7BU8QMUslnLEs2mrjUDw1TKNJOy5kXVaiWKDVcrlXK1XO602sl0knC0ukz3ucXy+hYjshyw - FLgNxoxSfiiZkUaGsl0LglBw1o1MiKS+azhopJRBGGgDzrlt24LDxMTCmLXBmTZK6RA64FxzRtrA - SCW5jo1JOx1/c2uzVC0DYqC/nxOvVtAM601TdanhUUPIDsCExWu1w9u3/U7HB9IwHIxLo4MgTGd6 - lhaWnn36mcKAK+6qS93LAAhExhijiRgYv4/XZLSUMpVNjY6NLi4uDg0lbAatGSPNGU8m+EQhszSR - vX2t1qgfbJcrE0rkeJKxTEe5be2Ewp49efb8g2cW50A89kKRFgGhRkeW13f3imU706ez/T7ziqW2 - YNKKItNqeUCnUcn0uFZKNTerGxu3x0sVaziRzOdHpybnZo+9td48uL2/u37YP9eHhAFpWa2u7+1u - 7JTzJ0/NTEwMDcAmYSCJBIPhWkMqrYw0MAzQstMord28VilXUr3z584/Mjk56RIYJMWVI01IpIdH - RuZmZnvW9fZBuFeq1OpNM5iBUYy0axEnxZmxHDuuE3aJYFrDaIuMIAbDtOEmVr0juK47Njra09Nz - c73yw796rRW5D56dHR1KptwogjRkd+k6jI54UhQvLwKHZvFD8RKZqamZTPrO/v7mn/zJdx6rP7pw - YqHQm7ctDmhmDAANSBwhRiowkCBDjOjI74fATHwpzpjgGkYbbYzG3auCEYgx1tvbOzk1eeLEiXTW - sQgkGUhDWMjmRkfHR0d2P7qzUTk8LBZ3wrkBuGCCG6Up1J7rnTp3ZnJxPuXChhAqnnOUKwwsLCcy - mTfv7NQ31taqlUqkhohbYEKSpcGY0ZYOLRPnwDCgiJwOSwUMIO4gcgAHIfzG2sqtncNax8plRuaE - k2iUfKMlTMhIJrlk9apQjbQIOs329Vt3DmtRoJBh1vDgwPBQv+vS1asX+94Qnu2PjQ7w3GDSSYFi - zQOyfg667dbXuhVArVWr3fCDplbxhnwEMpDR0BwMWkMbpDKF4fFjswurl0u1nd3NW3cml8aQI5DW - jVpxd3d9Yys1OzUzNzowhKQHBgvGgo9WJTgoVu3CyNDYRDJLlgBTIA3GNIu1rIgZRiCCkZCtamm3 - 3WknM72ZfCGViontgIG+75xhQjPwo0xFMAKRBsFwEKDZ3RInunmBYUfZlugyH0kPjfWNTvfke739 - 4tbWykbjEPlsnLOxtc3irdu7zTbGx8cX5qcSzlGhknij2SpXK74vM8nU8EB/WtwFZdRR1oRYrSWe - 4UEYlcsVop6x0ZFM2rIsMBnnjYw415HRSjNx1G+pVCRVl80DxCyB2JKuXa2srm5sb+2MjgwP9PdY - Fo+pmTgiaf5ttJ9PwfW498tdDPfTwEv3kppiUeBY05Jzlk04xm0bhCGkAlctW7e532w060pLGBil - oczdAMUcmcSl0pm52bnpqZmBvqMvxwhgff2FoaGaxXkUtDudDnEBxqB0p1ErHxSrlXJuZKIwNlkY - sF3AVpIYYAxPZgrDozMzsyuXP7yyV9RKaq1Nl1dpgR0V4LtRtSJoYwxxmyVTJ85cyObGrUTPwsra - yvr69bWVO+u3Vm9f29/fLZfq1bp/7vRZO0ug2KOM2ZZgwO7B4fsfflhVqVFPuM0qVff3Vj5evfjT - eq0yMnNm6TMvnju9PDyYQcz60hpGMWhG9wqdPz+4PwfwmE89kr8BNHKQLdJ9U1Pu0GDuk1srV68c - bu8/U46WCrAZGijvbV27tr/fiBIjs2efHBid8DiYgdAdCqrNyn61XG4HqjA62TdQcPndbB2GcYr7 - uU0sYlandqNeLe8cdgZnJ7IDo5bAvaVCgpiBDADSjLp1kO4ea2DAGUNM4Wq1arV6u92eyObyuYzb - lSIwjFj3uWgDzkQyPTA4lPCSFT/c3d0NFoYY4gRZMWZgM/g6MkpqpQ3nBvznoNv4s4wGM8K1E55r - C6vR7AQtPxa2PQrI4r3//gcRbzJ/B9z4C0Zv/6GgW875EamCiMXN3I7nXTh/fuHYwqtfetV1ReDX - Dw82f/zG6z/64TtvvPHm66//9MTZR7/yj/3nX35lclx0OmE6zYjH9hUCYCbO5e7itoQucBUXT/9+ - vnc34aS7kbiB0ZrUEWuaWGF4eH5+3nUsImPC9uq1j7fXzwftyGLRne3iOxc/KTU1CdtLebPTE2Mj - w7aIlXJ1NzZnZIgFofLDQGrUO+31G9f/+i++/ckn19stwKaka6VTCTed8oVQSkUqChD6ftBstlpB - pxI0bn5w8e133rt6dQ/pZC6bKxQKsZ3r37yZez81EPowAYQHWCGIAMuODdh8tGobG+uv/eivr28p - lh9aPvvwwuJyoRcpBkiC65w9fWr6nXWoNxt7m1s7m3uHpXSqn/vRQamyu7vfaTUTheTcxOhIwY5C - KBuahOZOtneor7/YKgVK2K4x5Ac67Kyt3Fr7d/+hZSdHBgfTM6OW1ubu2Jruk/SSiXPnL2xf+fD7 - 71z7g9//g/dXS0HlxZcfP54bSxgE5IAzhCEgwAhCOAwWg2NbGCj09w1lAxUluUXxlzeCw3GspBCc - WdpNCuGQhmy3O/t7pe07B0aKwf7BmZkpNwGloMPAdRnBUdLiUPl8z4Xl2Q/etm6o4PZuaVFxAJAy - GXUEKW3xjm1JxriBG6OwlmVMJLVSSsEYxjizHMMdA0ArzkJmFAih1u0gDCPd7U3x2+XD3Tvra37o - pHI941NWvt1z7zxXaDRbGR7CcgaHhhOJJHQ1Zn8wQFgirhHTzx+83dUXkw6E46SyWS/tuRw2wJkG - 2QqMu3Y6kxvOp3q43A06xUqjrBAoOPWav7cdNFV2uLdYbX5w+ZN+6H4G21ZAm/OoXmuurq8elvfa - fqtUKjfqnThB74YBUsUhiDHQhoIgSkTtIGwpEwI6VpeyBCwOpcA4J2IwUinld/xO22cZ5iUTjgen - K0drk7DhOEixTC6bz2WVI+IMUAolo6jdbh+WK61WR7jW1mYxdeUTnpRS11zVSlHgGVk6aFQq+2FU - j4BarR4GcUAfUVc8CDGkqLTSXMNAa6O0YYL3DA4ODg/mM9AKCkZCBmHkELmJhC2EMIBSgnNYgELU - 9svlyuZWw8oPgfNLn1zO9eSEJfygxbkhpnjUKu3uBPWipVqt2uHBXilUXMHhXFPafuDMqYOdO3/4 - R3/01g9+8Mb3fxDC+swXnvzyV74xPTXgefFCVoAEok6nFQahZTlSot0Oa9V2Sjiux+/aBhAUg4lR - fShoA+LwOEQuNzw23DfcF+q9/+8///HFNdo/fOT5Fx6YnM5lUq7nuBowElwoAWF7CRhuJGcQtmML - C/FDtbpTiynuMNsjGK4CC4pxoQWM4aS1CVrKbzLmOclMMuu5HuwYNyQOw0zQ9mw+1Jt2SJYbzZ3D - alNxDQ5DRutQ6ijU+YHhoeHRjOUx1EhFsfIDoODQYNrOJr39Q7lb8/erKCRhE4fhIGlY25g2dJtp - XyPFmIWBsen59OzQaPGjrfVLl8ulw5oZzpGxdFgubnzwzk87fr5vePLY8mwqC+AuYNgtwrOuWQ1R - nDdxQMNvdwQ8iwtmQfmRDH0ueDuSDT+IIulAChlurq/funXzYH8vDHyldSiVjENhxo3WBsRtL99f - GCgM5fNCKUQBiINrcCMBeI6dTqQiN6GV6XSgPS11u9Fqrt3eabfEaP9Q/0DW45Bd6BaQAqFvJfTw - 6ICwbK0EGZtzwWLAGXHfJYsUNLjjetAN065U97danQCJ3oGJub6+3hRHs9MyXgIOaRBpsFAlHU5C - KGiplNAAGBiU0o1GsxZ0SDZJtfZur2x/fH1tdaUTdIQlBLcIIp6JwhKO53rJRDqbzeZzqUwa6Ph+ - kPBsY5StFBzLTTiOawfGwBx5VUGjq991FCh1NxcDo7RWnDPP9UTaTaYStnUUXjHAccFatmf19fd4 - roeO3N3eazdbgGy16weHh5Vqp2dwvNlqXrr0gc+ox1J5K4pqJRva0mp/v+QHHSCo1vYbzQZgQ0oN - AotbQ+HYHEYhNGjJmFTiuryhUG/5Yb3pMuKyWj/c3d1av3WrHLR9wwIZykgxCYA7UPBIubaQGm6u - f2JmJpWwbYKCCUwYkZbG2PlMX182mXR0I2q3m61m03dDKSJo7nluPp83XiqXy6U8GIBzsLh4rTXA - env7Mqk0VGtne6taKTOAu57tOPcgwaMQp2uuBSiIiGw/UrVatSAVMQMjN9dW1tbWuTt22JI3V9c3 - N1ddSzosEvAh20ED5b1WtXSotSlV6q1QczgwprK/u7pyM5IFO5lOpmFbcbQdaQXiluMk0ul0MuEd - lenuhnJHDGDTzQWPomvd1Q8gY7SKDMrleqnWythGVLbDnY3bG9uVSg1gKlKqm4UTBIdjuY4lLIsJ - MzA41NsbnzKgmAwrNYwC6b7e/NBwIXGtIYRlmKU5g0jlcvqJCye2r936ycb2xzfWkicfOt4HRG21 - v7Kzvr5brrOB0zMnzg/09uTR7fvp0nbivYOj2zJm4uiUg0gIYVsiHg2tANHNeo+A9KOGGWPuO8LJ - aEOgvt6+4ZHhgSGnGSGMlG0x6UsVSs5ZIuGm0ulMNpdMZ2w7lkuK/xrPSSZTaTBU6pXD0mGcl7c7 - nTubW5VqAwQhrP29/Q8vfqARaSbTptUjAtbYWyu2qtWqUjIKw1q11mm3RS5D8ewyOmbacWJAXHVW - 4DzWtZdRp+G3K7Um4ywIwr07t+6s3a6UDrUEYJRBpOIM2cCYSEZB6IOBWHcINBCGhgSH4JBGGaWN - BJRRgZRBFBk/CCNh8YRDgO/7m1tbzXZkwDudzp2NDU9XXFXl4YGQlYToOGje2raajUbtMLxtsXqt - Lk1fTLwIwrDRavUN98/MzM5MulpDqS7mYwiOFe/6HAZaa0VcondMBAAAIABJREFUMDDW5RrF8UwY - hpyzVDKVTifsuBvE8TgiQoCgnXX1RD/PiEYYVPdqjZriAbjD0772WtoJeHJu+ezi8fkEP4JMGDcS - aIaoNNp7tbCtM6Ojxba8eON2yjEek66WVuiLwG+1t3b21uvhQahb+wfFRr1FAGxndHLy3PkHLm6/ - U9mprl1dnRlMmIQNmDu7xbWdYrWDxbGxualJjwBoCWlxYTESBioMoyje3QCosF3d2brdanVyE70T - U8dyGaER2ogAbpSR7dCyJIQ9Pjo2MNhAubVfqlVqdSADKFtQ0rOgQ62V43rgsX5bjEcoQNkMFucw - DGQTt0AEzvP5/AMXLly/9vFHV1//1rd+d2WzKv3PPPrg4kBewLadTG9cAdEqZiLSEUHlvm0s0ql0 - /vyFB19/++a11y//1m/+bxvbX3nhlc8+ev6clc8kXQtCUHeFxlVMqbWvtQEzPKZkdbedGEiPGzyI - GGOcCSFYjAuCcc4BklJlstnBwcH+XsfEdE3Pho4AQOpMJtfb04NwpVWvNxr1UJsQMMYI4lwZTlQY - GU72ZRRgtEIggcgg4qlEYTyXy2dJFQ/39uq1uh+EOkGMYuiWMyjbBLGdlwAAisjusFTIwIXwuHIM - iHzZKH986aP14kEDyQ5Pb+8dOhffhQwDbSSoN8my/nawdYOF5VYj2A12Kk0lNYTtHl84tnyi2D+Q - ffvt1++sX97duP7SC8+eOvNAYSRBgjEG+/6E+15Wye6lyFr7QSuQLaVlGEgVUffNjGKNYaMVRREs - 1tNXOHP63Lu33+0clK9d/OjkUFL19HJu9koHW9vb1Rpmh/vmFsa9ZHweMBjbRFx2EDRl0s30DAyR - BQ44HBQFFBPqQIwJcsBAiBRkq1k/AMzg0Ljt5anbeQ4NkIDi4AB0AFIwjpKcBDiBVBybAVxJSA0e - 2zqQQczZjQH8LhwGAcWglZN1hidy07PDH6zU9jZ29nf2C04+mSZwfnPlzs21HW7zyampmalREU9j - pcCo1e40m23O4dq2J6xPsa5Yt0iA2NkeCkr5QRBJmUylxkZHXQccsMW97um7X4uxOA6UURRJqYgg - w7DT6fiBY1lR0G7fvr3x0Uef3L69/uRnn5qcGE14gmsBsHuU2ruVw7twivkUYh/jifG7jOmyhu6H - XmKF1cAPLBges3i1glLgWkZBw/eabaX8sgmqCeb7pY2tm5e2drY6fsexCxa3uhBb3B1DZIiEsFLJ - tGs7BEQamikAEJbwkgkvaQluccZZzFsBlG43G0GzBqMHBodS+f6unBE3IBYFoVJQyuRyuXQyoWSo - pIxFBxWgSAhBMKC4HZhFIAUYLuJmEgueOzbX842JOd9vr66u/OF//qPXfvzDj65efv/NNza3Dje2 - K2Nj072ZPDHAEqlkMpvJMIbV1YPV7e/85HtvIGohaGa072k/YXD69Py5Z5599qtfzo4PxY1uUkoR - hZChJchxLIr+Luj2qNHufrlVuofb3uWHkwEMgxFwsn39iYmR/lzi+o3VlZ2Dvf2W7M0lnShS+8W1 - y5ertcDqXZw582R+sJ8DHkHotg6qreq+73fI9nJ9hUQyfe9anAABRVAEKFAA3ab2XrtVrwXM6ZlJ - 5QbDAEkH9lE4Z5QJgshxLEMURhGzLAZ0yeEEISxGkFK2222plOM4+Vw2mXABKAMDCG7B8Lh8BhCE - 5SWSXiJ50FY72zud1gKQsMAAqU3EocChodt+W0oW9yv8DYBQgzTIQFie4ziWXfJrURBB36dLcFR1 - Pvrl6H/+Ltz2F/z6B4Juu6+u1EDcPq61UpzzdDrteR7n0NrNZLxcrmdx4eyD5x/5/T/47vrt9T/8 - o2/3jczmcsu9edviIaCklBbjYMyAFAxXhsVRKr+7l/xCfN26BSkCY12yEowAkZPJjYyMTk9OlJrh - YbNR3buzu7la3NwYG8hv75U+uLZWDZHI9fSNjUyMDPbmbDJAVzeaNEEqgyDyDys/eO21X9254aAW - 1jcPNi6X9/1czu6dO/nIQ+dOLR+HHUVR5IeBNlpB3dm887/8m//VHWI9qh3trFdXbnGBVP/gA+fO - f+6ll/v7UvdPHcLRdo44DyYAsO3430rJiHMLTEaRiCRZ+oMPL/7ZD360W1bCEWPTx1795S+fO3nW - YTFZVMBK8FS2pyfT15sotf3Swc7HV67k8xdYp3V7c7cT6Hy+Z/TYXKE3k/LgaRiJ/sLQL/3K155/ - 4dlKxzRCESi7Uy5t37r1gz/9s7/+5Bakunxt9afvfjA93D+YsjhjdxeJJXgEEGhoePipp589lMnv - vnFxY7f4rW/97mvfoeWp7OzyY+ef+tLgzEIigTCEIe3a5Pth6EvLQiRlKCPbsziOSpgGnEQ6mbZt - W6paJ+xILTm4k0xGgdwv7neaHcZ4IuVRLGJJGiAiwbmAisJQtjrNVqdRD6oHe4dhpzutAxkEYWi0 - 4WRx4kZDaRCBLGMMlNSBH0gZmbggTIIAwRjXUkVBJKUE4jph95QkIqMiGRLzJKjeBAgOR1zrFhyJ - TBZawrc7YYsLAdsyKlIybgYzRzLx9z/9T00H0w1JgS59D4JiQxpO0MKy873ZZNqxGJeRMrIbZIQq - ajaxs7ld+sGP1t9+225U0tInColCy1JK6f39/VK57GSGM+lM0ktbBK0BrWOsCApKwggIzj0nAe5a - Fge0xcm1Lc9xBIPWCIPAcgUjBiLT5WkYx3O9VIIJ3CPvxc8yCv3Ql5HPLJe0NtpwCCl1o9XhwlJa - 729v/+Ef/H7i+8zYHRKdFEVpptBpdlpRs+bvFMsDE0syDFUUAY6A6NZZu/ESKa0V1yDiiSRxyw+j - tt8KZECAy+GAHFiW68J4zCJGxI1mRisloQHOtTFKGq1RKpd/9t47azt7jiUYQZrIcGW49kyE2oE8 - KJJCPpvJ5RJSBlI5nHkgvTA3Hzz+0Buv/dleERpsZHjy+NLyyROzjgstQQKs6/BnkqlkIpHQWsPA - Fk42k7Bs8HuVmphqe4+JTYCKYGxYjjsyOf3SF79EvY9857W1tb3yH//h7//49W9PTGTn5k898+wX - pmeW+npgwA0YaTLGGKW0lkpKre6bV9ogiNqhVIa0NsSUbXErJv/HATXnMW05lUo5DqREo9NIWxKW - C8aJKJ1MDPX3eBZTWnYiGQEhEPqScceyHRBJJZVSALTRWkkeo01Kot3oSSeGCgO3mlJ4Kcu9S96G - UWCaGBgBhqQEBBNI5Hr62NLM4PbGxkZ9/3Bn7+AwLPR7qurv7x0Wdw97x4+NzU1n+9FlIXRLyxTP - PQGAwOKjXmkoRVq5nm2MVKHilmWgKvXG++9/8NN3/3/e3izIsuy6Dlt773PuvW/MqXKsea7urp6B - RgNoNgCChAAYpESDkghJHGCKdjhCEfaPfi3/2V+OcIQtWSFbNhWWTYVMS6SIsRvz0OhGT9XdVV3z - XFlZlXO+fMO995y9/XHvq6pm6JPmjYrMrMh8L2+ec+45e6+91tq/PPPBWY3qtXBajPq769u79zd2 - er0RiExcaQhGnsRLwi6JJP0iDEa5RqSuJmGRAeoQJWFxTAHGdfumyBoV5JNW1vRZo1UUIwOSyuRa - AcmQpHAIFrxPhJIiLzWqRhRastN6eYgbY6GGctTb3syLQl3i0qYwA9pOXe5pBBiQOCQmFkPQMlBw - TDBor//22+/+6O13fnLmzHY+Qtl3mnM+1I2t0fL99fvbswcOh1JR0z5Mq/XgRImCahFC6r1PmAGi - BGkKREkYDFNlJcdIMyBytYNTXc4TIoAETIihCGURiqjKLEJ1zlI7XUQFG1I/s2cmS1PEElFNY7Bi - MBiAhcQtr6wuf+tb33z/XM5oWdFGLsXAQ53GrV7/2tYAgDh2zokQyIs8aFVX7U8KEbQ7MC12d3/5 - waVvv/7O+fc+6K1tcBk4blqxauXW3d7EykqxeOyxdrvjvK8fnKIMIZqa1Y8Jh7IoBlpKyQ3xcEIB - 0GarcejwgSuXdmJZ5KOhsCNgd6e3u7ubF3mzOzkajnb7aLfg3JiMwIJGa2p6T6PZAGLmmaB5aR7B - NFbtbgxSkq+VtgAsilXmzcgazdmFfa4hMRTS2wXATL2d7e9+6xvvvPED78rUBU8F68hTicLnPV25 - vWnCabMlSdPAMPOENPFZI0uajTSr5LbRC5VMBoqGwWCYj0aOH4ZsBoAYxmOeQ43QM2p5DTReu3rp - l+9f+Mnrb127fXdtu5+imLGtbrl6f23zzFoCnncsTFQRVwEDQ7Usy5DnttvP8xxTnXEzTyY4gREQ - WRQWh4P+aDjMgwbKEksajeZzp0+8tXfiJ5cuvv3OuzOf+HxxagJm925de+vNt3aLcPjA8See/Vi7 - 1XQoFD6ixim0qmkQI8SqrRXEmUhQK8silrnp+EcfnswMYqMKeyeCjUsQBBAzM0lR5Lv9Xm8AbsKR - B8inHZ+NSucqTlAElYqxAVCVYCT5MA76IzBxypJwiPCOqNFk8Wq0vY13z5z5YBnf/E5TEYxCU3tt - 7afF1lae3FwttsvWxEwn8c6xCFCWJauKd2QBMCIuNUaIZ4LpcOXOz994+0dvnXvv6vLObl8sUCzC - YCv01gfrd7eHiGoWyoThACIBOxIhx0Yoiry/u6sBDkg8AQ5lxGhggiQVJIAOLRaJpwa1WNKqdqhm - u4PBsMTq+ugHP/h+/s79rhtktpXFLW+7CQaJ9ddGM1evl5wd3Lu04MUjOrAhKDlpdztD00F/sDtA - K0NSoTkJSBEiGEamIBGua88YB2mVBdyePXuG7TYRqda2AGMKKIGQeepmlHBRxlGvGI0YETAi5xNy - GZDu5DoYDWFwKKr8xUkK8shjFjmM4s1bK7f+/Fs//vH3UxumNmzEIgtFFvJgm73h8trmts+a3W63 - lSYAQDKztO/pp0dzP/pw+96t9375sxefXbSFxTzaW2cvvnf1Djdw6rEnTh49wqjiB4DgHCfC1clS - 87tikY96uzubTM0k6/R38xhd0yGBOYCc960uTDEg7zKQIC8HwzwvSwBANCtNCwLMQhEKFxDdWK1S - ga9qZISqXzULERAK79ypUye/+p9+tT19+E//4scXL57/n/+ni//2//JPnFh87NnPPfvil+YP7Jvp - YJTnLNGljLqTLMzUEUg8kkZ7duF0Y/63//bf8RMHvvHtV95667Ur1z78N53Os489/slnn//Eyy83 - Fhd8RgkAplK4FFbkpENHpRBMEQI0ISYXrRyO8t1hP0DZsZMKtNdo0bQaK1YzVaPxnQBVzcwgMj0z - Oz+/AO8RQywLZmIBMRNR4pMksaIsyhAIzrGDS0FKDMQQVFudVpLIcLibJi7zidkIGrnGlaSkJD5A - Da0UxGrSWDwkGeZQLpl5Zma6kWW99Xvf/OY33vpxOpUCsSxBkbmbYiJfSbav37zVC9Sd2Ts12UnT - BGAk7c7HP/bCP/6vG9/87o8uXT7z6qt/ce7DN/btf+zwkSc/+enPn37qsYWlbrW5jJnPVRm1NlGo - zPoM0agEKZOwpQ+KhCRciz3EgWxmbu8nX3jxO69d/+D2vdd/+J3PPLNvdLjb8v7C1dsfXrpthIOH - Dx89dmCMTgEKC1HLoCEmaZq12kWsG3ELoimpISogTMwK45CH/vb62spgMGi0OwavEeTAZiAiX1ew - YQWbAt6MSYkIVhoxkECBArWOrjrxSegBOayq3jAx4OESmC3sX3zxxY9du/9Gf+3u5bPvHZh8YqrZ - gfHZSzev3dle2HtscXFxZqICEAFziPkwL4b5CEAi3OD6lIMAqBj+MkbeiFR3+4O19Y2d3m7olI7J - Ym0uqIZokQnsfWWragYCwSdpo+G9L8vi7Ln3v/sd+3A2Xb11dvna+dXbV3a37j/zzHOf/ezLhw/t - g6EY5WrsXcJUpb8R8I/gthUWSwCNcUIjewBoPZSI/KUzLc0y4hJWWF4QG6L27q/89N3Xf/zm1XMX - rg6371HZyzDgfC32lq8vb27FuXTx5Ggw3O2h00STTZywE/HeJYl3iRfvgYRRlIM89NOkzqfTxKee - nRujz4BqjKGMMSZZQ1yqQDSgHALO+dSEA0mI0Uy9k1ar0chS1CYy5B5gdBbrf2TknBmHoA4EIva+ - Ka1jRw79/b/7288+f/qNM2/+2bdevbvef+fMez/5+WsZf+yxw3OUj3q9na3tbSIcPDTbfeqLi8ef - O9J2c5R3wmCmne7bMzU7N9s4cLK9dzH4+skS5+AFAUxKdX+Nv4TePoLbPpriP/K5YsQ+8j0BJfCd - rBM/9uxj165evXDlnZs3L126fuPI0hPJMK6vbL739rkQGov7jy3sPdRoVu+uoMCIZTE0KDtvXFk7 - QSOIzMQMIGJwAkSggIWi7I9Go6KwrNFtNFuGKuB0FrSSpIo4YjaD1X4LYBZiV3kTMbMwi3Mkjpmz - NElkzLSlRwagNuJw3mdOPBuFIpRFMearG7NBI7ywdxEGM4KxfdTspX6UFRSrzrios+RHgMQK+B6L - hh6QCj7CEqX6Bx/87/9X/PavFbqtxGLjYgAAArOwiHNgAzhpJJ3pmfmZpb1zS2fePXvnp+fOvH/2 - 8rXbTz99cH62S8iruSATBVcjLdV8jhHJ+Mha/qu4CDCzGhCmmtBIEIG6enIyPzs39/RTp++ubax/ - eDmWg9U7169dOt+U43fubVy8tbZZYGLv7KlTx/cuTHda1Y1VaGrdrxzRMCpWr69+59rbJLuZ9lyJ - x4+1P/bMZ46/8Osff/b0npku4jpqVTkpNN/pnX/tF8h2EYYywvFpvPCJpw48+5lPvfTysYOTTQPK - B7+m/uLhkDxYXEZVba1SYpAVu9vrd65feuX7P/jRL97eLXH4xOMvvPS5Fz/24oHFLDFQAIxACRqd - paX50yf3vXPu2urKzXffe++pZ57UXv+D81e3+8XMzNLHnnl6bqqTEhIBAprtztFTT8I9DmkAaYg0 - XFu9c+nw9vKNC2s7y1vl8ur2tZt38iIweXaEMqDeDKjyJqFW+9Rjp7+SzfL0oTcv31u/c3751vtb - d86+f3nt9qY8/5mvvvTpE7Cqdw28eMdeI8oylBoEiIYQ4ImgRgrvPBErLJpWOihhx0YIEAjMylhG - ZMQQJ2Y6HjpvRBExIpoaB5MqUWPkFINGU4iyEIMQFTB1RgTmah9iNlBRauXo6kCwaBqiRXPs0lTE - 18oxL05INZAwWKJCCPKow1SaIqQgPwoaosKChbJCRR5duPjLm33FuiUDRDhJPFfIH1W8UtOowmJE - kTRwMFIBV8S6SIAT75H5dGF67sjSjNvttMOAOBICcQDZ/kMHWJDtOfr0U8/Mz8zIowuOACDGCBEG - iD2IFFo5prIpYoR5AqiWKplpJGZxnohZiBxVkJmrqsEAmE0sWtRQiAaGMRFBDKxGaiTOd9L2vn2L - k/ON6AdG/RZC1xlGfQ1E6p5Dsmf/qVMnT0x22zSGCepxokqYR3W+4RIiF1QjVClQpQ/F2E2PnJEK - c8rkGSGUQeEcGZjIiYDFNycnjhw7IoCYKcfgQpCYadkp5iaOnhAnT7706cOHFpOUxggFQ4m1oDhA - BEAaqBiFwS7SrIYGxo7pJk5Y2MyIWMQnHkKAVa8DED5y8I9xezJAHLozR5964YtdQ/v6G+9vX7t2 - Zm3t8tv3Pvzw3LXN7fDy58qXX3qq2zYAVpQwcwxHiKGMITLk4ftWNQlxBoJpZQpDqAIeVHZd1XNQ - FoihivgNIRozMTsmBxWLMA2KSJXBiYckYAeg7gkBtkdb71lALBybEwFiCQ5VQ4zqipDoHDliAlkA - lB0nrXY3PP3Y3hvn7Nqd5esXr+w9/bHHp2dXbm3cvLnS6+PIoYMHTxzxtaItgNQgCqnysgdEHzWo - EgPCRI4oRoQSobhy+cov3nzrxz/5+dVrN3Z2+gtzs61mO6HYbjYgyTAv+6OSmCNJJBgJwBW7ozQu - ySkRAZ4BQwhwXB9BtU+IRuHahNEAkEiSiXck3hANuSHFg5kVp2xB1QwWLRQRDzqj1uuBaNxQmc3Y - ooVCzSJEiQkGinBEXPfMSAhOgKCxfi3fu7N88d0PXn31e29euHB9Y707u6eTJl6k02izZINgW9s7 - CsCYTcZrUUvVPAQIi/cgYmIGBRQeDBFQJWVmMkKscdHaXwhClV6qPtUqYpRGC2oVD6t+aO2Rp7gK - sVjYOQ9xVXG92vmYnfjMke9MT3cP7ouJNGKRxTy1kmPgGPeJO+waoT31wgsvLC4tVR7yj1JBCWYx - kBqSBKv3379w7d9994c//eDizur60p65dpKkWZsbSpr1uenchjBX5EJCzWFRpaBmqIA6rVxQCEqV - TrtGodVMvWNhFKOBaYuJwGxmUWMIwWA8vqsKIQczXJKkDWGGRi9wXEcdbCYW2VTBJWcFP4BuS2dl - 9S0iIZ8FoDQRciwiTI50drJzaP9io8nCpSAXHXkKTjMq3RMnvE1NHfvEJxb3HTQwFJVaIZopje33 - KUKIqbKZIGIZU90wxllRk9rHMXrVxJdNAcNouHzn9o9++PNXf/rGucvXJWu3pvY0neuwdmPMVbJ+ - xC48s7DYg6GIoSzyGCNLxpIJgRXjWgxBKi6fMiuzmQYmYkmUHbiBVPfvnz+5f2qqk1y9evXS9Tvr - 2wcXJNy7c/P9sx+Yb88eOn701MlWE4n2Aikos/H+wKjtGsZBvUUjcJWd16TTR9MQgIxEqd5quFaS - 42FIa6ZRFWqMKp9TE6YU4j2zaYgajVjZjUeyGsSE1KuSwZSikYLgHMz5qAiRSDA1PdVeWpjZM2Ec - QbGp/UbsNePMiDqHMBGTPd2ZzpHDh9utVpV3mdWFi7GQk6JRGcL9yxc/fP2Hf/bt771/a2s9ZouL - iy0pMxNp8W5CsSxocyUaWcgrEn5UODA5J4lXQGPUEEghFbSh1QMOYyOOQEE6IkTHAPvq5WDAqIgh - Ai7B9PQUzy/ONMtMuw10vY2cjbyNZjC/dGrOT544emxuYW7BcQYzRCXnmu32rlpFTHtwSNZy+tIi - Rcc1KEG15KL6i6ly5hvP3SMh1wPHD+bEoeEtc2oURxZyqoxWKszUg7PckhjVWenQN0oiPMhXlk2p - kifv01Z7dmlpcaKJYWb9Zhg1Qt6Mufo9kRfVD9OZ489//OOL83sAgKU1PX/ksC5Odzav3730Xthe - f3lUTuWj8syFa1dWdibm9h45dvLgUqvOZQBoJJiQMcDEqNpgxTKUw6IYErW9b4QI04pbWBgISOAa - 0AFMADGtdhCp8GtoUC2j5sQAaRmKEOteR5V+GEZCwiwwqjpvxRDByt41GtPPPfdc0lmibPaDi3dX - bn949crFjXtXLt/avbMaXnj5N198bq8DKrJV1YmX6x2ZAQE7ZK200frEpz4VGnO+2VhbXb6/cuv8 - 2Surd+7evnHv3m7+/EsvHnr8hPcRQMFiaQIZsg6djqqdqHI7IQhRNCIThpBCYywsAl7JrApGiLn6 - qw1QRdRHOjSBo1GMBpgwmKExBEXQWIGsLEoVtFS9qLp5SWAStQSZUTRE58Q7ITNAxQJgkXzOWeVm - 6gBYKRbY6jyyVI4EI1eBxAwF2+xkZ9/89Gw7I4slEJkyjhNlq1NMHjlJvYmjtP/5w3tnvMA0UtY4 - cuhou7WvlU6+/cH05Rtnbt299su3Xj/z7o17d8v19c2XP//JielmM/EfhYsqkFEqZ0SXECeR2ISc - WPaRJ6MK8sXD1Hf3PHaqdXRp/uq1Dy+deWN15Qu90eG05S5evX352lpnornvwOG9++YfwYirWY91 - qwXmutJg6siqtaQgAysQoczGbJU5oEgikgqBDawGosoGwcyYFVRFjnWxftzhmxUo4YjFIuQB044U - NgbuQ0wEIA+JQJxZnH/qmSdf+fG5wfrK2bdff+6xudGM9AbDq7dWt4fu5BPP7Nu7d6o9ticiqdTD - CooRnqgp7CsoloylGq+Hiu0KHrXaeEctlhUI9ZFLBEoxggwCQITEgTjGuL62eu3alcEG1m9f2F69 - 68k988wLx5/5leeff2ZyzgFKTESOIWQgU4KCAIo1e7ZapeDK+Qe1bcoDg8qPQl0YTzlATlC5rBBB - rb+2/sqrP3nl5x++eX7FKJloyESrnYDTRol0tNrrp4OkpNprlgCQWVVqpiqeE1Lm2ogiElnl4UEs - XN09U7SqhEYwmEbTcY1wXKIGCYkDe2NXRo2xJJhzIsSVaKZW/tbHgVZFA6hCnBIXasbsa+DUNSY6 - jz/x2NL++bnF6XtrW6/+7L07y3ffP3fu9PH9jx2Zq4x6QxkImJmZPfHk08+//MUn59sLNGqVu51G - Njs1ya2WZZ0eMBzXQMbuLQorVUs86I788HqA245VSg+PomrobQz+PMA7CUghjLR47OShJ4/PNKW4 - cf3ihStXX/74E+gV9+5uXbp4s7H44uFjT8zMSZpWU16CAkgdVysTRdSoOp5vVaig0o8/iI+VSCue - hSoB5gREEaBaaU4izqlptCjixuumrm7FEEwji/dJpqA8LzQG0siAMEwRtHTEIKn1TGCIhKBMPNHp - Js7X8RZCjLkLQyDCMQkTjfcI1MjrOH6p5jwiFmUoYozOeVc1irFxKlHr0/QB8Gv1QD/8+tEv+C9N - yF/19dcM3Y5hF1UQcdU8KGrUKKiUSAJYNjnx2KljT58++cHVjY0Lm3dXN9c2NtSaZAOx1LlWJdKt - ni6WypSxDlP1wS7yV3C/NJ60qrhUybkqJbwDoeaGCCYnJz/14guXLl85e/aCIW6s3D5/9ky3md65 - v768NRgFPji7+OyzT8/vmcgErIBSHYrXZBIGORBBg4VhCTRS/PoXvvKlv/cPT33i80NCzPvg6L1P - s5TdOMD0GWhU8StOP/n4V/7BHz37a7/V3rtXHjzHD8/V/xgNuQzQAC8sUpn8O7H7K7f+5b/8F9// - 4feuXF/LusmnfuXzv/XbX9u/2Gl5SIDliBaFBEnj8OE7PIVdAAAgAElEQVQDL33i9I2bV+/dvf7W - O+/81t/5u4Ot/ptnPlzf6h85PfvSJ1/Y021ShbIwDC7AOaolPo6pM9E+dfLIpz7+9HsrO8tvnB8G - GuSh1HH9xR7cvQnIiSAAc3PPLB4/9rFfvbEVVq5/8Mq//+PXf/DvfvKTt35+5s6Xl/Nnnv5vp7rI - nMDKbmei05oY9JGXIUAVyEulXH0mUNMyaohmZozKxypYUI1Z2pienN7cGMYQNzc3mrMdx0jYaxhF - VWEGw7lEUu8y16LGzMR0JXOD4yikRBbBkblqJWBVax1PLGmStlvtNMtANBjmeRGppu9Vp6ORdwka - PkkcwCAkSeIrGytm79MMMR+7c9Vzz4DApIgYjXIMBxZLIciD0/NhLbReCDR+aVWFcN43GxmN+asR - IZYWSk3StCzD+s7G9nC7TOcznyQEgYDFt5p7Zn1r7tjv/P7Xf+dLH+8Ckw7kAYLmo6CjpOHAcYSJ - rRKFA1cu8uA6JScdl2sAEMpQlnkIhcUyFvlwt6chcwxKEyOUCEVZJuLSJBNxQWMRcwM8kBEQFGwQ - oYZnJxoLtigEYQFInE/SZlFqs9k8cerJf/xP/pvnPzVvjN0yT0PeTSiB1W62SMCcEwqq7M9CnYiO - xQGOfKjz34q+yZIIuXoCLMeoHFFTiVGqeZGGc5lzoSzysnAJEYuTNGtwNr3w6S9/8b/77/9JRnAG - JeTACBCMZpQkV5QjSzBKmakhVjFOcfXS1V/+/Eeb91ZUAdid5ZV33zrz85++/rlf+8RkCyFGJ6Ug - AlqWZRkCQMy1B60pzBAU4mEUxqa1tYcwBInU+nNAML1wdGLpH5749S/clavX3v35z77xg+//u5/8 - 4q33zt1Y2YzHTywkSdOcFv0hgdLEZYnXEGIIDmKAVjhR2kgabZc0WBhRNQaLUQ0hhKQMZTQFqWpZ - 5JsbA93TbLfbyLdjCKVZlknIR9vrq1rm5ADmilTis7bBRQVQ6aUIICEnNdoCWAlBMdzd6e2gkN28 - HBRjwNpgARK9o5KZIaZACU4ldQ3/sacOXnnDffMH98698/7ik79uTx2/cP7WlSs3FTh66sShU0cr - sJKoAGBj3PYBDqOGaDAjESdiQAApKIZB//s/eOWf/ot/df3m8nPPPv+1r/39z3/2M4f3zXcyGQ13 - X3v9jT/787/4wc9eJ+FgpFXvFDAUIaJQorSRNBqVDlQVoxyJR4KIENiMYRZD4iRzYBCYSBxLGkEh - qnPMKAKSus8WAFAki6ZFUZYaNGgVmHv2XEu6qYIGy4CUVNiy1LNIMBqVUS2igk6RBKAEsuowdOKJ - mJVAZz/48P/83/+PH/34J52lhc996Yuf/9KXju7fnzE3hXrLty6//ct//s//eU/ES8J1gspBdVjm - O/3+nEij3XKJI1iEFnlBXhIDQhEpihNhpoBQQBMAsSqh1YBmHU5VMkoFg4VYRMTVJYEHCYXzINJY - 7Oz2mChJGwJmIk+cZSmLc0lj39L+3/qD3/9P/ugPfcZNIAPEIorcioIajcKlfUCBBmpJgz2C2xJQ - hjIJJRK5efnqt7/93X/2v/xvzYX9L7/4qf/i6//Z8YOHJrtey+2t9bs/fWd5+X/4vyPzaDSyyt+L - GElG7EJUIyg0aCmOfOq9k13LA2m0wGT94eDm7ZtEM43U56NhKL2A04mJrJEZbDAcpN53m4/UZ+pK - NhUhxhigpSB6J6kHzAmhhm6JC0pzgqJ6kgtnQSwyrAhxa3foC2jDozshzjvhyXbz67/3tb/7O1+d - mU0AkAWxgjX3mjC1UGIoGKTYrcpGCi2KfDTqD/qDPA9akcEDhKgSpxm1Wq1GI4tatQCsn+lIcMYg - rQ6OuiRlCou9jY0f//CH/+ZP/vS1d84+9vTzv/lbf+vXvvSVPRPNdrlB27dvL9//H//kR1f//A03 - 5kiCCKYoRqNhX6O12hPdiZlGA7EEJIAkgkSqjDgyqxM4x81GI2tmkRDRlDRgIjt1YPrI/vmzl+9f - vH7n5u3lY7OD1bt3rly53jrwmYUjp5aW0AAQC2em5KoU0QhWObL5BAC0RIiFmvjEe++EKjkKfyQy - IwMppC6pj+Wp9cYTTWNkpjRNGg30YHkwb+wCQ0mYNRYhRrBjn42FBxViJqlrpUkD0DzmZSzEgQFT - LYpQRpqYxN/44hc/+7f+80988iQxmNECnI0Q+6B2tHSrwKhAqwvPIECcdxWSXt+9MTtTDAej77/6 - yvf+9I9f+emlwx//+N/4ja/+g7/3tb0ddGwXYfT2mfdf+d4Pl//k34M4FiMEREVRhtQTO+dSX6X2 - qfNu3JEYxHAOWWaCEHOUu0KFYyNAgxWKHPAZqvo4HJb2zfz2137nuS/8wbPHkQFeC1hReQoB0/0o - I4F/0CMjMBTkJWs1tVQm7jRQFigCvFTAMTQGJ1XR6JG0eZzIEVOIcWNjA8mCwSpnb65MJ6v4Xtiz - eRSNxELCUbhglHXGExUC11RpskjCARgRmJGoMhvDZZlyu9E+duLx3/xH/9Vvf+nArEcLaCpcbrAC - SQGXG/oDTERMVh2ewB7tqdm5uLhn4uKFazcvrGyt3u3tLsXh8NyV23d3wt4jTx44dGRuBmQ1ixAx - WAykKkTMTHXNJZgVTMosLJlwygCQKwYaWS1jMMiDfAwWI0Cu2e4kaRoiEi1VC9VCBCwWYhn0wXnD - YIE475ywA1gVIcSyLJERnIOWzT0zL8wefvqFX710Y+Psuz975Zv/9s1fvPrd73z7F+9e3Rz6x479 - /sK0d75hoBhhXFU+qTYBioARPO87cvjL+0/9yudeunvz0ntv/fwv/vw7b7598c++/f3Xzpz7R7Gc - nZ+amW0DVoijZpPdDsWB6KA63Flg5ABi+KzZbHbbnLgQy0G/H8qCMhMWjRrVWJz4hJ1UPhBaNcUQ - hgnUVtc2Vu7dQ1GmzmWJL8t8ONK8yK2uxcEnnmB5hFZBphKEDKxm/WG/DKO0453U/BWYSiVIJpdz - o2QAcKawatMOZBgV5aBUSSFoBFCv1ytHw6XZ6T/8g9/9G597+ckT8wQUhgLwilYcQPsAw0+vC5UA - A6NQNsQlE5P72snvLh74zb/56bu9y//6//lX3/3Ge2/87Mr1K//+yvUrU/Pd088ea83M4QH8Uacm - AhAZmLnRTHxGzOQ4cWPW7biYBCKG8xgVSDvzSzPH9i2+k5x9/9KF1fu3N3pbjWTy/JXbN5e355eO - 7t23f890UkG3bEDUKmmGaV4UwzwXDwY0FBAQCcEloEAogQjznrnbnJrsNBrciyaukfixcRvVzjZM - Rg6AQq1W6BPgGFYAiOBYSVkeojwYW/e4qNFCSMiBXcWQ7c5Mnzh5bGGm++GH9955/adf+eKL24ut - a7fv3V3rcTb7/Asv79+/r+sr96hY8ZB9miVZFgIE1hDKqNYoAhHmqFIfViMo0my1J6emW632Nigf - DoTGcRWBSSr4SU3LAsIspBAK0aJG1P72qmoHDu6fe/qJ0yeOnj55YubgqdJnQ4BhPmuWLo1RyR6o - DQAOlWPMmHjI44JjbfhN9iA8p3F+N94pbdz7jwAWShvYza9cvfpP/9k/e/fivWzP0a//4X/52U+/ - cOrI/sT6Lqzt3L/4v/7xv/4Pb9y/ObJOs91tI2GYatAQTPMQQogw0lIVTEBDfCINaA72IDaNlRIx - xhhNhIiYGGaGMsRoJEBCQAXhEhsJiQ8hhrKMIVoMqiEgSaz+28Y1OQMUGhCCsURyERB2VlF7AqAR - nidnp5+kk5//7MtX7+4sv3np5u3l9c1NAih1aZomSWJAkmazcwunHj/11BxmAVf0NS9GedEkVx3V - CWpxrZpCQ9VfK4R8DHd9JFp4oEd6kMt99Brzsh59RVViYT20f/bEgW4nw43rF89dujTI43BzcG95 - 887N3pNP7X/siec6E3Cu4pcWoAhBM0tFpAxhmOdliKiZ4wwLIFaFBbjKSY4tzXyapcK+3xsOB8Mk - YRn3+2EC2BFZMeoHIMmyatUaCCRqNhqNYgjM3Gg2o9r2zs5gdzeWeeXOp6ajUdFKPaFqE62IUMVw - MHLiDu4/0G13PECwMoyKUS8rd7yEQOaSRIQZD6HbR64KnS9RhNFwWBZlmjaSNKv47Ky14/QYwa2E - BdXm9xD+ffRjdf3VUUj/I9dfK3RbNWatymXAR2p2DwunMaDIB72dYb8XQwnv2TliAswxCximKMsc - yMGUSFaVyCzA2CJpHbP/1VxV0WjMshlTGeoCWIWRKjT6JD1+7OjehT0tQRmxeufGL1/7Wb/XO3vh - cl8TyrKFffuffvqJyY6r5hxaibJrPAjOYWr6hRee+72//+utZLdpZdPKY/v3Th88nhAMSBNG5a1p - MWgE/PzBA1/7o99eOjE7m8RW6B+YzCb3P96dmY0P7vuRsSXgUcu4+vIJLAEUmjsqLJbff+V7r37j - W9/78U9u3lvfc2D+H/z+H730uS+fOHzIRoiGrKICoGFUkssXluafeeLwt76hd9fu37h++fzFizv3 - Lp+9dGMY3Z6FfaefOD3VzSpzG6EIIXAKRI0WQ+nFVdvO8vKtK1cuIapzzjlfC5IMEKn2nBiCOqn/ - IiOAksTvmfFT2cmZr33t2N5ONvmD969srt2/98H7554+faQ5k8FsOBgMR0PnkWZNn7QM8J59ZIQc - pM5VEblLkmYZYCqOUhYk3qWeQzns7+5s72wvxOrXkpDU218st3Z2btxc6/WQdVsH9s12mtWASqOz - p92ZJuLBbq+3swWFE4AEBIs2ysvtXr8Mlvg08c5h3CvUKETNy3JYjEbFiMy4OrPzIpA02h1dD4Pd - 7Z1NxGJILccdjwqXUCVjkM8jmBmJ6zRTIZQBrIWIo3HF9uE+Un9FRqSgvBjt9LZUi4hkVMSWF+/J - i8ZY9Iej7Z1RUUo20VhcmG41AFKjJFozz21rY70c7pBB5KHrC/vEo4K1lICqSzUBIUDMIAwjMPsE - kREN0AiDuKzTnmg2KPVMCBo0BiZXUfyIql9AzMx5DHk50kopbbAyRlHxBO/ES+JZSIXBzMFU4LJG - J220Q1xdX1/pDzbLct6nyHyaOBIqYQVUYAJwjBgWKB3EwYkTJAQZd5WpQiYGM0IsYoVjaYh5YcgU - TSBpNMGDCGekoSxRFmKapYlPE1DO4kUSIj8c5Lu93cEufAueAUCABHDw0UoxRZKSp6rRZhzFso8w - 2P3lu+9+84e/bOzZ9xufPL3/0Mn/9z+8unzr6ne++efHjh+e6sxlSayq6oCvSOppIxExtaARAnBV - IKcqseGH50jN6YLGnDWQy4AJUCNJkvk5dFsn9y/y4f3J3NKRV3567ubte7986/128/G980m72213 - G0lmIZaqpekYH6r8hojyolxd3yijuaRJxEJW8+6TlLM2fCMMo+b9iYb3gjKqd4k4ZjBYd/rD5fvr - YD89Nbl3foYjCoMRDwstyxIUxUrTWAAOaUIOqogFQomIjX7ZH+Yytbgw052aqNrIgAgIJkoMZ5BA - 8jD/9rT/4OKxo3sXZtorV69cf+/s8nPH33n3wrV7/em9C0dOnlzaNzEuARYARUgJcXXBpN5VuSIp - EQEBiKCIon9v5c6Nm9fXtzZPPX76C1/44le+/BuLc7OtFIy81Wm3Wy3nmMxijHkIpSIavBqUiLwk - WSRXlKHfL9KGsJOsgfrtyxJqDNOQaxwCIDiihpHLtdjoreJ+czgYMXwKIkIEJALsIrIyMkBZwp1W - 5kUMKGMkJqExqUOhIUCApNmdmmk2MgrDrbW7Ozs7KFRJ4xjvqMPDqotNGXo7W1euXrlw4VKnM/HS - S7/ye7/3uzOLC92slUJSU+r3XJYoA4Q0yWIeRwYQN1qtZqtlhFExGg4HlaBF4LK06QDEETSUFoyM - DFaGcgSrmpLWrpNEJGN+qsIrmFziWSSalsOhRnVEnsEKBOhwh9N8MArrm1s729uOsuNHDk1PTAIu - SVvk/LAsw9ZGUeSNlB/UfWEGFkorzPihjZgqBLHqXSIAE0U171NAUQ4/vHD2/IXzjbT5q5/5/N/8 - 8pceO3VyutPOfCRjprI7OQQTCSeeBcEhQSwBLrmp7M2U4kDLkZqCUiB68kAQYmz3tnp9I+73+07w - 2KkTUxMjYD2OhqrKwlYRAA2o/JAYcA6Ksj9avnuv3+shldnpiXarYQCilsqBkkiezBLLMxtHgSSR - fEFpSUmTpeW5QZXVMiWtrmu0d9bLPJIRa0QiYCImMTizGjypDvYq0YEk7YmZubn5e9akOOrtWEgp - EQCWl3kh5EKMQc1o7FNjDzJ80LjgZwBV/H2F6fbW5i9ee+3O7dt7Fxf+8Otff/6Tn17Yu5SytiN8 - Evs5Go0WKouisdktRJAm3icsHIP2dvvDQWuyCYDBTCTBoosB5SgvhiEUsFiMhsNBGaNXRwIGy95D - h555auuHt1/fuXnhnTeks5c/XO7lnDz55JPHDy3WG2tVdNLSQxyTGiLEMSwGqhtXUjQ2YtVIWjpC - ZZyqVueABCi4Yt3S2Dai3m6IiImIYZaX+W4RKRHviBWwFErD4aDCUXcHgzJqAIZWJqQAo1Qyz+xA - 5hL4hKniqxJP75lvdaYM9zc3N0ejgR+zTPRhqGskSFNIUvvQAGBCjBrzPEmqeDyAgCLmg971m7dW - NnqHjy986YtffOmLn1/YM9VyZWLCaLXa3cy7UObeJ52JSfFwDO89bFjGUIQQDcyciJBWNGjUfr0i - Jgw2oHRUCGm1CzEh8yCG98nE1DSnKHbCaDSMRWnwMcCTwghFABE8iYCAEOGqijt5uGRYlmsbGzI9 - 60SGI2QOqYwxTYFPvYdW+WHQMsCx0NhrCkmz2e10nXNwzjuPR3r0GCovHb29sv7u+evrfZtrdRcW - ZhsJPDSlEYWeFgOEIOwIkueaOoH4ADeKoRsjhMxRDMXO2j2M+hX6VRrKAEcBFmCCmJacgBrVrOUB - DWa4Vrs9ePqJQ3dunHv30rVL599zXYeA5fVhOnXguU/+6vTMnOeaJFnVIdUsIioiLFIEPHyadadm - 5paWzl+x9dW7G2sro/2znJCDF3EIjBBghlzvLK/t7Oyike2Z6HTbDREg9c1OZ2J6OgLD0aAY7U4R - 0hppqNztZWs37+0OIS5h8cKJ95AARJihKBW5+Obi4kwnfeHg0sQTJ/Z/6/u/fOvcrTt3bt66daPt - 57IJJiF29SKNVsVUUps5ggFNXUQrTQ4fnJpsnjj51Df+w/d+/P3Xz128dHd5ZWVtZ3ay3TJzHpOT - jbQhQcvd/m5RgFMwodJ0lrEcFUWhwRyRMFGVgRqBhR1Ao7woyjJGhcEJ2KBFzmJgBrsiWJ4XsDg1 - 0V6Y29NuNJpJGRJP3o3I8rIk1QZxU0AYm1opFf1ic2Nzu7clDT5weK8Ix6KsmkVGiBIBxhblIWG/ - YmKOY8HqeIZBku70bNZs2eYoHw7y4aAsQADJuDJBSU14YqpcdhyQUDWmAeThreU7i51jX/3q108e - uvbD42++9pMzW5t3z1+4NH9o38LMOKCsOEyPyLKZudVpZU0PttFglA/KOD4U1KKrBD0VXQkEkSdO - Hjp/dPbCxd2rF99/+625rf2Hrt/ZDDz9/Mc/t7B4KB2DFFrmzIpuNr0wOTM/eW/z/ofnPvhC/zOS - IpEEmsPULBg7dWRAiDFTwCXTc4tJcvPO9eu3r19aP3ayMzsOZewBslXnm+N21gCNUGwVZZq3JgrU - NBSKDx7vUseRLzup0QzzIPPZzOweOn7kwN0b929cef/6tQvKu2+9f3mjV+xZOPqxT/zKnukZAcxK - tcjGYD81M7tnfkEE95eXb125vDR/jHw1VsoENoRQnwceZmDns8mp6fW14trlS4Od3VC2o0LJIFGE - KgJHkjBXoVrU3eFolOdpkjz95OmvfOnTh5daTRk0xU13OpPtdlVoJMAsAjYclf3+QGNki7XaiuIj - aSQBYsRWo7Ram7aNbY1ovP3ZA0RHKZa5OIMQ1G7evPXB+x9sb2099vhjz3/mNz7/a587cWj/VDMT - TRKDx2aSpWZWjEZ5v58Pu7FZaRgomEmSplkjS7JExka+GGqMTBwjhaAao8WSTJ2rTNOp0eo0u1NJ - muxsbe5uro30YGExVYJj1arkLy5JJcnYCREYWlHH6zi/dvyz2svd1+1vkyRhgxqkVnkzyhFYiXlm - ZrrdbMHiaLA7GvaLEBwFAMQSIkI00/r9K9oGZ1maNNWn+ojxQbSK4s3wAjKzCIxpxx+Bdj5Kuf3I - ZY/85Pg0R1UxgBjSRrI0N/HkqfnL2zt3rly4dvnq5oeX3ru6UfrG4cPHnjq+vyNIADKUMXoiuMbk - 9Oz0zFSa8s2bV+7dP1Xq3oQhtTa6YjRWqZcDt/3U4szs3MJUa3v58sqNpRg+GRyzcYjmiByMBE7o - YfnXTNWijllnMCL2SdqdmJrodlfvreysrxIOVdsLiasttljAyU5v99z5S4PhsD3XnJ+dbaQpAQRN - nJNmIrGBMAiwIpZmjk1rU4SHBaWKeaPQOBzm/VG/iKE9MdHsdNg/RNDqCQMq7c14CupP9tGPfw3X - X7vXLQGAxRhCUFXvE2IifgBPC0jLotjZ3treXO/3dyFJ0sjSLOOKS6QRIR8Owk6JAVza6TYdvFXQ - raix4q8cuq0C5wqVHzeOIKo7jJsCkCTdu7i4f3F+Ycqvb5TbaysffnBmc7t38/4WuJFNzS/sP3D0 - 6MFWiod0IRKAIkhBIMlarWPHj/7G3/zyRFq0YA4lyhA5rYjyQhXv3yrwiGBTU5O/+tnPPf7x43Oe - G9h15c4I7dInw7E1Duo7/yhe+2jlsI7EGRrC7t3r16/98NVvffd7r1y5tbx46MiLn/21X//Nv33i - 6KmJhh/24eJYsceI3HbCU9OTpw4vLE66CzfKjdW7b739Vm/t1u172zOTe+aXDi4tLToPAVijFf2V - 1fvnLpw/cuzY/PyCE68GGuU7a6u37txeubcC7U5PTS0tLvjaAdBAVbJWrZYYVaUecHaMVgKfdRcm - npJy/frd3tWVn/d2tu/dXR4dXQAyqIZQxFiKgNmDUgWY4DxQKigyB6KqFYUz8wTH8ECYmZ48eGDh - /IUL6+v3rl6+uv/EaWtVLvGeKJoN82Jn5f798x/e2t7CxEL35PF93W5FZOVGa67dnWGSfm9re3M9 - hsCpkDAMRRHWN7fPfXjx7v01IGkkPhPUxggQI1ZCrBI9U7HK9U+y7tS+Q4fP9Va31+9fOvchWb53 - Yfrg/IFqKqOai9bb6r9/7sL6xgagCduYbFmVwY3qutAjS6EqNRMr0VZvS29cvn37+sHZE9NZZThm - EBuN+qtraxcuXB8Osac7cfDAfLMJkLpssj2xP8uWd7Y21+/f3N7cmd7TrVcUoeKxgcpKvuMqrRHG - zuhaHzjMNSkeZBDvk1bWaHs3IASLBSyOy1lmAIlEtRBi7eeAUC/bCEalsSYlgI05QnMzZSKARZKs - 0Z2ZXUjS7bX1leU71zc3js4vegGYRDEQCqAU5KuGXRiTZQQMuEcKcmPoljioxQrDJQMFArjCO8gF - iMJD1DQiFGTRe2YHRPVJ1u1Oz80uXNvUjdXN5dvL6f7FrE0awYIUEIihVDZOErAwmMxIh8P++vlz - 5954592zt3ZeevlXfu1XP/P4scPLd+689t61d95+7cyZT++Zfv7Y4Zm6MoIkSVpJkjFbGQdlOYgK - X4VRD08WethDvloIpKy5mZGlQAJqkKDdRLfVnp8/mvAzm328/t6drZ3i1p21wVCJMp+mnW4za6KM - 2N3dGfSHQFZvklGx01u+u/zh+Qsb2z2e26cgQUxRZRwSpFFyOhgOdXX57o3L2xOHZ6YTLw4sBFie - L99bPXf5+s6wnJronji4NJlCDAYpVUwVFm5dPX/50sGjJ/Z32mmDHUWDBeRFf33j1mqvPypm90ws - Tjcn2xCu4WlSc0oMiSYFXO3/aCUoYLJ14PC+048d/8X5rTsfvH/2zcMfnLu2OnDzR44vHjg0s6fa - IFVtNBwW/XJUUquRNFpOKovYB2x2AxTGiLASOhrsbm1tbQyGo/nFvcdPnDpx/GB9ZsXKcUHz0dAs - gsmYtNb6MWnl284BiDWhoy78VNIpiINCQ8kIpCOtfDSlnTXbU3um7l5dXt9YvXP77vTJY1UXVgPU - Si7i8sru+2cv7/Z2fSt4UbJYK/NpDOVX90UAC3yr0Z3utJqpjdbu3Fi5d3+jl2edpqlWJEYG8hLb - GxtJxllTRoPBxtrGvfurs7Pzhw8fefr0UwXMQ1KAItRofWsrjwWYyMBGZKhEU8ZwiShp0KovRNUN - 2tVmRICygcwJe+Lak5cMgEIrzPxB0FXNBnuKiIPRYFD0b964fvDOsQNLS1XoqjBWbGz3Pzh7fn3t - fjM9dOTg3qmJCUHis87E5HR7sru60bu/dn9tdb07O1PPbH1TggcEyDoWjrDCkNWdhxUwJnKVIHZl - 9c7dlWWLOH741LNPPze7ZyZzRlSCUulJiHFQ5JKZOBLLEyMggtyIGwGOTDdXbv5/vL1XkB1Zeuf3 - /845mXn9Le+9QxkUTMM30GgzM909ljNcDrnLDVEP2tCzHqTVix4Ukp4UoY3QrrgRDAUpLZczHMfp - 7pl2QDe8NwVTBZT3vuqWvT4zj9FD3gKaG3wTyYyKQKHqolA3zTmf+X+//8vhJ/0dVVWJejvMCTIw - Ddnc3FpZ30pni+FoeXVVRVUFC8MhGN+XBkZqlc9mFubn52fTjc0JJ2AXMwaJ/Wzh5djExuZ62GZt - zXUV5QmlocEkWR4L+WQzmJDKR1TgNAiQ5ZHjsrDLQgAc40aNckgCKK9pqKhtLGyur2ztr61vViQa - LO4TjDJBWsmDeT5zsNAEtdZosrq5pW1ydze9szY/O9EUa4mEXlfBfc+fmJicnZ07UEjr16Xb0q2v - D3q2GtCQfjqdnpmezmWzLV3Np0680dnZScIySvqaQBoAACAASURBVNlWGCbq+kZJDRBUQEJCSaXN - GOecGDeA9JVSEMFDQgQEzwKBYIwxRnNGRkvle0ZbpbfG7Krmtv6+QvX1R/nlseGHadYQnVzJS6fs - 8JHBnpaqUiRFFkDcaK5dIlsbUkG3AcFENUFYPBSJxOJWxhjpFnOe9G3YKOl7SgImFmRtwKtHtHQ2 - iDjnXGmtlK+0FK9g5gYAD/SSxECM6SALP9ibAaElaQ0IbpjSRgbBh2XZNbUN8bIqX40vLC5sbKy6 - xeMBjcfooDxKMNpwcI6AOlVivBGoFMkGZ1ALSCELsphf39xa3yvUN7f19R061tsRCgsODiNgfKUh - 3TzJIhkNEkFZmjFAQWsttaKglq4116/N2oICgB0JhyM2mJLFtFfIGgUiEgTDoQDHCTe2tEYT0fxm - YW5uund3G7rOBOPORCZQruHAvyfIxAlQBG4rYkXfD9xpKEjaSwaUAMA5SFPJ3tzwV8VZEyxI2gBk - W/ZONru8vLy4uJaw66sD8buB0UYWvMX1vRezm9sFtMbLW5oaYhYs5EEFx+RtuIBm2hjFjLFhtISV - B3xisARCVqKqLJ4ILW5vZFYXs9t9ydqgueGDSWgfyjGIKSq5jzOAjFGGOItEYpGjg60zo6Hhsd2J - sadZGM6jqX2/rrrt8BtvJsoqOALdn6bSHU6aGwUJLbmGAGDZ0bLK1o7uyNrS1s7m/PR4Tws1liUC - g+hSElvw11P707NLmf10ebKlrjKZjEcYAzi3I+F4ZSXZKBTyO6m1mq4BqxTaabgS+9nZpc3l1Q0o - I4JaCTuw6j5oETKGWBTJcF1TbcK46YX1/JOx5b29ne3tLb+5Aib091LvoKnPOIKRKmVgPMEMc1jI - ScaT5S1th3Y206n5pZHnQ7t76e2M7xo7yphjm/JkyHF4WnqZXLZQ8FTY5hwM5Buztb61sLy8tLaa - LeYMM7YlSnYqxgTCag3a2NqaX1xYWtqsri53HKs03aQUPH91bWNtbR2clZfFqyvKwxZzOOdCaMFc - Rpl8dvzly2T7YFeiUzOAExTBsMz2/uzU9O7+jh0PN3c0RaIhDhYMH0qyNBiDtowblG41EQPXEArC - AJxgkxQGAJgdbWjpSJSNFGdmZ2emUgOHdE97STVfCgR5MHYWWJtagGUMh4DSIAnKwyLBQhEe7e1u - KA+12D4tTT6f39la20yl86RKt9DBdfiGTJEYRWNhJ2IBJpfNutmi8mDs1y8tBU/MghHQ8lBnY39H - ZZiZmfFhkYxm9oormzkWaRg88W5VbUvJlR6GwQc8OEhURdp6mpeXirOT46m1/WI4STaDEUG3zxgd - PKqBDyxEpKmtq6Iyuzc0NTc1stKbbK2pexUWB4sQILRmRuuS8Z1xIfdNZmNHRT0VVolQ4Ppdymgo - IDVS4GbHA6aVr402ZDvCqiorEz2dbdMjw3NzK9PTL1OZjbuPJ1zJOhu7OrsH4jEwKA6/NFUAkSiv - rK6pi8acteWl8efPjhzuUpXBTWYIByxZA2OgibhlR+OJ+oam2a31qYnx9ZXltqbeAwwjDABtDDTn - TCuQNjAwxIkJS4ia6srOzvbejsoIL5Rmf/XB4wYAWvlSg1uWzQi8BEzQJaOu1/JNrvEKmKAIuoQG - LQV4B2f21SkmcxBDMYDt7e2vra3ncrnm/qqjRwY6Oturym2hwXQEJs+EcKXvex60NtIPYGtgMESq - hIJmDCToQLYcaFE1aSMAzhmDlkp5HIYMgfNooiyarBSWs7O1ubO+nN59ozKmwUojh4Vcdnd9fWZu - fn17F4xLJY3yOEKvSqjfuE0YiIHzTCaT96RlRSN2uSO+WT0jGBSL7uZmKpfLCM4qSs5aGsaAGDFe - clsjXir1GwXS4DbhwG/gAKGqA0/poL5EOiCygF7Deej1L3ZwF39DrvcPHwYgKIIEODGE7Ia6ihNH - +lbvjG8vzYyPvJgdHptazDmV7a2trd31oTiVlKYGAsaCiCbKqiurqsJhPj39YnGpr1A4wcLEWbAk - qtITYgCyQDETjlRUVHc3V6dTM8sz1bvbO4mKZMhiAVxHA1xrRsQBpXUJgANoEIgJK5DxgXNRVVNX - X9+wvrayuryQzp4qi8IhVnrodCmVXdvcevT4ie/7TeVl1ZWVIef1TDtnABPQkCiBL4XRFLQkDjSB - ZBQo4PqpQrFQcIs+TDQZjyRizIbhr+FlBzVZ+sZ1+Icm2v/+i/6Jjn+wYP9PcxijlDJaQxvX8/b3 - 91OpVD6f11qXGuLBXciE9NX+zs7G2trO7jaMiiViiUScg2mpvGLRLeT2drY2Nzc3Ujv7ac/1DIyG - 8WFUQKV9VZ/8//v7AgdYDAAGRkMr6MC2iUAcJEqIItuJJ5OtDbVdLfXJENycWZ5fffz40czCMqxo - eUN7fXNrTXXM5q8kbxxMAExqo7QBIITFOHN9V8LXMNAMrAQ/5QCMhJY62B9JEzdC8Gg4YjOhYTxf - gYRthYOATOA1awv/5Rr0+tC61KwGqcz67KWPfn7z2qXJ6VUlxPs//ul/89/9j52Hj8TjtkVIROAE - 1TgNTfA482CHopHmumRrbbQ8CreQvnnj5v2HT1zXVDZ01De3hx3YLBiv893s7oO7t/+H//7fXrt+ - K5MtCDtkQDt7u89HhpdXlnL5LHy/raXlyOCRcMh+fem0gdFCcDJG+j6IgQswFrTbpATjoqKquudQ - r2PbvluUvgetDVDCpzIQQSr4fqlXyjhgMZCC8QWHktJ1FWchxuyg4Nja0nT61PFkIryysvTw4cPd - nb0AasKIiLRCPp1LLSwuDT2Z3N5SyUT5saM95UkYuFAUjlbHE1Wci0x6d2d7w/PygAoENfmCu7S0 - evnKtRdj267nRUNOzBFW6XII4kI4tuVYQnBozTQEBJxIdWPrsROnkmWJ1NrSzWtfPbx3e3Z6UikE - MZ4xpFw1Pb/ym49+PzU5Bb+gvSIZZXEmbAuc/QMPQelvpEGasLm5MfTkwcMHdxbm5x2LwQitldJu - vri/sLB09dq99L6qqqru7GoMRwDSKK9rbj5cW9uSTe/Pz4zOz0yUbqZXjWiQATcH8NMg7bQEQBak - wQEJAwEyhRGcsBNK2HZYKyW9vPKLnIzgpYwTIEbCkzLvulob4gGsvFS6tawQE44Bd7X0la+173sF - 5bsEWHC4EwmF461tXWUVZds762NjTxfnZ0slb6mLbl7DK6m8JIxBNIKIA4eBAUqT76ngPJnX7EWm - DDPcYpYFZrhlQoSQAAy0VBqkYXHLhgFJ30gvcMjxfQ/haH1Dc3//EYvbG6vroy9e7u9taw3fzTEl - bUAAhsgVpBhTYAi6ExZ0Yf2rL3/98Omzgl155v0/vvCt7x490v/he+c6W2vXV2ZvXv969OWwbQmj - g9XJSZTVxOIJzy9m83u5/L5SJkhmXi3uAb4tsE5BaQDPI+4zLoyyoRMwdjCgo/0CyK2uCHW0NUej - cUMhqS3GwwwOGEuURWMJIQ1SqY3t1K452KyLnr+ysjI8PHLr9r2FpdW8r5UBGcWDlVmbvOZFLdLp - 9OL0+PXLn01NjCmQCoJhpff305PTc/ceP19NFeKx2JG+zqYqRBkMMXCHMc60d/PKF198/vnyeirr - mpJFMDd+vjA0Mj6xtJkt+tWVZbXlkbgdKKqC6224IjJcGeaByVJo60IVob3G1oZ33jpXZvH1sbHb - l69MTS8XeVlL77Hy2tpQqQHme24mlVpfWllaXFndTG0X8m6pRKVL66cO0lGjAnEswTdGacAKhS0r - RAQjATDYFpTKZjPb2ymlJBdC2DaJgCdjMcOVQtGVrjJgLBoNwSJo4/pGGkBwRKMw8N2iYxEZ1/eg - AMtKllXWHBo4VFEXT2f3XgyPrsynSrUeBqm9TKbw5Pn03/3207XVNaOK0i9I3zUalmAA1+AAaSmZ - gmULcFtbESsSTyaiEeavLUzNzc8vb+0byzGALuYcaAZk8t7zlxNLK6sBSU0r5bnSckKWbRuURPcw - gMLu7v7o5Hi2mAOR73qOYGHGAJbN5bP5ghMOWY4gTsoog2DdDhzrGQQ3goiRLUTMCUXDgaW78pWv - tDLfQBkTO8CCMeZ63u7e3vL68u1btx89eOgWiloBFkQyDi7WNra/+vra2upqMhZqbaoriycFQiEn - 3tTa3t7dWZTu1PTk8LMnhWzm9TYZFO8JxIItGDBgzID5IAmUAgEiphUADUd4upjNZbPpXEhEk7Ek - wZRIGjD7mcx6amM3ve9KjzFNqsh1AQwgUaSIBCfS06NPf/3Ln01OTe/nXalJa80goc3i4urE9MLa - Vq6lpbWnuzO4iQEEQtuiV1zeWrl169atGzcK+dIobtDD20vn7t57OD87G7ZZ/6HO2upK39fELS1C - HoUkOczosM5HVcEuvWXLZ7bLwh6FtTZw01GTB1MgNLV3t3T1SeaMjM88fT4M5QtI0r5XLGpjwHjR - 9z0fvgIBNsCI6YIfS1R1dHUz6KXZ8ccP76Sz+2AMRI4VsoXwCsXPP//iqyvXpAron/rgNL+q3h7U - 0Y2G0dpzc7lsOp22LVFRXnaAgCzB7LRvFpfXdnb3BOfMGNKvGSd45VXAuBBWgOl6tX0ZcAgLtiMs - YQnuWEJwYgTOgr6dgLBFQ1NXV3tt3FYbU8OP739968GzpRyP1xweHOxsCpGCklA60GEbMh60b7SW - BhogwQOCM5xQLFleU98Qi0aV76bWV3OZQDREr7AJGkxDBHPbger2oAVLjHMhhJS+gXFCJWKYChYe - bsUSCSJDjBKJRFCkdsgOINoQId+H7/l2yFLaLbg5YzRnCEejDU0tyfLqoofhkZGJibGt1J6SgcZI - B/+x0upVGHHQ4AQMGGdOOFJ6ADkHM47x4Bf39jObGc+DFQmFkmFuG0lEPrNcJfb20/vbm0x5nlvc - z+Z9DRU0PxgMQWrNLDBAeS4LdEnsoKpASFaUV1QkYenM7ubu1oaUgdgWBBiFcCTaO3C4vKY2nfHu - 3LmzsjRPGlppoyQMUSgKKxrM13OCLcADRItvIGzhhCzbKRRdJWU0BBgE9psButQYKK2gNUDCErZN - r2g2MDqfyWbSGScUXl5evn379q1bt5aX1oAgDiUY7GfyC+u7E0u7O0UeS1Z1trfEHVjIweQiwo0K - zQVpz9cSwrLAoq4W+0VfC454FLFIU3dbS3NDdnt9cfT5/PgEFAQgLIBrqCI8bQ78gqSEgHQsKsko - I6FjR9oPd8dDHBOjT+/ee/DoyYvdnIlVdXQPnAxHLahSWkGGYDnCtiBIQxktuYYFgFuRRHnv4cHq - msp8dvv5k/trK8sELo0JogsIvp/OT0wvjrycSu9lGqorm2oqyhN20Hrjth0vr7QioUIxvzg/5WV3 - GWCMVL7MZ3KLc0tPhsdHx6dRKEL6ZLRRutRF5xy2w5xQcAmMAbfssmR5TXWNbQkp3WKhwDkPAB0G - 3/wgQMAKgznak8YvMpUTkArGBZfgNdXlLbVlNlOe62ddUpzDssMOK0uGHJt50t/LpLO5rO+W6ge+ - 609MTD0eGno89WTN3VRGRSNhBqOVhNRaaca47YQmp6fv3L17587dna0twcEcGyBV9LZ39p4Nj46M - vHQcu6oiWVmWCMatGJEichm2dtMf/+bvHt28ld3L+xpeMIIJvrm88ejBw+29rVDSaelsrqgoi9jB - NsclWZoYQVnGE+ZgZSjRsQVKtVgVgK5ZNNHTf6Sqpm5/f//WzRuz05OcjC1g8ZK4xzdU1EIy7hso - X1uADQPDoDmMD+QgioaY5wslWSwU7+msrUwWYXKuJz0TPai/6leB/etPCKGIY4W4MSqzn8nvF5RX - +l1ZiZoaFFUdMAdad7bW9raVJ2xMjj6/c/v246EXqV0vVN4+cPxiRWU5AwTgBG9PFcG8aLlz5OTh - SMxamp+ZGR/fWs8oDzAC3CbxipsZRGgcdqS7/0hLa6tfzEyOPp2bGQeChlBpNSNAg3uKpFSl+pcu - IL+1s724tra6sZnO5L+xMwUpFNeMG20koAJHZen56YyrfRssGYuW93a3d7YkSWFiYvjeg/sPnzwn - K1rXfKiyCpYFjqJDmjECCRAPJcqq6uqrqqqXFxeG7t7Z3dz2/UAjFmwo4BxcBGOOnELhisrqjs4u - 27Imx0anJ0Z3tnbDDhybBBcAeVL6vgTge1L7HohF4ol4Ik4ELX0pPSV9E6ijNEEHQvVS8a/ouk4o - WlVdawvBEBjxBNVb841LzDT4a9atCVS3r+MmvLoRDjzRhWUR50FtRynjup7ruYxghywqef0CYPDl - Xjad2t7K5XM25zbnNg+Ye6XSbdGXRdfzPT/QzQiQA844h6/BbNsJOY5ttO+7BRhFAIRARVU0WcW4 - 2FpbW1ucTa2vaWPALGgwYrtbqaGhoS8uXX46nNJgbrGo/GIAYS9VzIN8+4CGAdDKyur4+Pj83Fw2 - kw4i7Vf0ExDb2du7cfPm3OxMLBI6crivtaXJFhY4K2FSCUQlqJcBlHSD9MAjFDWkApXeFywiThzG - wHdBhgsi0q962/9lTfmbj97r45uVsNdFR10CPwvYoqGu8vypY9WJUG5j+dnQk1sPX7xYLdR0Hm1s - bK6PI2ZgG3ACFxHwEEREJCura6qiUfHyxdDU1Ph+JuNLaK2NklA+tAQpMAOyihTNIpasqHyjv13t - LS1MvliYX8jkPQMIK4BbELQEGUbwPdcYMM5KrWHGI5GI4NwYA8Ybmpq7urvWVpanx18uLywW8nmA - hLDAGJSC60Hq+aWVazduwKCutray3ArZpe6U0r7SXhBASoAJwRlxY5jCKye7kutzCZigXK/oSl8z - RJKJcDzO7deuo/r1RvP645tFXODvfe+f+vhnUt0apbXWjDFiZJTyXPfK1atffvlleXl5X1/f0aNH - 6+vrQ9Gw1Gpxfnbk/r07X116MfKyuqrhzKnv9PV3l1dECOBkuX5uJ7X9s7/5xc2h8SJP/vG//jc/ - fvtkLBlUFvnrEPgf6TjYBg5SCAoaZcGlYRogJkgbEIdjt7W1Hh3sX5hb3MuDM0hjjCGEon2Dxzo6 - OwXBJlDA3SKAM4AbJgwISnJmxGvePTcBLcvAkGRBr59zz8BT0jBjoIIJJ6ZAnBETIPnKA73UUw1e - RazU6FSKjAKzoTUMw8HCzAnIZVbnp+7cuLK8vO5reJ7+7NKVZ7PrUScc1zoqpW1EJFbT3nH4p3/6 - JxXNwSLAYPFEMnZssH901V96vrEwOy2lByt69OT53oFjnkaQNwCKlOsWsjtbqb/6y7/64ovLsXjS - 932Z2cqlVuanRi3bDtc3DB7q6u1qd0RQwCcoA2WU0WQYoLd3tn/3i184Srb1Hqvr6A9XNkRsuZfd - uHf/wS9/9dv9/b2+tiNtLc2RcIgAJizGBcCVBoFxxl/LkAlgZMh4MphyCuzZSmCyiorKnkM9vf19 - d6Y3b9+6YSWrvnX+9JkjA5Vxu5DbWFx4ef3KpXu3n63v5QfPvnXhwluVYcsOGBLCjjS0NrStNlbX - rE2OX/lC1yQj/X3dFYmyvdT2nZs37926tJ7aUQRGzM9nqZgVCAMMzNHM0jAK0kAyo7iC4QYk4jWN - /ceOV958sTC7eO3S741RS7MDibDd39MRC1v7G0v3r3359O7VxZV1zzNwDEmXGU2EILImgLgI2kS6 - ZMsTTCaQJqbBuOBGup98/JvU4sTMhbOnjvZWxEUxs3718ueXLt9MS3Pu7OlTZ8/WJCLcRkhzKLtl - 8OTRpezdqbUnN6/x/Z3s+srRvv66+lpDxhidc7MLKwsTU+N7WfmtD39c21jvlKRcFjhADMHJNtIE - A+bg4UhlU1On46ysrSxcvvRZxPqWLFSvb68n6ypjVeW2zTRAXHDb5pwTtPZBpoR2AkiDCdsCg5TF - cDjEGYwOxi+4iMRPnj43vV6cXr3+1eUvdtPprd2f9Pb1VJYZ7aeL3M/t763MZ54+HrcT1o//+A/C - ZWHBuDaG4aChF6i2KMgBNbiQYL7WjEOQKiWVBMYFQUmQ50sihGwei4S1kcpox7ahZE1tw49+8AdT - K5nnM7P/95//+eL0t948e7q7qyUa5pZQ2pi5xbXJqYWx8ck3z58/e/Zswmbp1aUXQ48f3L1veOjk - xbd6jp9I1lRz7J85e3Jkfv3u84knT+63tSRPn+irrY5bYSdIN8ORSGNL/fLi7uzc+JUrXxwa6IrG - Y5uprabmhurqqMUdelXL5QBpaA/kb25sf/rFtaJLNVV1bxw7UZ6stEXG3Vq+d/fGrz55vLa22nX8 - ZGdnVzgSM+BgVlNrS2Nrs2PP37x5PWW1xiL/qrk2LHR6Z2n86kc/H77zFbNtYYnSiqkllB9M7ykw - cAug3N7O9StfeTvLS+PPLp47WVVZkc1mvvrys2uXryxvZTv6e48eP95aVxm3YEFbWnKjyWhjdC6f - e/To0f/yP/+vH3z4/tuDg7011fuLC/eG7v7tr3/+YnKhvrHjpz/54WBHPX8NjWfEIY3rK8k5WVww - QBkPRoJb0OHKqs7Bw6iruDsxM3bvZmoltTFw8o0T598rr6zhpVVUpVKbN2/evXF/NG9i77317tun - TpW1NgfeKOwgZFJGQylOGoyikUgsFjPAo0ePD7V3D/T0VSbitpC53NblL39/9erVZ8+eb+3npPKd - sMM4pIaVKxJEyAmHIxGtle97pTCCGS4YMQSLIWOOZVl53+XwbQEGSClsJ3zq7KnluckX1+/97uNP - 9pSw/B+0N9YW9rfmxp89un155PEtXzEhLEHKL+ZgVMn5AaRASioRgBMAEIcTiZWJM6dPry+ufn75 - 2tUrV7I+ffDd99taqpJxVigUhobGHg2NZvbT333/wqGu5mg4lIjHQ5Hw8vLKs2fPHj5+WNfUVBZK - mgKe3n9w8+onl69cXdvYbWtQBMNL6QETVogLnitky2QRpDkLptGMYMxoA61h2+BCKpnN7Gu3YHMo - DUWaC85KOyO0BJXma5iGKbi+rxQXLEKRZ0+f7pt8amXlwhsnOhsbLSreu/Plla8/ml9Yqas/cfzE - wKGu1rJEhFBgMId6+89dPP90dOzJ06HMX7G1jc0zR492tzRzow2U6xXGp2ZfTK0vpwo/+oOfHGpP - Su4L0sH2LziCN0WMQxF8v7q+vqm55dnk9qOHD1sbK97/zvlIyBRy+4sL05cvf/HxleHi/lZZk7KE - 1jIPFeDaSBmuDDHGlZ9fWlz49//h34++fPvDD9+prUm4hd3VmanPf/XboVsPDHD69OlTJ98wGuCK - gwnbUVq5vkegly9fpH+tV1YXLp57o6+zmWRmbPjBvZtfjI5PVFY0Hzp1qq25LpmIWRbThkCMCxsB - gIIocCsKSGNGw0iPG0lGKt/XxoBsUKipZ/DwkWLT/fH5l48/8lb9vYU3Tx9ubWvxlW/btvKzywub - L0amUtnMxfe/U9PalHAUC8UbOvqPv2FVXR1dXJm6crlQHVMXTh9uam/e2N96OPz07qXP558/yReU - JSAYcVNaV0tyRqWYUfQKsUVgworFEs0trduZiYX5hWtXr54uyubObtJqevrFi4e3Ll2+9mhk2Sgr - bAvHtl6tAyALzNLaeMUCQXEWOE0YgAjch7SVAeOB0VaxmGdG2pwxHbyGAQKxivqGhosnD6s79yZn - JzeceKKqfmDwcG1dbUUEDgc3ANmARMkOQQvO1YH/W6mHTswKR2vqG/hMen116db1K2F+ivzyrc21 - 2urGmqqGsEMazDdBYMkszshoZYxU0mKCODcwjJcs3IMTFTBCwYTRRiolpVRSMhaIklBSLksjuCOE - 5bkFRjpk85KfCBNwogODb5y/sHH10cSVK5eUzH/7W+91d3XUV8QNfC+9vbqTm1zY2MpJW7C3Lpxr - qC0L1nZtjJY+56xkJWMoAK1XVVVFw87U1OTDx0/qWrpaWtsAs7W19eLZ4yuff3z3+u3drLRsJxFx - RLDGMgCcmCBhB3mgxShg3ZrSlCqBWXY4FgqFQHplYerlyLPxsfG6eKXiztZ+rr6pvjoSKquoGjj6 - Rs8iPZtd/dUvf5ZLTX349snGypAdijBmZXKF5Y3th8+HeTjW3tp5dHAwFhAzwDQJTUxrbYwMIgt2 - EJ6b0iesxEZ7lTEH3hGahBCMM9/3tTb7qa2f/exnG/MT375w6uSR3pAwqbWlzz/79MrVWxklzr37 - vdOnz1dFrDBgg6CNUVorT/u5iGVCjg2CMQwc4ZDtGe37vmVbXceOHVvNf/Z08smtS+SvbW++MzDY - 1V1TGVde2Pe2inJ0afHR+Houv/pHP/1OY1MMECUzQPBYebylqaqlyZrfXE/vGDu6HYomGlo7q+ui - oXDJGdNIA3BwXvRlxs/nZV5wRAW4Bgznieq+oycOje5MbLy8d/NyiG9l9+beOHq4LFqpi5n1peWH - t76+cfmz5bXtzr5Tb7//Xk9LXSxUKnmUVdcOvHH6y2vXny8uffzrX4hwAqeP11ckd9cWJ548uPr7 - 386OT4HZFHIsSOMXjZYwZmNx8Zc//1lZMt526GhH/3E7Xs1VMbO1dvvmnStff62kX1VVUVtTZVkW - qNTv0IBRsBiMgeu6DsPIs+f/+W/+U+9A76GB3p7+w9KIvKcL6dyNW7fvPHpSlLqqqqK5tsomALDi - 5f3HTjTOZseHl//2r//S3dj43sVvlSfCa1vTw2P3v/ris5knsxEr4foaBCV9TsSJjNQMDMSC6Zj5 - +YX//Nf/aWp8+M2TJ948+YYq5iYnhz/99Df3HzyOxWLnz7872N9bnoxxBsAorXLFgiYCYXlx8dOP - P0qnVr7zzoXu9qaEw148vn/rqy+uXX+kjDk00P3Ou2/VVFdxA/gSUoFg2w5A2mh6VbFhHFox5QoD - wUBEroRn2aFIRVmNc/TI4OkXU7MLS59+/Ot8Zu/tixebmhtj8ahliWwmvb668uTpM82d5o6eI4MD - kRhHfvfWpd/Pb6SsRLLv+Mn6+k7LTubzBxQ0VAAAIABJREFU3tTLJ59/8vOJmdlwtLnnUG95Mll6 - GIw+yIi/USkSIhyLVdfW1tbVLaYzmd0dvwgTBl5P0GijwYLCE+MiEW1urOo7VDWxmVuanctmhG9C - tS0dFXUVoRAOVLf6QFzNncqKE+fO3JzJPb0+/Zf/8T8Wvvtu6P23mmqSoVgYHLuZzJORsaHhsdrq - ilP9TUeOttY2dQ8e2T11bHxufOjjj7bIbA8e7m5pbC9PxPM5vbS2MPzy2dLSQnVZ+Y8//H4iFvMz - 22PDQ1e//v3jBb/i0Ntv/uDf1J2MU+DJS4AO6lPE6EB/asAtO0IWcQsAcaujvbWtucESePnyRZ47 - qW1z5FRn96E+qQOoRdCnDDTcBCfc1NL2gx/+8JOrw8NPH//Fn/+HDz44feZcT1V5GVO8mKXN9a1H - jx9Mz05+7/13BrvrE+HIiVOnxxbyI9M3f/fR3+UzGz/5w+9WVyXiUVtwk97bmZ6af3B/qLmhsb+7 - 7siRjrzrFYouYAQnizMumIEx2hCzDkaHQQROBMvOeXJ/b096LrSnlZ/O7JliTmmX8yptyGIyGjOG - uCGmlIJX8L1CPpvNay2V4uGY4rbneWHHdmzihMAhN7CmDur8sViiqromFouPjY+J33/S0NTG2zrC - XLjZrccPvvj6y589HHqyvRXiZc3RkOXYkAoWUxowTGjixJgthPGV0txmuiQTEo4ynpRGa21xFrK4 - IEWB07EI9Rw5efGd7OJXj57euf7XtO/98L2+pkrB+dzC/J07d+9cvby3nxFhZJUxMMwoUfKgNq9F - riYwNzKASqVSd+/cenj/SXfn4SN9J/v7+sIhiyCLfn5ydvLhk0f3Hjxyi6qzs/vkscNN9bUGCgRt - 4EqjNLOEHXHCInDB8z1Y2gDSBCUZcABaajBiTGvDQLAcDfjSl8qzLXIcSB9cHCB9AGgoX3Hw0pav - g24xDqYRDqq2B4qqg4KuJkMiUt7Z1dtUWz+/Onv76pWVLTdW0dJ3/FRdQ20ocEJW8AmaMW4sUBhC - Dxw+/K13U5u//d3tW1el7/3we9892tfVWJ30ivns7ubm6trd+49MpKz72KnOnkMtLW0fvv/u7OjT - 6dnJf/d//LsPf/xHZ06dam5qijjMLeZ3NpYf3b+9srlT3dAyeOxUS2V5KBwxjPtSGZTsyg2os/vQ - W28Vrz0cefb44V//v/oPf/x+b3djZbwiKsLKk9up1KVLH3/91acbm1vf+dGP3n77QjwGu4Szf11X - hYEEI2ExYhaZsAXBQAbGQPqStGtzDSLjq9XV9dTOtmKob2sqr63SAlKXLOK1ARnDyLzKoV5VBl+V - Hc03PvmnLuD+8wITAGhjjGGM7+zsDD8fdj13YmJiZmamobExEov4Ws1NT08+fTL6+HF5smrg9OkL - f/CD7kPNkQh8pQSEViq9vz00dO/LL+5pXnXizAfFM0eR4EFriA52kH+CIxCCHFyR0qgsCODEwRQg - GhsbBvv7b9+4vp0uFjSU0uCMIrHu3v6mluZAEhs4v5eCXQVNgnEORkZ5WnnsQMKtDXHDYQAtiVMg - uYdlM8syDMyCdSDS5CDGLEPSoDQ+HQwmwUgQKQXFg5nkA5TcAfMhABIYDS+f202tz02vpdNQBK0w - MzY+M7kApeG6YePasKsqO0+cyX7r+z9INkZLjR7GEI4cPny4Y3z3+tNluZOCsEV5ZcehI02tXTpQ - zRpF2nOEaa6vfffiWw+ejDx99sLX5EvFZd4BmmvDJ06eaD128cyxgbpKxgFj9AGDi8Ao4Ny5rjv0 - 5One+nr9+EJT13SsuiFs6/z+6vNH15+PjDS0DA4eHmhrbQmHQzAA48YwZQKRJpHWQYSnFTgBrORo - QyzwIjcwOgCT2bF4W3vnm29eWNPPbk6u3LhxJZNaWZufrkqE3PTa8tzIg7u31ne8qvaOM9/+4OzZ - sxUW56pITEE4rLaxpavn3BtvPHz0fHTo8e+i9thcb02ifHd1fXJ4ZG19rb2rh/joTg6Qni3zgfxa - ka2Z5WkttQcjBbRlgovH7Yq67n7rxIlj6+lHU5MvoLRbyEYdMXWoIxGxdzeWR4buZbc3+waP7U1u - rBS5KHWGUBruCy61NtoczB2WHj74CsSt6uqqqqqOjPbHxsfS+1uLi9P1Mab31q5d+mxiOVXT0TF4 - 8e1jJ0+U22AKzBhwu+rQ4Ik9eX5mdfjl5JO7t22jZqYmGxrrAW20yhby8yuL80sL3I6cOf92nTkg - AB7w+nTwJynAhZYAj8VqOzv7q6vGV6d3b9742mGFpvrKzd3No2dP9Az2l9dWEuMkBEoE5BKm4CAh - p4BHpsmApBCGyCipLJsABivUO3D4zGZhannn6cvZO7dvFj05eHSwppJJb9NmfnbPLM/tTYwttnY3 - f/8PP4wYm8AJhlFwEwZnMti9jQEpIsUFcQZoI4vyFZzcICACSGWIQKSV9n3PlUqFHAHPxMsqz549 - e/7Z5E76ztjzZ1xjY3X36GBn2M5b2FOGzy6lp+Z2ZhYW65tbj58uFHw9OvryxtWbs9MLdSfffvNb - 79Z1NjsJoJhv6Wx/48TR488nHj578ezp0L27A+9cfDMWrgBgtKysrD5z5o29vZsLi5OffvbbyZnO - eKJsP5175933ErEeO+YQAu8BTcRAMjAyy+cLY+NTswtbIctaWliuLq+wRdYtrD55+NWTobma6u6B - gb6Ozg4n5GgocNHQ3NrdP9DdO/F0dev+7ZuxiNVSFxXIbC9Nzr0cczX1DhzZndgMPLUCe9eS0JoE - mAhFIjERj4ZDM9NT++tze6nVyoryTCb91eVLiwtzdlnt6Yvvnzp1urrMERw2CiRdy+iAxdXU2hqK - RYefPeeMdmfn+hsbU3OzQyPP7gy9rGqoO3P2zXfOn2mui9sG3EAr+FIpkrClsEFQTGsGaO0b+AQL - lBDx9pYmaqmvWJwZXZxbkrGa8uauvmOn48koK1VP/UwmPTMzc+fu3YKOdrd25QcGSpuBgdYIZOAw - 0FpxKADJZLKjo72zs3NiauX+/fuVsbKaijJLyGwmdevmlcXFxVgstpUuGKMZJ62hA1sVw4yG1oqY - IWYMTOCBywQDA6SBKxWEEBYjxck/WORFKBQ9fGxwZnLw8fT85Owcrty0lN/eUlPIpBanxqZePrMM - nTp9/sHovM0NaZ8fZE+BLgfQJe5ccK8z2w7bAwP9+xsbq6sbU6vbn391Jed7PW2VlXFk0ulHT6fG - JldbWlt9qThjYcdpaWk5evTY0NPnL16+/Oijj1o62sucMpmVw4+fLM6Nh6IxslIASKvA6M3AGHBi - TFiMuAak1grgZAjf8OPQjBGR9j34RaNgGDQzPBh9Dzqa31jUfG1cX1qOHS9L2IzCsdhuaueLzz7f - Xl7saKx3hLp1/dLLkWeWHT1+/Og7b51sbohEQwAcpXVjU+vpN08/G31x+9mLe3fuaNDG/EJ3azM3 - RkMV3cLE9Nz04na6wN668JZpTRpuiFgAtQlWAFMKChjAmto6evs3HwwvT02Mf/ap77u7YUfnsrsL - C9OTk2Pp9B4sMOZrlWel9lUQAQaD7lReVdVQV7O6snrz5s1iYb+hvrKY31ucGH94877OeRfOn3/z - 3Ju9PV2CYKAMNLdsYwyIEtFELBbLpjNffv7F7ubSVE+r9tIvn90fH37oKwz09V5861xzQ008wjjD - QStEi0C8ZkgZUiaoUIIzRLixtcu14kJIcAlL8GhZc8+x4/LDi3P3nr6YGhn6qJBaWlvs6WpjRlqc - ikVaWtoaG19Q0ANHB2tqKphjw4ok6tp7D0dOnzxauPdycvTZF1GsrC20d7Zsbq+NTb2cfPq0o7oq - ySg1vRmyLYvUKxr16xCuJIDRACCsisrqU6fObO7mZhZXv/7q682d/fbuXqPk/Pjw1Mjj1NaeARyb - CaahZTAcBUPQTBoGECfDjF/66VppozS3jGEGRJp8CaU0J8MhuVHMgGkNYoZsCtnJivzJ/s6lkXvD - u3tFriOd57qOnqmoLI+/GhgL+uZUGnlh7PWey0o7MkWSZb19/Y/GUqMLO7dvXjPeZnNjYnN99cKb - 70ROJC3uSA3NBLPJgKTnMa3IsGAOWGkljbIEEYNSknFWQgAQoHSuUDTEuOBa+/yAdykhudTMMGIW - MQbpc1KCg6C1IW4AyxkYPP5+Gut5Pr25ffnSl7nsfk9XZ2NVmdCel91d28mOz634PNza3HTy+CBD - mXnFPAqY+we7oOZ2OBof6Du0MD1+7/Gzew+H8jze2d5u6UI6tTw68nxldY3CZR7LEuMhZiz1uqjt - a/gKCB4srejgPjAEIgYSTixeVVPT3NKUymZeDD/73Scf1yaq7WgSwjnrnKttD0cS5UdPnl3YTyyn - h8ZHh92dBX9/pakyYoWiJOy9bGF5fX3o+XBze0fUCfk9vSxiMSagjG8YCYs4A6RUsAAWBFCvkjN2 - 4H38SgBQ8j0nIQIdtIzF4qKsWms9PDKSTS2vzvWHLKTWlj7//IvNvUJdR//FD/7g2NFTcQbuI3Af - ArMImnSBoUBQGlAKmmAzKIIPYxFVdnefelO+Ozb7fHr18e2vfG9rdra3p64m4rtRrbbcyIvF/eez - O46d+/CD06QcxkEM2oATQyTS3FDf19M9c3dqa2udIjh+sq+961AsAaZhgqFhw0gLaPKN8YVhDgck - 8zUXDIYhXN52aODEqe2F7fzj4ZHH9+/lMqnlxbXyaFIV/OX5+eePH06+GK9paDl/7tx3Lp5rrg6H - OYIfHSmrbDnU39F/ZCL14PnjB1XVtfvL0w3VlVtrK8sz05ML69HyhsaInZpN2fAFdNC/29nZvXf/ - ARldP7HQMbUYSlRz7aZT6/dv3piZmWlv7zs80NvQWB+y7WDBVaXNN6irM20A0OZm6sat21OLiyMz - M/1Tc5xxr+ju7WRv3by/tJ0eOH6qv/dQS1UiHFzQeGXHkZM9Y5tPZlPDD+8kQCZbjIXt1dT09Nzz - zfVUOBZv6SxbXFjX0FJ6zGhORBQYlUMqk6ysjMdDmXT63t07m0sLm8uLys1PT7/88stLyvgD/ae+ - 9+EHfT1d4VBw92hTEgWwcDhU1dGey2WuXb1aTO91tTUlQvzZgztjzx6vb+50HTl78vSx3r7uRNwO - 7LmgNYwO3B+ICbCA9QlBZJEJwbMNSEmlIQGXmG0lWSL0xtHDW6tLn1++sjgz8au9zOZetqW5MRmP - 2QL57M7G2sKjoSfldd3nnerOLoGogdxfmX9+58n0Wp4NzKfbmsZjjl3MpqemRm/cugsr0TtwdGCg - v6KMH6x5AZyyFA6XpFOMIRSuqqlrbGyc3Spmdrb2d1EZhQmXUt+DlZ2DGJhAONTQUHvy+LG1W08W - l3e2d6f7Bi+2HOqNVQQQTQiAtII8mHaNJzr7B86eyc+si5cvpm5d0+HidnN9RSjmGGZ20+nRiYXZ - hY2zZ08X3CboEJKhvv7+739w8fLVOzPTL371272JuSNdTe2VsfJCJr+4sT4yOa7IHD/UpbUHFDw3 - t7a++mjo6c2x3V5T13dh1yBesiqkV1qYgADAA/9lxoTlsFI/kLP6utqOjra2tsTc1t62By2qm1ta - W1rbOANnQRlaloATYOBWdU3dt7/9/vK+2L49+uDubSU31jc6qysrLcTdHFteXJ+cHs/m0m+9eVpp - wHJ6DvWdO+fOrhReTCzcvH6VUKirr4jHQoKb9N7u4vzyi+HR82fPtTYmQEyDApy1YBCCMUYGxhjz - ys32QElIQlggJqWvtb88P3P92tflUT9iZQlJoMK266or1PGjVRykFQCT2ly7eePabNKusH2lDQtF - eSjmhJ2Bvt6mxjoCGXMAQdIGBkSsoqKqs6O7p+fQk8mle/fuNrV2Tba0Rrid298cf3lzeHRM2E4s - HnWh4LsB9CbADEBYmgkDImgykgwHlIY0xiJuGyYCG2TOyLYYNyow8gVzWg4NXriIJws7L1aWr1xa - C+n8y9a6sGAzE6NLc1O5TLqnr389sfRiPsUYs5gOvLA4JDSBhC71Ja1SlExUzGWmJ0ZXZlemX0xN - DhyJxKOGkC1kJ2bGp+anCq5/qKf33Pnzfd0dFWVxgg+QMiQVM2QRGDOG6UBQDWgptda8NIyNYDaE - UCKIGkAbzThxRlBGu1qVeogUxJ6BxYE52JpMaTMOvmuMLjnHfSOoCl6oIIXhiNW0toXbm9tGXixO - TUwUKJloOdx95EhFdRlIwRhmROmfGxtQ4Lq9o/Ptt4uL6ysjIxNff/UFaX9ppqe5tqqY3d/fTm2u - Lb8cm2zqOdY+eEZJXllR8caJo+fPn8zcHnoy9JSFytaWV9pamsIhS7u5nY2V0ZHnnmFnk1VaSmOM - 0pqY4EIoqYzWRIw4r21oPHaMvXXh/OOXM3du3QxZ/kRHXVW8ImZHdEFtb618eemLzdRa/+CRi29f - PH6sNxwK5qVgoNdX11Pr03sbSyhkl6fHF1fWtndDhfm5a1/dqYqGahKRw4fa4hFlCw0pIYzvqeXl - ta2dHS2otqUxWV0RePMElbODAaHXkenfD1W/8UUETsrf/MI//vHPVLolxjjB83wYzRjF4rHe3t4z - Z07fuXv3wYMH165dI8ZMoAhXqtx2misqP/zge2d++CenvvuOz0Ea+WwxFGIwcL08Md+yuAtLS65k - IAPV38zp/hEP8/c+fXX7k35dui35SdRUV/ce6m5qqF/dmstk4fkSgkXjZc1tHTU1tQxg0DxQRBhA - Qru+BrcsByHbK+YKhawQnEECpA24CdIsA61gFLS2I7FYeRkYnJCIxyNQmqugHGxpeMqYV868WhkG - BSZct6i4ZTuCuIBWKLFvYABwaA3XNV4h7xcy2gdRgCm1EJB8hMV8jynFoGzHdiKxoq98CSugs2kN - Ifp7e9ta5gSMbyRCycrqxoralnh5pWAwGtJ3bVlAPPTmuxfPXXjn3/5P/9vPf/3R6uYODCnGDVMn - z53/4I/+67c+/Kld7lgIqCeKEwO3EDi1QnGQ7dgVlVWjz0ZuPHgu+e892EblSKVDQsXLat57773v - vP9+W0tSKWilwMnzldIsFA4DpKQXiFNcqSJWMGAkQpGIYzucCqSNVlpKWSy6IW6Fmlp+8MMfFZMN - O9btRy+nfjM28slvfilU0ZZ7jlLlZaz92IXT3/nJu9//yfHO5ijTKOQ8QW4oouLVHb39f/ov/lBt - 7X1+7/YnH/0W1xNx4mo/e+7IsW9/69snTg/+8jd/c/3GcFhQhCTT8JTmwtbccqXnqQKMtDgcAgyk - ZiJe0dpd/a/+5R8zK/LnfzGlLWtjde0XP/85h8+NLyCP9Pe8/967P/2z//Z//4uff3FvJCSIQ8OA - hHjVZ1NK+pqEJRiBEWCYJ1XBk5YT7u9r7r/wPRlKPh95fumrLy9/+WnC3avWrioi0d107oc/PPO9 - 7/YfPhwBTC4nmWaxSKyl64xTFo1W/PpvfvHVjRuff/b73/7+YzKGM4JWkohZVmNr25vnz5UlIvEQ - LLyaQoAKzB0YGGkDT2mfEw+V1Q8MhLs6h0fnHz59fP/Fs3thmzmx0J/6f5aoquiuryHbhrB8rX3p - G+XbHIIOkCMWKbCi9JRWwiIhAK2k6xmbQwGGJRtbLrwVUlZC/uKjx89e/vJnf/Ob31iCZYzesziE - CXMd7+ocPHZ6MBYJW5wYwIgBIAOltCACkVQ+cWKM+8rAsp1wWBvpFjOFnDYOg4TWUgsy4IYYY8x3 - CzvbqXwhJ5UEWVppZoWSjTV/8oc/iSeq/tPPfjs6PP7g4XgiqshfYNLXgBKxcPlAV19vNJEk26TT - O7fv3Prko9/n837v4cEL7387lIQC4FiwIidOHv+vJBbXV8bHXv7ib3/V1d7ZUFvLOQP81rb2P/rj - n4xNjN+6c+3v/m5aQ0Vj5XV1LXV1TV2dbbFoOMjXtXQZMYv5AXLAsp26huahkcVnjx787qOPmPRt - no2GtGCgZPUPfvq9s+9/t70jzhl8rcEsp7H52MnTH64Ud79+eX9m7v/5v/5PUI5TzlL5P/vpDy/8 - 4AOKlK/97NOVnGFG80C8pAwYZ8I2xCorKzs7jn3w/R8N3bl6+ZNfPbh93SiltZJSNra0nTpz9gc/ - /dfHjh6N2WBGkszDy4cYLE4gfPf7P6hrrL//8MHTx0M3fvdpuWWpbNZjFC6reu/7/+L7P/hhd1tD - IooQgRm4LvKFoq89J84T3JF+URaKJukwaG0kVwL/H2/vGW3ZeZd5Pv837XjyOffcfG/dVEmVc5Cq - VAqWJUuWsy0ccDcNBgM2sGh6AT2EZkzTDdMzzPQCeoHBdFskB9kI220jOWEbCwdZliUbY5elkird - fOIOb5gP+5SQ6TWfpun96X65YZ2797v/4XmeH5UgSqWwu3Nh6vl/oKtd15xuT6/sm9zRChQApIOe - 5EOd50U5xDn3A19IMbISaOQGyiscvWStNTrjsGGreer06SsdbD340Be/+MUvfe6LHie4hPNsaXFu - z57dc3Oz73voYcYoz9MkjS0DC0p2c73f66ebm1JQFAUOFlZrYkwKC8AY9JM0AxHzJJcsLxQbjCGK - y6Xy4s3nTz/fSbc//Omnn3j66Se+xNBXIqmGwdEDB15y662HT5//hf/9NzdS+ILJF/lSCIxxOdK2 - OaedTcF8RjMzU+fPnPT98N3v//gjX/j6f3vwTwPaDtyWzp2Kx6YXDp06fcuuXbu5ENyKAwcOvOEB - t9Xtf/mbX/vy00/4cSRyYQd2vN48dmzXK171tj/843c7IZzWZDQg8tykqQZRs1UPIumcTpMB4pgz - YY0RxEEcw1QDyvcCSGayNEEQA0Taan5DhMlvpFEa53Ltcm2r9frUzLSV4syd51cH23/8x7//1b/9 - DLdpHMhBb1AtefuPnL73ZXefvfOWcgkCcJalCcWV2qFjh36y/BPs3e99z58/9MlPPPLpj32MdA6r - LRwYVBCPT63sOXBSCcYZBJdFKESh/DXGcljBLGMcXrC0c+/RdfflJ59//BsXP/iBxz/6V3/BkBAz - SuKul95+38vvee+HPs2QDvqbngJEMf4HMc640NbuP3jgda951ROPf+nLj33ht/7jb0kBcjnL0pDo - 7vPn3/5z/3Z8zyGvCQWYPNMuE8onghd4rcbE2Ze+xJWi3/uD3/n2N7/KkJi0Q7mplXD46IkLt56/ - 5dyZqXbkj2wQLM91miS+UpAq10Zrpy0YQQCe4PXY91wqYKMo1iQGhpWZj/rU4SNhs9F4z3v+8AMf - f+Rzn/v8Z/7uS75wHmmXD9NcGhc0WrNHjh7wBYsEFOdwEnFrfqH8xgdeK/iH3vtnf/7opx79xGc+ - LYWzpr+0OHPbmZP3Xrhw9bvf+fZ/eTCOQslcOoTxi4EduBDFSLBgtxfOvfbE5Mtf/srrW/2Lz334 - E5/4xKOf+RvpR8NBT5h0slV505vfOvHM1iN/+w2TJ8lwkGeAIlhCZpPMMcYr5ZhDGwMimCxNnCVP - MiGJKaQuzaxzKMeRIGvyIXdxQatLuQ/BVeDvnht/usZjjoQF4cy+pQMnwigUGALSQWgUaemFEm20 - 83OAsZY5wzgBrNYcO3PLuce+ceWpi4/97Wc/89jnPurJRCmpRLi8tMeT5dw6cOWHZWNsr7MNayST - nFTaGyZJqo0WShC5LB16IecQngfkyJJsbWNDel4USedMMQpMderSIcACP3KOFRE2hBxWO2fyzJDO - hZITNx16RXvJxmN/8bFPfeFv/uaP/+jdzlgFw10ubJ4zRUFp/9FT+/bulkIUCnkwMEZMKZ0NdZ4V - gmLGvXJz7MKt51nafea5y5//28c+9tknQDY0WxVK40jd/co3nLnt7v/427+rjUXWF3qksDaWhqnu - DlLpEeciSwY6tU4zJor5KYNlolRbWlq67cK5Rz77laee/No3H3vCc97U3I6z52+bn5/dObuDR6XD - p24W1b2beeOjjz7xpce+8OQXH/Xc0HJFKkwtjLNBHI1NtFrN+sjexoGBzixJP/CEAsxwABWCE7R2 - TL5gvH4BSeK+vxNzTEoppTF6ZmZ65tht+4+e+PwjH/nLhx/68Pv/REIL0oMk333k7O33vva2e165 - NF0TGUy3B5HAE1IFnhKCMp1spunAERKNHJAKARjzlO72RLt9+ny9Mj71//zuuz/81//9Ex/90Ec+ - /pehzuVw4GsMZc3E0/W5A6eOL8IZMhnjDiDtwK0D4zMT40cOHfzsk1ex3nPG7t23f+fefYwhS8GQ - sUCCSxgPaQ4uVDmstqo6Twab61W/5TQRDyvT1Qu3MRWVCOnXnnr6oQ9+5CMPf5xpgzwjraMgmJ2a - vv/Vbzj3kpfuP7Jsb5AbQUKEcWVs+ubb774+cN/6s4888vAHPv2Rh8g6DlpZXr7vnle0Wu1vfu/a - V779XoU89LgU3BrrQM3W2ONf+cqnv/j4dvJgCqmcCTlxk5fGpu69955zd9w6N9v0brRlLxqngzNS - vg+rozCanJp5/OvfePiTn2TWlD2pnOn1rRXl3QdOv+1Hf/zsyWPTNQnmQByl5uzu4PDxtW9fWn3k - kc995tFPPPaZx/I85XLYnozf8sYHyrL6zNOX1rc/pZ3ReUbWcmIQihEzxuVa71rZuW/34sLc+Ec+ - 9L73v//9f/En72XOcG48j50+c/LWe15+/333iiggWwi/DDESShETzVbrbW/7ka9fvPaJj/zVnzz4 - J9mgJ2yqyFQCMTG79PJX3n/03NkoUqLg8zkCkbM2zVLGuFA+E9AOmYNPFCheUpAOOkvSTEMiBQiq - zNmRg/unY6pI+2cf/8Jnv/q1r33ze4wcWc1swlxPUBZE/olzLeHVHeOABhtOjXlZPnz4v3/5w48+ - 5bmebzvKGlLMiyt33HP/7fe+Zv/+FfJG/k6MovmZZUUUZ/GkELiot9pzs3Off+JbW+vXLz/7/ERt - suxbTjcEcVygWGmRhOXj42Pnz51QKbkiAAAgAElEQVR97Klnv3Fxw2XJwsrKzgMHZIhkAG6zakm5 - LKM8hydABClr7cb9L79/fPrE7/+XP3r6q5977JEPxwFp6EwPc4v5hZtOnb795LEzcwtTnb4txd7O - nbt+6C2tasl76OMfe/ivPvSRRz8eaMYHOTeMlcul8clXvv71J06frdZKYClnmnOmrSPGx9rtUrlM - xWbFjWQkxd3nUESTj8K/cWOLRQRZLu1cWbr1/PnNv/70xlq3Vm+MT0y2xsLALwYuuckzkAADZwIa - KJX3nzr9OtYIa19+6KN/+fCHHnrwT64yQuQ1PFbtbQ9OnT31ilfev3v33motAnrRWPuO229vT9/0 - 2//5D77wxc9+/vOPej7nRZZ7lkyMTR45eHR2dmZmZgZSekHo+b7VmjNIMdrduxc/PLZozQAmPD8I - w5DBfvGTn3jsbz4sqVMO88Bvb2+y9tiuk8cX3vETr56ZZlmuOWPf+8YT7/r6V5Ttl5BwIYeWKs3x - m/bf9GNv++GxVtUJLgXnnMFBa+OsU5I3JqYOHz56223PriWPfOpLT/zWb/4HjwkF7vLenl3tY0cP - lKv1x7/Zf/zp3vbG9V5nsjLGCQxMkFCWCW1tliWKQ3E4mDRPnXG+Col7xIQ1lpGTjDgsmAOTAGvM - LB0/Vbrnejf56Cef/Lsv/sHFbwtPRcKY3sa5E4fe+MDrdywuPfrIJ7/x396nlPL4DX6jy+GYhTD0 - gvpIcripqckjB/cNNrc/9cgXHv34Rz7514+Q8iwXiU5zl5cb5dNnztz3sldcuHDn5HhTsdGg1Tpm - wIl7Rrthr0sGCvB9Zfu9DDm4p1GAETOfLJhAITu1QLfvuAjCQOZ80N/qbpvSOC+Mp9aYAlfOi8hd - OyIFFn4R66w1RhTp3DeGuzdGuHmmU8EkyuNRNLFrcefX209/+5k1RM1Sa3zHrt1RKYTpgTgh5GCM - gIKQB91qt0+Xg3K9/Kd/+sH3vf/hP37PH3GjFcGmA2eSwKNTZ2/ZtXv3sWM3DVMw2MZk64EfeE1t - evEP//yjn3zkMx98/18IbgmZgFOEQ/v3X7jzrjvuuLPRbJted3NrmwlZKpWzLNV5TgCTHkK3sLj4 - 0+98x3/984fe++Af/t7v/o7WPem4D8k1HPJ6s3TbHeceeMvbF3efKjWK1QgAWG2/9Hd/9/CHHvyr - Dz7CcnCDnkGXhH1q428f+SycPnTT7l/75Z87emil1PSzYVc5k6X60nPPr21ukRKt6fG4UdGA48AN - l+0Li/nvGw6+aF5+Yzz4onn6P9vw9n/R6NYaY60VghfCfDC2d8/e8Ad/8I477lhbW9/c2tzc3Ex1 - ToLHQdAM4/FSdWFhubGyW3E4QDCoICSWRXG8uLjw1n/xlhPnXp1g/Njpu+q1KrIehIEAMWYAGMv5 - P1OG7/f9H0bqDRTBGSTKlT037fvRt//4KzYHAysyWemwShq2T5w43qgLAnKbMO2LwuvCJPNLJ07e - XB4/d+YOsHB2fiHyhCDkGJ2sBGKSuCmU7kxWWu2TZ8785n9a2U6DWjVe2jEfqpFGnm4MngoxMBVc - SMY9T+ZMjtROKHL7GBxyjYJZ6EvyG82TN1/4D/9HYz2Pu1RPyddMGBLC2lDngc6ZFdxrVZoLU9Pl - wqvLoQECD8pTC6993Rvm9t06pLIWJRbUDx49WYqRWfgEKQRIgDSIk/AfeP3rD588282cJi5dopAs - zk5M7NhdLXlgUEXMuKWR5MFA6yxzKSlXq9Ze/4YHzp48+/y19eevb/RzJ7n2pG5U1Nj4/NKuo5Mz - y6xQLxED7PETZ8bL5X1nbtt9y4XJyZYClIQkDrJwDH68tLz7TW9qnNsWR0+emp4oSQGpPFgHkuXJ - +XO3lkqLe55ZXd+4em17bU1Bl4SpBtRu1etzO8cW9rRnJj3F4CyUkpzn4NaZuFQ6euJIqMTJe+64 - tN3JpQqJfGv3LS8t7ZyrNuKwGt155/bszE1LM5MFMstA+FHpnvvuO3X4WM7EyuIOReDESChrrbF2 - aWnh9a+6d3FxQQblTmIuP/eszQa+dI1qacfc7MKOubGJyde97rVHzt1VicPdKzvIWZ2lgnMIDgLn - 3HFGDNbCaCuh/bi0uHP3A2/+wYFfbyzu16p0+NC+C+fOXr74bdq8UrODiUY1mF8pL+2dXlmJo8AR - mC8FYB0ZgzAoHdi333uTOH7zmY1+b63TSQYDT0nJhfCDuFprtMdnZifG200gzVLiTrECOMlgbqhv - CYYYwXJ41YmpygMP/MD+UxeuXLmS9LtKoNqq7zt6cH5hwVobhdHegwd/6l//7LA8ES8fKIfgNFK9 - G+NyboRQ5y+cm6gueCJc2Lsj8H2rU+PApQKoPT5x5kxcb888+/yVq6sb253tLN2E7cehqsatemW8 - 3ZqaXZj2lNKZBoxSHo0EdQRiRJBcWlguZKXRuuPul1X2HlTTc409+0oBK6LFGC9UMrJcqrz5LW8e - 3N7lgdh70x6pBByYF8AKkFjcsXDfXeHc7Mrltf617XTQvezhWtnfqlRbzJ/24h31icmlm+aFLPHY - nbv9JYv1tgzLrSOnmq1QG6RkS4yBscZY48TJI7/4Cz/X7w6qpdrE+JS1jDNORJVKbdce7+0/8cN3 - vvTmja3VNEuiqD47u3zs2MlSVM5TKyRnjEhaIofCgsdYvdF8yUvunFve9/zFOztr120yZK7vibRa - Yn5718zec/UdrTAo5AHcWRB51bld990/Prl/+8nL6bXVq2S61RLfMTW2c2F2qlklr+SNLa8l7OC+ - vfVaFY5BW5hcZ4nVmTHcC+J9Bw7tW5699cT+zvq1TqebadOenBqfmp2YnV/YuYcJ2e/3SwpgBsyw - fGjy3JGw0l/ZuevY0UNPPv6V9SvXfSaYgQi8SrOx98C+lcUd1TgQLreZcCAhMDYxfttL7925e3o1 - VXsPHSrVSx7AuXI8AnFkFoKXauWFHfNPjY+Li88sLu+cX1iWCiN7hK98eP1e8tST32Rgc7Ozu3ft - rFarxRuAiRcsySAHJT3iFo5AYnHH4svva8zMrqxevjbY7rksCwNWr4czMxPtsXYcR0u796vmTLtV - D2IoAgz5UeWWszf/xv/5W6Xxmb3zY0JwWE6MjYBlnKNcv/veV7UOnO+GY7OHD0px493DOLhY3rXn - 9WF7/9Hz33lua339Oc6yUsxb9cri3Mzi3Ey9PfuOn/zJ7QST4+1Wo04vslG5G/0viOAsI0dAFMWz - 8/MqbkSTK7fcc+366hVlt2Le86SKG/OtyV0rK7tmxmOrNeN8YmL81OnTUbnyrUvfvbR+xRHFPKr6 - lVpcnp5pLK1M+uU45ZUDB29qVAspJMrlxuHDR37yHaFXGp+bblXLJVirTS65ZAQwjiA6d+GOaOJM - D7Nze06FfhHNTIxxBo6RGQWuWIZzLlVUrcd33nXXyYN5WKmOzU919HDX8ty1KxeH3TVOqFWqY82x - 8ekd00t7fFVwdsAJQobEUuLBjuUdb37zGw+dvKXXT7bWVjsb676Sfhj6ceiFcb05OTG9sLQ4zRny - 3CjBC6+u4CDGGIoBkwB51cbksROluDbznWeurV5/LhluO5v6PmuPN1Z2Lvq1ueX9p4ys7Wj6lUqp - iCpFltp0aIw2IKfCaqP1snvuvuXYge9evKPb64FRxVN139s9P7uwtMxLJVP0FYxxx+AcF1woCUaL - i4s3nTy+Y2FmdfXZbudqnnRKvmjXy8sru6cW9zbHm0UdZR0YY5X62N6Dx37sJ38avDKzsG+yFkqA - CJlBpdE+ceb89Mykas5OtmoiELL4xpwg/Nn5Ha985at2Hz2+kaaX1tYH2+u+cB5z3KuF5fFqY2Zm - qrVreSkSPBsmHFpw6UVy/97d/g+I/QdvurzZ3U41bFqNxfR4fefc7O4d88mORVafc80dlcVDpWAE - THOAtZY5ewN8QSCCBVQwNTv/2te9Yd/hE1dW17e6vSQ3UeA3ytFEq7Zz156jm+nxWzfmdqwsz054 - EjrTwgFR7SX33N8+wC4NW0cPzkcBtINQyiPPjhDCAiI8euJm1Tp46JbuzoPnp9otTxR/CbMcBog9 - b35manasXo29bb85Ob+yvHOvHwqgBzCCGAUi44a//oZjjnEiy0a5yFFpdj564xt/YP+x2y89/6yz - Hd/LKuXyyRO3tMbGfJ9Nz8zfcv62cmOsPbN7Ze+BOPSds1obpbxzF87Xy+Mk5ORNc1EYGDjtwDQU - oMrl+aWVB974pqPDkp04sDBbloAvPI9iYSxyXanXb7n57Lt+rRy0ZnbPjQdKCm4E80AGJEvV2umz - Z0pTc7ffeq6zuanTFDqzWVIO/bje5FG5NTW3vLDQqFXJgBMYwVoYoxkTgnOCc8ZYm5uhnpyauXDX - y0oTixdX+1d71uZJTWTtmCba7ZnFXSKsVJoT47MLS4uLYTgKmuREe2/aH731X50+e6EytnfngYO1 - GntRHjEDBJi/sLjyg2980/ETt17/3vXu9Z5Hfmt8av+hI0vLy1wwaMArzS823vD61pGTd21cvphu - X886a/3csiBSpUoUR6VytLS0uHN5pRyLEcrSD4+evPlnovGOKDdXDoUhCp+D5PSi/uzFxf73XTbP - 8zwnYta6IAiOHj26PN26+ei+wda1POlJ6GZ7vL24d3J5/9h4zeMILbgfw6XQw8n5/a987ez84TuX - 95+an5swFgUAIU9zzyNBnHkxnOahWtm1+Ja3vPrwmSPrg2RjMGSDQYXzEMiDpteai8YX5qdKs9MT - nrDOGZBwBIDDeuHU7pe+dCqYPvYPmyaj8pGTt88t1HIDX8JjzDlD2sIJKHXzrRcmduxYX988euRE - s1oFgYQHAIwmJsdvOXtsbKxx8fnrz69uD/oDPewLp8fqjWqlWq839+zZOzk7owjDTGtoCHLMQii/ - XDty6mxjfOaWW85vrG/2Ov3hMJmcmJ7fsbC8skd6we6N7szy3l179s1OTxIjxuTs3Pxb/8UPXbnz - uUurG8+tbSWWec555FqlUmN6fvng4dbszMi2eMObx4BRZHyxKrFucWXXO975U89cef7Stctr1675 - XIRCChkFcWNidunokWNjzaYF2I0FURBGx4+fjCsTx4+d63cyp7nOs0rNm5qtH9i310PQOdI7e/72 - 6sTY1NSEybNkYHxFVls4Un5AnI9PTrzsnrt3Lkxf+t53t9bWONkgkPV6eXJ6YmJ+b6AU41CFcczm - xprIDxUJa2wYhxfuuu3E0UNXvntxuLFl9CCKZKXsl8emdh060ZieDLyRsRdCgaLdR0//4i/96oZs - lRaORIAiOILRvDw2ffb2l/3G3P7qrjON5ZkSQQCWkEPIoDQ+s/Cyl79i4dC5+5/fvLy2naYJrFPc - 1iLWrMgoLjfmDkwt7ytXipdv/dipO9X06eMv3b54tWM71yMMQ254VAvqY0t7D84v7w09OECODDLW - OMv4iOt540FxIDk+MbdnT1r+9LOba89986mv3bSzxigs9E7Omjy3FooY9ziHZai3j584+xO8dc+1 - QerVZ/Ycn1iZZ4QwhGelgCXGwBXIwqochphXq5aPHmjFP/Yj154/v7X6TK+7aslIT0TlWqMxNzGx - vLy0s1zxGNMaWgg90azc+5JzC3sX73rN/Z1hyrqp6KVj5QarNk1UWbrppvmpks5Tge7GxuaTT/9D - p+8arfau3UtjzYC9+ABgYgS5gbCjNO4XsBKGYMA0hJyeXbnr7vv3nLxtQzvN64eO3zI1McqBgbWc - 8SIBwFlNjAMKXC4tLb7MH9tzYM/a5vc2O891u91Q1StRO46qUzPT8wvz9WaThIaTIKpU4r27yj/8 - Q2+99947ekl3Y/P6IOk5m02Mt8caY81qc2lhR7MZQZtSpXby1Klf+qXSvmO3VCtl+ickHAcUYYuc - wJgfhCsry7/yy794bcNZvaXT9cjXUTDW7wopmuNjYmZ2vlZNl1fqP/7jb7/7asbzzNf9GIlQfmoZ - C0q1enX37l2e8hhzI6UAgXNh4WAMwGut9t133zO168Ddl65v99J8kPDctRulqalocjLkQh1fV1fW - 1fEj+8slbp3lRGFcmt2x9CM/+uPdtLS895Z2y7MGijMufUbCJJlQ/oFDh37lV385597SeCUcwXkA - x+CXpqb9V9x379KuvX//D3evbW0Zx+q+W2hXliZrk9Oz5VozqjRn9hzdffjUxETbFREYdjR3ueEf - HE1hxsbGDh080ChVz544t3Z1+/mr60565IdOMOHzUjWenZtd3rHcbtY5OeesI+ucG5+auXDH3ZXW - fFKZb+05266NMi2ZpwSXBSdQMEgpmSMHpjWccwoMpcptL72nuuvWjppeOnZ7rcoxCrzEyDD3oqFh - noM4OEOep45yxhgKl7uxnBgAo0HSMKTWaEecEIDY6Ztva4/N3fWabs9vRjv27dk5WYsB3i8U7/TC - usISjHYKYRAsLe544A2vPXb05Oq19c7GVtZPQl+VS7JWC2dm52bm93GNmEMxCSZbs/O33tGcWDh4 - ZXV7de3yxuZlwW3kh/VqfaI9PjUzG8Ux58yPouWVnfe/4lVHt7f27NlTrZRvnOnM99XM1OSrXnHf - vr0L65vX1tYvd9e3lOO1oFxvVqqN8vTc5PzSSlz2CdB54TuBEuLQgcPViJ84fFDCCmcSFw9Ye8ja - xH1u81a1tLi4Iwg8kFO+77Lu+lrnme9dHiZprVmfW5itN8svbKPcPyZfvdA1fX9d8E+/KAZu/2PV - 8D/tIvc/X6j64ms0lza5ttbKgixgrTWGMQbGrNHDwaDT6a5trKd5RpyXoqjqhRXpKxmkcSULkAE+ - EADcaGAANkg6W9vDSorxsERVCTboMtWDX7bM74GT0QEX//9n0u5FRQIhh8sBjFIQSZgCVlL0+VbD - pbAJzAD9HqAgwpTH24i2wbwYEaAAl3U8E3hCwgK8B1oFz42d7OrYiFF4IsdQgbMcHhSKyBKXw6Vg - Gmk30ZEIG70cJkMcgI/ik3NQyhAwcGdgNXpbV1evXLx09XrOZE5KOC10qlwOkpq83InccOMEkRfK - 5PCuRnOCg0ugolnVMjEaGTh4ruCiI8+RGQgFLsC4YdRH3kG+DS4gqmBlTcHQYWhHXHSrEQrE0NCb - MAMgAItgRU5KCzIMAuDOcOQGnnYEVnTUjkwCyxlT0MhsmtuEKecLjyBcojv95NnL14aZ9ZT1la1W - vFpjklhpxNglEDlhcuQZet21TkeOj5uoYoEACIolb76NfNs5vpEHXarEZREyKGTC5dAEAzgORlvO - 9bTprG9sXl9VQKh4OfLqtYoXV7gXGIBgyRpGzoGBWJblcLlkLkuTzV52bb2XWS7JRh4fb9bK1TC3 - iXapy3yXeb7weYCUkDEttbb9gWcchGek54RkEgzOIHV5roghN/3U8rC6PTDPXXoGehAoNGrVaq2m - lNfPMYRIAGdQU4i5NUlPCgkh4OCYHBkaDay10mlGKUw/XV/vq7Itj+dcSgfSuHLxO+nWNc8NZqfG - Zb2dydj6gaLRBpI5uFzDOAYwJfVg2EuGwzzd2N5OBkMlpZRSBlFcrXtx6As4aGsHlHKfImYBAcug - OUCOoQ90BYBcQEsw31q+meitre1hvysYyvVKWCtzT3EzDJEj6SWdYS+oJ1EjlIgtVAYwaDbo8y1O - khIffZ+soJBYAG2TAJoxA2NAARDkDv003+xsr2+uJ4MerC5FYaVUbtTqoR9wIaxDmucWxvOlQwFP - YZw4cRQwKmkNtEV30HPQldpQ8hIQGyAHFDQzPeTCkeyTl0tIstJpBUmWirBXQyAFzWyGjaG93s02 - 158L+XYtTBqNBskKkxUZl3KBIeAs5PZ6MNhWcSmN6luC93JUmK5zzZBbUGYozWyeOZuzwAs9xYT4 - RzDXcNDb3t5Y27iW5ZnvVdpjc2FY4gJZniuPc0HO5cQcd8ZmCWME4eeQvcxl3V5/Y9MNU7ghR1ot - KVmfSYNW5pOH0W3gmz63CcwQCJJUPNd1q2vXYAa1irc4P0fWMDgmg25qBzngUPJVIIjSLnTv0lc+ - 96M//W8uDsO54y/9t7/y71bGPT7Y7qxd2ewOMu0m53ZE1XrRLbocZtiteJZ4B/naU49+/Hc/+IX/ - +8FH3voz/+4N99919siua89+b2t7SOQx5klPxuUoiv1IccUt8sQYAgTnEiyDG+SD9X7G/ErLigjk - CieND4NhBm0x2PrQg+/+0Af/9GOPfevVb/+3t7z6R86empSAAhR0v3Pl0Y996Jd+9V2V5uzxM3f8 - qx/64emxsYrvOQfDYBgYYK0lmypugAwuhWNgceaCrU7S2+oOtvtZOixFqtGIK+WIKwnrusN0yAIb - +oyjYuHlGjrNyduAGBIihroH7hJLQkNoINR9mAT9beP8TRFncTnmEA7SFkRKA6RwapjRlbXu6tpV - wRFHfrUSVMu+p5yBP8jUMGcmN5WIewJFhJeFY8bJG3meDrkmIwhkHYwD5CDn13v68pXnud0ORRoG - QVSeDOOm54FZMNvlgoEUjLBpdr27eXV7Lbc65lE9rEXK90KSIa1vrqYuCKpjTMJzYOm2Eiaz/vWu - gSwFHiIPTufOOk8pApjtw3aRbORZc4gxreAHYBwMHQHBoGBF8Va2Do5ZJwYOAw7VuZbIPI7qMSQS - h2E6WL9+qd+77oxtNtr1akOF5dSxnAkliAPMABaS5WB9WG2dn2i53Rlsrq52tzaDwA/iUhDFTEk/ - jKNIWoAMoDNPciJmrC32hDTKTbA3sGUCXG10ut2trV6345z2fDE+0QgiZVipm/MMMkQe8VQigUsx - 7Dz39BMP/8033/V7H5jad9tP/chbTu1brEu91emtdweGqVroVxWvSo6olCo5kGCActs+tsj5v/wz - v/6RD3w6KDXf+fM//5JX3ppZbGyub3eumqxfDrxmOa43Wob7mRWW2CiCCCAYmHTQ73IrAhUKEVgB - w5BbuGRo+ld9oUmF5EXgPiOfgHQIZo3yuR0MhjZ3kj9z5bnt9eu+ZIGSImpG1UkvCmIFCbhM6zwj - ckIwxgmwSIfdoXl+a7CROJikVfErAS8pGQQ+ct0bug4vpWFQ8cEB6aAAKkJIGMFoOAvORvJbIeEo - z/Rmp7e+udkbJvVqtVEtVeMQTGyn2E4RRF4k4ANumHJ0IYYYDvt5c93VVRWxgnJWUs/Bd1DWQdoB - XBdITR5d3WY8rFV8BBxw2nKeEGVAabiaXXziA7/9rl//wGPbE8cvvO1dP/svT0wp1NADBKAK3guK - QpEJkMxHdBLHbA6XgyxcDi1SE3X6/PraVed6SuXlcrkUNwPfA2B1J8vWu/2+F44FpTECrM5dPgyU - h0zovk0ybUvES14Cza2UGiGBnIG5is71TdbqRNMmRAUIgQAprLZpwjgfIlodwngy4vDJhUwLsjAa - OgPxlKtt7ZL+YNjpmTQzeWrSpFKKKq02lE9BGHJQDmlBBdvbQRstBGeMyGmYDDqxuWZ+ALDeIF3v - DLYGmc6S2OON2G+2GnAuy/JBDq1K1pMgRAwBgbkh0Iftdja3SI0H5YkCdscAwcBoCLcJyi28FGqj - n/TXh731gaKgVKmOTzYZh0AOs04yBatplHsJdK+fbK6mnY1urhGEqlKtlOIw9H1PSsYJIAtmAJMi - 2TaGdUWUhEEo4QHKjZgkdvTis3A5QCBpbwg9CYDN9LWLX/7kR3/2f/u1pLqw9/bXvPNnf7YRIdvu - DLdXs8E2Rz45MyOrY5YLDcQaQUFEtxp2HSJNSfWcdCpS5AuCIFiDLO2FPhNcwjqnNSGHhBt0Nwd6 - O7HrncQNhlXlhYybqKSaYyipEkHCSNfXLnCQxhW/aBNmC0wOMqxqPjReuV4nCdIIOTzKpLEsByyH - EjZP+nqYpboclKX0R9490tqkgixgTGY3+matqzvdnh72JPRkux3FFaVC35dcAHBJOjBwTDAmCqGW - o0LglQw3Vlc7273+MJ2anqs2xmUQ5gZZ7oZJKpUMJJQwfNROAcNksz+8vL41tOQDyrqxSqU0Nm48 - kREkIC2YdeBkCNnIeA/mnDOm4C6Bu/6gs761du3qGkFKEUZhVIqjUhT5YckS2UIayYbANpwxLuym - YnW1k/UzmxlndLkeNMbKoe+RES4FkdCcaY7hsBez1PNc78rz7/ngoz//739nYc/hV937kp9751vT - /qC7tbGxuioY/ECVK5FUErIMWYIAZ2DIYfubly799Qcf+uj73vfU6uY7fu039t56+45aa3B5zW32 - UjtUsVAlqaKKCGJwKQFlIQsUsEmg+067Ts5yGQcV5QgGkBaie1Wla5Ce8yqZqjqhihtNAh4c0wNY - ay3vpebZy9f6aWaIJGPN2BuvRr4fZH6cEAxQthBmAJeBiczSd597Lt3aDB0CKRBVeLmuKnVPUeGF - kIDHHPRAO8Gkpy24hWBFTnkHrJ9c//an/u75f/Orv7+Vj509vf9n3vkvd660udWSOdJ5pmGhHBMh - aZht2A6YMh3Ts54OaomvUg8eEAEBnHIZGQtTjBizhBviAXMx2WL7mQ37V9fXL4PBC4NypalknfFA - CBCHJeg8D1xKXMPmGYlNsLXukG0NRC+drk+4SnWbQCEqDmp4nQ+ufvnxb/yn/+s/X9/o1hf2vPaH - f2Lh4Im5Mpc5ouL0gwUSkNRMJgBZKAZR4JdtHyyBYzAMvaTX6yOOEyH6mReUYiqOFwvfroJxsEjD - g9bCDYEETMEEA6sMIUm3e4Prne2OEqVSNFarVaWCIzgDDymxIZyFiWC8TMMyWIbnrz7X63cIdnZm - qhSWbK49IUEJdAfcDXW82mEijOMAvgBhwCzn5BXoJWNBDIxr0AB6c5AFjtdTI3SepsMNX+W+amSp - 0plk6DXqhqlubr3NodezZc9ZPx+ENuFC5SRzUo6c73OpUAQzAI4Rg2PWgkxOyEA5GOU51hKz2R1m - 3QHTbnq8GYaa84EFJbqWmv10QZoAACAASURBVLIXQEkQGYY+c4Zc3u8ODdWCuJzkYAy+GnIMYLge - QIko47JrsDlwgelN13xQgpEfmhWQ805/uLrVu7K+nVhWV3rPbNPnGbSDDAYZtlOjSg2nyAciMmR6 - sNLyMGdFtmWxrtAKQ8o7aXfgiVI+pO9dumqE57zQSe5Hnh8rJaUvlGIc1hCzxAzZjFsNk+t+2lfN - LAo1oeQQuy4o11TqQ+obMwqyDo5yC2eh3BZYF5uXh7qq43kdeUrAaUjhBACrmTVwkhiHgbHQFkyA - CWRmCKYFFwzMOec0E0yRhXaOVGbQN7lRCLjzAIbhAEnSc3wgozT04gARoJyGI2iuHUShFLG5s0Mn - AY4cliCtpc317tZmt99NS6WoWgmqVd9TwmQ8GyLwfShYJMQc4MNQkqDTXbu+/pyQCINyrdYSwiPG - rLNSCEUM1mVra5t5FrRazlcBwHXOrQWTDqwgB/TT3trGta1r69JSM6422w0v8p1wuZXgSrsCfgZJ - 8JSD6ep8Oxt0BAOHzVw4pEbKqlzAaTALX1pfZlJkYHm6ufrk419+12/+9rcuDxs7Dv/Cr/77m/aM - ezcyiPkICTeClo2yUdyNWe4/maEWTQHd0OP/81z/i1S3nLMifNRZq/MsSRJPKRUETIioVIriuD3e - toAlx4lxy2EYiHkS+sZHBsBq56zmXPtx5MWtxJIlkAUxPhoZwgmAc/HP8HERvv+f8H0LDyZgDZgA - JOIKnIDlVlvlsZo3wpIVj/8LLixYDuLgxJnwFRI7+mlFb0UvpPYWK/QiJNuPfEQa8DwwBW7BLZwA - QVjoUSqzRZYkT33j6x98/399z4N/lpMy3JewQmfSZpakhjTMY8wDSTghaPBbv/6vf+C1d5AnwQQc - 3fi0HRVRalSoCyEMtAa3YFwDOYx1KZEUIAIDWSeIPA5zYxM+ctMYgzQF4/AjGKedTq0kD0TQFsw5 - RlZxXmjMrdHOuRE0V0CRpxjTdpjnWjJOyq+oYHelZgEiS5RylhN5aeasJcZAEs7aLMuUJfiVZrmu - FbYxSLNcicgxARAsBzwKwmocKXBtYY22KJosCVcQk10knIRsxhNsahwWzjo7ktg6OC1snjukDuDC - cySJecyz1mwn2zKSzXis2ZwyKRyc9BwTxsISlwJcCh9KFutAzsAghBCixGFyQHAmrYPWMIIsPCG5 - SQcCJi5FBohDvry44HGjKLcAE8oSIwGPQxA44APMgSmv0NBZbR0fEToZB+eMOQlrQMobaysR90kC - 8C1CjsbiorWT1gyFx8H9gOQwNxnZVDIG7sFRqn2uoBgIIgoqkV+Ga7VbRdSOszBMkKRiUqzzgeSZ - H5ShgQxOAx6sg3VaMMPxomkuOeaJUkl6nhCsyQmGnOVkAckV4KCUX/McjyAhAFcAtRUYF5xkqrOA - +WEkdQYNZw1UkThlU+jMQoM7zimOZRA32hNVspxsAVZxsAkTOYgxsEBJRxIwqR7qHIwUClxrIfgr - 2rggipUacp4BVr/wbAJFSC6gFC9GIzTqWwgFDTDVkBKMsYCaEY9acm5up0+OoweXI8+BPpgy8DSQ - awRRTYXCpYM8G3Aq1SQUhIbl4AAEh1PkSV+QLEYZWQqlwAgOOohEEDbHxqtwAHwGlWXQOQDuHDPW - ZjqVEpxJpgIYa7XNXSKVKjXK7UoZBnAaMCAD4RdhanTjBGa8KPUYSPqRPx2JsWbAoQUzjDFD3JLg - JEIfSujBMCEow0h4EXgeSEY6EywWXpBoxxiq5ajqT0yRMtx3gnIgtWAMnkQgYso2kaewqdApwUEG - zisRly7X8+PNfDLKiHSBFyTkqUvTofIZmObEis8CBPBIxEHJ6DzLWbKphXJBbEAS4MLC9pF1n3zq - 6b//7hXH/OXl3Ys7JkfwHFgg//bf/8NXv/rkd79z5dXHL9x85vTs9JTHWG6cNparEXnBGgOtQYRC - OkEMxCWxeiWsl0I3CWfAmeHcWp3pXDuHMIo5l30Lo4ucPgFOUvCSgCpIygCIO9AolZwYDEGVuRdV - ZdAvKgm6kbQLXqgwlKSpierkeLX4AYxpznODgbY5IxUIMMnl6A6FcdY568CMG9mLiJGEddai6H+F - DHyMB6rV2EHOMAwF40AAwBkwApfyxh/ojHOVaqUy3rBwlFgkNvQCSGtMUqm3NFRiHAdJAlchoJVQ - zQZPLApdseKCxA3/niNoCxlIvywd+hpGg/hIGjJSCwMF4kTDGQvLCJbCKFImKMoZDvhSzc3NMNaC - I5MTnGJceYzEjZe3zpGngG+lsmk6ZEJ4MogDvzo35y0tEpElZhlSDcYdAc7lnLhUihyMtlk2LA5V - wTkYwZDOWJ4aiDwo8WrVq5THnZkkMDDNeMH1pVjyDODWsuJuMRnyLBKoVkokvYR5mWOSsyhWUTTW - mlIpg+egRkntvKih0yT1PRDjsM4YrY1hnOVZlieQAdrtRnuizEhLBwEHUJYkSW6EFxQBC5kGY5yL - MKoE0mQwGm7IEBgAHDIKgnDa5R3mDCGD0WDkmMd9cMcBsCCMyIKbxekxM17zlOSMaVbSjBebbeYA - zjkPQGQB2ARkoFzJi2arzQZjCvDgpBlynYNxKBl7nhJyUMCpDKwt9qd0A1pW3KBFWH8BqubSk81m - UKs3jHOCc+asc8YYwzmPIqkUJMAs4HlwFgA8EQUlLpDeKLAMCAXzTsMY4pYgPe5F7TG/m0KnBh4D - rCXmOGlgkCTPfOc7z1+6lCXZ7PTs1NR0rEbPToEwvkEOYyBZFEE3jggNWMYFYJBm252eH0X1GqrV - cRAYS4iYc9JYOOuEkKGqBnHFIhwpPqwrQjyhuOA8NjJXMLAeOGdgokA1OTigUq3xak6FXbr45Dio - KAmgmKwr5EXFn78Q98UAAWJCiEiIku+zep1y60xutJaCMc8fGFM4ZmxBYBccBEaQXFhXQDwcIwdB - TPpgniXlV6KpUm3KamMNY4IxDgY96JBOy0GcebIHbHfAlYt8wGjAgUS53gaVrYPNYW0RVAhGAhQU - gWjaZOUgqE1W+bgSThADcWgD68BlAFYMe6EE/NAv8abXalpPZVIMCWStJ3gRKfaPdbxx4AGPgpIQ - jqBNUeD8f13/JDABjHEhhVRSS8k5tw6hj5pfFq0ysxl3OQRPiacF94aAHMgBKRC0wXNGWrrMsZxB - FIWa4vADiaJtZlILxTFklFDE62FcRtjWinLDtVWMW58lAikAaGtTchCMhrkbYXdNCTkAE8bBmJQ5 - VGphHQIBZ4y2A+YE48EI9eh5ymdeCJ4zaAdJADLHk0xHwnBhuCdbXrlUF8aBaTCbKclB3ALGgiwY - 077PrEMGB+IORUBrxowTRJMT45NTCuBwPCcvM8gdmKSa7/PRPsmN4BsgeEHNi7xKPSPmOfKLBkPw - EQXc3pAL2hFjacQ2LGh+UlqCNakSYrJVn2pPZdbPjAeTx9Jwljrdc7JkRskmHE4jyxwP/aA0NVMS - FqI4F7h1vEi55OQxgDgD4/BEzB2H6XPGCSzPdZZrYzSAKAx82aqUYsGJCA5WSEkisoTMjGJGwLgj - 2t7cotxGQZiavKcTSxhvNVW5CQktdKo0wAdpxpwLhHKmOINhNGMsYjGvOA2XwlAm5LBgSJTa8H24 - nKz19BZYZFiUAAZIQYxFNk8URznmNy1NZSRTElqbwFofBM4EHOA0bGJ4bEMgBFml+stzVT5Zh+Yg - H8ofSjYAMg3SIK2JGT8Q7gWF0wszCiryOLlfbc/NyvZY/eq3V//+W090OptpUiebMSUkY56nDFhq - kabW4x54DBK8rCrka8VByIAccHAMicszKrozQ1Ax42LEI7YgBhHKMKo0Wp4jBiJjJMErXhcGyB1y - wzxYznKkHagoUPVWrezHmewkgYpdgJwjQeEjVcla5+LFZz/3hccPn7pw62137N27FJWZAJQE5cWp - yWCYBpw3si6NAi1gwBKgA+sBMfxGHDQhSHJ4lhsaZdsLBpAEMaDI/y+qGgIIgnsES1AqLsVscmyC - 4DsntIG2AEMy1ODa9xmcg9YmY0pJSBiGVqvVGmv4vnLWGF1UlhaMoBTI+TIY86Um3EB2v0h1+wKA - pzjehAyErx3jAAWejZqMZZyiMIAzIBczOQRJwT3PU2CIOQtMTLmEdkr5RTKe1vh/eXu7Xtuy4zps - jKqac+19zv3o72azm81ukk02u9VUSyQlUWJgy4aAwIgVyIKZBAjgwPCzH53/lCBB8g+CvArRZ2xR - YExaYmzZpBRRZPc9e685q8oPNde5l1SSB0Pkfjh9+tx99tl7rTlrVo0aY9Sce2/mMTOitw2E1Aef - Dh+gtK0/Plm7ebC9oifRJvD4kfvo29b44OzYJxBQk+tlZs6mvHn0PPmoOmrrY0pINm1GbWa46Whn - nvMhchxphE1HzNnJR+e23bzy4idfuQNuJjZ8jBxoZ8jGSIzdjCLoT60v1nWq7C0AZHQmmm2PHyFO - rbd3bh+HbHfgj/a9n1vbOOfI8IgwKc5xHdICmj16eMubSPhEIrDGquQzLGgUAmSFF+aGvOC5l875 - +KORTAigC1qZ++Wum6kpAB/Yp283Wu3j1lq9UNaguONemxBwxUTbEjcZwgDOD9FvHqSfersq3MNJ - p1VZa34sDFXaiTIdM3wKskl/5aXnX3rhVU+AEK2Wrse48x99DH0BrV+lBbQB6jhJbs8/eOWlz6Z4 - 8pQ8/diB6gDYn3/xVeKJZFXYmUtM7ftIMWm4OZ9ff/2NNz/xeqsEXQlFMkkFoUTrkDp+goBZu7Hn - KwmMM282PL4CCcwdCJw3US7ztbu7/fvf+8H/9a0/1cdvvPf+ey+89Hx76gV9f2/iGbyWP/ZvP5Ep - 4NnP9lN5/KzGlFFY3t5ks8YTVWsFurtHRu9dVBPp03M6o+ZlLsx7Sc+MyAbpEMDpO8QgAvYTZCK5 - +x62YMO/tTf+k98+3WVPYdiqEyBAQ/EM1U7aStxJFKWU0rp5W1TeyvKVEChgUg2w5QiqSsyaafcU - OQF1IkdEimhF4QQCTneGIeBSY0Z97k/uPvrBX+/OHXIB0qY3ILAPIGQT3ZBCmMm4m9jlZrMbcLMy - DK5xVpFkT6kROsiEScVfAAq74blDDNoBzrE7NrFiSK1rlAlqw3YLngGDtQbxA9aiKtEYiOkG5Rra - Xokn4AikECoU1Zp/jZqvQbYmgEXOfR/CXrZoGQCitQ1BhECh9A2uvUnmdAhBa5DuYwaiqarUrKvj - BC7TCZJwYiKpoiLLip4FL+QEpog1tqAxgBkgxPSkZwoFoorWECSViUgAkOu8Xi/xoD+uFXPZfbY0 - ha3+jABgwCPEVsywZth93j3JJk3bti3bB8mSp6luymOP+MjdL73dzwxlICsZXi9HIgL7gDAkRdGA - nLh7cne7dbEuJnNe4NNOrRlStNa1B+Fx9E+QRGYEQxSihiASU9bYVAG6mWJixmpWyiEkhSbgCGZK - DSiQBlIV29b0cGoeiMic6e1AiYzWAdSQel1zPpS2GVsaHEaIcArmPshpAlgXNJDD4T5Dppiu8dwB - IamaeUUopZe5c4qbqHQleibmTJEQAlToWtY5RzPbdJ3nOfxJ7HOTk2zUta8pEDKRrAka24bhcIdu - 6GIGKJhE1CBMIgNzTt1cYR2SgjgTPGnnmlvoFx+bChDhU2kA3CGEHW4o0yNib40UkZIdh6SDgAqa - CbUaR8fgTQooIqkZZCoAIzIRAlFkIGnGksUNZGYGVbmhjjpik2wqhAkKD297ymWfJ5Ou5Knvc86L - PWxARISbqe9xue6qBiLmEFWlOpckWomxQwXdCGsYxD5Op9O2neHx5Hq9Xq8Ih7AZj+GyEEA6LRoY - AMGADOTFo6efk2yyqV0QV299B+4AC7+RHT/87jf/5Nv/8lvf+chvP//+h299+jMvP0ZDTTR2Qf7B - 7/3Bt7/93S998MGv/vLXvvTeFzcTIYJcBjkV7lWRzedQIdhAYoTnlbqRoCENMbGPvamoWCZnIhOb - IoFeKWEKHJmwVmBVzsyBuWeKKEShp5JNhmfqYSlzLx0tgIbexYE2HUiIGDBq4leDVA8uBlyGNUsC - FK7xaGDUNFdnrXYxlF9mohsimVBIqzZGVNkE5hxMAGzdxBhUh2tTQ0JrPqwmRSgb11CjKvJCV1NJ - S34BZiIyE1QKtEa6CIimCAFrqCMCM3TqOlBZ54UlThSzzeBrsqwRIkadIJEiZkDDIRT0gOYh+g40 - qm0nJJHzvDUTBbhfJ0zU0FplkFdjltU2ABXp3cggEemSCjFtjXKCDCABP26KQcRjT2YgE26EiRzj - 64ntlHP+4Ad/vfu8hl/GJbI6ASZyoPMOROYYA42K09aRT3IOOkiKSmRYs60vfR9ECK15OEL23tSU - KtWRKLumWA3aUvcTjjEQdTMo0jrSK9Zn4G6HNGjFt8T0Ma8XbdzO5xoErJA40qFMMAKZUek8gLsn - kIHz2dZM6zL4LR5RAglhjhrBAyOaQgTpWVNBUENhKllKLDsCoSQqM6jViKAyG6V+Zwz4ZZ6aQQ3Y - 6mo3QRRAjNgz6N5rKekJkohrIqXh3KEV8EOKNpHIv/7RD3/vj/74u//hhw8ePf/hlz74zOuvHIn9 - sRXXLLIaOofqcQFxDKwAILB+86DXBW8N08N9iijZVJAkxAKyz51wqUaLmcm2bhsRgkzH0cmr2VYq - AmtgQthW4xs5asZJQgWMyLlflZ0qUFtw2QKahZkZHAgIaCrUnq0J6SI5Z2aQ0ppyAo6xz1BaUxDT - cbm7e9ix2mieA5mkCkVbU5tjTJ/am7UOAVpHgMDDM07FyGaB3UcmDXRF6iqgZwIUoiXQRIWqohJr - fEoElKAauCFiRgSnirWmwjMAaSrl8aUlH43pIdTlvKQNVFCzco9C9AJx6PGPx0+CtuvNus85M2Cm - Zs1nFq44xuwCMQMB7A4QHb6auVXPhDRAjVViJgAPWOxgTbbIxemRDuxzXseAWm/SrStVkQgCCGIK - oknCgfCm5nUu0KCPIAMiWtq9SELMIJoqaqFIqcA6gTSqqqRiLL4xhX3blKsjUxkyE6po1jPTAw5o - icDngETg8AMFExBpraUK4QNJtA6XTI4JGKyMDhKZQWLl11E+BtrVSOlJBnCdw/c89aez49b1z9RF - hlGSZonMhKhSOing1mMTAa2pCLizRpcnpsMUyg2tCbfqhrKwFMlqFF33XZitbSh4Lg6Qa3oGQIpa - P51a3+pdmameTyQSOcZIrlOwsezCcmBG+oMHD5s2j+vDxw9vHt6YoBuw112ThBHStiYgAVVoAglr - 3WPMu4u11X+IiQF4oglgG5KIWTE60q+p03FSbAKKhA+NQGtdFUAT7cvFpSjIaDCxdTgCCb+qOGwD - NsSpOEcKqK3jVShgUlSgdaCQy9wbAMKgD194QX/ll7/8Fx/9zvf+/Z9+59vfeuOTL7z+yksmgRgz - J6yLQlqHB7KBBukQFRZfGIJscENSa/IiAUu2e5iRQO5wfJy8aychFRBTi5RMjLn4Ua2rhgE7Wu/W - BmBgb+10Q6TE6gmDACL+6A/+8P/43T+4fe7l937hV7781a89//iRIhS65rKtWC6ExvEmlt3v+q+D - wASyrSwFaMdoKQOYCWdmRnFDVBENMTAdFlmtFJCpKpJk/ZWC5LaTGRO41j7UbhDExMVna01NVHC3 - XzXy3LfKdeYc1pUq8mNdq5pU5lwjwRcBDUdBgpzIToFadbvq/kK0zt8GaGstK5gdvxeOALTBDIvR - sjx0OSfC0QBW3XfE1N6bBWvWHaWZ3lRColKZJ8bY1bpIZ2Wk4RRtCoqKGGCgqm3u2AeikuX6OGMi - A1tTMQHCQxDQZoJyUGQYovAjad0eaqeielFHxiH3C0OOk341jEQwEwG0LsoNgHW2AqlUE1ZeEYyE - rwvvhDQlToQpTpWRz0hMlV5t2gzQV3GGSvzurpCAsW+NuuqdiD199mYqAiaCauiqXCLsEGBg+nAT - U1FRnRMCaFvhMwEfjhArNikVSRMXugsyNMJEKwDd5zhxDOTVpj1nxpzN2pJZAx4Y7ufG1nt7GMgd - U7D1AdChmZSkCkSI8ONt1LILD5+hNbDXFhoGIMH0QEwt40cC1SiUXI43lLpsZV9fL8oDGYMo2MHA - 3AGitfCscFJrkIIIhw+F/9/f/fM/+eZ35uA7n/7sV778iw9vN33m7j+z0fMYDvv/8fgpI7b3j58V - dJv3fQxC1YSI8DGQiIyIWM/JzEg/uJ/3wNPKkqWyVy0DEuaq1iECOSEzYnDxT/9/L+5/yoMHB2S1 - F5/FbTOQEIXVMqzql9oMGA7VytZS2coLHgCgQKsRMTUhpLCgSvyFBAORUC2ySTAm0jM9J7Pjfpkm - sMaZZc6JMBO88Pyjd7/4+V//e18d3FzOLVPn3mKm2KANNtIYojSzfOm1T+1y2/WGLggvb7ZyE0wu - ZhYBJXTNTxbAIA3tyP6DmSlZ+PXiiaOQaGkQIjakQUQJK7CgMFKISGLGYhmTPGa+JRAZMYdYiDaf - CzzNCJCRJFdJa1rJIsbMRFBsUbATiNkEDeYB9wgTNUXYvA5G1H0RSHXJnyG3B9KZzpQEURNG7u+4 - JDIFbNBIMOEs0DI33WoInM9VyNTKScDT55yYjNXwR7pTJkSXS1Si6KtIFISWyz1ePaZmWh6QdjrE - MnMeEb6Gh6QPiUBKfZAqqvNYoizAJQt8YuUrUu/Dd4Ssqcc+JgMxUlSg98ErzVKXOJjIZALhGQkW - ksijOSkYnSKpVeevf8oC2qSWUhZkoFrgRO3gjIUsCCiZVaEqCREBDQiH1HIbiEgVVYiQYE2thxI+ - mUlUHwCKzMxMeEZkSmIdGrVEM+tGIx3BKYSKKlpA3FE+RYXBQgRRt8VbsskKm5EZkYwDjGGCkSQK - NE8qBaKYA+npA7kJACIyIVMIsC+bjoNFkgCyw5rCNXaIuk84aiZ0NaIj02NqMyGOzkpg4SaZEZRi - KrqIrF2FGrpjIAJS9txAaKkbEfBc9ykNqchUpq2E1gMMilZzJgbcCVfJ4zCThAQxE9PDJE1k5PSY - UCAiEq31zBz7Xn/Rp0snyAO9QQMcyIADpg3ekCp2EmuIIq6ANV4tjxCfKPBYaMAADdyBPbkHLPKg - 36JDpkrj4qgF5PqDv/r2H/7x7//p9/6KDz71/odff+3V15/bljQm43K5XL73vb80u/2N3/gHX/nF - r7z5+ifXthXAmEd2pyRUY0QGqTUoMxEhMpMWBBKBiEyqJTijZvN6bS4lluk4QjGlcHBEgI6MDIJB - FelVxyMTnpmCI/tFolQPpCNHBuit6kwoqqCvXDYciEWkLrMscEmDmeVk7KBAmMdgWUYimMigVt16 - TOcMEJmJDDJgTbSGlFAI2lHisFXQbszpIz0BW2w/TUEusUzUGZbVvYLUSARBwgjXMoUFQbnvDiVA - z/SkZMm4TY8rUikGAqw4BRXy6F4l6Ov4VgVEIlV1i2D6NNOCi8KziL0iyGRmKHlvs5hIladKqMwV - 3VQAacUWqh8mQUimLvN6QJRaizeL1dAjeN33iAi458y6C8VYLSxLAMZMj2xCdBIzwqem1cE1xiDR - Gpyrf1bxh6BQ1KhgRAz3CWWZJ/oksQZ8BxFgLOs+yljZPBRQR5t5VOcA0hEe4UqjauVsoANWUFpt - kgxHdQaIyJCVqdQpWzQlox5mCAnGUGg1ZctvdEZkutESshrYK0mQApQ9XOpwOO56AkAQQSgj0gNq - EAM2UEHRGg5HOMKLp1HvSwies+i3hlaw6Fo6IXCPj/7iL7//u//nN//sL+9uH3/qgy+++5lXW8GN - fgi+gAqE94nC0lnKAVAnkqLt3GNnVlMzPdNBKZlV1n1IelCZzGSdJaxonRAmE0wevYdIhEBJsBUt - qk4my2qSS0qUCiTT4aGzazsuZR5XlRnhIcEkUghSJCMLr6EQCE/2exg7Mg6z7MyMQCRl3RcHBqUF - 6KyuTOi8QhOqsNtMy6iBeOirfKt8kvCIQGI0bUXBdFSq0YrAZMxD2JKorb0OAgLm2T2rYe2CFQKA - jIzkIVFYTB5f+bwcM2meqdBWq/1pgp/PfP2xR2ZGhIcDxURhRYKIyDoiYgIhTMkUHEYEQCRm9dcW - YFhsicwc9L1iejWaHAqxa4pnMrwzl2hoYq4A43JAsERoEfjWRVWoBoZjEGiZLAkyQrDsXir6Brjy - wUKWC0lBdtU1ZFYUmQzPAFUpiDgObkVmznDj0xQ6ETOyiWpNwpyx2CrCupX3NQLWoEwmRDLvjTir - zXx0KBlxjPbOp8XDItRDgSShKtMnCFLADlhmk8S2QiiTChUhWiBGBCF6CwGxFX00ApGBTFbTyhO2 - jshC5Vka8HJJo1CEqpS6FIEskDGQoFquMVzrvApkwCOzbz0hY8zeWu/Nnllra6cDCkm4w9t9dCnN - b3hmJw1sEahR9coJBqp5nInUmbIYyjW0R7J6p4gEoQIhRBNyBZN50rD64dTi0U9LRwZySW0iAYRg - ClSgTSEihbeRGkAQwmLBOBJIBW8ePWq/9Esf/st//e0//c4f/vG/+qO3P/2pT736yhHp0osW2YA0 - zIasCnMt3g1hyFZwzVHOgRaH8KZOnsAIH8mAC1RArfyj+LYFPcnKuQWyVQe6BqKjG+b9iYkM4Lr/ - +z///kdPrl/6ytc++MqvvPW5z2+mgOv9LTqKQGEVu+UIWoVPyDqJige4aiImlIgAqqmMQGoEIiQK - ZxRBCMIDUWekZFrBVLKWeM0nMINiJRj3e42JCO9qugAvgqVZSWRGpHsmY7VR14NVX2uBX/VX1zSu - PBpCZYDPhEasdLd4JhQBVY/ycF0WVtZzhFkmlnqYuXrziIRKRd2s0qzmBmQiEKRQTln5earVmIwI - aw1gpEcmkasXKRBaZiMbRdMPhCmOQioZK58hqXOOqOO+LC7XbVLMhKaanIz7isL1uQ1UHrevCofV - JF63WlabNqHEqRUzzoMdTgAAIABJREFU1w0UCtYqlUQQmplIYTYCHdDE0/L4qZhoXf5a2CJAygwx - apJqrGrCY/+z73zrz//dv2Nd0Gwqp3Cb8CQmPLDTfI+7uc9TP6kYozO3117+9DtfeJ0GkTUvXFaN - UJCZGIfgIpTMHllFznqLZIKFPxEUowVnxKzk1wNURCKCHtLUcLNhH7kIqlXgJ1D0L2ZKjUx9piOa - z560vL8aKx6mCCEp6/2wynqu45pOLFpB5X5571XfACAdmUEX6Oo+YkHSiQQ8M+Z1/zff+bff/ONv - n/ujz3/2Cx++//5tX9GDh2ECEWtV8cg579/+fbLwE9/8NGHcnxF06+7ubqZlmxDu18tljPng4YPe - TkCCkuHuYdpolXXVMARIW6BV5O5zzH2ctzNVbk8YEz5raxkamjLWUSt/25ftSKZ+7EcAMB3pcWrt - IL0cHg8ZTLFcKIrnVB7RUwDZIA8go3IoW5z8eObPxDP+2BbMUVC2InP4VKuETaAqs1pN4Zgiivfe - e/dzX3jtv/2n/2zwPHCyCJujp6fYELtCxh5GNZqYhMRd6IZTXu/auMrNCZokm8nI3NMB6cXmSCQx - k9OlQZUNYzoDgt56Aa4xq+AMtUhipGQonEy04tskciIkYIUB05oWeHFUd1mJJVM+/vhJ3/x02qow - E2LbNAI+B9Uh0nqr8JqE+4wcImhykBX3mTbMdEZEMEUCFJF+PkVoepHDBDRkrqOrUh5400qshWDG - oXhaCHOWQIthkGTTJ5c7DD+duorEjCcff7z1277VIhGPfHL3xLqeHjy8fhw9Rc+4PffQpQKtSU4M - CrQ1SSQwAzMhbG2zE9IQmNeryqQGxLZzj8B1h6Ca7E8enGzbNvhY2KSqUtZxFoiomUYdvIXQtDuK - /Sfb44eYs9h/2h8Q+4+uH9t5I8SdIhSB3fZwyGq8UVQpeYkdM8+2ivaR+86B8F7j6dTuk845MQSb - QNGIk1JhrSDMI2PGHIMZbeuibFSiHUkRi9rWdTkve66he4kMzHQoN4ACbN0kBSiodHqEtW7aApqg - B/YdvSOJ6S6LEpU+p2Nsra2cpnRYKkxin+6hrVZgYVohlYmIqNqDzWaJ0CNMAiruc0QQ7GpI5pjs - DSo5VipUJbMzGcHySVTbDA14cvWWeiJEOMa8zB/289bstOkNQVIpRoAiMIKYjjkCoBl72yL2sc9x - 3c/nGxppVI8Z2PcIkJq29UR4JgL0cIY0rX07r7tBUa4IVCjSBzUVTWXxDVPKHd/meIK5m4lnUoW2 - +KPbZrGPy92dkL2du5rvH6uH0KxtogX7Byq3JKBq4L0tw21HpQIhKrphu50hYwbABw9uHj182KsX - nwuwRqVxyEA4UlSJdNwpdtHnFpbkwMXBHXJTyaSpAfv3/59//Yff/P0noS+88aX3P/z6wweP20Rr - 8NiffPSD733vL1995bVXXvrU3/27v/7aa69uKsNHWiuObMEuADOCAWkn3IODEpYOJuEj6BEqPJ1v - AFwu+4+e3D149EiI6/6x9Q2uuCZUoHJq4tgd4iBoiqRqwPcZ21RCIWhK85nhRENt7Vx9QTAwn4w9 - Wn88J67XbDfhGD4uGx7GhddL3D6ENkV6IovdUHn7oQJxoNBKBGGEOa7jyqYqfe2LifQKBJTWELWF - I1NBKEQQiAEQ1lRlBhAT4vALo8E2UEURmImBQuw9M9OazojwkOIAFWyUSMfUEYSiWznYE0B4XK4R - qTZAjqlNleUEwRofVoGdKJ3ZglSQ7MqyqjjfINFG7CoUQCQAh8eccjq1EMwoT6PqGCxVzBjIvKpO - Eaco1ebw6ZPoYveWWBQ1yOISmrRIBKBSAraiZxIgdjfbHj58XPSI3k0kQYeUYRFWVdzYWsdB1kWG - UNA6hcOH33089h2BNXMLBJTCxQ/ARMxx3S9ug6fzTRcAMc1qIB+q/XquTDDmvDyxjdCWKckOle0M - d0RUOyzNmlGd4e6IqcKkT+cMRWR2UskiFCJAyM0NeAVyxp6yHEECECqEiImM3tUOwux6Qni6i5TR - FkGZ0wM0EsD0uHtyd9ratnUAyAz33ecVY9DP281pO5GHvo0d1qv8l/CpM5hEa3ayAAYw4cHkmZpk - pg+fqVkoQCrm3Ud/8d1/+93f/1ff+uFfXV969YXPfPrNT71YvDA41vtDHsTBdegdbS0iweEzIijs - xtUsA8zUpITkiMAsmYxJ72dBl0R4jLFHzLZ10YV62aIfyyzG5tEUQBDhKgNoGmgCgUEYeZcMFXl0 - 0yoeRuxiARqyqDXuEaLoagYyicgnd3dqvd/0Zm3mfvfk0mQzNCj6qUU5ADpE+ODRI8mJuMIdYJcs - A5zSPJ5bg+yIHTw7bAREcCLG1auEfbqwFl9vouQ+kQFQRXiu+T+6VDzAklhBFOk5Z0KFctMUQIy5 - z5HGDS5JnxLOCBgzDaJNgEDMwjMPSDaBkYA7LE1N/Gmanwe0+5MPa61ZG2NgOhLbBhLhOJ16YyL2 - cb2y8dZsEdaKyO64luSGiIimWVlzE6U7nnwEM7QHqA6ppG2q7UFvpmmSiw/sa3hOEk5kzF0T0Iw5 - IK343oXDXoaPuDRtZ+uGJHzsl5m7kCZbgQ91/K7k7ukHdiDmcM7UTSGySXJGgYFabebCpzw8wpqp - WocEZPi8XK56ugkkR9BOcFw+vjvdPLQNN4ZrIOYi3uZiqZAk14SBHDGiLYwFTTdRFzwlONb1V00s - lknl5QWee8lo0RZ9LYHEnjEFm6nCNWG5B2zHGaAldK7em6qC8HD3OJ3OEHXgOnejbGar96TWrKlq - AJfr9XK5+ITnJFKE7jNIa1vBQNVcTzoYAqHwct0v1/267x9//PF+vaA9WoeaFbdTAuGYjqvv0uWB - 5gHvK/rtLWCDzIQZbglLqDtigIAYwMuI6OyCrujVLJWEGFJjd2RIKfMwwQsA8rwBnjXZDFfHGbs1 - wYglUiBEofBL/mBebMxN2630qkwFWBKoMskAJ1JKwXHa2pc++OI7v/Pq//6/XX/vd3/n7U+//eF7 - 79qpiaqpXofPVDOoGYL7dW8mxfMIv6OOja0BiPR9EALKBJEGlGEA0r11Kk8AMXcgYXX8InjYEgBz - XiKGlPGUQBSB4Q6wQZmCWVDvmPnRkxdfePkrv/yrL3/2F9/64Je3k+LQYC1eCQhPUEuswuMsC0Dr - aUWJ0D5R3agjTI07MqxvJKAnVZ3B4UhCIyUJNQgDiEyNWJ1dkPB9n8EuRiFszXkn3HG9oJ9peNA3 - X6ZS2E5n8bnvezdFa73dXq9PxvXaz63iRQKEQIp1AlbZe9+fYgJpUik2AxiBGLk1CpDE5bqrpG1V - pXIhFUioirALErheR+B6e3OK8MxUNTWoVNdckatvpZTCQ9UQIyMAiKhmpM/RWjOF6BaIfc67y35z - vmlqDPhw0K0L2Mt+eBHJiMuO3UfrRD8J5egUAxBKKb3rdAZIzNzHVTcjHWI+AYEplukMiyn0bGOP - Nf8lIsU6cAKACS+tcwyPq6glOymyeoqSyKTmQWnQwLzMybveHKYm4nCtvj8BwAPuaB1i3R4+D+5E - H3OHnZDjyUc/+F//l//5f/of/sfv/4fv7Zdrop9Pj8fOu3EJyUCG7DRPDkLO2zk8x4XPPXz1t3/r - v/kX//0/b9veT9jU1JZz48VxEXSFcQJPkI3Si+mfdSQLhJ4Y7jtcJXuNvBZRkHPsl539XDerxQyE - QxJdqBoBFbTKMqpfTSFFoPOZdqipspLdkT4jy6QMUJPFiiojLRIkISpCFgmSID3TYyeGSSjPcu+k - UwgTSnYaQFOtvsFKziJGIyDy5LJ/59t/9iff/DevvPzGFz//7ufefu3Okb6OuYLziCKDLL7k2jC8 - h7f/xtef8uNn53VLPk3MRaT1rqrVu8sMKgsRWk86GqsNcMfkTO4qrmYCBbe69sYl+Ds6a+QiqP+/ - NMn/Ex4LsDggux//8cpyVJCUJMaeOWe/ZytmKmKjzpmQUBGAi6GyXtHgDnjyCmkk9CnzM9fKOz5H - whIgU0CWa8mhzwgNp0tiE4MKlCp6tpszz3duk+eTWFtDVMTFdjATVbel4G5iB4bjpCZVoPvcmbpt - SQhDiFZZEzgcd1CxG60JZtJVMiQpy/FBql9EByMoKZsrpXJhgkQrdqSUSOL4eO6l2o0o56hNFCI8 - nTe1HQDlgMsAJHxOUyGElP06Ja13NLNkaDWSAACmXSiENUWIJrDnNXFp3IhFMls0gTkIQHpZWAhk - jglCVess5kGXSLCa4yoE4Ix9TDETGFKYoiKnE0Qign5gn6ftJAZJpa6lJIDvT3YP2zZQpTUJQTn9 - +UzdkTkiDCqixas04yJk+ZwwJ6xBAQmCWzcc3NoD+490shqrpf3IAgTKAqecFupAFkUQnjCKWtdt - ZBBTtT8NTBV/Ayi3HkmRis4pSTGYZCBMqn6VtXoTANQwBRNOpCQyohWiwrUqhGitIUOEi4SHmJik - G0xY6msAgMA6JyWQ1bEUI5HT053NygR0dVVVCLh7OrKeZx2L9iZGdEkBwxrumfERmD7FLAGMyYRq - yWpISGNxj6PysumMZK24Gn8CgVBKkQ5qAqm2GNAF2dQXRgBOWgqocIShAbebnuZir6s0sxtVkyR9 - IpIKCvf9mqCokdQ68QmhgiliNBU0Qg4XOpoIRSZKfiwH7RQRkZmEIDM9zA59l69bo5Qo9yIIUooK - qQqhGK0ugiKT2H3skVO6KbSV90UxE4NMiDz/ymu/+dv/+P0fNXnt/Qdnk4RYx7xerk+uadv5JFLN - 0Uyp8k2FBp5eev3tX/vP+vfbJ7/y8+89fvTQAUVDgtM30aKKEHBKUnYEIQpLeCKPFjpgDXkqclIq - PB2Uh49feueLH/zD57763Btf/+rXvvrSiw+2irvM29P5lZde+bVf/Tvk+fVPvrE1wF1V59HbNUKY - iOAKDQJfLA8rQebYJ8VFoUYiMn16Us63D9U0YsB3zEDelHckMrFfpHuyO1qsgyAIiNkqLwKeqRqA - 7PuUNCW0ythwxBXw8kupt+DhIammEjSDnkUVSA/3oKXIQdo/YOci5iB8UYggGWYaWqBmMqtloBAi - h49BqGgPd0e6GjI14yiXS3oGoSiGKKRw4mVOMgVT0Yq5I/A5Rgi1cLBaagcfX4gJjJgt7HCMS9Vs - oi4tYIqQYiFqjQ5fESsAzygsqljaUnx0YsHMBNE9rmRKVZ7SCiD2gHONvqwoAQRYgUFYQQRMT9LM - Wu34AOb0SnaELMQzSz5R89WCmK4Y4IQQtt0+98pn35H/+r/6xvbWL3z2M2/2U0deEeEsgPa+KsaM - cEpjqhlD4fNXvva1hzdvtP7onXfeGTsiUGUsVnp6iMiYW9O0LuwVbAUSEdXjS0EOzDtvmlS3tqH4 - GOSYI2t2gACBnGAmJNBQ+lCqgFSgl4Ii6HFPqUukIx0+IJEtRZav2B6LDLWWYDgIoXuEg2W9amZl - llSv5Z4pWhM8hBCV7XQyJTLnfgVJETNNSZFUOGLCW50XdcogAb+aepBXYACMyau1WG7+IUUBChWn - aCkhYo5s1webvP32W//Fb/1j/siff+vdz771VgfuLrBTFThcYvjjyh/VcBzZW4IiJiUyWGnwnmgO - QWZEZAZFkGJVLiUy3AXSzAIiqo7wmCZlbpcUKFGqWwBIgRgoTbDqleo1IIY6zA3BRCsqjcoCvBZK - J6o6MT0HQyQFlG3bKC3LqATZWlMcjIqZQ5BFNny2OgYpGpR95iSnVNuj6tEJBu3AuQma6pIQ6VoC - bJUjF/uBXMVhADNpkSJgRqZHgmxyqItK/06WYzZMoXYo80RVUGFbCjPPdN+JKbI9W3SxxmuIwGPu - if6US308frKmYO9vfvrNb3zjG9fnP/vKl756Pt9T/DDnhA9VE0Ei3XdzIw0NFKiunAfS6rgt1TlE - SsZfF60LhjLRroDOeauKuESeoVKQu8AC3f0iseoKOcgeo6zHFCpG6QaqO2dkelNCW6LVWbZsSyLz - YJY54HMAT3pV+DWaBSNzNlGylelBAXdqEBVyg3K4X+e1bZsKb7bNRCQJ3UCB8nSyCoIiaLJcnkjn - WhCEVDDKQPhyXzjYaQcnLTPcpy4z7KyfFAeLIkIJRt6zdxM5wQAMpprK1R6gtNamWJlDYEIczKW4 - 2ecOkJQE55q/Qa60NjAn3LVv7733/j/5J//dc6+//Qs/91lVYEQgRUypSE4PyhJFZQLpwjTw4cMH - X3jvi/23f+ur4V94552H28nz0JOV7XMrfgsDYt0YXKoT7JAddk5yVtQc0AwtV+9IUBDpIWxbhdVD - RuXMFZTFNDNiFwjEnFQAAZkBD0BhAhoMHYiUYNhKWRPE6AS7qW5VZCSfBfpxtBrL67YhO228+OLj - 3/iNX3v+ucevvvzmu+9+2Ps2x97d0dVMS2x2mdBIOZ3y8Efa1DZGA3JGeKr1lGKySlE2ENXvDtRE - YgfQkQbXVXzwHnZGsxRXpEIUVCI30KoQy5zJ6aChNzndPvi593/+5Xd6+8Rn9IUbL4IkuyKZ4/BB - qnYZ8mAVBsASXLgiOrRDmx/89yKyb5bkTiCn0C215lGVuY6ul+U9VZXwYupCjK1LgCnHJgCXlWL1 - Eva4i6E3mxzmByJKLX8mB1OtlSPNPbhQQXlxQ+/pUk+bWJU37cMF2gmYcXkHAK312hr11OvczZ0R - 0AWpZ6C1lvX5luHQumYr0K6PXYHeGWW8QU+thFEkqdPnHVRFLQGKbScVbQBEUiwjMeeMCJDWDkOw - LNdwAxcRNMeFYtAmanF/+AbGdDNAN6aK6hFKPFMBBGUpchOSB4q+GocMGEyul2R43xQKSyCzM1SR - mrXvVsa5APj7MQsAsFnhgYg5XYdqGxmRy1bj/ogES4LmkVSTigs3p+3dL3zu7//9X/cxx3XMqVt/ - OAbvxjWYdrJ2kuD+ZP/R9bI/evCoaU/vEqcPPviStZM2S9kjID7hBqHYaopO0HIJeKvcWPNoFgqV - NFE2iY60qhISKZStiwo8lmu9U7VmCCQaYWXq7AOxl93CsQ1X9Ig45iqVXgx82hRJpIMxRQu9RajU - jIxlRb6eSlUTTMH0uMaAZV/QZihASGUPUquWxPCcOU1LvI/Tdvr61//Oay++vZ0ff+7Dn98UHrBE - TWhTpN7zKIt7gXv6xU+Ctvc76aeN4v7svG5FsxorICFqjbAGYTFyjVLgB46gUFvdiJzI9JChdJEN - bUNYnejk/aG+3BVwDMr6W/8AP/Ga9/9fUakmNkRQzZTlDJWFe6bPFBYpPnAgUABgyIFMyGRCWE8g - Dt3DSqNq7SzmfhbTQstts7ZUpjM0uK6fHE1ahPsMWUr7OmAjMpVlfJNFBTIYkLMc0wSZ4dhFGpQI - xVSOQ0hgE9udsOZimdf1J4RZB+osNazXABpSE1Z9mxoQfV+KK3MiS1pTGub6JqMqygBFFb1vB14q - CaymZmFQx84plV0mVEusW0rCOkxNaMgFcCTCOSKvWt4PeSjnKBFBmVJtTlJKccnlW5dyUP8TGbai - siU4kJHhqq2cZjKFzNYCOSIlIklV6mZbKXhq5A4EiBC/pmdmS2mqAoKBBJCuOUGJxID0EpzkgXUm - lqxLaWWUTJgZwpGOQ9WIAoyCRX49cvr1zwXaKSF5CC+P6guk0WaORCqXT0DeRyMBPJZ6haJqGMSy - KA7FNFhG0I+Wd/2eAuUHEkQYIpoWdr7u4oGh131KZCbTM1NDgBXp43iDBpCRIdX+qqUxMzxSDYmy - SgCTmhkzg+FCE0rZMCEBYZOsCnbA8uDVSWkxSjSZkaRAFxWJICmIGuRlYFUYuoLV/b5jQRIH4UMk - Cejyva4TORCABJliTM7CnQQbcJIFEIqq2AMI6KnzDuFlxBqeiRRdZU/p9Y7IaRRqB2YidmDCAGkq - AkigWNgCggxo0JVpLMezMiLGUgYColQHpydwqHWAJExCKIjlrsiM9Mwos0qQQGsC0Cd8Bx2i7aVP - /L3//B/+3H56sr30+KZ8Mtr0MTymj+10kqJnuocZVQMCdOjNg0+8/Us3bz3/ua/cvvrW40cPZ5WL - AYshvIJW25zCACaKrH1e8uyKfBV686aSfiubHdleePGdD7/8iXfsk9tL77312s2jEs76hKL12+f6 - c889/qQnEGA44loO1PcyJwFYHKgaZZeYASGsRmfGBDWFlCz9pWeKttbEgcxQxvLiXxaiiRyMKWo8 - fIBw9DXKRqdkZcqkyHX3yBQ9uqHl2yprn5MQpa+GgqmsqqyWZIn585kjbFmpHLk7l7YyAappcduR - AEzuSQie4S4kZKn8srTTpYqKQEZkeQiRCNZIkTVrJokQlNVa7QrJmGTZ1T0N9JXIlr1DYaDr0jBB - KDVhCpge5GEesvpEkpkSOckqo0gsI4jVx0J9tcRk7YEca8KmH92vLJMXW1QCOmu4yMrsmZGiDbIa - shlwV6aKaAgSkcGMxcZMKRGBICZkL5fP9vjVt995+bc+8W688Kk3X35gek2MZAaGZJeV0pTdBUGh - plKgghlf/vKX3/3cL1l/9OD5FzIRtcOXbluOYadFRJPOdh9EQY2YqEZJWVaMayagynZyODKIQExC - NXtReK8JoimGxSD3WmdIIkOZIoBg7IDUoKNEjnVasC7UYQwVCKLdh8iVsweLbSSGLG9SKX1FAmWS - ksR0GGGC1k0SGSPcKTU3SExKKxNIRxxlx3F2w6/QELSirGpm+LHN5H7tJBnQYigiwhnzwSbbm2/+ - g9/8R6d4eHrxlUevPvgYGPuUU7HE9PC3PSLD+ntY13+Je0o6urZshjOW/ro+piwZiFRWQw+1rqpK - nRkR4TVOPFnOSCxqdS12EFRIBcTQQ0KUcl9tOMOZWnBnPhvLQBElZngJWgBq660w1EUWbsaa8UxE - tUK5rKUTWLIHCtQcNuZSMx4i3erjJqufVymtQeKgKheXZXkml4IiSeM9PyFESpDmmYjMUmmXZLuk - LPdXPLQMWedKhgnJteIKuY3MKTIh2wKasnJQl0ohgciQ/JvQ7d949P7KG2/8l7/5mz+8fTNe/kLv - QHngBCKSkbpZCmZGuntOO2j5hsNagzZdQ1jmGqEmvaP0Z2UiJNihMwwxaI4cmZo4OVebldFjunAA - V+QUblmHUdkGEiC0TNTD4cEIqEKUtFhAZh2Usq42EYJIl7ikdMEtpCOQeSUukI7s7uaVu3GZ2kJ6 - oD7ntLAmRtMF7R8ayuXvnmA54QAz4xCzVfHO9GQyScpSjD2LKxG5aJlPkabIMigAV12A4gOu2JJH - 1iuk1ZpIAEY7oF9f6OqhsfWMQZqoRSKQniGmLPeDSpU9sG2f//wXvvH4U7x94ZPPbZW8l3+GqErK - 9JRj4jIByYX/2O3t59/74mfe+PSTbXvwxpuzbZyILBdRZEIDKetwXolk3VFMYCJzCvai3STErzA5 - jCOQAaeKav1+zqpIQB6mLSr0yTkWSV9PDg5wCDzRy1wi4dKukKH9lP2YRJaS3qiqTdToK4HIRWRY - UTaXS0xfiRntfOpf/vL7737hvW7P9/bIFDmzmCh6sOhnOiR751z0VXS2QhVzIlPQjDIDY02yixVb - yEBOBOAGbQdZRMo0J5bX4m4F9NaWQP5H9t612ZbkuA5bK7Oqe58zd+bOE5wBCOJBwCBFCAJomrRk - hSUGJQcdoj84/If4Fxz+A4pwhEXRlimFwhIVfChIS3gTpAGQAAiCBPEYDDDvua+zd1dl+kNWdfd+ - nHMvgCEFROyMO3PO2bu7q7oqK6tqVeZKumWKopFfGCJCByrAsHnip376ieHWveHWW0ABkiE5JOY/ - 7ABrmImpAd4pzhiLmTqiCLiZs+oljQglTxrxHXDLZhmrBPVxhhNnVBXeIu5hqA51AqnnOW2+Tuz8 - filFtHcpRXyYkZNwgkMtbmY0zYnIZd77teEW6jbzkKBPJLEQNLfipghmu27w3JFSBqR2f1urxc3a - ohNNA1ICmBylp1npRApEO5fsa08zqwaNjVV3bgNdFVYnN3MVd6pozwYEwqlOQymw2krwruBJkAId - DieUWugRcKpBAq6AmtdaLCVJg1IpgphY2Q5KAJApkG02lNrA0iP9EpkLzCuHMDTu8AlSVQwQZyPF - 7NtlV4LSeGFa2EC4q1e4uah7LWYZmWCnT2wzc6ouNcANmNLTmD/ydz/8wnPv2IwXdaqliMhlrbKz - YvR0kccLNZnuPHjj/t37t249sRkuEy+39+yp2y/kkRyyIdW6kzqhbiEXMmoYlwkpMcMFZig7mDCn - IvOLWI5gMg/SMzGIiQdoBLgbWF1EChlkj+rsx4MlotM9uGz7tqwpoXcfupjN+wohmorBOxOaa2HQ - 2iIl9NebMgfiXd2LW4p1e18TiDsb14P3xaBX9xo6S+G42XzsY7/wsZ/77yCDb1AMA1v6d7fwX1wm - oT5brHDbvn9a/5O/GSBylr8t6BbuZqWUpMp2PNSgdQ//qPjN0I/ZY/MBFQwKZ1CkTkRzTgTQ9n2A - e2QzCJMUzNB6TTV+0Nqvj6wCnll+hwHTBE1pHBMZiV8KA62sU1ZxkTLvmrqvU2P6CbVgiZWvhUdk - eB94X1kqqqCGHcCUASC1AH8iSTifdZsedPr06mUcbhVkArVWNYPmeVMcW+DJGkHemIDS4tBk3OSk - BihcUHy631bYciG6MeAK8An1Tnn8MoGYglOuTHjwoDmR0aAAcgGuWlIZoCI5UgK8UK2rOs1cJIH0 - OqmKjmOt4nMAotdaK8SC+NsdQg7jUDEZXMHNZmDtPdFsLcFaJ1cdYvSYo9CopfkowiRw7lgE5aTD - BlKdZaoleRYZc744nteETJIYpMbYuV8BOg7jrno1ZG2bLpsepARJF6MORoBKRHaiFkWYAFjVhMfG - jUm6gliFVCTv62q6gZbG4mk7lY0IULHbIQHiEGZl7E2swsyQaGXnteiQezuEM+Uat49Fdu4bBxMI - zbArmApSwjja/ZO8AAAgAElEQVSAsFp3ZUqbDGqNeYYoBWQngE8kuN1tZdQUWTAq4F64NVw5NnWi - 2NBOrMLqO4wuYJJRJYtXoMILHBFHgk725m4RJCtMwFDhdaaj6/NZNVS4a+SqsV3ZZZWUhzTvtoy1 - VGoVCbavoVqeI+1qa0K0cDy7glTYUHfiGFw5DLkSqC45QuQECE4kEzrKZNVlFKQU308FU6kySNAt - TtNESkpjLM6871xkCNonC7cAQwK0MjHBgVpQa91kZamYJqSRwmDPGhn8ZAYA1TfjpbMaChFMA1rN - CYiKW2drb2uzAGVRK6gDKaUCrd2qCEQSYqRKBktj0WrboQwkutDR8HDE2ezkVkbrLjokRLOoIMco - LIAZBmCok9iDyCODy9vveM8zt/nYlapkZMCMOl5ejhwdzVtTkVQLcWWuQsiI/ASeuHjhifHxd+Wa - N4H77IALBqfoXUzizBwuTXJEvSVcVNBQARPZJR9a6zsAZMGGGDTDLodnPvDhpy/upttvSi4TSEN9 - gFqB3BwyBbVi9wCPjYzkj57yvOqMvEjtiCiYznuWG5gha9LklG2dnDpKzmOKNtvtXGmPbQaBRbA2 - gLZNF5duJbpHnRswFQwOJmRRxwTiYpNhaHMNTAUYMnbbun0g6YIqw4CJyZCar0a4TIpBqSmJ5NrZ - nuZFSHMfBpXBtEUE70Mt1aecBlWgYJpAFlXLw2jVd7tdHjfUBMCotKD8mpyJST3AS+xEyhJlEYtl - lMZcDIDMQ7aoivU2hAY0QEKRhzTOKXPQpsZGwVodWk1bZi1veQAFwpQoBaViUjAzCRHtFhR1pcLI - rBvBFbBFqfAgMGlId6lOkFRUAgVpquVKXKmNfbiTR0fBVMEwZAnaJjMKcmZwBE3BZK8YhwFlC3+A - SmwrNk++88knbnG8p7wA3DBBw7mypbdBLahTrZouZFZlEHl47vnbzz19y1xkBBKKogoKvKBmaDeG - FXUC6GkTWFoFzGzQVAumHSRDEsZbG9iEpBW6daAUqdsxJ0o7gStsJNhquU53NW2hI+BeaqkFYqIO - hp9m4xgDCoSy2VRYMSSYohCJCRWojmQRzKCRBENExMQjMoXwartpSnkQ1SBTDkimsU9WVCtidRhy - IB/b6cqHQlbAyQxtpAXVgBy6sgMqQUN2JNU8jm0AVoN1qCv27NHGGptKWL547Kc/9HNql55QE24J - uElxFtHQob4kZFtezWv9UDfurBqoksJ8MJITmVFzorg31sUCz+Fdas09wdy3ux0SUyTL6fxQjhTc - 0dUtmXUqvuql+DQGUZeknNJQcMXGyxAL7srmINo8AAgoNCVVENYx7NiBCAER0kthVQiTimmjyavh - XF+KekHeBHc/U2TFQ2NUj2xgBpt2TIPHNijmnbZ5UhWtFlOloe5ckqhOVowJRFJmHekTbCKRcgIJ - q1aqiFKSJljs7WsRmYiExvsPwAuKwB0pbE8SBcs8L5ihenUGN58g6RDHK3iYpIQnn3zfxVMPLt71 - xma4qigABCLQIdMAGsFE1SGjutsVVYP1XpHAAdAE1j4JGJIMCdO2gXEGA4oi6a3NsIU/gE5K3zoK - GmefGDIvRCv8LsqERBiKgzl0yXa7q0QMeYQkqCOlSIMWNHPtGEqDXBXmqAJRjMzimKYHFRvEOVVK - EAcmn7a7KeURqamJlciMA9E0PBae8FatTAKhDBS1AgJMs6sEUKvTNbVzMIMJWM2n7W7UQQcdoFMj - 8OmQvBnFk6p6oyqd98sawGdz/6ZQBG2vze7uUGsFrkSSmbiZiBSDuQuYEjpxKSAYNZKVqjtEWk4l - h9d2VEmASOm555768HNy1/FYTEo5ebH79+5tLi7TsMkipaAassaRilSvQuMwPvuud+InvEi6klSg - FwnSd/kDIY4rVIftUAlc+kCwLaLgsLr1/CDhgrgckKvAJ1i4wTh0ZBqtT5JJoOG67kDZoUzIOdJC - AA4mQ9oZg3yDqRNkb3fbQXcpT8gpIe0a+KJx1hT05QbvcWYGL/NpQxyGmM/pjartNtk3Tz1uflF3 - 2G3tMg8g3cy1revzqAqtwFWBEZcalLlxTjmEUSuYJkwE4EmqpsYsvXNWSRtws6Bd0ib3vvnYCSbY - gCKQDKtCCytVDAZCuAErUMsub3eQhJR2sC0k3GKkrdAUPqEUCGFeXaq2zCUeqBKIOmAaIENVTM2Z - tp0XgbEVdtERTBZ0r7GirpbNkVlhU5DMBokfCiLIgB4xh9stKJaGoF8RVAfJYXj8YtgJ6ryQC7uq - QgQ7Fh1WSiMoasDKAo6tgcLlkFNVxzQ0h2/0MWA16Ko7foFhHCToPTqwxTaHhie8A6i1CpP0qRG1 - pbElKCIqEOe0myiDZNQK0kRqHqQ6d9NO8gYN4ge9UgwopOY85CRhCap1iIJAy7cRsTlD8DNUR2FE - I2IUs0EhWiOktU7hZzZkdWBXkQWg5Lk9wpRicrNKqUyKlC4gQ28tL/BdG4niiBPdNqZlqjtnTgp3 - pALUCVJAQ9KURiAZjF6DxTecguNE2RUiWpmdVsqk2qDfn3r3O9/1/PNeIVT3oVYFRiQaffJSsNUR - JpMbYBSmhEvUpIDkgGiZPEEqpgfYFRueLIQBAwbHSBjMYDtwDC3bAY4q2DXHpzhGEEKzaJyubAkZ - ZBiyGHEF3i0chnzLgLJD7DCTCNUxRMqZPlIrECfyDkRmO4hgW+okZq6jiCaI5/DhhYoK65x9CNVK - MR28OfwXRR10xDg0re1eFhQ6OO3qqBoeZTkJoeY7pYGCrLANkOMUXg0bbcGLbiYyn+wtUFA/fWjz - kPXh1AcVsBqOfxPytwPdBq4oGrHDqw8Ra6mc0VnzYw0sLQIBaHM3I5UXo2ln9LMD37HrZPM7krel - ydj/37FOzmW6teN+NE97tHzQbBXuedjD4b6lfIjjz9nTCASY0XzeLNKHKMN/w/rtnGFjabNS0rZP - bK4/hCQMKXbBEtTcCbgQKlqaM0okZKAIG/VywDuK1uo6tyMPUiuSmruDRmJnbs4J40WKP9qLq2JI - EEfQ1RPoDNwxjYv2FVI7dWnOrKLonikB+0okZGrtzSTacnTNvrZk8O+zzwCzPoRC0ChGa0sDQB0F - BV5IIUZiaIhc7icjFLASVRVsR3ZcNKBvcQK9nEckRcIjLImEQwAiE0MsBlsYQIx1VriZJZF28kZG - 7pRSq6nObC8rLSKhTmWEN6p1PxeHKHs/iiDWDyLa/vb22ioycLEwvdZ9Ldw3ltAIQ25bdJGWPNTh - OveWtBeJSBtCBlG0/BjN+0ahFsxFmuFDK7JrrbbEZEjwniLDgriKi05w9pCOxaEjeQ9d6fBzrBoB - 0RKeMppIZZxzdX9FSTnyqwBOkcg/FF8pfOFuYaivQagpwSUCLBBv2amhGnNJ95wQt4ByqUSE6ItS - EMM1aeJqEXRkUqyhYo3qr42+rGja1dbxcQI5K7b0pgxoHz3xmDucCom0LbL4JrdEQyRAFYZHisrc - 95HLWFet30ecKtn2PjKz2q2MoXY0p1vE0I5mtoBmoaVljUDEbYJ54JKGgm0Uh/NDPxgjFJIiaQ+U - kkFV5seQSwfpfLZNcBBUxcr1I16GSAIqXeqE2okgANhMFjZAb2vaDMgjMAyRZck7u3XkUoASw4CI - CkcfSr1nNaqAaoCgk6K2lXH44IMqWVvsU7s3JSam5g0obCccwr61belP2TZ0s4Vsy+I2AloMV8Tn - SnjhIF0ocrD/EkjQiiGoCSFgSzsRsxC514FhAHMfscuawwERyS3GF90HXSmAtJxt7JWIxTjcQoUi - wEIYJ+19GutzVjCJr6Zwzr+tT6sZvC7xVjKnDIg1grQPWrlxdN+mAPQgv4hAlpleGc22Ei0BRZ9d - Y2ejc1MLkKTTP8QED1NVuK7G4zIUODvfhwmihLd6GxHsxkkASfAEJAwZadA0XoCMOHdJbAsK9pcB - EYHfbfYLzyRIxjBCRbzVJWy/gKn3FxDak9H9GdrcJkJSlfR59gwINfA0Vc0i3l7Gl+8j2FFT7nxD - QtVEgBq+nBHE2WeLtpyQ2AN3h7i5ZyGAsbuseow7dvdXiqSU2Mk4W29Kn7EVpIikeB+AKeXW3PEo - 7cG7s5FFRjPYkW9xcY1YhgTQ1jiMFVMCVJE9jUkuYc3+yHKSHyv81VBaa8TqXx+Xi5o3e961qGuh - EmjzCVuP55RcYwB498xpoUcESIFksAWcqZAxi8beEdoS5Aj7yrM3ymLRmtuxrGpIn0eFC0jtzSIz - Kt7aXlMKp5zo1YbbzhABWj7S2bJw+a97kAHSVnICTUL1eJHV1BPhll09CFK6ZZ6nLxFtZCZ9kiI4 - QHKsK+bRiP6isTihxKF5s/IrOz+PGGCpTB+UCfkSOW/yeCGQgN7QTUpbiTVNoQZ/kSF4wVeqIn2F - 3Meut4xHAo3MlcE1yhieLWYuHIjCAx2S4ENQhHe3cziM8JxSaq8QFthBrNj69iY1tnkZBMGUkrql - edXX6NFVMyIx+zwA2MNHw8JYhAg2ahaAqY8Q7V0i0H4XYtUMBmuWzguPrv1tTiCbc/usxn0mIVaW - so3mVde1mZaUhODvgXrb+zQ33f0d5Tw40WNAwitTAIcn5DHWfJcEgEZRQ6qmcRO0RGQ00cyOwnBe - dEAwZCQk6titEGcVQxDZqcMzNKFTLTalTaCoxGDuOyJ0uwyl6tyV2hD53h4R8tB61+eZSriodPPM - 0BUnKJYGBFWRI1tnVImMlgnyLHSLE5lsu2ESJQYgWxxaUdgWVv2guL8fgKQtoLEXvPwRC4am/P3M - oJ3qzpnc+v+7IYW22F1pkdoERHXOmkwE0VgoZlJBHqO3FRwjo9T82DYKY1oW4byGmyeQIEtuea9l - 6dL1KG85tcMPJjMoVuKraMnG/NiWNjF/dtxoSFD2XQBb/dvOahWp3foGAqogR5PEUXAfOsvU0V6g - LU2is1LDCubUorNlaAQIbSWlgWA1Y8tWbK+BNHJAiHRHytZYaZ5x4tBViJTacNceZQWKMGZ3Qbgw - M17f+hBnV8JwNcBcubYXZoVENlzhYpmj8TsUAYG0zWEsowOO57zdXL+RqEDjCLAtOhbUW1psKRfT - GIuyrHm2qzNo0VtMYiwMmlrQdB/QQNt1CpOrzVSIgEBHDa8RCJC1NvYcCJJrDXuM5DrTkqewwLWv - HTVgIRVIAPzzK2eytnr1SVKAcKHk+qMIBIyW7VwY0XNKJJHFjjZCqf6+8wJgblrpi6lualQ0k+qy - rLI8hr1wCawLxaL3no3ZclncdzPVmhRISectQFTFu4q23DxdRWX1DM4xem2D0of7ohc4EPYRePTN - 2yl/09BtX+2QsdDuH6+WQLrwJMQEzCWQcn4KiQFQIi+WfhZpFtbB2R6+PdX35pJggtpn2BlXn9eH - DpMUerssRmCAiKkUK2i2to/eeTXa5q8IVBI0YKs/gYbAnFpG8VCqJFEnNZCx4M0YEgApSAUUYANc - hMYJCiHsOaMCK0AfVvGnwTSWTzKEbdN2rxOJksCguxIFLgAHNoLhslnR1Kd8bEaggAUthWBN0HmD - HdxbsZwNoDMya7YoucVgtDUy2xphlOAM7WY37OyaE2ZlCQI5RhVEO8U/4ZRRzFx0IC6Cp9czmHqH - 9oj9iCfcG3QNfu2LnFVZYByTU3u8ZKA0lHFZzAS8Ay8OVhs1aEeBtjwQryY0lWiVNrM2mx5ufhJH - 7i2LN8DgD4h+VKIttFJqWGsbXHs7n7nHVyuR1jRIhHc3KQBESql4ISIlhYVJjlP1bpE1Q1uGl74e - l+7USN0sfvFSnRqTYmppcWIkpYq+EOijrDcggBpAhyEHr8OCzLFtJdEIF7JwDKCXmHcQXYXjWA+i - Oo/mpZC+Lx7alim3QYC5Ju2hmNwrq0DEBdhAKizoyFqO1r7EFwIaJw89GGm24E2JXWAecESsesOY - 5NliKeFx8NPii53oDJ19fQDpzCthRDruxT7/xeMxtJEl7UBLlhWeGjoaJHPbxBo31h7q3RRjvYAD - hbqaFB0Okh3VmR8UMbGb5Vaq99rJPA/OneF9p00Ms356m5SlU5ojOMA90IoNoEiDL3G58c+15X8F - zN1rWwESXjy5txbmBhgIZGDThnMwXEl4C8RqSedtOymw1I28Lw1T4QaTCKtDY/VWd4VpcFXMCwki - MMjUDZMgzy00tKUY2HI5NQwYovPaevHmW9ZGQAvC0BSMY62Dl/WWzyBlb8h5R9m1Dg3gW2CE3kGJ - 6qvhIhl9W21QSt8t9v4TQJEUUDJWUtI2Qeyom8RacMT8Pee6LPj0LMuWRnoEBwXI0TkRfyUC+HIo - Od9KUCECJ1aryY7Mcm/LPELRLCGaFdaOCrW9Vdt6SVd2Lleu3JdXL9Gx3c5g2S7QBL9oDdWN+aZt - 7zSe3ieCHlqNdvQvCJ29DEfJ1XzUXie16zu0wgTdoB9HtLemEh6I3zK80QxJBoKZdb0Km1dlQiCN - PSZRIDJjwkQPj47rPM+ozzxPzyaqtegMA0TVmgdaK1JT745ld9H7kGA3YHG1amqncADYd2BsfgAA - IBdgIjRD26uz4bTLYTUEyG07p4AkYARIjHNNFTO/CIG8qBaW1lotQZtix6jg+svlvKRdpG0x68IW - jgKQRNYcLHRNiZRhqvsWMYZln4hFkPoRmYBQjVWx9BdoSXaW7az0hHxN6diqJMAMuzXEqDeptdrO - pr51pS5jal7MScyNXDVx04fmvTK3QB8BrZYyz2ZRdWhe9kQxVfV+64qd2lep2UwFN8hpgXLZTj4w - QwRIUGKUWRu46FtTkRkpWHpYIANAMFEwovP6LRop67u66XBQu5Xu70T09SKb7rFzC2jzFJMwUAEx - rbWq7ToUfAwyBGfAfCIlAGVYXjx8GSgt2yzmbUXvr/7CAIFNgCedjklbWh7NeUknEerJ+WwxTG5r - 46iF9zWLL9AtJA7MV0M9KjjIPK4S+qFNGAZ2FeXcyuQcW7A0+uoN5uVInO3hAgCZ46B/tu0xlfX7 - uop3/Q9pbi/u0NyNBRJwOccUgtCUdd5dxUQ/i8xIYB9imvrp43rWIpF7uGlQQ/fNsAADRIf58Du6 - H7kjbDIbRF+V2n5qhqT+jbdjmj6mZ0ddCCCa2Oqa5keIgIMgsbmuL+u3eZUpbTe5gfRFCCEYHfBw - PtauKH2iWU/YDgzdVxFEZ/GfG0wVA4JvTxdCEQId6OoNz1AedM+FQHMyZqiVgXNSmvNVC9pUJgyE - G6gj+jzYZzkQ4BjHagFY5b11ijazPDRXlqHZslnDMvBYpOWOp6XFZEkYBAWHedbWQDE5O3URSKmf - w691vxnwvTZo/zF03AWSu9NGt7XsJ9d9WSUAQ6Nl74R81Uec1xR7NlHnEbGyjwvs1pMqADFmvK2V - dGUik6Z5XdvZ2o2QJMvevx9y9kmvjyR0nZln4IbI+XwyKtIbHG3UrKbrfpApy1he2/k+F0WDzsdR - 3QKD6PvucBeXXuq84NelWHZ1pc/7dEJSB5KW9+vPD/8HEHOYclMr6bwQaTnKUkKQHDaDbrM6sK1s - mmZBE3gBSQHpsK3++4I8obHatRdRYtN0b17Dt3rGGmZuDiTiYq6/9tOT/Qbr/18+nMcSJSo50yT3 - Z7n2nuhrSZUw6zFnCwYCLcWxrJaubK0VeP6MYsii99pecb5+gYfnQRCzyEy40A3NfNXKjjkYyrf6 - /u0Xdt6IH30xrOasGy9ba8gPIQG/GkCvil0Q4gCd/acV4i3wNeqmCqI4popqZRAbkvUDQFkQquUB - c0VlLrIlDHBAIqc7ulvZaooPt/UKHwBpTpyYgCtgBAY0mjMDtkQi8nx/Sw25FF8cVxFJAGvD01FW - 0FOaizdBsD1pHI8d6o4DU48AM0CD63kmGaTvTbFOBOdVD1HUvaXv0k68pjf9+POIbYgo+7SrMk3N - dzN5W30aCmD9YLh1KABeAVcAgEvHYPulrmr9iHVb2qbAHkz3XWzjlyN6ph04dAcRb14KLZ46A4ot - bAsf4WOsd11g2KIZGEVP6sq94v2o5OXLZVIHIhtRrFcyyBahDK+YanExHYXqsjwkeNj7383l8wHw - ALiAbeDiigllwm7EJlXBFC1rxivHJphnkof23QezcdjNa4Z5QLVXMnAH+ha6hSr0EuBuNfrVC6cd - dg5J2IhLvQIxJa2aCWZADhSzv/jhJ11lfKWR/SongFoxAVf2oMpurMMlL5ZH06EGtdLcNWP5hXmw - eGd2kfU7Rq495RT2IlhKSSTZgoyhG5zRqjvCW/DevrITncZthquj9n1y8n1NYMz8XMXxticLAO80 - om799LjhRGtj2oxHvJOvx0GnG+JSfm/BUJyFk3ittOtL50eyaehsfGPJo9YvLwW0khvBvIJia4sD - KLaC++oKH2AJTC6YCDVgu1Ma8tDMrWBLXAEbYHSgbCGAyi76A9DFhXkCvEKD8ZTddIgBbrBdLVfV - p5STtD2Suud5acbeTCvd69ulEz17ODUszg8o4NTtpM5dTezRPfabK1gXiA2rUk6YrH3TfPTd0T2H - g2l1Td9JLm82T2dzH/sqkcMhVnuqZt5O6XyvRfab9Lia3j/1pR9OvQPbZI+DBcbRBLPuLR58geNP - 9x+01M+9BQ/3U6T9+f3EksxXz+6kElyadL8JfLVeXvfsfnMd19BnPVrdeHIS8RXasdLd5V3RRvGq - QMfe70cz17Xqt75olmtUxnqS78OWiW+jZAPnWOq1teJyWe8HzoZozyFgVRnj6Tofd+IK3D+8bK+F - cWpIdDXG+qujzpofdaBtc86e9a3c/+n95sPnH9aPe1/1wvbs0N5dpx6z/9VBxZYhdjSsTpmiw/fx - PhnOA9uXyemaaxzG1rZHanxQhfkh3lcO1M6ZfKzVJ+VwWK1U/9BUruoaqqi+r0i9knWx8yfs1Kw+ - ixU57qn9V52P3+dHnrCQB696ZLuOzOfNs8j+58c9caRAN6jWcaHzcoyHdx4+8PDpWHdr44OWVVT+ - 6crsV8L3axfF8vhqb2XE8eDcog44SJ/53hftWOro+w87UsV1DZdG8N4u6E3Rc6zM88Fc/8Pe9+Wm - me9RuvFEN54y5x1YLj81QvygZlyu7REb65jaPgetl6OrRtkbUEuVDnu+v36MEQcjbrSjlkunrYo7 - bHqXPSt4PDvWPq3outx+gWPu4L3Xn/t+PXGvZon9Nl1dg1XP4GBDdGjWll99xWmyd9d10xv3DMux - Ip+627Feaay/3p9eTkwoB9U9qtTJOrbnHOzoj1/lYZZrvoxH1/h+WctO58QDo6v3VPxUaScG2fHv - J+7t/XFoariqogCN55l0yrJLnQk0HAAhC86AeX48XFadsMCLPWmm8rANbpbr9SZ+cClpT5WtH/3I - +tPri10PEMzOQ9dcf2yrrp0EH1Ls2yR/a1y3P7zIwy/5Pi57ZPFZY476JkaJubE4rJ+CJYAtvb1w - XvXPg+wAPTkcfK2MeXh4zHx7vqDLDGQxImUxAoY9HZ8LXIRrlSeAyhW5W78muGhlbWPQhkX4WuZ2 - vrE3Qrha6rUDqD07sr/e5PzIxVfj2NzdoP+nR5j3Nm8NGDCUF1SfdpN51k1c44AJdI3XrR+yLuNU - Ja6t2/EYTikZXU1nPKo59YFu1shtpB88tlXZ4jXsaE7fPZB2KXtvzXJ9Xfa3guw5MKVU950PSeNA - U5kie1ZwWh2o5crUzd06Hx6CEGk+Ir1+dEdte8J2oR8r5N4044sao4VsLkXFOQrUJt9dcZtxEReo - gkwi1kBpnu6aazRpdWK90gN3lOZAiSSDkIn9DKNVxgGD+ipL695MMjvOHY7uzsOwP/HPyxT2aXa1 - gT+qup/Q2SPpfj3rFpg70ZdeLW1ypx4szdeLqtYTPscrLdQcraZ9UbJSjhVZ/KwUJ0fOHtQw3yHz - Z3MnCSoaaAsHSzVzGLWHzTmB6u5TTTC0XKpaFCrQJEtGvm4H9/ykgL3FmR+0nq+BRp9XoQJNVDik - gOLQ3VSESZW1QKT5xXPvcauTkXUr+zVmZbmGrY77fv04WKDBG9Fyu9FiUJzcKx7V49rCb/hsX8u4 - /6EcXTT7cz98gcP1WOHqs8Nbjx80X3O6jP06640X7JX7CFee+Hrv7feXXvvFP9R2yakmXf46sPZH - 3XFdDQ+a9PBlH9pc+5fxYC/Ha36/aY699pbrZLWxP3GD7P3Yv4xHl/X20OOXPXHxoTziBHSyia67 - 95EG3dF3q7F2fVEnz09uLm//ixt07JEfs/+oU18/1EbxoKnY3OBOFbF3z2E/3tAX7XMurXpqMNyg - sIedfo3uHdSVs0viqWY5tCcntOFRzOUievTlQ4f8yafeVOT1jXvij1NqcYNqHX+kpz++/oGnlbmb - taORf3OPP6JBQJ/vrp3y9ODah9eA131/wvISWBexX/p1j+bxNUsjrUHbw4deV9GjKp84eb1hEjxt - n08Ve9jQ3flfDu86LO7E264+OtKNw0nk+PZThu9kQ522TNeMreua+uSQPWFGrpP9RrvxkhMf8+TX - +3V6hJo/RPZa4Hpg6Fpt3L/mhstODMNrn7a8+vUF3mTVHvL6J3Rz/87VeGqREasuX23el7/3f32Y - xd1X40fvrOufuP/xsYlYVfZm+PXkA0+Ppket1Pd1xdsqP0bQ7X8hIefwohPicHhtCQ5ijvIWy0Cq - NHfckwcA3rX8GlsAgNeBUDdUFyD9VIk32ZLjirCfvFx3BtI/OPxUujPl+iYe/Lr/kNSvf5uVvxEj - CYACMxhKKdWyXtxsO5cG+z5M5v6V6/YXMDNXmAgX3LKh+jT32rJ47T8jyjtA3U9W9CHln7zQ3StJ - c6+lDqqBn2tEsYpV907nfPIpvmqepifBHLCsQVqfdyhwQe/a9XNEyXHdrpnZo1gDzKoVmKaGBueg - 8YLCzecc3jc10bEcNpoT5iYiMZQdmhr5k3X3AoG5G9kOWSNvXGRQwfzyR4Usy3JfPsX+aoY9gvAk - Irvc4x3QEwMAACAASURBVHsf+AINzgVdd//yEO/OtuuZ7xCg9N5l+2WydT73nnj8x+nvcUpV26dc - fbeqSfAmNd5Oh7mbmbnTRZI0ZwgxVzdPAMwNVgkPWp6kKP19Txg+rko/qOoSMLAWNzCISVPqbssE - zMwhJq4N3r92z3v03nhIl63a44YP1p899HFnOctZznKWs5zlLGc5y1nOcpYfaTlDt48gpBx7z3W3 - J6Ekyd7Y9GjVxReAhoC5GQ6x3wMsY++Ywle/31itm+BYv+nLR/hkFr8GF1hDA3N4TQ9LOcQ90Bke - sPKn6262JwIbfyipjkpkgOHwxgorQEUaLh67MF+/0spZ8poa/GCV2r+ruR6690jWoNaQCkI1AbS1 - 5/TpBz0ibvsotXYi0ge5pJQv942Aw8xEZjIrzp83NHYPIFx+Lqx1WLsydjytXbm4e+rsYBhPPPX+ - h952HT4c0lChhDrcKhdeGVmoiL5/2R+h9DzAUc3UamMPAnfKCTpCEwzBdQugu65Pja6ki8A8INwZ - lVs1pwOBRO4Xvw8nPkKlu2frD3TE6Us4cPPMREQ6W3A4djqN2cPTOstR75KjUm+Gm29Gb9sp2V4s - WIduzWstqjqTZ1GYmVL39XUgqpnkQkfCBDUo6laxCGYoFUOQJq3buqc56F1yIx66D5EvDG2B+XIc - cxBgrdJFPbLcBLh3g8nDmuz9fXzec4xFn+UsZznLWc5ylrOc5SxnOctZfkzkDN0+gqxhBBxxFroX - BynaHMLQOBa8bZeVsiaFfRhuuna3XLzAjvbyJ2GQIwey0wUeP+rYA/IIuzhEno8f+yjuXb4ATQfR - yG+TsIGFzfERkZKyGryABgGlkUEEtL7fZEurvr018zmx3dLYDljAf37onclDJoqlhke/ff8S8I/A - S92Ke5ZstRPNR/C/G5e0ux1TPQG5SVNXX/5eKtdGzcrrtrFfGrpOrxral1fef8vDYr36bmujClIB - gqic8WC3OWvFDy8Mv9ugX6CoR4qEDhJ768eOMUZVVxHv/b361Ssjcti3PHrRvWitk6+0mKOHvcYN - Hx+M8LpkafHu4NzKP/Q5XbNpnnLLv85V/0S5q7ZZWcn5wvVBwRwH4DZVEVKEbGERbu5A9VqsSlIN - OnsCAoEsJB0kZo3xlgt4sQDNaK9oVf2EGi52mQDEqxEO8Z5S3QSYzKZJcl4nxH47ZLFYh82/V78D - b+iznOUsZznLWc5ylrOc5SxnOcuPrZyh25tlj0Vw+WyJ/gbcqzm1+2q1/xyAO8E5MeKCBOwBEnMB - 7eca5TnEbfbv4vEFR3/eCBpwLuUkz+x1D+HRn2nvmtOPOQ6Ov/n6H1wCGjVDC/mPQOtglGVzkjsq - eN2jBwjpDwO8BILi3vt9VYLB65x2wI4baIXKHCjED99gkV3PygQIJJvDfQ7r9tW/zvu5wmdX9ZP2 - BX1dvfYlZ/fqtSxUz3tAH9cx+u0RPKE0Diu17sxGlxz9qNpy+7m7vJ0gmQfxucI0wD4CTIC49z9l - Vo5IYRrv6wvj4irZSEMju4fxsdft6tXbZde+yg/3mgvy2J4FrN2hl58xfnTv1iUj2U21OAkp7uO2 - a1dbLip+eOPavzSgWpjZVCZNKQWjhxvc6E53M0zmQs3K1Me5CAFrf4m2lLJsbM4reqdmpw9jLPZM - fkeA0f2cBVYh5lw6vYJWa50maJLVacIj99mphujly955U3x6XVsvl83VPu3cf5aznOUsZznLWc5y - lrOc5Sxn+ZGVM3T7fcgCdQYy4Q5AVRKlAtXNzYbwvhUCASc1CGYFAt7sEMWDX65xvjwC8dZ79T3g - 5Bqsj6vt/AHB88kbD2HFFewTn7QLZmxuBnY6BHdAvbC83zpT69uAKbT+qebSQZicIxNYlDdHY696 - YV2B4zq8PYjgCvVBA2yFDu4M02SbUZbReMLrdh/4+uEqEtmKN2OCZwBUzKAnhQoByvFb75e99tR2 - gPsZQ2v/VRbcq11p4dS5Il7oqLB3SKy/7KLlrZwClDRmSC5I0kP3gYDjpHqhU+QRuUVvFu9UAQVW - gRyZQ4PaIvJVzcQBBDpJhDsKiJaLc0kU1qmDl3Gzd9oxf3Lg5cl97oC3BXlbadJyYrHvV9vHb2cR - 8KWTjgbpIbi+9/HBn4e4rc8/FmLfazFfQqXR7Zq79uum3dZrGcZBhWPKAilENZRtHQEfg+3azM2g - ItINjvX3cUYSiaXNu4O4H9cYOMhXTmgeIQS3mJ3r4Sk1jNh9PrT5PuUA/94z+9zDu08i5Ws5TMX4 - dh5xnOUsZznLWc5ylrOc5SxnOctZ/kblDN3eKCscb4YeGsbgBitwL5qKKgih9CyY3jgTyFWip2s9 - qU7uoq/bie97t3YYhac+OXS8Oipn766jmP2lCr6PJF6DIS64W+2Y1/xk2btsfny7Pmhw45q3BXSD - AFAhgOrYbpEMGlAaqqN2QKp7PM4UGDLDWKs39HV1vx9ZkKgI2QZgFeKAhv5sy+Q+jhBokhUY31Hl - 3gn7ffy2wC5OGMFiZbvzIWcQqJBAeARonsLYY1Bd4XAOeiTjaz3Y7pm5X6/Rq8W5c9XIJ5TdT1KO - Bt5NKbU8ILMMBpQJyUFF93z/wTrrVBWsBB9xe0vPoETDhcq6+SBznulwUK1opLcKZLh23LYf2HAN - 1GJOBbdy6lxqznbQ0N/owB91b4iuQfT1IdGJlujIX7+PCdQTfdAVmB0id4DtdR+VwZXX/L56/voC - zmPmoO7Rio2FWZg0SWQec0tCUkBDLbWgprEqEiFJaXBGGxoIC39pCjzouY0NhMc6Xbisq9b6i/0z - X6Gn/TLpneo14NrdtriopMGPX/IHkz1bjRNt+fDbZwT+DNqe5SxnOctZznKWs5zlLGc5y4+TnKHb - 6yW2u+KHW922Ba6oW5/KK/d3bxQ+fvvJJy6GyyRAxOpXgKTq6b3yyS30AgX48Rf7N/ePuWzJT1+L - DjKsIbiloP6n8AR0O1/v+/cdQbd7KMsMxdoeynCAYex5XAbalU55/n7fwhnAlvDOw7SbRhimq+2d - N+9guxuevbwtOSM1fNRnF7w97HtPTqO3hy5xJ74J2AgzHauZS0BruwcP7r72+laG2yqP3droKXKA - vRIjEdKxglxbpVMVm68yoE7b7SuvbV9+9cEL73zvrctRiCTQKNetNU/3BT0IynbQoCsi28Yg3BPV - Nd5Ux+LZvPrJhhyfYguIceezes9wJABU1K3fn156c/vyJC+8870ilApxiBjDY3jBMG9sg1Oy5yLq - 5nUiKggYtvfuvnXf7hepvNCRF7cGZldnGgY9fDsDJsARbbl6dgC11v50P/SxPYRaOywe3rv7fp9Y - 1Pf0zde8IgFpjs8WgHdQdhydCS0Plo5rGkAol07fq/fNsjoIOXWTe7dRMo9G3xuQ7u5uFVRScs4Q - gRtqZTB92HT12svfu48yPDU8+fRTl7jIUWMG8QUhBlofjPMokHbItLKQy/lCE8Psq4uWJqxf0M0c - 4ailuPtut33xO6+Ol889+ey7U555SH4gOdGdR4b3ke5ayxm9PctZznKWs5zlLGc5y1nOcpYfJ9Ff - //Vf/y9dhx9l8dnrygF3J9lCdssDXN27d//+v/7t3/mX/+bfbad66/LiuaeeEMCtejWKQASkeQNH - 9x+8ggcaeLPgIMew0/z1Cl3igt5ifbfMYMIK/juBSM6ILI8ryOOr1rVbeVPuu6d10LbMIfMAETDp - 8cVEv7j0Z749XrcOWHEhVZhSRtm+8pdf+Y3f/L8+/rkvfuuVO+9+7391cdHi26WxB/iM+jpkRsEC - wlslTdpriAO5BqCfO87FhaAQPm1f/PZffulLf/wnX/zz1+5Onp966vZmRUBgLVI9Iu472IYFGD0o - 8CEI2qmKFZ/uf+UrX/6d3/3D//P//rePPf7Uk7efevzxURQkwQI6GU2hs9PlUoDAwZbAq32hBlQG - T6gBu+jNhSq3aeoETEAGcu3qS9jKlxGRwC2K9q4TDeO0+/bWdz//xS/869/+j7/7nz77E8+/+6nH - bt/eiAoodEYI/OpRN7TBkRydlxT6Dqwo5c1XX/v0pz/zW//23/8fv/Fbv/cHn/yzr/xZYdncGp59 - 8hldsWzQA9LbAlcEgQxPvfsMgK8C8QP0m7FrJ7pHJ2evb6K27t6nWpgbDke2Yu/ND2xHf1EJnmUv - gBq1zM6jswau4GSA4dSKfszSH9mq8jbgtq01QllkTbmy9150aX7V0syw1bLbqgA24f5b/+k//t7/ - 8zt/+IWvfKPI7WeefGYzIAEV5mKOCaAwdwNu8AqpQCUKocDQPKCPz80Ybx19ENh3SzzZUOaWu67U - snv1lZf/7Itf+Be/8S+/+eIrl7eeefyJZ8bh7SGCWR/aHMG362ORE628Mue+piE5y1nOcpaznOUs - ZznLWc5ylrP86MvZ6/ZGIeDu5qBwlYCMMNBhuztvvf6lL33pE5/642eef/cH3/tT1X5S6SSh4RFn - ViO3TtxFuEeyrLZxrgUQpATAHdWcIuAS0Q+gGuiWVODmoHeotiE6sweVOemLn6J5taoarLuspTgk - Ja1mAETEV5v+uM0MmBMsNUdHczeKABUOUML1be2eRuyBHdUqWFUYEEytRUUlCB8Bc9QCVTQy0sXn - kDM65+7WK0nS3QMxB+DuImJmVqv2HEUzN4Xv/yJCc4BQTUh67823Pv3xj79cL99zT//hP/knt21M - Am+R7GuyXTGHzfhG5DeLrPTu7l7NVdWBWk1UDxB5d4eb1aoqIFErhAsqThchHFPZvfy9lz776U98 - 8gt//dF/WPNT73vvu2+nFQDTe7Vjyt6YVQnCK2qFKEhvCkMAtqRCWykvWsvLrDXhQ+vVyu7Om699 - 7Wtf/c8f//hHfv4ffPADH3jh+ScwF+rVQafueeg5UCoEEKl0m3Fb90j+1vwSm9Oug7QOFjng1TRc - h4HqKEQi6uQJRVKGeSMddTP6opze4SargJXd/b/+q7/4kz/5k6++svvHv/Jr23e+W26l3vjRQDNU - 7qv2mbWDs8K0z/rgRBtGqLWqiIpDgN29F7/9ym//+9//9Kf/v89/+esvvnJXLp5618s/8fizjz39 - /JPve+d7ZtQ/hrWoEVZRvHjiBrv64MH9r7/019/83rde+u73dtua0+bpZ556z/t/6oV3veOJx55y - aODNvsLcVtXx5tbpBufd19/43ndeeuONN6+mnZEQqfDiTlWSZn55efHc08+85yffrWF0ThzWOODT - 1b1XX/zWWy9/944Nz77nQxfPvOPxsTm9EzCzvVu9Avb6y6/8xTdevLP1J5574Wc/+K4ByOGsSlnO - iA5OefpD5kdZrW6mKc1d1KQWhEUVAdTYEvdVb3Z0pbqtpyPFmFA0nFrd4PWbf/UXn/r4Z+qtdz/1 - nr/Hj36wuSYLwuvW4NtSlHkjYARGiIH13v3Xvv3im5/+zJ9P5fL973nfz3zw/e94+jbT/Er0xv9i - CheYmwsIbynqnKgOBLpN3r9379vf/uZnPvWpD9zxD334v/3J93zAnHAoLPhzutItv+z1kHutVVXj - c6vugEo7zVjOy8z7SPKlcRCJMVkd1aC6WINuW7tBEwUPleMsZznLWc5ylrOc5SxnOctZzvKjKWfo - 9mHibmZUEazzbIVr5nT/zuvf+973vvXid199/c79B7tazTV8whTuZm5mSgL0DjO6mUoLdfZSHBQR - UNy9VBMKA64VRGryWiq8JkmwahCINvJLX2/Izd0k3MYEcDOvtUwqQyRKKtMEkZSklgJQhgW6XWG/ - gEG0xy4r3dzdejJ5b7HMTrjPlKLAnv9WKU6KDIlwq7a92m42SukQqWNXpkEymzOrkwLkcM90a1yX - pZTAbWckVyQyDRlJM5tKoTTUxnv+J+/QkZuRVGGpKBVKh6hPu2/81V++VB/LL3zoajfVOkYgegvN - 7zCMU8xQDUk7MhLUAU64W7VajSJuvtvthnGkytwG7ghwt5RCqAhrLQqBKObWEsBQ6u7NN1/90y/+ - ye///ucef+ffef9H79cOzmIG5Zurd+35rzoqama1CAmIWY1miqINkJUTdvSZeaA8DQpuKKtXrzsv - u7fefOOb3/rWK6++du/BAyfc0EA0qwa6ptnlOGgw6m6rg8CH6igSymJ0eLgvhkGJniYAt364YA6v - VQF4AlDhRUmgloleRBKsdYjBrWPX7p01Fwiszev2lZdf+s6L33npddy9N9WynBv4ghZGb7pZ1Q76 - d1x3ITL21mXWXFiJ0LFpKshJxSH24O7rX/nyl//5P/8XX/vadyfRp55/fnxCKeX+/bvbBzvr7+oO - t6IsAV+7ybQ18+2d1976y298/ZOf+8RnP/+Zz3/hT+/e3V5ePPH+D7z/H/2jf/Df/NLHfvr9H3r8 - seeG3Hysgb0xtcLuLYDC77z44qc+/omvfvWrb9y5YwRUi/uu1jQMpNRan3nmmY9+5O+98BMvZFFV - UZHV2GyvC/iDu3e/+qUv/tnnPvPiPXzsl/+n937k9k+/MCogbgDN3Z3tUMINVlGnb3zty//uP/zB - y/fsgx/7++9937tUkFEhzeHYfBl9c3GttT2YZAOItFKLiFAkxmlcWmsRVBEA4lTzZILq2BUMGcE5 - 03M+hl7RzCmEiAwDrMAcSe689vLX//Jr061y5942jx0LJzphAndTVcnjAFTQHWpgff2Vlz77mc// - r//b/37v6uJX/+n/8Nj/8j8/88QTSWNQVUDcWUkzE3GHea0NsJ5pdC0Ybinkbnf1xmuvvvjtbz75 - jvc9uNpWM3OFAbB2FmVtSB1iuNHTZqUUkmHczKu7q2R3c6c7QYjDqlHAIIXxhtq6wymgVsNUCphE - F8PRHefdzboX9VnOcpaznOUsZznLWc5ylrOc5cdAztDtQ8S7T17sgRccixWYiGpuxVkhlJSSuFWE - qxeppJDTVI2gJFUIRURbOnc6c2K1ur3SYRTJOWtxmEMU1eAGFaSkAsIq3IRsTl4Obd6EqLUIXSm1 - 1kiVBiuED0PQQcKq5SGDMLdSKynR6w4naD3hVPfc6gS6DlIpaLmhvMKFSEKZilE8Ka3C3ciq2kL5 - c9qQpMMMVirc4Ykk1XfT1t03FwrudqU+uH91cXExjhtArHqt1dxSoqrmnOf2D7wjflfV8MDNOUt3 - gzV3AiTDIbeWIqrhViyKCdhd7YZ65dMukRebcdxsipkZJEEhQgAFgJlXCyZMalp5Erb8YQ53EQ6a - QRY3M4PDDKVMKSUCtRRV0aSq9FotHAzhXq24QZWEObyglG1KHAdNSXdT2VUGmE9vKZM6gWZDzGd0 - 3MzUTVIKN1rV8L21Ug0ipCwAWkcxpfnkekd1HVaBmsQEJRD7YlZrQzebVhFCRk41ay7moO10SIEk - k2pAcbddGQlP/mCHkjlIePY5aC4eSaCm4iTGrDCHJ0gCvJIJGDc5FUMp0AwAbggID77dTm75YuiD - TgEYUYIt1j0DKXDp+Z1nH9jw1FVNIGstu+1uGEdJ3dyF2pBmdrXdDsOYkpp5KcUdwzCKAKjA7rsv - ff0rX/7y66/fe/9Pf/QX//tf/OVf/eXHn3uckm4/9fRTTz8bmHqZUKZysamqW8Cn7RbQzbD50p9+ - 7Q9+/+O/+a9+8/W7r75x99U379wHsuZ7r7/x2pe//IXf/d13/8qv/Oov//Kv/ezPfMAMKlB6w++4 - ELw2r1s6HN/5zosf//h//qPPfvbl1153YSUqaKSk5I5a6+Vjj929c/fX/tk/C6qWa+A5t7q7/9br - X/r85z7x59/9xr30j8fn3/WOnxHHwAmSRKS59lsBAKvf/dY3/ugT/++/+a1/dfH8Bzbv+pl7O1yO - Myet1FIfbLfjOKakWHuOm9Vaa61JVVUBppREpFajOQSlFKvVgc2QRAAvKFsTkZzCE1kTEA7a290o - lkQgMxw7EzXMTtZVvSRSxwsw7QomBc0rr9JQiUpwvBhDNxMVBkwFQ3nz9Ve+8pUvvf7mG/cm+/ZL - 391NJbVSggQYjTpbkmMCPCdFNVgB827C1jFetEs15912+9abryelJjW4UygQou5qBA1Us0BvRSRO - pGqtbkaRMG7DMJB0N3eXloHNeyK25oxsZgpSPNyN4YAoSVIs2k1SMaAiCWxyJYbcnJC5sHSc5Sxn - OctZznKWs5zlLGc5y1l+DOQM3T5EAihYQwUAwEhENgmKUMC8nbxaA74cHv6hAmULx2bQIJibVEuK - gDYDsFEnIgWPS7izzuHiBESgDT92kbZ7d19YKT1wgxa83oqnGFTggAvpFILu7impOYtVSsOi3eHW - HEPpLcR/tbV3wFGnapO0MFsVqjtqDQgP4adJqEOEdEeZAkHTnDZwqcXMd0BVpao7XMRTFpJWw/eO - ZBLW2cfWOyyL7rU3A7jcz80WANxut8s5B4DbMCMIU/i8KipQipfJ1aFCTez+aKUWYpdSaqyqwYVJ - 1AogEO3m6BdFWg/gzzkHi8bsIExhraUWV4EEZiqRnN4FrO7FqjJBAPq0uyrTA2+pooYV4hrR2YHi - zuma1uq4hEiH851HPY6gusUn2tFhWTT1YAUMVuC1RVj7KqOYBzMHARYzMSopAqFAHV7gAooB1Wcu - VoquOHENoJdaalYVUMOh0NWAohD31MieSUK0EQq7VysdK5cgl2ja6IBXoAiLoMLpSO55ndrOm3+4 - o9YYdxHILqSqCunVplJSSpTgKmVzbyTM3cwZatYGoAF2784br7z88t37V+/9wAsf/shHf/4X/usn - nr40sFojTQ2/S1KEwe/sApSd3dne++QnP/0ffu/3P/1Hf/SLf//nf+GXPvr47adEL3aFd+68+alP - /uFnPvXJe3evbt9+1zPPvv/JJ0V17p/lH+df3QFeXT14/dVXrx5cvfOF5//OR/5uGscKbkthyppU - NBH88Ic/rJoowjWm3Z8TvTVmfcczT7LsvvXNbzz43B+/95f+x139mQsBvIGNFli/GWio07e+8fW/ - +PMv/fXX/+rnfurnbj35rA5or24WiGFSJekGtyoq/ZCAJIXi7lZNVEERYeNsoYhquNOamXiB7wAX - ogI7g3eikXmYr/gBmlle/KzdgJq8wuo0TU5NOXKeBfmyO2rQDRthjsjPFor17NO3P/rRj3z3Tb1b - n/zIh372mWffAQK1Mb6gVM8D2uhppDlWixRgzCJgHLbN9XNzq26VAEVKrdWSciFGWJDxHlIAINyQ - Q/1EpMcSwMzcoaoEDSg9T5xKojjMyvZBEiAPcwhGNXgnJzZHtcZVDIdNRVCRMg4sxVnOcpaznOUs - ZznLWc5ylrOc5UdYztDtDeIz2hEOqlxDKqxAIaqoUIepolQP+Mu8FqsB6BFUIVWh2BWgmpgJSa+2 - 2+mYoRmDwlBrLWaSM4mpQrXl9gr/t+5Q2FE87/8LuDQidyl0Da8segUULkDfuMMjM3s17MqkQ8sU - H163QGN3rcWckiI5lIcXpJlNtV6BgyADSKrFUCtSjvjdlvFr5mecyhQB26NKNS+llDIN4xBRwARy - YkqjG2otZaopDzmJQ82q1bqKsA4XZpEVbhvxxR2XpJCllKurq+aNS5ZSai3FRXiBhDQkFBU3m6aJ - 01RNhyH4Nr2ilAm+VRUwBUEoCHOUArKqdq5bZcMWS6GoiOowRN/k1Ahhc0r37+/qtMtJNsMAFZgF - CJkQtZp0SJohygdXd68e3C11kpSYhgWR6iQODmej2dQ5exsprVOcMJumSVOWNGjSmeu2I7tNZpAm - cC6S5qEeFTa5G4XVuQC37oAFWh0OuVqBlCQBSPAJVq2qCSogEZUNBzGmWV0RicxKqSZIgkypmKZS - FBmmZpXJtRGQOCAIxlKYWWWwZwA5BYrVwbs6gUW8EJUAXL0RtO69obuZWSItnBNTImUYB4BlKtur - K7m8TKok3ECRzWas5mYOQFMiUAKQFoCcrnZ3791/sNulWxdPPvcO6kCkBJhN9OrMUFCRKf8/e28a - Y2d2n/k9Z3mXu9/a930jq8gq7k022exudbdaErstWRpbSmzJziQYG/B8CIJMEidABshk8iGYyQAz - gGfijO0gkSW3LFmylm6ydzaX5k4Wa2UVq1j7fuvu977LWfLhrWKz5SXAfLKA+wNB8rIKd3nvuYeo - 5zz/5yGUBaG0jDHPLawubX18+eqdBxOGHf3KhTd//etf6uruBexswV1dWfpX/6r8s7/+wZVPrgwc - eK6n/+TIcCfZn+/H5z7n+7fInpeZEFJXV/vCiy/+49//vVgiKYFcqcQMw7JD4XC4VHYYoYzvJbJ8 - bi975j5DIbu3u6upoQZKPpmfX9/YcDzEbChwrfReLLIU0BJaSc9dePJkaXnFE7Krq7u7pzdkYM+U - DACaMRZiltJaSSGEMMDBGbQmhDDCGGVSCCUl3Q9lJoQSSgkNcmW40lp4ZSI9Bg+mSSmk1J5PCINp - BJZjMMYYVXh6jkKevT5PX6GmWkJJ13FAqGWBKHAEMwZCQkqtpN6PYyYANUAZNBra2r7aOtgx+EJW - VLVU1zYnTFBAiGCHh1SaG8Epyn45o9bSl0IzM2wYRBL4Mjj6YlpqSonBqZI+oBnnvpBCcpN/Nj2w - F84LKCmV1oEVlxAopZ+GxGD/gEoqqZWijBJAayIEKANnYAYhmkAIp1y2Tc5NM8gWCbRaRTllwcQF - pA+TI0gb9z2PQXFuPPWmV6hQoUKFChUqVKhQoUKFf/hUpNu/k323m9ZPDbf7w9h7wZ8QBFJKKSQs - Owawclko6lHOuMFIIDAoxRhDMJoOMMYNgxEtCcAsIEgWoBQalDKDcU2hntFZSCAWaAXhQUtwAsYp - 2XvfhIBW0jRASDDOzyEBqSilYBJaKd8PMksJ04RrSg0ppdbUMIz9sfa9xjClQAkIBWNUq/0nJZVU - ghCfMm3a+0qyooGYyYN4Uq0JeSr8aUIIZcTa+2YtpKSUGgbnnCmlgnYlxjgA3/cZY5yzIAbBF74Q - lSSE7QAAIABJREFUgnPOGPOFCMxoe8EIgNqPxSSECCF83zcMgzHGGAtcuuFwmHOulPI9z+TcME1J - eFHAFWBQFrRl8Fg0vO6IfKkk9xuPOAUzzaD7TUjlC2UYeyX2hgEiIX2PBaKtUkGGsWFQDaKU9lzX - MM0gElQICa05Y5ZlEZNTIFB/3HLZMg1YdlApFoRCBL462zYsk1EKpeErIp9R1vS+VL/3V62erkka - FKYF30upZVkgFEGLkdJKg/F97Qef5T2QwPSn96zjQUgElFDC00oRxgnnCOJH9Z7l9qnX2OCMEgih - TEJBJLQEAaVUaCgCSsEME57re67iBqEU0Mr3qSbgpkmZCNzNkAQ+IQSEg1HKDM60D08oCUEszSjj - QeiwYRiSwIcUvjRpiCr4PpgBQgEpGBMEgmpJELhuOT4LTNCB6k0JY3xPeqWUuI6jAW4YnBucs3A4 - HCw/AGXH0VqHIqHA4h0YX3Ug+O61zCnPcUvlsiDaiIQi8QQIC6R0m3OmlSYQCgrgHHsmaaVAkN5c - v/rJtZnHc8n65t+68Dunz5ytr6tjIK7vc8bbWtte+8IrXjH9/odXl5eWx8enBgY6I9a+i3TfH/vZ - Wti3mEslfN9VUpiWWZ2sMsNhRYlh26CMcm4YnFJOQT5LuH26ieDZ2xoGj9XVHOjvHeh/cnUus7S8 - 8nh+LdbXHDaZUooAROs9w7PnZbOZicmJldW1WCxxYHCos7tbA76EJSU4e7pXaSUJtGUYhBIlpes4 - BjcY4zpI6mBMCIHgGIYxDXi+9HyPcW6apmlaNOgNIwrC9yS4FSIUEvB82IDFmXAdAjCDP31J+92C - n5nJmZaMwLZtbhhKo1wGMzzLVBqKQnPCgk/Z3nYuA33WgeEQHmvt6IjraCjYDzXAKIgEAJNTSn2g - VCraVEaYtgyDmWYQKa7BlIYvwABwQoJGRikYBeOUcW6GLG4AgJSSUsr2r0MQ+BuIuFIK7KXBBLkl - ijFOKQG0wZneyzzf25QUoIJjBQ0QEo1GQRW00r4ApYQzxrmQcMrKDFEAhEEH53MKhmnQp5etQoUK - FSpUqFChQoUKFSr8ilCRbv9/+MytFlhT90thAAUIAqWVljrwbHIp1cbmxtrm2sbOpuM4tcma9ua2 - 5uY2MxqXPIhRBSHEcwXTgjPiFQrpTHZ7J7W1s5stOo4ioWiyqqamsam5pqY2HqZaQSq/mN1deDxt - EB2qqq9p7mThMP/sR28SpEq6ZXdrY7eY9whxu7tb7AhVQgIWAfF9f21lZWNrdWs75XmKG3Y0EWto - rq9pqE7EawxiEUBKEAZKQeheXxk0CMG+f0/5XjmdzmUzwnPNlpYuZpiFYnFiciKfy5qmcWCgv6Gh - LhINSykADaILhfzOTmp1dXVnJ+W5ImSHk1XV9fUNba2ttm1SCiV14D9TWhBoKWU2m83nC5lMZm1t - rVwuU0qrqqoa6uvrGxqSyaTBuX5mplhKGbwVruvm8/nd3d21tbVMJuO5TntLS0tTY1VDizIj4FQr - AkJNRi3OGQ0SOkmhiN2d3eX5qXRmXapiQ1NTXV17VU1bLB4hClLCMsAoJWp/TFvDKRV3M9nNre2N - re18oSCErK2ra2hobG5uCoXClmnsGehAoOXTke58LpfOrS6urW2ldj1ftrS2NjQ2xXhRa0GJ0lop - QIGpZ9YYQPak26CmbP/kYC8nQkjfKad20zup3e1UKpsv+kJaoUhDY1NjU3N9QwM36F5kqoLWe9I8 - 9vvKCMieHw9KS0+rIPaCIbgyGuwZDVlCraxtbS6tbC4tMylDHMmI2dJYH27ppLWNiu29yFyxuJVO - 7eZyZiRcG49XhcMR0wRlDHSvUg/C94qFXWd7JWMhWt1UZSU4iShOOKPMKfmFXGpldXlnZzNTyGmT - hRLRxvrWzqbe2nh0b2qegHECogkEgYQGELhuP3Ng6s/yHjQJjhcIpYwGPXZaa8/zcrncyura1tb2 - bjotfBGNx7q6umvrahOJZCgcCsIwKKWlUimdWd1dfTQ1PrW+sSGh13a374+POcKJx8KxEO/varMs - 6imlqaH3rnBQuKcgvN2t9dH7d1O7mcbe41/44le6upuiYRsAJcTkBreNw4cOrS/PXrl6a2lpeWJy - +sKFL+vYU9n2b3PdQgeypxFonYbBOSMEjLJQyFCEKqVdVxgG588WUJFn7+iZu6QEltnT3XV4aPDG - k0+XF+YfPnzY31Yft4NNCnTP+a2UW0qndubnF9K5Yl1jU3t7e33tZ2m2+7kqwY6otNKagmhKAUap - 57qFwu7mxuZ2aieTzTqOE43GaurqWtvaklVVdjhEKA9iN1iwID03u7627bAyj1e1dIMZ6WxpamrK - z+3URowDXa2N9XX70u1nF+UZFA3iELTyhXBcKNdbfjyxtjFXLKXCUZKsGWrtPG1FmAFwgeCBQSh8 - L1vKLu3kM7q+NhS2Y1bYBCggBSDALMd1tnLllZXFzMaKKGaiplkdTcTMsA+zRMIujxoWb2uON9eF - iJKEwOAUWmmllNZS6e0dJ7X2ZGl+xvf9WCzW0tJSX1eXrKoKEr2llEAwOUGU0hqKELK5tbG+vr68 - tOT7Huc8nohXV9dU1TRX1TUxAwTwfBhUMUZBeW5zdX1zi5tWdU1trLpufXt7bnF1cXk1WV3b1tHV - 190c1A8KIU22Zxr+fPpPhQoVKlSoUKFChQoVKlT4B01Fuv37+BvqwLP/LIGgKAhKE08oT8hCsTAx - Mf7hJx9dvXE9k0kP9Q++cv4L58692NTZayUihO+N/LqOp/yyAbmxtvJoZvbeg9HxyanFta1cyatv - aRscPPTCiy8dOnTIam4wKSC8rY319y5d5Fo2dvU/91I0abQxkwAgdC8Q1BdeOp399NNPN1ZTlo1k - 8vVGK+E52jRNzxO76d3bt25dv3n11u07QpBQOFbXWH/63HNHjg/39x2qijdaHFIh+LmeUNCnPj9K - CeEgSgknn88+ejQ1PbWWy+kvf+lrpmU9np/77nf/fGlpKR5LfPvbv3X69MlINCKV7/tl1ysvLDwZ - H5+4eePW/fujmXShuqrh8KGjp049Z78Yq62zQiEKcIBqLX2/rLVfKDgLi4uzM/OTk5P37t3LZrOc - 8abmpiNHRk6deu7w4cNVVVWBA5dzzjl3ymXP9+H7Ozs7T548GR8fv3b12uLSopbixLFjJ44dHT7+ - XENnf7gmwhWBpgwgWhmcM87LjrOcLT55cOe9t/9q+tGDsps+dfr0medfPXbC5EY3pfB9lzOLMwLO - oASUkkKurK5MTE7dvffg3oPRjc0tQmh3T8/wyMjZs2d7e3rq6mo/Ew6lJATQSkq5vLR0f2zsw0+u - zD5Z8Hxx5NixY8dPHOhM5vJZId1AKlSE/43lte+6JfsN8gAAJVQ5l9tYX52cmh6bmByfmFxYWimU - neqauufPnjtz9twIZVXV1ZbNg5UmlWaM7Cu5CgQskKs0AdHQUimptHr6iFojqKmHFI5f3i0Wbt2+ - c+vKlfuf3hTFYtSi7Y21p08dG3j+pc6jMSQjJiCBVDY7PTU9+vB+dVViYODA4cMjVjjCCQXANTSk - gl/Kpufn1z5472bIqn/+7JG2zuoaboXsmCPlVio9PTl59crHY6P355ef0JDV2t3x4guvnH+OhHpG - IpH9qsBgfh5yr6YMTIM9GzQBAEExlZRaSkYpMahhWYH9uFQubW1uzc0/+eSTT+7dfzAzO2tadnt7 - ++kzZ44dPz4wMNDQ0Mg5p5QxTjKZzNzY5O3Lbz8euzm/uOsTNbnwyP+5d2CytzqWbKmPNX79K9G6 - qJCUhOMAUUGWBbFAFJxcanP10fSk48nqhtaBoSNVUUVZCdAG50FEdXtLa29XFyd0ZXlldnbOcYTW - /Fkr5DM+//3ACGjOmW3b4VCIcy6E0JRSQhnnAHyliqVSLBrn9JmLse+y3YsIeHZL07qtvePIcDb+ - wZ31hdn7d++89vIL1VXc2FPuJYiE9v1Sbntrc2ltoyT5QFdvQ3190gIDKIGmxn4qgwqCOjSUFIJw - gxBCCdlNpR4/fnznzt37Dx7MPp4rlkotra2DQ4eeP/v84KFD7Z2dlmUFtmVGCdMSpcLovTuLGR/x - pgM0JMEfzT35qx/9eHtxpiFu/f7v/lZV4rQVDn1mTP7sKu0pyEQrKCV8r5DP7aSUKGx+9NH7Fy/9 - eGPzSWNjYnDkS19+s6al8wC1QRUiDLAtMBNFf3Nr86MrsylVN9LTG+vrqKmOgmpIH8oFsLGZnlhY - ffjwwYMbVzYXZ6OG2dXS1lhdJ2DnteVbVU2tjS+eHalL9irlU0Isy4CWvvDKTnkntbuzOn/32seX - 37+Yy+cbGupfeeWVEydO9Pf3J5NJxqjnubZtM8YArZTyfd/3vfGxsatXr3788Uf5fN6yrY6OjsHB - oaGRkyPHnq+qrQ4bcB2HmJQxBq1mZx5dv3GzvrHp4NChFmbev3//vY+vXvv0VmNz6xe/fKGj/Te4 - CQUoKUzGgL0BgorvtkKFChUqVKhQoUKFChV+VahIt38fet88+TkpZO9vCpA0qL4BKbv+Bx9++MFf - LU48+HR5fXk3l2GM3c3fXXq88OD+2Ktvfu2LX73geZBAiCMUDj+efvLxB5fu3b45/2RhN53RhHma - ln09OzO7tLRy5+69F86ff+X82ZfOngmZJtF6Y3V1euKBmbiXbGwfsONWfZIFMisAoFQuLi0t/fxn - v0htZrt7ms+dO1bfEDGt0Nrq+uWPb/3lj95a31jOFlKeL6OxKteTiytLa1sr49MPT544+/L5L/d1 - txoGdBBfS/dCb4McXCU9XxS4ISnR6xtr169fm5vdbm3pXVpe/slP/3plZbVYcCLh6Oj9yZbmpta2 - Bs7IzMzsOxd/9unNayvL61Loctn3BdnY2NpNXRsfm7n88c2v//o3zjx/IlkVYhQgyjDI1evXPvzg - yqfX7qRSOcdxnuYhLK8sP3z48MMPP7pw4cILL7wwPDwshKCUcs5Ny0qlUnNzcz/4wQ/u3buXSqXK - 5bKSijPy4fvv37p+NVb7w9/4nX9y9rULffURLqTvOKVcThpWJpe99N67C6P3pm9eK+yuZ/Mbrp9N - ZzKjD+cODN7/5re+fWjoYF1dlDFIX2ivzE2e3tmZmJr+3l/8xejYRL5Y8oUklJmWfffe/YdjY5cu - XXrjjTdefumlIyPDhBCtpOd5jGB7a/PqJ5989OEHt+7d397NFBxHar26tn7z9u3ORrunOZTa2bJs - SyotFPncgnvWSPo0OwEAsLCwePPKu299/3u7mYzr+ZQZZU94nr+0tLixtf3J1WsDBw6++Wu/dv78 - S5GICYKnGcHQUErvVVcFDWFa8cAhLJVQWuk9Vzm0hlbpjfVr96Z++IsPZhfW8zspQ0p47m6huLO2 - MDP1sOr6nWNffPP4K185dqATBI6Qu7upjy5djNnGzvPnOnv6wrX1CuAaVIJAMq43Vhc//PDyxfdv - d/WeOH3+ZMS2IgaUV3r34uX3P75949NPte8It+S6brlc2M6lZ6bnP3z78vPHzn/rW99saq8LxQ0E - +bxEEEgAWjOtn25fn0m4jFDKqFLy6UUTvpfNZn/xi7evf/rpxMTk9vaO63lWKFwoFCYmJmcfz/3k - pz8d6O9//UtfOnP6TFd3p1LIZLKzM48vXXwvs7q8LlD0jeLWyp1sdnZ6ri5SM9Tb+MLRwYZIZyRR - 7VHtg+xNoWsOCVkq5lKbm+urpt0WTtRJQINCKi18MEMTqjVsy0xEo9FIJFuWZcfzPKEkfxrb+8xA - u37mF3EdJ5fNlktFJaXJDWZYEqRcdgzbNgwWi8c5w+f4nOv2mb2LAIzHm5oG+kvN9dVz6dTc3Gwq - W6hpjiQIuAJTHiAg3cz2+syj6VzJTTa1Hzv1fHV1lRVEL1MAHERr4Wutgjoyyhk0g9Y729ujDx5e - uvTu7Vu3tlMpIaSQqlQulx13bWPzyrVr/QcOnDp9+sKFC00tLdygSmsGQMuF+dkrD+c3XX56t7i5 - k/35O+9lMhld3H0iS88fO9zR1hqvSuwv388256fSLYWiFJZlzszOfO97a3evvb3+5MFOarlcLm1u - bjyadz6+tvz6hd//3W+8Fq8GDAPKA5TnOru72fc//HBHNSQse6SrGZwAGpypVG5ycfmPf/DX7995 - mM9nQ8QPU1+WSrdX11XZE7AKNOrZyXhVIh7B4YPtCdtgnAXNj4V8YfrRzM8vXV6enVidn87vbgnf - X1panJ+fv379+rlz595440JXV5dt25TSIKC5WCyOjj74wV/+YHp6eje1a9mW4zi7u6n19bWpqamb - d8dOTM6/+vqbp452hSO2QTSU7xcLU5MT71261NLe/mRhMVJV+/N3P5qYebKzm5lbWK5rbPniK19o - rq+J2jAsC9BQPpQGrbhuK1SoUKFChQoVKlSoUOFXhop0+3ein/n9c7f3GsMUtCJBDmnZnZx6tIZM - yNusq65q6Ww1wxaAhUdzM2PTxYITrW8+cOy5RG2tGQrmjFmhUJifmyuXy/X19QcODkbjSWaFXU23 - UtmFxaXRh2NK6zAnR4YOGMmowVlNdbKQy26t7UxPT9e09dTXJWUQgkggldxJ7Tx+PPvo0SOTRqqr - qi3TAFS5XLp+7drFdz64fu36oeEDBw/1VtfUW1bEFyRTyExMj99/8CCVKtRVd9QkW6uTgTFT7w1B - P22bIoRRQiiUFsVCfmN9fWJy5he/eLtQLOZzhSNHjkZCMdOw29s7wuGIUmptfen2nduX3n0ntbud - TNQMHz5q21GKkOeStdXUyvL6Rx9dTsRrIlH77LmT3NjzeO7u7mxsrBsm7+npqa6uDofDtmVprVdW - V6empsbHxwkh8Xj88OHDQWACgHK5/Pjx44sXL16+fDmfy/X09jY3N8djcQqdSe1sra/OLi2vra+l - MpnumggAqjWUKpWKG4tLpXcuRqXb1NjccnhA01KxvLO6vrGylrp85Upza3c0Gq6rG9JqT0F18rnx - 8fGf/PSn165dA+XHj59IVNVYoRBAd9PphYWF0dHRWCxuW1ZnR3syETMYZYylUztTU5MX33n74eho - rlg+evRIrKqaGaYr/M3t7fX1x/mtfH6zoLXFDINQQz6z1D7nuv288zubyWxvbWWz2eampobGpkR1 - jdLUFarsehOT0wvLy6vrG+0dnQcHh0yrxeAgT5Ngn063B3erNaAZJZQSrbXSWu+bO5VWzHOnpqY+ - +eTyxYsX61t7+vv6Bjo6LWh4RSeXejwzObe6uvH2OyLR0FiVaK+vsiKRSCiU391J59KhaCyVzUSU - jjHwvaevRKmwND977drV1a1sy4AZr64J25bIrs8+Wf3g/fc/uTmR2d09cfRQZ0ujYRsl6W/nMssL - a4sLiyJ3uaO9/Tl6vKO/jTMVnJfsu26ftkb90geXgNDAoA0ptdZbW1vjY2Pvv/fuw7FxX4j+gf7m - ltaq6ppy2UlnsxsbGxOTUxsbm0KqeDxRXVsbiUSisXhXV/eL584vTd9Xa+nN1bTR0jTcNdgYrW2M - 1Pa2xGviCU4J6L4YHjyyAqQSjlvO5dKpjNXWY0aT3A7iUzXRGvsfL4Nx2zTDlqVVqVRysrm861gh - /st+2c9Jt0QTAq1VPp9fWV4eGxujpulKVXTc+ubmZFVNJBqFaRC21y+4fy2CSBU8s5z2CUcb6mt7 - 21vWs/PrqyuzT5ajTQ3Rqr2Qamgf0t1ZX344+qDsitbezoPDRxPxGMeejVcRyqD3Q5Q1SJA6S9Pb - O+NjY3/9kx/fuHEznc4MDg41NjdHYwkhpev5O7u79x7cv3nrViqdaWhqOWmYrS0NQQGkFl4uk1pe - XJjeLOSl6YMLKYdHRmrD1PDyrS0tpmn80v68J2mTvbo7Ai19L5PZffhwdGeF+eVif3/Pc9WHCJyN - jflHT8TExHQ4eeNga339+ZGQAfgShlZSOm55ZW19S6l0LiekBADfhSgvLMz/+Cc/u3b9xm5RHDky - 3NlYVRsxmO+vzC3MTz4anZyv6jzY29ff1tne2trGGCdUKCmF72mtVldXbt66ubqVixs4duxY3DZc - z93Z2ZmYGL93757rur29vYlEIh6PB09fKTU5OfHBBx+88/Y7yapkV1fnocOHfd8rl8uOU15cXFpf - 33j33XcjiYamuqrWhiRhBBKUklKxsLy8lEqn5xcWzWiyWPQOHjxYU99Ucvy+/gFumEpDaXAGqGcC - LipUqFChQoUKFSpUqFChwq8IFen2P41ArZCAAoBsfv76jUgtPT/c9gf/9L88fGw4UZPMpDM//osf - /unuf5x7vDg6+vDeg9EzL7xYG+ME8KXQGpFI9LVXXz0wOHTi5ElmhmDYEmRxdfvSu+/NP/nfHj9+ - fDse+fU3vxxijSHbPHpkeOzujaWxmanpyd6RkwcHevRemCd8319bW5uYmMjlcsODfWfPnW1saIDW - 21tbP/3pT69duR8Ohb/zne986cIrjU2thYIjJOUW/+f/8p//+Gc/+ujjjw70He1oPZg43LYXKqqf - GaVlDMzgVhiqIHxPKaG1ymYzb/3FW4OHhl555ZXf+M3faG9rJ4RQgnDEVErduXP/44+uPrg/9cIL - 5y5cePObv/mtkB3hPKQ1eXB/8qd//bN//a//zeUrl6JxHD85ZFphraE0C9nJvt6Dr7365uGhke6e - niAF0nWcjc3NP//z7/7Jn/zp9evXh4aGlFKWZTHGpJSpVOr27dvf//73i8Xic8899wd/8AfDhw/X - 1Nb6TjmT2nlw587/+8Mfx2Jx1/Wk0iAkCAnNbubWczNrc0v/2bd+8/e++Y2jgz1mWKbSKx9/8smf - f/8XH35855MrV9pamw8dOmgbJMQoMfjq8uaVq1e++93vhqOxN3/ta//9//g/VdfUEsYLhRIIuXLl - yv/yL/7F5NSkbZkvnDtr8o5EIsYta3Nj/c7t2x9++KFtW2fOPP9P/+v/pu/AYDgWyxTy733wwaUf - /+m19y8WtoFa17IsZhhCfU5Q0c+Kd3q/VQ1QStXX1134ypdfee2Lh4ZHDDvs+dKXCpT/4Ic/eusH - P7x67fr8kycrq2t19Q2mwQmBVtB7TWWUBhqe1kH1GWeEUqrJM2P5BFJIt1i4e+f2jRs3tra2Lnz9 - W9/66tdOHzkWsyxQAVH+4K/e+r9+cumtD67HOg8e7O5oqTuRrK1ta2ttqq1d3lhderKwsb0T97xI - KIQgHUCrfDo9P/Po7t27ydYjjV099c0tIauwMb/00cX3rn7yyU6RPv/88//4t7957tSxaDImQJdT - Gzev3fnen7z1eGz+3UuXEnXRhvbaUGTv1GTflEx0IN0GTmE8UyZIAM4hpRS+L8STubm333775q1b - hNCXv/CF73znd0aOHmOMS6V3UqlHM7N/9O//6PLHlz/84MP+/v7u7p7unp6Ojtbetjde/8pLD37x - wz/6yQcTl64dP/XyH3znd08NHGyO0SgHlIQsQBFCKfbeHA3iQLnCE15RF0uwwI2QbUfAAEgCykAp - C5oHBeWUWqYJXSqXyjs7qXJLJBlleNpP9retBcMwbNvOZbKjo6ORn/wYjJd8v+C4h0aO9Pb1t7a1 - J6uq49FoyKKfi7sFQP7GXUoFQmPRyGB/9/Ti2vzO9oOxqUTXke4qTglAFKQH6W2uLt27e9dTrKal - q3dgMBYJMQXhKc2pZCAglPGgynFvnVEsLS5evXLlB2+9xbhx6tRz/+0/+2cHDgxW1dRSRtPZ3NjE - 5H/44z++dv36rTt3O7p7qmpqWloaCCiUEp6rpfDccmpnZ/HjywcPH/36N77+yhdeGWirj8AJUxmy - zM+uCHlGuv0sMEG7rrO8saQKIXag8Xe/+carLxweGuw2ufzk6rvf++Gtn116NPt44ac/e/vMwY7a - aBLShUEoIVppQqnSxPN9qRSU0q7j5LPjY2N/9qd/hqrGF1574w//8L/rqEvETRDHefRw/IO3L80t - /Z+nTp76tW//3vmXT1aFYUBomfU91/McaD0/P7eUM/qHjr355uv/+a+/UV8Vy2YyExPj//bf/du7 - d+/evXt3dHS0oaG+v7/fti1CiZTy8uXL71x8Z2197fUvffEb3/jGqZMnw5EIZwzAX/7wh9996yfv - vHelur69s6W+5qWzdtQEoSwcCYVCSquFhQXHF9Hq+t/87f/i9Te/furM8a2dMmVWdZLChxDY070J - nj3DqVChQoUKFSpUqFChQoUK//CpSLf/yWjofRXJMFFX//rrJ7/95tlDQwOxaFQqGQrZx48fL/5m - 7j/+yf+ztbX9YHRs6OhxVZuUGpTxvoH+b3/729GQGYnGmGGCUmgtFamuqent7Tty5OiDB6P5fN5z - XUqRiMd6ujpbmhrI+MyD+/dHzrws5BmqQSkIATf42urKzZs3SqVSTU1dT0+PHbJ3dpbHHo6tLC/X - 1da9+IUXDhwYiMWiAGw7JCQVEF/+0pdcWfoP//7PHs8+npmZHehri4TAOdnrbsczkpHvg2nOaZCL - ahhmS0v7Sy+9/K1vfau7pysaDREoQBFKyuXS7Vt3xx5OGyx67uxr557/QshOUEoDObijo/nEyeHz - L55aWFycmh5dWp7v6uyMRMNKsOHDp3q6hqLRWCQSJfvKAjeMmpqanp7eQ4cO+b7vOM7q6mpLS0so - FPI8b3FxcW5ubnt7+/jx4+fPnx8ZGYnH45RSy7Jqa2pOnDgeq2uMNXdHGptNCygqKQSBJiDRpubX - 3vj1N155sX/gQCRqUsOtqkoeO3bs5p1HV64/XFlZ3djYcF03ZIYIpcLxJybGZx5NSynefOONN964 - UB1E7hISjUV9IVtbW9+4cOHnP//52tra9PR0LBqOhG3OWRC/WyqXzp4989WvfrW9vd22QyCIRqPP - nX6uJly21Pb47btLJe75vpCK0mdiSD/357NSOvp6+1rrosVCrrau3uAclBoGoZyCsr6+/uHM4FeY - AAAgAElEQVSRkU9v3trd3V1fXz94cDAc4oxAKYCCEzBGn0li0NCSEkLpnqz7VNDxPDedSo2NPUyn - 06+8+ur58y8ODQ2FQ6G96XuCkeGRF9Pu+6Ozc/NPrl3/9NihA+HqaF1t3cmREb22PL+bejQ3Fzow - 2NDSuvcifH9rfXV7Y931vNaurubePisKWfbX5h5//O4lt1waPvrCf/VPfm+wp92yrXK5TGwrmaw6 - deq5yTuPthdSN2/cPHH22CnneDykGFF7DXpAoEL9koFQI+gNFIxSUMoYy2Syj2Zm3n//fQKcOnXq - O9/+dm9vr2VZIJQBtbW1lh168403CaGX3n13YmKyf+BhR2cnITzo6aJEE8oVLEWjzAhxi3IjeBgJ - ARCAQ+4lE3hgLhiEI2SZctBQOG5FIwCkAqQE5VBKEUYpQIltWbU1NcltbVq27/lSSoA9fRXPvCD9 - NPdAa62kdJzyo+npzZ1tSZmv4Sl97cbNSDQejkRefPGlF86de/7MKRBQ8kuC7TP3Sii4CahELDY8 - 2H/34eTM6vzY9EzridS5wQYNQPkQLnLp7bXlxcXFWM+Jps7+ptb6sAUbUAbVFJLAk6BKGJCEU2gN - KZWnpqemxsceSilefe21r33t6/39/YlEglIipAqFwr29vd/85rd8pS+9+971T2/0HTx48swZMMkJ - DE619D3Xkb4YHD74xde/+I/+0W/U19dUWwhrm/kl9jnf+N+yIRNoSjTjdPD4sa9/5fkvvHKyuzVq - cEYg+3t7Xn4xcvXWzuxScXFxZTedRXsSoTCYSwmhjEqtpNaaUhW02tm2s13OZtL5QvnIyZ6jx47V - 1tZZNqXaZQZvbWnp7e6ORiLLyyujo2NHT55IhAlAKKOmadqWZRgsHo+09PX+1m9/+/zJ4cBaG4vF - +gcGzpw5k06n7927t7mxkcvlwuEQZbSQL6ytr46Pj+VyuZdefPHll18eGR62QzbdF+GHh4df3sre - GZ1ZXVu7d+/ec0cPxe1qRiSUcB23XCo7rts70P/CK1+68MaFrv4+z0M8HiIcjIAaQZ0foIPiwiAT - R/8NRb9ChQoVKlSoUKFChQoVKvxDpCLd/j2QvUlgQojGL48bY0/w0gQIhboGBp47ffr06dO1caY5 - 8SFN02xraT5yeKgqHt1xnI3tnZInFSA1DIqqZFVVMhY0/EADhEJrolXEorXVyY72tpmZGSGlL6Wv - dMw2W1qaOzvaqpPJ5ZW1pZX13XQpEQ1zE1qiXMhsri4sLcwnk3XN7R01dQ2GSXdT2xMT47u76abG - A2fOnGttbrNNS0nBmA1GldT9/f0HZgeIxura2uLyki9AKOjf8hM9gdSgmhNNlE+ASDR27MRzp58/ - e+jwYcsGYwCoVsJ1ytn09vzszO5Our6+rb9/pL2jn3Hj6SR+IhltbWvo62tfWprZ3F7d3tlqaGqO - xKKM8MbaBtQzypgvpZIyGL/mlMRCZkNNvKmxYcywHZ+VHCkVlJKe66wsLmwsLynf7+8fOHhouKau - TiutNBjnHGZtfV20rknatkfAAVAQqkBhh0Lxto5XX3t9+PDBmmrOCMBYKBRubW1tbWmuiseL2Vyh - UNgfwtbCLT+aGF9cWOCmPXh45MDBwVDIFkJqgHJGCK2prRk5Mnz5k4+3t7dn5+b6+/uhNDx3deHJ - k7l5yq3OvoNDI8cSVdXMYErDYEZLU2sERxaPH8uujS7NKCmVVJ9d7H0UnnphNbBXHoV4Mh6PUehG - aALC9lVXyhhtqK9pb643GBzXK5Q9X9OnAuee+fWzBbyn6gVKrgYIY6A0uJHP5R7PPlpdWmREnz51 - sq+vt7qmigXPSBGA1La09Pb2RsLhna2tufn5XLFUWx1NJOInhw/v3rv5aGp2cnIyeeTUcEurBChR - 2nefPF7YWNnmzOru7W/p6qAUnlvaWVuZnpiymvp7+g+OHDuRsMCU47gu06bFQk2N0Y72jpqq6qmp - ic3Njd3sbnUixpiC1pRSAqrVZ7kSIIHATREYcJXet+SKVGpjaXlxaXnt4NChAwcPHTo8HA6HGGPB - d1mWGbLNo0eGlpcW3730weLS+uzsk1LZCYUsDg1IQgQAgCttSgXGwCggAyWVQe2VgmmtQBSIAoUQ - UgkQcNOyuWnuvbea7l1ssudENhmtTiRDdpEQqjSUUgjyeQme8b+rp/Vr0KS5ufX8iy81N7VkCgVF - CDiXoK5SO7vp1bXV0ft3y4W877l19Y1NTS2JKNMaVO+vkeBZ7K0uCsrBLCusBno6OhuTDP7c7OOF - 5bWi2yANGFCQ/s7a2uraRqGkDvUMtPcdtG1wgCpBKVWEir0nBQXNgrdBCqfoPJ6de/x40bJjhw4f - OXX6dFVNDTcMEE204gZNJpPHjh65f//erU8/XV1aWFpYTGdyRjIESkAR1OdxI3T8xJnnn39hoLuG - ADZgwaTU3xftKSF4etIh9X5qhlZQijNeXVV99Ojxl15+uaOrNmq5BC6gaqtrBnqjjbV1C0vpXCZT - dhxogLHgvdBKKaWlJprQvevFqOeU3FJRSyQSyeq6BitkEWjAA6PhsB2LhSyLF4qF7dSuL7QCASgB - 5ZSajDKtm5qajp84efLUqc7OapOAamlYRl11YuhA38OHLZ/eup0ulAtll3GTQBUL2bmZqc21JUZw - eHiks6u3qqaOECittFaM0ubmpv6+/qpEIr2bnpubzxeLnkiEmILymfYZgWHaPQeGX339wsHBQ5FE - 3BMwDNAgmJiAaigJCrK3y+/FmVeoUKFChQoVKlSoUKFChV8BKtLt34cG0WAUFJCAAABCNRhAQQxQ - W1JLU4q4dfzk4YODvTU1ESpdTagJToiMmaw+yqpslVHMAS1Tyweo0pz6IBpSglCACqmhQLRPlYCn - DVlKhs2IbRDOXfCcZBHCQg11vb0dbe2dkzemFpYzi8tbAz3tYYMSP59dni4sjon8Tt+Rc039wyya - IEYps7sxOTlRKMtworm1dSBmJ5mLsijqEIRhEwKL8xizwszYTe+u7Gx4gbKkAAVNteaaAEQTCEML - RqgMSceSDic0WtX4wmtvHj5+2owAQKA2aaXcQjq3NlvcfGzA6+rui1Q1KW6UBAhk4JXkIAZhVVHb - or7rOqm8mxNGHQE3FPyCUqZgkaJm8DyqYEABDuAkyG7EIGXPzOkmaTUJFpIoSze/NT9TXl1OGEZX - T29tV28Z0IpwrRilrpAEygrZAqAAlwCTxBbKlLGq2vr2/kNDhxvrEaR2QhNCeCQUaa6q6aqpfpxZ - Ib5kpq0oICUvZFfGR9dXN4x4Pa1qpaG49D0qPF8z3zfATNMyamqT4ZhZXnfnVtayrqKUyWw6vTC3 - sbplJVutluFQY4+yw9COloQxYhGSDMcHe3sna6vU5LbSShEqAbnfDkchOXwOCUkgGQgFgwqESSWg - FBiD0FJBEe0K6YPaXNrErzackC4RSh2zyjFDgsAALKoBDaW1EhIUlHJCAAoCrbXUGoQqbmjOA2Us - m9oav32jnNqsTTQO9nXHwiFHaJ8QpmEIwnxNjZBth01A5bLZ1G62VM5rNEVDJw73r7Ql3x8tTd95 - 2Hpi3TsFB5IZ+bxyH0wsLs2reKhtsO9gT1sNBYrl1HZucyOFQ4fr65q7FaG+VBCe8H3lC20aXLJE - JF5fVWMbPJNPr6U22jpCNtGSEGJYhDAiwOXTviUBGIGmSiRhioJqwIPOrm5MrW9vCB5r7DjS0nHA - MG1Gg8wIIhS4LhPudzXa3W3NlhHb2VKLS6lsvhxNhCzug7hUl5h2DCKI70mnyLUwgjMbaoDvFYsZ - AIHS4EAEpCyIFKSo4DGuOSN6b5O1ITxY8KnmEAyOCZEMhyilroDipqBEakm1AoUBaA0JSKI4KAGD - YpB0aOTY0PBR5XtSa02JaVlKo1Au3bp169IvfvqX3//zyVsfu065tqX73CtfGYjGGMA0KMBIoHaq - QPGEYiA2qAGbdLY2djVGk4bYeDKZmn9UTB1WtRoM0Gxsbn1mrazt+PDJ0/0H+2WwP0gBxoimlIJQ - aEolwCBBNDxPZEtL81uLK051Q09D+8Ga5nZlQFJBISgnQoKCNFYnBlrqDtTH720uZ7a21neysUQ8 - QRm0MBgMM2rHkifPXhgZOWHsHTxoDQ+cQBnaZ4QyQmAFVn/A1xJUGkRBKSqVxUPNDT1DI2cGDzVr - oAzHBoiSBmP10UhfTdWamdFeQRAva+oE80EEVS6EBw0NpjUjxAABfKHdEvcLIQaliSO5D/ggHJxR - KUTB93cYdySnikZUcOwBAk25gikl9VVHe8e5F1+ubqwmHEqDEkK0a5JiT1OsoaHW5+GMtvOKC8CA - LmW2F8Zvk9x6dSTS3NYhzHjWhcWZ8Hyi/LDJbcYS4VA8ZKd2tre2NouOW9YIaQFVrtX5hG35dmPj - odcOn/1iKAwDMA0opRnAKYGGlhAKnBPKjIrbtkKFChUqVKhQoUKFChV+tahIt38nT2vJtCKAhHZA - CGAGTiYQA8T2iSkJQDyhilI5GqAEClSDESkN5YdUMUIcQuyiQlbCBWKcQPvQBFpn05lH84s3bt/f - 2t7xC7uWcna31lZ3smup3MrKRnvfAQdM2DFtalncbGyoOTg09P7dlfmlzNjEbE9HK2NU5lLLk7cK - T0ZDUH0DA3W9Q4gYnlSek3ecsibmrQez/8Mf/q81YZngZc8keUaLJrcoRbGQWVmRJcf33aJ0HC1V - IBASECZ9uBrg2maaEdjQOUOWTVGClL62tJnURlgAUCAEjIAxxrXL3J0Y8sX09o1bd9b+539ZXR0B - HArBFeVgVKpSfnNj5dHGxmpd59B2ppT1uASoKEHnIe3Frd17j9eXJx7uLsyTfE772/ndhd2tmYnN - yG4unkdrxo+5mkSY5NrNri6VlxdpuWwYtgonHIBzcEmFBrFMqeCVSxYPWwTwBdxsztt1dBmGwY04 - FJSAAigFFATVROsIZ/W2nWLUAFypDUXC0ufCCXsl4XgrudL//sff/dEPf5BUhRiTHrGKkgnCXVEo - lNLTM1PcShalTpdFMV+Kea5VKkBQFmlU1f0kGS4DIao5NZmGX4KTKxkgJlVEg1IKxhHEVAQObEgG - jwHQBNJ8+lUNgADCR8kffzR3d/LRnYfjmhtloXbXF5BZKa8/dtJZoXRWh3IENUBYA8oPogUoBQEE - IRqESAHXhVKEMjBelsoVSgWT9ERx4ViiPDM1++/+j38VbviRGU74ilFuhZTLvYIhnc1MaWd1VUha - zucFqEPATV5dn+itteosvr62vbWQ3tkpqKpynm2nc874o7XsbqyzuaW7tas+aSiVL7u7ZZEXFA+n - Hs39329dvXLDlKkIyZu2WfBRVpQLnlncTi9slUtFEA2LCA6A+pR6mmhFuKYhZpqBGCkcTTW4zQg4 - ZdAUygEtg2Qy2aXdfLqsbNhNZrjGNGyoggLXjPu+giowXYywfNzmUas6mzO3d8pgFjhABIhLSZnC - 4fANSBOCaQ9KKsGJtgih4CAEFnxNiOtpn5ghIonFiOVLIJvbyabT0gv2EQuMeBBFeGFoZkjtFuem - p7Y3c7FIL7Mj1LY0FwRaup7wTMKgLakpFxCGplxzEAYpfOUz0+SUamhfSgIdta2Rg/2mez6hcxcv - XtrOpq/cvFs/+HxDSyzBQdl+7obWRGtCQUBAjSCXFlxE4vZIX/PZkb6Ld5Yzi7OLj8a7I+3hsJ/O - l2+NP5nb9EK1HdVNbfHqOAM4BYSC1IQxCiqgNaQHt1wqJqgFz0XR80vMlVXMblFG0qMcVAC+Qsnz - XKptzsIGaJLpei6q4BHPzRa9rIsaCEO4jCjCwzDi4A2UggFMS0o8DaGgCLEIY1CAANHgTPlMKyo9 - KIMBnFqUEc0KBb9YJg5gAj6oAWJTBs2ikNVaVjvFDMlLJgphEjK0qX0qXSIFI5RSk1BTg0IBSjXW - V3c0JC2C9bWN2YXNVAFGBCbh0s9vbi2trEzk8ptVDYcStS3EfPqfBiNCwnG4QMgyQ7G4B/iAQSC1 - oLIImY2SsmlQbYZLVtLjYR+A8LSb5+WUWd6e21r7yx/95Mcf3Y/aJodUXomKskUkU/5OxpmdeOxK - Lv02cFObJrSDYsZIL3u5vNU6JBIHygZCQAjg8JVfYkqA2YBFCOcMksIFABgAqwi4FSpUqFChQoUK - FSpUqPArQkW6/fvQgELg7FOABxCAaUCDEnDAlIQrAhBJmCRUAkppqRUDBQU1iQozYVPP4CEYls8s - BQASyivliiurm/cejN8ZnbzzYIJSHtHFsCpkNpa2Cl5Rcd9zNSEloV1qaUNrSpqb6/v6+iKR6ysr - mw8ejL16/qRKJrxSfm7yQXZ9JREhPf39ta0tmoBQDeUI4UpNSz5LZRzLl5bhuBayVGYMGFJFhayJ - xr9w7rzV0t7Z300tpoJXy6AhJVwJEFgMALWgCZRnKh8aAgasKAw7kBr381MJVR7z86bIUyV9RdJF - TxCpVcFg0lSGoRgRksNrbaxvb47X9Z1qaG1n4ZDUMISjNuYerOY/GF28u5DOLczpnc2IW+Jqs5yd - K2VVupgTus5ljQ6oIAAkg/DzGVrIhyg1rZA0ww4QCmInCDSoYlQTTTWoBJQEEYr5gklfKCEZ+VxO - KtEgVBObsgRnIa2Z0lITRQClIBxbeFCAZDuOTuZKVKRBHJ9HXRou+FqiRLjuP9BT3dDTP3goWlUH - Dbiu5buccNdKulatS2EANjQBJRpMgWnKAA5FCQIHqNpzPAcXU9IgSFVxaBZEoAZfdfLZzJNH90fH - 7j2aG59bml1ZM6NxyXhx64mVWzLSGUNCAyVYZbKXxQoduMUBQgkhAFEa7GnmLSEg1NdEQCtAayjf - U26JCQEPpWxGhbJU0IKgghgR7cWZIOWC0vTk0aNgVvPQYCQakwChFPFQb0tdX1vL0lJpa2l7ZXnZ - jFiSbS5vFZc3spQ0HRgYam5oToQIVSXfy/uyDAZXKFWWu7my5ed9krYjoUxJFgW1tRWPxpv6qzp1 - W29/b7QqLoNmQMYlqNZgiliUGmTvYxq8oYQEzlAFqkF8oOiJtOO7IJagcbAwoYBUSgqhuSaEEQWU - DVKyKDFYyHWsUgmacEk04AM+JS6DzyE5FCeKQQACYIJQUDAKpRUjnobpSICQEDessG1HGTfgukW3 - VIQMMhwAiyvqu/AIPFsL7buF9K7vKWZYoViMmpaETyEJJNeAhiDaDxYnoRwMCpowRRUYZZQSAiUF - A6jB6uprrIO9tnNq/PbVJ/PpsenZM5lSWSECGJ/bxAi0BijAlA566zhs80BPy6mRA9fuLWSW5+cn - H545WC0NfyuTfzi7vFNiLd2Djf8fe28Wa9mRnen9a0XEHs5853meb84jk0zOLA5VXSWW1OqWWm1A - 3TLgFxuG+smvAvqpARs2YD/4oQFBDVlQlcqtUqlm1sSZLBaTySSTycxkTszp5nDnc8+wd0QsP+xz - biar7FbDBtoq4HxI8F6eaceOHbEP7h9//Gt0vFRmavm+s7xUTWDAObgUTsFCNKxF04k1IgXhkkWY - SBbW4RmpcMLQGh5pqtJ6mNYiZ8m5xCIFCSmwQJzzKpU8mS6toYAATYElOA8wKTC8BXsQPJCwIkBc - OziDnIgjEeMkzHZJMNi1B3oEKZLvkrRBqSjXMEiBgDzgFIRB2Zqcz6ItiFDMjfaVJ0eLN9fX33nv - VO/gyOJwqcck6frVq5+89cmv3vSCqZnp5b0HtIHLkjockRN2jj1IIESOdhddPJBC6gWdas2ArnOc - cOAAQyDXRH3DJDVJsV2tJ1yrBs2cJiR1le4YV4vIBRwf3r+fg+L00ny+WBINpA7SLLhtLeLj7kY0 - XANKAODJN5U0IQk8QAqiPZAyEsr2jLRjlTt06NChQ4cOHTp06NChwz96OtLtP4y0tpjS7g9g939b - oaGRMUZrADZNhZm1BjOZIIxipXUYBKVCPh8ZDThr4eyN6zd++OOf/flf/B8fnfvMxKVnn31ucWI8 - cttqZnxls/7JlZtNtRkEYaPRtKlTMLpY6h0Zm51MBkrq0o2PzrzXWF19uW+gUk30u+dXLm+iNDgz - PTM/0gsDBGxznChXF9+Ymh7/g5e/enhhdKik6mhsc7IhDbuz0xPEXSpwQdzMl1T/UCEHWOBBqSRI - lsupAMrUQwYzACIERmsNAlQ7Q9M7750XQTO1+UK8uH//s1//4+npQWe387EKxCDxnLqAq4Uo0SrV - vfOjy4cSBe8A50+f+eg/fP+Nf//tn++o7rmB3kfnZydKuXI8lQ+Wr1/65fY5Xt3IBYEKzIPq6EQU - hEEpF0dRoBgO4CxsEnAiRBRHkXKAANrA5KI4r5Ru7jTrO1WloDWUb11AIiJmpZiYnLfOOyaoLCDW - O2FmpSif+52vfOWFQ9OTcaJqaz6qxN1D97ZqSbptAmd9EuT7+0fne/v6YttM1xJRpANlydnmTtKE - DkFg7z3AKkIhX/QeXlgxnHXOppmaKgIRCGX5wLuuuJbO6oG7Kyuv/fiVf/c//k+rdZvrHVw6dKTS - N5Dv7h4oHPQr5+9/+u79X15hZkYr5qP17gfDlggkWcpnGBGx9wLxBMmettY3m81arWEdhoYLz3zt - a/PHnu4anthOaHOnmad0sq/c3LhXb7g4V9JBzvR2D/VXWkeJir0LB5eO2J/eePvujcvXzn8yN7mY - JFs3r97crtV7hhb3HT9e6e0zoIAUp4lJG7HC8MTEwlMv/v7Xv5J39/P+nheppkgoLJlCnynlU3W/ - ulqZ7y0MdjFSgIgViEUg4iiLuCWCMeBsazi89VJvqBxADFKsjNIaLN4nzqUiIGHrfK2xE+VyOoyA - EKS9iBdPcCAPgvPOe8dMQppYYbd2HhFYswlY4AUCWGtZOeZ2V4sUi8VypZLPASLeppyVKfMCQMEQ - XK2xXfTiPWsTxbEUC7lKuRgEsEhh00DlKQYIHsq3rNjZUPSkEAZBYhMH0UopVlnMCZQqdXfPLyyG - UbS1dWvz5s3GTnW3vp0A3gsTcUvYFnhPAggBhCAenFrce4Dz8c/Wbl05f7a79uXjOwFW7q9funrd - UXBg//6pseFejezNDO3SRBkoiId3sBrI5fJIDcg3/Q6zD7nJrupt3Xo4MEMRgkgHGgbWS622Va2t - 7diag2dlNEUBgkAjCJxHkiRJ0ggCHYVZ1INqzYnWLRfeAQKlCEyKmCGSnZTziXXO+1wuDkPTdpWK - QJx4JQJipZUJTahCxUytyGcF1kppAPBevJMscdhoiO4a6Dly/OCVdy9/+r2/OfX6K4f3LgwWwluX - Prl79byv1ruHBk4+9uQLX5oQgk8hDHhnoVKOU0Y9tfWdLUNoxz4oKAWlgjBSSiFNvLMQIcAoQ6Tq - zcQDPT2Vg48cG9r7+NTocH+lyC7hpErJdjFUOig5RKmYoJgf6O8hArwgiuNCMV8oeK3grU0gBh7e - OaeMAQhiAAWLZtNZr3wA8+v16zp06NChQ4cOHTp06NChwz9qOtLtPwD93/wGoF1EqiW2eWeteE8A - ayWkWmV0vE+StNlMarWd6taWSy1DK+a1lfvvvffeN/76Gza1L7/88h/80b/qHxjojRG5HWV3Lt68 - l3/tnY3X33XOFwtFImqkaUgOUW5iuO+5E/vx+qnq6o3LVy5zobxzb/vDaxuUGzlw+Ine/qFSgFA8 - UIuomQuEYYulwuzS4tj0cH/RpFRPVDNVTSMSWjKprzr4So9UKhpo2+qycyUNxUSt7dbet5J5iUS8 - s9ZZcZ4yxUo8NBMxew8RCsOor7d3YX5u375ZkZpRTnvFqWgPjW0lm4qSND+SbX1uNq1Uq++f+uCd - d3/Z29v34vP/9OtPnpzv7e7TFKkN7e999H75Nq+ce7varFfTBBAw2JigWC5FcZzspFubm7XqNnf3 - ZP7L1HlRkqkT9bpXKQcx0Exr9QRQcS6fz+echXfIXuSds94ykfUuSZNm0nTetsQyZhhjSYgQh8HM - 5MSepaWRsBEk202Vs6bYM2KYU8Vpkta9KsaVviCES2yjWa82a41mLWnUDLnItMVXwXbVRaRIoLQB - Ke8RR5FmSiykpTbJFwYZtWqLeYCcf+edt197/fVqdeeFL3/txLMvLOw/ZAoFmCD21fr1qU8L7tVT - VwDRihRnpckejNVM9qbMIesVhFLrnXMQBEYbrQgQERMEle5uE6pioTy3sDA7N9c7MtlEkHiVk6Qr - BDWGUkdax1CBj4wxmb2XoKJgcmn+EBVf/eT+7asfv/fO0yeG1u7c+NVb767cvz+4rzK9d09YMARo - UMHoPAFNaFZdff3L+2YKbjhv76Q2tSqyOo4kMFUfNWk4HvY9nAZksJNZhrMaYSIuTZppAqQWGhDx - mYypGXEITpHaer0ZRfkoDJE2vUtEPBGgAsMUKdKK4Bwa9UYtaTRSZ213d2lwoJ8AJsVKw8ILgRQz - Q8Q557NjEEHgPLyDZC8BRaGxFmmSGKXDMNQGm9XtzfW1ahWVCNAEnwqgYcKoVF+7f39tc2u7GgRd - XZVSYFgraLDWmrxCiqQJG3kdBAQnzrtGTakQiiGSWquYjdJKKYIHAGudtUIcxflCseBzcS4KjGk5 - tblVUfGhcUUgzoYFAwHnuktdvb1d5Wsrq5cvfLJy+/bWpvvk/GfbtcbA8MShQwe7CjkNeCtOkVaR - GAYxwSt4A2KwzooZWiQgYyhWiauvGfZRDAILtIMhWOUdOVAURrkCxyUJC2EuXyrmgsxWLpI6b611 - 1kK8CFyWuw14eAFYPIGzKmJQBCKB+FbNOAYrsCJmApxNEotQAxAGK9KQNEnTNE1TmzrYJE2cd9TS - b5koU4B9pqVm07Bx8/rpT86cOv3LQwdOLnXN3r6z7nfqK7e3o6jvkRMLixNTRx59dO74kUhDKUSA - Fg8wBzGiUqpCFUSFXBgwADjAiVXOQx5MSW5/pXiI0rpYKrOiYqG4b9++mWPHJocHQ4SkgKsAACAA - SURBVAUDZ3yDk51YeeGcldBThFAHATwAZjiXOp9aW92uOptGIcQDJEppwCKxSD1YgU0UqkQjaY2Y - Dh06dOjQoUOHDh06dOjwW0NHuv1P0TLW7lpuf6MwtwgxERGhbddiVkKt4kmZrkCsiEicJecYmoCN - zc1r1z4/e/bs8t6Dx48d/93f/YoIApfCVoFEl2988OmVIIxEQMQkJACEYYL+7tJjhxc+v3D2/Ut3 - Llw4v6NLja07V1bT2bGFpaNPlis9McFIE75eCDHYWwr0OsiqQOcq5WIPhHLClnQjYIXUo+5CK2mh - 1DRggNpaQmYozFyb4kGSeRsZmcst8xZ6773alXoBGB0UiuVcvkj3G1tbW0brSsUYXTYMAygHLYDk - 4SJQ06rSDgCBTdPq+vqVq1dv3749cfjZkydPvvDii/2GyVtgDfbOzNa1wXMUhlcB5x2chQBa697e - 3kKp1Fi9sba6ur25qdBDLdeqI+yK0C2rKQReWMBMpJiY2+5dDy9eyJNWAJwICMykVKZ1CrQqVMq5 - fI5SH2pVKhT6u8uwRYeg6ozOxaGCgkttvYlINACw4igXBXFECjvVjZ3N1XoVhQIoK+wuAsBad//+ - +tbWjvcQ8QSfPUmtOFtQ280NAJQtEZD37tPz5y9e/CyXyx85cuSF518Ym5v1jATgpFbPu9r1s8QQ - EYbwg5HalnDbRl7ORjJxpsWDoJkUEwBminO5/oHBMMo1vaRJWsjn+/u6UoIAEaIY0L6Qda/1LSXI - AUQECjAwMTpPA0MDn95c/ezjDzdunrh95cq5j87qMN8/NTE62xXEEECDikFYDoOcRpokqZdCBd0U - l/2A9anonIU2AEUwDaAEF6GKJkMRWMCCzCVNgPM+U9wALx5Cme/aaMBCCKR6e/u7u7ogdmPj3vra - WpIgVKSUClSruyG8uVVbW1tvNuuDg11jYyPGaCbONE+BIlbMWfe0+1NaowMAEWcPKAYU2ALG5AvF - 3t6+tbV0/f692zfv9o315vMMSGKt1YgQra5t37h5Z3Nrp3t0ZmpiLBeDGS33qAg8xIPAClogWZQF - yGfV5ii74UDkgc6IWr1xe+VOvZmEYVTo7SnkY8MPrnmmTIpIeylGHpwLGYnK5e6+xfmZzY2PV65f - vXL5Mhl77uKlppPegaGFhflSLgwAL06gUwUgAAnEKnKZd5fBIJYgly9TV6VQDF11c2Vz4+72TlrI - G4b2MA6evMBa7DQ2NrZXqwmiYr5cqZTyhgGx8AJmVkorYoh3SAVhILtGe2kls4CRDUAS8R5eMjVX - adaGlSYmiPMeDDCy+mwtpzYykZYE3sN7YcmyvZkVBAzRijhbj7Lp57duXLj82Y2bzYMvDE8eO7m+ - md6/da+53ShH5dnR2b2z+/YdmUQZiRIFZwAmD2ctyOnY60jA3qXkBWi56LPrIZLdBEQzK2YA3okJ - wv6BwSCMvQfE93R3jQ7F8DBAIAXjS4AFIhF2QMpw2d4IJnixki2uWYYY3S7cRy2jNwTeWtJeKYa0 - 1qs6dOjQoUOHDh06dOjQocNvER3p9v+RtuwHzgQSeVgNbOUXCkgpFRrNmeYCocyaCkBEAGKdyxcL - FBeiUJNkrsp6rdFsJlqbiYnJkZERZ+E8JElUs6ZjLYKdnbp4AaharQIIjYYP4HWQCw/smXl3pPT+ - pxfPfXz6ZpVcWttsqu6JvXuPPBHFRc5SLW2aLxZnZqZyb97Y2Vz5/OqFPfNjFEYMEGkiBQBKIw5y - bDadpA6BenDa1rpU2YCM9x5eaUUgAx2BAyal2GsGk2QSEBGY4a0Pcrmh0bG+waHmZxc+OHXq+rWr - C/Oj+YIqFIIgyx/wACuoACQknCZgDe/cyp27G1s7QRgvLS1NTkwU8owUAMAMHUAHyphCIZ8LFcSn - qThnjdLDI6NdPT07n1y6e2dlc33VYJpbF4uoLULHOYIFQAgiE+Y8uNlspo1aZFrink/FK5+pucTE - mk1ogsAYneWTWiganhzvPX/344trd27cWFudmukfg3LKG4B9q6oYBToEdN2BFEwcYmykf2y4UMpd - uXfn1tWLt6/v618cYigmKhYVHKpryUdnz31+Y8d51KpVsc2cijVaSwOEVhDAroQr4iFKxN+9e6+6 - U5ucmpqYmOzr7+N2kiYTmTAK4jwY4j2c5czWl6080G/KNQSwDqLABCBSrRgFGM3lctfY+GQY51dv - r//q/V/NH31ihoBWcmj21tanJQkSnwZ5wwQmhijku3sG7fT0xNWVU9cvX7xy4fKlzz6/8fnK9PwL - ew4tD49BXEs9DuNiudzb1YXbmxsrt25sriOfhxgEOkjRCiM2MaBbfbC7vZ3IAMpoFYVaK2Jua5Ei - Xrxm9cBsrIM4X5mcmB0evgHyn1+5eOnSxNbWTiWvdKyYIHBQCvnS/dWta9evV3e2Bwa6Z2cnc7mY - YL0Hs/EcgLVW2iitlSJqp1AImMEKDkrA2Y5+raCiCC7q7umdm527eur66t2V85+enSwf6C52g7m6 - U982UsiVbt68c/HSta3tncNjYwcP7CmXwASLJgtgU+V1GMEZSqA80kApFRGsFQcLCoOQmQQ+SZqK - YcIAxmxtV3/1wYc3bt8l0pPjo5VizrRSTlr/IOLFMdpKaBYLwAwYZ0pdPf1PPHZi7dbKqbMffvLJ - 2YTs+c+ukjF9g4OjYyP5UEdIvdEALEEzRIjEgbxClpBMZGLqCioljI72V3Jy4/LVyxfPXr782fye - BWZmBJyFUaT1W1evfnzu4rnLN/J9g5Xu3ko5r2F92oR12kRxLs7nIsXeWySSihZWxFm6CREE6qGU - VhFx3rFWIAUdkAmV0YpJs2iGBlR28waDtTImiEIdKhbWWjPYtaavUcowkSZExmhFIqBG48aN2zdu - 3rcpSoXeQ/tOzC/NBwRykCZihmFAIzWZzO4EcN4l9Z16s27FstHNxG2s1tMGIQdFUNBgBbDLvigg - UaDDrEudC6Lc2MR0lC+t39z44NSpuaPP8lSFGWLhvDdatWY5YJtILLTOJiNB4MiwDqIoigwrQBMA - uNQpeCiNOPRVL9YZcJKIVRSYjnrboUOHDh06dOjQoUOHDr9NdKTb/wxaYtDDWbe060L1zts0IfHZ - xmTvPFiIAWJiJcTNJLWJZvEGkokpcRQZbZqN5q1bt29cv7G+tlUolsIwhC7cu3rx9Tfe/uEPf3Tj - ztrEUnccRlorAkAaZBDo0cHKSE9g0Djzwa+SyxusdVAe6p8+1Dc6owzIAexh9MDQ4P6D0vf9D899 - fv6b3/iLUikUdXJ4MI4MNAHOI3Xpdv366rrNFVHpCouRtHfSei8eAk1EqhXiCQXPtXpSbzS9JYhT - TEaDqbXPOCAGax2Ee/cfPHvLvXr6xi/ffbt/oPDkU4/sygQugUuqNllbX1+pq+6e8QVikNGFQlGb - sLpT+/TT80uff17dsxQHRALs1FevXfzZL9744Y9Or270zUqi2IdGa6Wh1NT0zMT0dPDuqXfeebvR - MzDS3zs72DeQz2mtNra2zn124bWfvn5g4dCe2X2TwwWwSxycJ611YJRN4C2IQJq00l57AKm19Ua9 - mSapbaapNaGGYRRyB48fXfh8+ydnfvLTH/2gm7b7w+d7CoYLPSZX8gwvSJP0zs3rO05VBsegdCg7 - obITc9N79y+f/enpM++/87PBeKzn5aA7Vk4aTf7k9Pl3Xv/Gz37+2o0b0CEFQRCoB7un0XJ30xc0 - y8xWSBRHkXXus0uXV+7c2anu5MplEFyKxvb2z3/0o59+6xtb2xgULz4V2zb6sQJ8O/dUpFWdjQFO - rLepg3O22UybzTSFaORy+eGx8cnp2c/uf/T22++MLPxcxaW5vUvccmTDJs1Gw9XqaaNpSfkuXdaB - EoBUgFDlK+mhQ/suXb3ywfuffPdv/37t/ue1anN8crx3uLuRIgAUAVAoVKanF04+fvQ7ZzbPnT3z - N9/89stPH+qa7oZWwpKJzUkdzXvVG/duVKZ7cv3FBImHOE/1ZtJMms42nW0AHkaDLYRaXupWvAQA - BQ6Lxe7JiYn9h/Zfv7l95sMPfvrTnz92/ODIxBCxALa2tXn388/+47e/98MfndaGp6ZH5+amowAa - mtnAm8RRvZHW602bNL17KB0Zrd7YvUM45xhKkYBoZGz8xZdeOn/vBxdv3/ibv/6rgdD2Rwdz/V35 - fKHa3Llw+dIrP/nFW2+8SyqYmpqcn5sODTTAkHq9/osfvP72zz+2jg8/cfCRZ4539xZjrX1j59zH - Z9e2dyiMp2fnuru7oiAIAsMAnL/62YVXf/aLv/7mt26u3B2YPfLUk08M9ffyF5uX+aIzuy7EwVkw - QAHI+CAqVuzBfXs+euu1t95Zf/ONN7a9u7fWGBtfGp+erpTjSEmAxIETqCT7QC/ap1kusAKJZKGx - ADAzM35oz8T12xfOf/LBq69O5HvKQwO9ORUEiGx9ff3y5e9+++9Onzmr8t2PPfvSvkOHCnkVwjIY - 2qTWNxrNRmPHpQ3FyAdGcSv1gdrS7YMEXwhAgQ4EgBM0kp1m2mimqU3gbEuwhniIh2cPAYGRuKSe - 1ABoVgoKUPCUpE689y6p72x7l5ICorirq69Y6G408IPv/fzj6zQ9tzjYVyoXgoCghYph3D/S3z3e - VxgqBWQIDIYJjQ4NaXIiIpqRa8eEZ5eAQSpJnbUWImmjniZNArQJSuXK+NTM5PTsR3fOvfH66yNL - x3oK0fzMWKihmUEe1u/sbK3c3UydMvmwb6RHFOAsgkhUkDqfps20sdNswIUgAiv2DXv2/V/+6JXX - 7tyrjkwsPPHcc8PTk7mewsOm6w4dOnTo0KFDhw4dOnTo8I+fjnT7n4J29doHv7Z8jG3pVkFErCX4 - 7FEiav9hTALyoMQ6m6ZiU9WWd/P5Ym9v3+DQ0Orq6gcffDD04x8PDg6VQsjO+oWzp986debO3Xv1 - ekICYwImOADCgIZRYXdhcrRrqL/04a3b67cdCuWZ5f19E3vyXdAuu5wCELq65xeKRw/vXVn75P33 - Xh+bGFnb2pieHAq5EXI9IG7sNLZWN6/duT998PDonr3lOJLdU6W2WpgZWCWrbMSpFSI2RjE8iSeo - rF8k2z2uFEX5/YcOn72Vvndh9czpD4LAJelWLtY5FQVepTv1ZuN+0ljbrt7P980+OTBvDAXG9Pb2 - 9fYPhmF86fLld95+ZzAKJrvLeqe6uXrp2qX3f/n+6bv37tXSGD4JNWuV2VJ5fHJyae/eufkzH66s - vPn6qz2lwvLE2EilqJS6t7F25pNPfvz9n+BrPNQzMjGYJ8WetBATiOHEwft26TXFAHmfOu+EoIzy - 4pOkkUMBihCHc3uWDl3emP3V5c8/u/jTV2o9QTLQUwy7BqjQ7chwo+a2N+5evxZWeh995oW4VPaB - B8nE/PShIxuvfnRt5fNLP/9JMtQdDvUWlTeN7eTjD859euZNUkGugE2njVKa/MNDDr8h3SLb9a14 - bHy8f3Do/dNnT536oNQ3NDw1nQJb9cb2vevvvPb62XMXrIfW2nA7DKAldWWe58wIvlu+ilLrRcBa - K3h45x1EIYzigYHhg4ePXby385N3PnzttVfrjvev3IOOcuQKStLtja3t2uZWrVLpGR0fKpdjpY0Q - ExnoICwUlpbmp97rO/VO49S7p2zaMPHQ9Mz00FgvAEXQWc2uIDc0MfvMM8+fWn37/Xsr3/3Ot8P6 - rXvzg2EYQMeWQ07QuL/dvL+9vrN2NDwx278AwIOcJ2uzAFp4nwg8lAE4K7rl/K41ODtrE0fF6anp - p596/Lvfe+3atSt//93vba3dm5gZCWJKXa26evf6Z+d//ou3bt3ePnDgiQMHliYnRk3L0qhB2pH2 - UADR7kb3LB+h5fR9sJoj3nlRUB4ipb6BRx979JX3Ll956/x77779ynA5Wb/RPdTfjIKV2s7n5y+8 - 8frb9++u7d1/cN/+fZPjg5pB8AJXr++cPv3B//mt79TqrkGN6T0zlXKeNZK0cfXqZx9fuLS6VZtf - XO7r683HkWIS75JG4/y5s++99dqZjy+NTwwdPX78xPFjAz1BFmYrQDZRW9JnO1WknaHMDjoFwrgw - MTY8MViJA7p44fyaBeniE0/PTk7P5GIYCCRRZBxUMzNse2hxD4UoKy/sAU2Ym5s8cWT53Gdn7q58 - /tOfveIjMz4yWi4WYpvwvVurn3z0kx+/srpup5cOPPrMC3OLSwFg4MEAKU9KROCtuCYDgQEJZdor - wA8Jty2YWINsloWbWgsmnZVPS7LVimw2iRCEPSAEIefEQjxJa1Z5T6l1RmktSJO6cxYEmGB0dGJ6 - emGg/93LF6+fu/nq6Lkrw4PFcpFhG2zTQhQMjg4Pzk6OLs4sLy/3FEoFHQTGkCIn1nmrdZjPVeDh - fSveAUIQ2CzHwGi41KaJB4g4yBe7h0b2Hjh85lb9J6cuvfHaq0jq+/csFHNhpEjDNXe2VzdqN2/f - 7+sfnVqYqfR3ZQEIYOU58CDvnLgmPEha924PXL5y9W+//Xe3VjaW9x3rHR7uGuovoYBf68QOHTp0 - 6NChQ4cOHTp06PCPm450+w8jbfti+7dMQWjVr2cihtfU2sFKSlM7b9ALUifWw1rrmg32KQPifblU - mZ9ffPqpZ37x+ps/+MEP3nr3/dHR8QjJ3euXapurulBeXNr/yaVrzjlFJE6sJSuiiaFDlCsTi0sH - Dt2/unF2/f6WSs385OjoQH+oEWiwB8iINRT1dk/k/9kf/LPU/eh/+9///O+++Rc/+fH3+/q6mrUN - TbZcyK/dubezuV33+JM//TdfGRwc6umltvlTsTbK0K6H0XuIWM+ko1w+Xy4preBdalPDBorAGi7x - DEaxfODQsSur/NaZmx9dunj6zDt/+Vf/vqenXA4LgaikWkvTDe+3u7uLRx//8r5jz3bpvoJWhdGx - xeW946cuvv3J59/65jdOv/qz5bGR6u0bN66esfWtsSFeWj5656MtliSfYyY4LwoUj4wePX7iy/c3 - b3zne2c//PB/Pne2r5ArKnLONaytp6lt+nqjEUYhRRFMTod5UqFtWJvUFYEI3qPtJJVmvemBuJAr - lkvGqKRRFx+DBIrNyMhjT5z8r7bNn//Vf3z7jTcufvhWT1dBotKOGEsa21u1eysx0ZHHn5pe3DtV - LMa5GJKMz84c2+ZDH1x8/dRn77z6s3On3y7mtJJg4952bPILU8V/9Ud/dPq9H3zr558yhHziH1Kl - aFdebUm4AiYNKFbHjh27d+XyBx+e/c53vvPqu78aGh+vpXZte2v11tWF4VL/4PBK9UY+nyvEYahA - gHft9IHdOlWZbOcBJ4kVVqpUzOfCINCcVTZDGFFv3zPPv3inwb94/5O333r7vdMf5yvfaFrJaxnp - qVRX762ubm5t15597oWv/97X5hdnwUoy0VQQGj01MTIxMVgsV+6ubuggGp0YWV6cmxsLSgYhwAnQ - tDAGY/NPvDj56tX04k/PfPyLV6786id9eckX8jC5VFS6k9TvbxUpnF2a7Z0fmD20ECFmpN4rraN8 - PldMI/jEuxQIMplbvLepsILS3IpghVHKzM7Mfv1rxatXV95+9Rd/881v/fRHPyxVgnzZ1JsbyXa1 - ub1lmzK7eOxf/8kfP3LyseEh41q2RIIw6TiM83EUR4FRzCLkQPAiuy8yu8HKWequhXfIF6fnBo4d - OXJxpfnum6//5V/+h7/7a6viUAqFbcHm3bslh+NzC3/8J//14SeeGOhH4uE5EZ/W6js7O9Vqtbq9 - 01xf29jeqMITEQt8vbHzq1+99/1XfpErluMwCIwJjE6TxvbmZtKoKbhCLvzy177+7Mt/uG/PZNqW - a50HAK1AxC0/svcQgVLtJZdsRwHlcvHgQN/oyPDZ6yvNFOX+3v37983MTLYE0CxzV+AITrJbA+9K - 2SBtnTghrTCzMKMbj168eflv33zvzVd++OYbb5R7+0vFElW36N4Kr66mHovHnnrqy//ksZOPj46W - BAJYACClTBRFUS4yilqmcee989aTYwWIJ/9QXgJze5EpS+emoFAqVbqcUXCJbYKCrHiZUhSAvBMP - JRxwIFrE+dR5JQBbz9b6fC4uJiFnjnUPIt+3MPv4+iNvf3jh++9eubi+Hayt1nbu+ub62so1pDXt - YRlcHp459Ph//6d/enAxN9IXd8fKW5fWt2GrxSJ6B6OmoJ5AB5BW4Up4kDEmV8gFiuBdauGUKBOi - 3P3YE0/dqAcffr7+9huvvvWLV8qFuLtcKsYhw62v3l9f30lSvPSV3/l6/HuzS7P5KIZipJKQIm2Y - ECrEIQy3biTKBNbJ2tr65ub22urazZs3G/U6P1iM7NChQ4cOHTp06NChQ4cOvx2oP/uzP/v/uw3/ - SJHW39qAQMODHJAFFyiCgBzS5uZ27c5mPSj07N+/f3F6fLi3opDFzZJtNpRPDPmVu/cqI1Nz+44s - Lu3pKwY5hmbEcW5gcLhS6SkUy6n1zSTRJNOT488//9zzL/2Tg0eO58qVodGJw8eOd/d0lSKERCQW - SAGrYTmseB8MD07s2bvv2ee+tLzv4FA3DLKdteKNCBNxlI8Ko339+/YsDQ0PaqO3NteYSGnDrKcm - px45fvyFr3zliWefm55fqBTjAFCSKdIirZLuhoTEWqKmkvrG9s52EgT5/pNPPjMy3JeLWDO4XQaI - KAVS0qbcNTS9cGBier6nt5KkdWJI6pD6SrE4MTF89NjBL73w3JPPvDQ9uxyGHBLYN8q5cGhyvmdo - Qky+trFm67VSLlpamn3pxSeffvrk7L6TKj84t/fEgeWprgJikzII1ue06R8dG5qZK4+OknfSbLJL - C4XC1OzsYycf/4N//i+ee+q56cmZKGb4nfrmnRt313vHl+b2PnL06J5KDENZZTYv5Aiorm8l9Wau - UFw+dGjPoUNxLggpgdShKBd19Q5OjI5Mjo/0x5FK06Sa2CZYB9FIX+/x/QdfePrZZ7/0wr4DB0vl - Ymg8qAl2cb4yNjnX1zcYR6ZRqxKkmC/vWdz70otf/r2XX9yzPG2MU/nBxYMnx+cPTgwEIWDQiq8E - HKPlEgQxmB2YgZwxA11d45NTuXK5ad361pYK9MjY6HNPPf7Ss08ePbAnLHSNLRwcmd07OdFf0DAO - ytsHOR8gIWICKIEkzVp9vYGGRMcfe2Jucry3Kx8wlFi4Zs6Yrv7hxX2HRiamonxhp96wzpFLyaa9 - XZXFpeVnn/3Siy++dOz4sZ7+XtZKZQHPXgAXa0nTmgpy/SMz83sfOfLIY4+dPDk4UM4pqATaAUog - DorCfE+pd3RyenFqarwYMbmGta7WSFiZ8dGxfYvLT5184qsvf3Xp4J5CT9EQDJyk9Y31Rt3mSl1j - jz766NhwVz5HIAfSYEXEOssHtgngoBhQbHK5Qtfo6PjC7Ozo0AhE6s2q9TUi29fTe2j/4a999eWX - f/cPHznxRG9f2QRQCkTQsIBtbG5sNLhmzZ6DJ44e2tffWw41IIqzgGAGGA6peK9JG9bEDmyhPHRc - rgwMT8zNTk9UiiFLUm00xASV3v4jBw7/zotf/t2vvnzisSe6h0Y4JKWgYRXVA6O311MkplLqPXHy - xCMnT3R3VwJ2JM1SMd83ODw6Phnn8kSwNmUmJoqi8MQjj7z00pf/6e///uNPPTM+u5QrFJoe4hAo - KIZiMOCcE+8UU8stnMmxpBMoS4iIA3ZBuqPiQq7SOzq7eOjw8S89/9LE5HghgobAN0W0VdoRDCEk - YkngHbI1Bw4ADc7WCVwl9ANDA2PzC70zs16H4qVRT4yXka6uI8uLX/+drz//8j8/8sSzfSO9cQxN - QqgZ30TSvHt3rUHFXPfYs8+/ND6cpQ1YVsSKiYgQPMiqyOq2MXmQgwucBdPGjRvradA7sXDgxFOL - 82XNICQaWflASBM3r981OhyaGjvxzJPdw305hkaTm9ubDb611qwMTh3et7w8M95biiD1a++/+cbP - vvvT198ZWTz81Ff/4F/8y3/5pacf/9KTj33lhadf/sqzzzx+YGR06u6WvraiTTgwMjQyM9kbsGps - 30237wjs+NLe4fmF7sG+UkwBQcOzTyCp8nJnK7273Tx87NH9y/OTY33kvZEU7EthWO4bHp9dGp2Y - 7i6XxKbeps1mPWk2BwcG9h049NTTz77wwotHjh0ud5WV5hAJ0kb97q11n++b3rd09Ml9i91RNnRt - ykzN6k5qEUalpT0HvvT882NTE3E+Qjv+uCPgdujQoUOHDh06dOjQocNvBR3X7T9AO+CWIPTrzyiT - L5SOHjnaM7VvaHist6sLAmmXlvJejAlLfQNPPv3MHRtHQ/NdxRwLwIwwHBweKXf1dfcMzC4sf3zu - wtr6RjFUy7MTjxw91D86sZP63pGJaup7urqjAIpApCAa0IDuGRk7xr2se6tVzeXBmQOHugbbJjvA - kmGUPBCSLfdFh4+V9i0vvvvhuVNnL5z99DPWAdhoYHF2enF2emp+oTQ4aEr5AOBMqJYsDEK5lhsv - 8y8q5Eozc0tVGpzY0GOjg4VYZZJQy9OpFLyG04jU8PTw4NTe2T2ry5/MvntqZGtrzdUSY6m/q3tk - tGd2bnR+aaZveE4FKgvmhdDo/OJLY0v9sweHTp27/PEZldSnB/qW50cP75/uKqp7zUo8uKZ69uRj - aAUmBQWQQ3f39OBIaXpuaO++6bGRlWuXfW2nWCqPTc3ML+85evB4OZ8PNeABy6Wuvqee+dIW9UeD - +4oFKAXyD3KLjTFjE+MnTj42Pb82Mr9QyMWKSABSDCDf3XWgPNrfP35lefLsmcnLVy/e3W40VRTE - hamenkNT08uTk31j4/neLgnQcmMGpm+w8tzgdN/A+PTYwAenx+r1WilXWZrfc+TA8T0L/a5xOwoa - +dEkN7y/qy9vvpB1u+u6Rct1C8l03P6Bge7o+Njk9NT7pz48d/76ykqxuzIxeGF4ewAAIABJREFU - PX3iyP7p/rJJtypDM43CWH5oIDKtIbFb+wxCGQBACiYaHB47fDjmyuTi3Gx3paxbYbEEYZS75soD - k8uHFs5eOP3xuY8+vVitNZA0DNzk8OD0zMLi4t6J8enu/nyWRUrE8AIvINL5eN/eBQ4rt9Y9wr7+ - /q6Rof6CQSAgLxCCDuFTqBLrwpGjg+Mzya2DS6ffH752+dzG1tZ2PQ1yxbnJ2emhsYXx6fk9Cz5H - KTxBCDrOlWfnF62e3KyXx8YGcznVcsETcRbzIYxWbDMDCpBIh/3d4TNPHds3v3Bhz4H33n338xvn - UrtmAjvQM7g0t+fwwePjk0tBFKQerlWWEACDdO/g6MFDeZ8bHZo9PDjQHxgtcETMBBKA21bmlge0 - HWwiGqQXFhe6R5b2L86emRu9fH7q2s3bzkSlgeH9i3uPLC4tjo7H5bxTaAAEKAiBi3Hh4MH9oe1Z - ub2xdHjPYP9woAGxyujxuamu/uHZxX3vnTpz9cql1Xv3IJ4gRqtjR4/u3btndmbGhJEEBQcoD8hD - Ncp2s2Gz8ZAl3mZjK5NemRGF8/NzL0WlkaU724jK3QNzs7OlItoRx9RWqsGt6FluLS0IQ5hVO2RY - A33l5Z4jA3v3T91am3zvw2vXbq6vbReIZirFQ2NDx449WppYoq6gQWDOoi48AATx4vI+V5yf28mP - DvcEBnAgJmKVzQEQcZb88dCduOV5Zo0oN7u458lgtBaNTE2NxXo3XoEABps4l1/au9TfM5KEenCg - P1RQUICmuDgwGJ88+dgm9S1MjZVLBSEh705/8P67b7915+69r72897Hf+71DB8dzgthDqwZk29+/ - 8ub7Vz+799q5N1dPfXDn0aMND4hwV6myvDAbRmx7p4oVZXJegVslxYihg3yle3l56SvNYHL50Pjo - sM6uiCeQQk/fcmm4f3rv8pWbF8+fv3Du7Ob6eqNRg2BqanJudmlqen5ycqZnsJBmF5MZSk/MLT2v - Rtf0SPfslKHsnpwZwnlyavqrX/ud6bmrvX2je/buKRaLvHvh/999HXbo0KFDhw4dOnTo0KFDh//i - kLTjADr8Gpkm5QAFaDhIEwBIAwrwQALfhFc1yVXJkEJMiAGF1jZkEoFrwNeRpKkq1UwsGjkgEIEk - EAbYW0mcJFa8FwMbKVGaoQNPuuGQAhRACFG2J1wSUA1oADW4CA2DNLa6kIZITFZOHVqQElIgQSOP - JIZFmkAUHDU9JZYE2sMwJGAOFJEx1nAKMBAA7DKhwzqkAmaELT2XqpBNOLG+VJMShzD8UPmdlrJS - g9QBD5SAsOGReDRc04s1joyjAETaKuPYAFxwUAIEAkgDUgPlqhJuO+LEBs7G8KFOSKegBqh308V1 - hVgjJIRokjhYD+fBBirYJGWTJqdN7S1IkQ7IhIFilenRAtAO/Dos17i3rgOtEAFhS8lyAkvwSAWJ - h4cLQhcaAQLsEKqAB8rwOefhHZzdsT5pQDc5FDYF70tCDIIhaDgFhRTYBupADOQSa1JrkzQVgEUH - KgwUae2ATbiNVCo1093I2gOEACCCVJAqAEJwmb6lEigFUeKRenhx1jW8T8WLYtI6MhIhgavDqW3d - UzPMQA6IBfwg2FRALCCCBWrwNXiXSmFLChLozPNrACUpfB2SggNQ0HTUsNJ04kHKWy0+ICgVKh0o - BSg4wGedaQELqDq4Adlxvljz5SbDMGINQ6BsOnlACVRTKAECQSQO3sHahnd1J2KhPOuATAQdgBHA - GySwjCTIBn9qmra7KcYYhIyWiZi0ZJGiktWmcmAHcoAIAo8gS/5wCWwCL1WiHeKGQmhUZFQMFSKr - uZcJkoBBAtThatbnqy5vtQo1TLvqlMrmBcORODQZrKHgFdAEaq2rT6VUlPfwaYJ0JxGkbJwOQzY5 - QgCAIYyUMzWtBmxoMGyRdvLOASEQgVojqgpxkMB6k6TinRVvSTJBH0FglDasDYgdlANcNrTb/7j1 - XyF4iAd8O+sgtMQpEANwVaRbkCCVoMGxKKMMBAiAAIBvgMMGqAkYIALYN1pdQASYbKqRAL4KvwVm - cLRNYc2ydeSdCrwUvc2JgwkR6ETDtsKcnUZNownXRAIrXVXKUYiIYQCGzTY/CEAI0R7I2cwFZwNK - jDRhm2jUIZWayjdDaJ19eDOAN9m93Bo0CanyWtmYEoUIorEFvwEpbvpylVTIiIFYLCfVf/c//Js3 - vv/tTR/+t//2fznx9T8MQpQYeQJQg91A7e7K/eTf/q/f/Yu//6jU1f/f/Te//6//6PmeyAd+G3Yd - 3iVhZdNUGlAxkAdCCEsNfgfinRQ2fM4GHBICQAOBpJA6JAWFQFj3ytvUpSl8lnhBrLRWgVI6m3TZ - d1OEGmwNjS2gZ0uXqyFylN1GEoaDCJxDSmmqiGMVZd8DrfHA/8W+Rzt06NChQ4cOHTp06NChw/83 - Oq7b/0yyP3jlC4+QgtIhGY9M69k1ee2+gwCNSBsVRgT74A/mzPjGHFAERJm6KGGr+DtpJkQaGrBZ - YkPLKqWAQCAeUCpAZKCMZmiGkgcyjQAp0ERkoGPUQRZE0DpkHcK0gkEfyB9f3Dzb/kFtax2QNVqB - AjBrinOAa7+FHn4TGKQhLVNcoMAaBqEgDADdkroElALWgx70JjGgwSoAFRSCQBto9gAM0AAEZGID - ALrVgdxO2ASYwRQRKAp1FDJcdgy3a1dttU9BRTAmyrTiXzedEcDQrYuilGp3D6Fd4QkExVAKJsiB - ohAqATwQQ7FtC/z0UFe0ekgCTUaHcRS2XY5ZqxhkoHKGclFLAGtdu3Z72l1Lbdt3y/WdRVSQCoI8 - EWh3dcEBHhzABBGxazeCHkQl/BoMVmBlEOah03bftg4BDRJAgdhorTRlQ1Qh1Lu9CgBoV6pqpZ9C - MtspYFghCACPltxJYiEEycyZBFJNaAZrQBGUhjERKMv84KxDuGXobQVLt5I5EMAEoTEq2/f/0MB9 - 0IGZQ5QejHKCz6ylKkQYgqkAaCBq6dVissZnx/BtnZugoEKtorxRycP21S8iD3pY2hMhE3ihCVAg - FSBWMdiCsptAazqgNQF3x5uAlDbIQXtAwT+ouZbJnKxZK60JbYd866AEKAHvmo13P1MejKSHBru0 - gqxB2dwD4EEEE4JCQ5EivbtwhWx8Uiitibrr5m57cImzi06tzwFYgwmsImhtjBgIoIHQa7SHZnYt - 2+eWDTmPQGmO8u1b5UO3U6KHh/HuYw+mCoMUghicixWEvzCFWh/GjFDDMCuoVqx3dnkM2OSUEkBl - Pm0ngG409OaGuZ+kO9Wmdy7QyltJfdPoFOxdUvv8xrU79y953Juemx8aLkQxmAkUQbrBoihmKAPo - h82/pABW2hTAyRduvAqS+ccZTBEz6RBRkD0iD1mNs5xqQjb1CaQQ5KBysYLF7nKatPpHKXBgAv1w - R3fo0KFDhw4dOnTo0KFDh98uOtLtP8AXBYOHBAHJIl45k/ceVgPl4be0qgPJF1/T1mJ+7UjyhQNS - Wy3a/fPeQVuIBeWzrdo+BRRIB5lu1tJOYIEmELYMtk08eJgB185+xK8d6DfU24fbx4B5SEb8om67 - W8YN6mEdhx+Slx7uQ2mLig+L3NkjD9Qxan8gTKYSqQeCKLU6tq0ft3/Kw4fJOqSt3jKQqSjY3TIs - v64GPdBJ2y0n2pVu5YEu95ud0G70QxpN640ecJm09IV+IGqfWmv8/Eb0JLcbRQ8rWA+a+sWXUib6 - PDQg2x/xcH9kv+3q2TrrCX6oAQ9UMMmUOto9n9/Qux8g2ZPSlm4JmTCZjTmTtURSiGpJtwQLlUA0 - WEPg21fioQHx4ERbp09tDS4TD9st+413PDSPGA8uWms3PwgiD2+lzwS13TGxO7yyZ79wjR58dvu0 - 0RZ528+0Nqq3ezSz33+haQ/6tN14lpYbVlpT4/9i792i7DiuK8F9TkTee6sKb5AE3yAJiuJbEiVT - skVJpmx3z1hq2ZJ7+dHunl5rfmbWPD7mZz5n+We+ej79N91ruld73L3cPbJNW29Zlk1RIkVS4pvi - GwTFB0gQQAEoVN2bGefMRzwyMvPeqgJZAC6A3ItE3Zs3MzLiRMSJiH1OnGhItiP0biWEPlUF5s// - pw7U6X3pCUrsbciPRmKWGCBuqioBfChjirUZ2gkoNRL4ROADK5uQDsRAFFz3uKjRmjw4B8MPTPzS - agvrUY6R2zc+FgQpTKzHJJ9Qag4+rNQoRQEYitYLDmW1e/Zcv33H/pdfe+mpJ59buOHBa6+9cruR - RapGNJ6cfu/dN1/80eNPv/X2y7v22ns+ecP1N+wZGP/O4OarxPB2tVynqvFtIi+jAgomsqGkqtSs - uszQVheJPfdPBlz4EtkWdZvKTrV2onqMmdWbe/To0aNHjx49evTo0aPHfKGnbmeiyc1R9BNNFzx7 - y5hJbFGkJMKXbA3PjbRR00aJcoisUvicZyq6HxqQAdk6RwrAb9wPrl6CIUNAkvFHCkjk1xLtkfNL - WmciPREoM/I0Vsp6s8gEigS1gmqPyAYULFCKhFP2INEU1sbTSZ4Nyh3xGCQg9lRQo5par6NE0Jhp - lZVTIpTnmADyoTLQ4IHynFEut8ajJuO4wq72TMIegZWmKdQtUcqmr/C8ZaBFOaeIJ5ScPc2UBkmp - clELBImXbMqw9hulrD00GlFdbjGogEFsskUkkZQTbwuEj7FNE8SgNDAEzsTOKfmWuCjQfSa4/7Za - YG4IyJ7wpGHMQGDyY8zq2Im8k2+it+raptRs0otzCSZo7YicvG5t8D5GKkygL016KnVz9SEaWEND - ULRLw5kxYCpIYoAXCjx1ZWAzd+BWI84oV41/QGDv+0nIuFLPG1aZRuI6PfavZvK8rQvsMQ19thlA - pG4B7/lcS5Zqv2BTi67dudZhGFOb1ECXM6WGkp6MVHyqUueVRpSm7+ONXqAEGPmVz93z7tsHH37t - 8T/7s//7Gz/6/h133n7rTTdctn3b6sljr73ywqsvPf/iwTeWrtx/769+4Yv3ffrG667hoDoVxsEy - M7xFzdZlqNsRZy0hSDPYSyjsvahLyVkDSyWJbttkfHV11Eim0xq2xBYJ3KNHjx49evTo0aNHjx49 - 5h09dbsBqOaSOtwQGb9mztnAxsq4diQNfzg9m/ESTS/dhutozqtm/n2kMEQFYGoGy7sVamkxKEC2 - JoEWQQ4kGtw/fbDLzDGwxULW+aDA9QUmx6a7uvcHF9WWl/A0hkBBAmNS4kjsZfzTkL3JPUNrqfl3 - UZ25+q2NDf15XmrqFp3CxmzkzrOp1NqoNyDlRyPT16xPSnxQSwChHms22XY5F8pqOT3XcILsyDSj - Y+oG2SjjFKImcUfJDzK/P9vL7o+pixXc5UcZThN1G1qGTQyyrX1fbf0OAsNZlJEEbGe0lfNYIop5 - Np1idfum32meOFUFlLJGl7zmBZTiDEx/rW+ZCqGuF7kvANUfQ0oWZLq3EkBQf793NfbulaQhdEHz - eLpUtakjtFtUlgf4ELch+oFWICYYdEl89QXypg8EhRC6u4mHjwEAkwAisC7G9LCAQfTujbyt1Dl1 - gVgPDqRKgIEqROKuf0exmSpIlchHaqhbIzITTqM2Znyr5Uq18sjFVAd39ZklB1iqPYt9bmvlQ/D6 - VT569wHV3ywuGz5/8M03j5x4+7XXVt47MrLFeG0CoV177/rKx/6bqw7sv+mOj95+YP+OhQUnsAyF - EldgJsYAJlHeMV8G3iM30tWZPcAb4TQj4evSSrvuJQZMCBVBCkutewjg2oSApLAyXdWjR48ePXr0 - 6NGjR48ePeYePXW7HqjlX9hY7nIi9WZ43SJ3s6I2GbFuwASq79f2apzIU7c5bwuABDoGJgawPiZp - nZ4RGAcycFwfvZZ2L+cL/npvbnOjfqBukyttLYuanwocHVFNOHWhKdyrprJ5F2CqqT+k/zk10aYj - HgMamecp3r0dJj2kQ9HfONK0nY3IRFnNsMICSok5zKqhpkczRjL+ydxe4ylhnfr2tFrD3S8mQ8lJ - M6U5g23RViiHnJ6OnGme6yR0yqnbTrqpvBoF5bm5rAZiFXOIixAjxTKix64ytEiNrCEiEMSiDD6B - zZ8agsw8iiPpF2TfrPUuu0fR1AFA46lcsTC+jGo8gyfN51MeNVDhFJosNcWZPaGpFih1K65lVrcz - 9eylwEhSCD5r1HTEbJeutrVMhUTqVgGoQCvAUKDgp3DInrDmcLPzZK6DNcmnFgpyDCewKfAFAcAE - IP8td/U1wVeUwDZStz4ItBDIgPyZcVVNyzqoA5jJZBGl624f1QvVlotWMRqBnLNujRAwoSk9iu1J - ACE1HJqDp27zEAoKAMbtvm7Pp3Z97Jpbrn3iiZefefa1F19+fTJxlcNwOLhs7/U33nTnp+79xL5r - t+2+Yrh77w51LBV0gIoUXBkmghZQcgoyxFFbeGYc8KETJFZZcJcmA3VIkoy2Es1UVex/okGNG29o - IcDELliXmqh+ODzYe9326NGjR48ePXr06NGjxwWGnrrdAE1CSZuXA29I6yyF6+O44kbtjGJoUyr1 - wUpIVGb6rmHBL5yCg0a+wRFMYAAcUBUoRuDCUxiRctLghBi9JymlifoNlC/sW3SWnfZbukYAJJCp - 03iWmIyCpLlZOBWjQd0GcBJyey9wTd1Rdj2TcSxdTdUBGmuhwaelx3OuFPCugbXLdeYgRz46ZiP7 - eXFMxm5OEUQk+Ux6Gq3SteUz/QefWM7eaocBn0bVaPbajF9sgPMCN0nLFq0Yk6rddw0wBAx3s15b - OcikTfNd3rXzhRoPU/u2RrnqLw1vZQWixyvgieVAbtcNMmO4Eteb+irHo8WiVOq3xDyG7GnYut/I - FwEx/G+4R7MkCEaDizdArnaoD0/bbjvLxZRYVPi3qAPJ+vxcnW2lmMOMYQ6coUP7le3WoslERJFi - DknHMAjUeCq+V0LXqA1bdWdtPKGdckxpMJxEHKpNES1ElIwDmWtwUnX+1eypTyUgBENmdShGO66/ - 4bJ9++74/OfN6tpEITAKGgAjogWyOtpGw0VlQIySd+omBVREGAOGLTQ5MSOy1r7UwmSSgorsLZFv - eioxHjEnCeeGJp+qAgRO/t2xcUgmu66TfurUU3t9jx49evTo0aNHjx49evSYO/TU7cZIJFyTr0Ri - kXjmgpjqBPxqPD6S0YDdBXS9SI9kJCcOlqAUth2H747hAvepUAd1BZXAkBN1GyhbFgiDKEba1Skv - zdb8WQ7bBW5lP2bWU7/J2XMqMZCT0xkoJt6iCGsGsuH12Qz+2Eq/+1UDnZqkV7OqlKXZTYXqIAGZ - 46VmMvAfW7u7NXE03ruRWvmKb2+VOieD60YypZhtfjKnqEKkAmSipmZm62zGIBE5256Issj6SCaR - qdShf6kKkbAnnwxhEIjpuJ1eUlEDr5kEm79xKjqtr329dTM15IKM3FYFSU1zaeDAJPGHyGQZ0smC - OswM31xzkD6TPgxzkKkS6uPycuq2qCtEQIaBQuEURBT2wqeMx+PyuMFzRxLcZ0DqUwEFWkEdJX/V - aWLKGGfKBJaIV3/Rb8znWSRfQ87hiMIkOwrxAVC3gyhIBQlUUmjdzJ6kdfTmLmk7NQfh7U3NFDsQ - 1QaxvEQ5jG8MURDiGwrxAGDIcDhcGg54127PS4c2JIpSyBQgcgolGOagqcJ7nPHBIKh+H8W4DYg5 - qZnZ4LwctixI4G2jrWGaY7gCJNEDnWq7W0tnz5JXjx49evTo0aNHjx49evS4MNBTt+thincXYvzM - LI4tuuQcEhtEYTt1OEM+UBvavDE6UqUL3Vu8h6YnmgTgREO6EAFBbYjkWEFNES9E3tbTByxQA015 - iOSZ1gRUoog7ucl42xb3gZwvi4/lRELNr2RlUygaAXXhCe4k6twH2HNeYW91KlErqGuXF9ZIxQHw - LArBAUpgRUYz5Vxwh/qIFZNOlkJ2NhgpweU0c8pKs4U0+e7AxXNgNV0k5rh7d4Pv1+Y7YjSGmCYj - bcnewELgj66jWuydV6N+Km8CTTZQkewLKZIpAYSBAUg1nrwUfCwVUPIhU1OxVOKLOkSdZjkP1H2z - SfiWHBw2a+q124WCk6IATgHFIJCdJrszEr3Zo5yl0C56vEwxHENqSOxACAR6sz2qgnKvW5vnWMmG - v+QAND0umerM5F0q9BTfhkK3VB+ZQKbnuH7K/1wbJ2r37Vp2kgTbrqBYHfE/H2okRtcNKXDMnQKi - dSSDxDNH9VSHl6BYFJ5iKqj1VrtcKZlMSJmPdf2vhA5Sd3hTG2Oi1ytAoAJsXFmgYva+tgSgEjgl - hjEFCHAKT90Sgb0hgLww/Ol9BuBwEh0QBeK1lyrFaCLRwOH7RdRtwdqn2tFJXvACSDyIL9vH0PS6 - baOj3Xr06NGjR48ePXr06NGjx3yjp243RqKskIfmbBBYijrEawTlnyJP0eAu87s805AoBK15Df/a - RHNmENRHJ0lw6bJQhgIQxE3URLAMJQXUwQgM1bRdzs1NyXouhCiK7ObMLa5ZLu04ik2FxJCvnmjU - 6G4GNIjkPEHOaUxNX6a/SnPio5PTBi/aSEaze5EOac/ygkQ5sqawoa2coaY1m/lRjdRtJqs8NkYj - o2g1pSmsS2pX6UbvKTktWEOdcvQynMp6hbe1WPGp6fkgyOCseUuM4RvF7KOmFhnTXEBHUT61S2bN - qTUqNHatRkX7ht8+smymhGpqt8Fp5t2s2UEz6QWibJbzaU4aQzu2maxEIbAsJXfUVCgFkaeh/Y5+ - V59aNqVEiTFGXpAsxYYn8TRx5AKzPloxpXPOmlaI1OsitUqpaaSSK4jIeP/zunErYsQYBYQABseo - BQCiM30rR3UE3ZkduyHYqJUEwf+0+XOjA2p+1Fx4MJqPgrbwv7E/48sUhSOUAg5dXRiudGMFzGAk - VDgUSR+wgskYGkCjnzS1AhTHTqeaeles/6Bs4q+Nsmu7rKmxZXq22WbrFyK/fSOR9ujRo0ePHj16 - 9OjRo0ePOUNP3W4KTTJLplFMGy6JG+vt/GqDDK0vS3ZN24SUErR2k5OwM98wLIihgNTULcK5Zs6B - BOyiP5uZnuNWgWo2qyOP6Y5v4bl2SIMGWRaJWk3lb6bcEVntmqr5i7pSm5YjIGNXM+ZOu3XWyGWW - /ZzbAyIBG4QTtkCbPJVaQhyJQ/9MTRHFuxLnNoWhncJrZblq8ZuSV54KyGAKUs6SA+CUl2iDvtPZ - Ivc/G0AIE4PKYSQwru0J6BhjBYBBCMmqBB35ZkuodEZ7nFpBqJk6zYix6Zxtp4WLemnH0ihBIZFI - y6jI9LrU4tpReRtZSlSjZv7gnY6SWEujEE3lq73YOficktczpiXxWRloV4/W36Y+Qq3WFoxDLs8K - okSo4f4aH212FQGRj/88pZlw9N51BFerO0/cZyLS+q+0KM+u/ugKQdonLjbkkz4qJLf3eAK82dRB - YKiFGM9sO78PQZWVABowvKwm4AoxEYUBsbMQ7yQtUR5UW7h8BFvEZzLdgoboE3Pd1oeZCDQFyjCN - W2rNVbPSPXr06NGjR48ePXr06NHjgsXMI8t7tNBd/zbZnenMTvZU2CM8jQarv3WuZDuLOyl7r9t4 - HzkwYIP7o7gGe6swcAAcUAJlOqxnZuHa78oynP3t3BPYh4ZvcSPz7YdIGxc1f7D1UocUACK+q8mx - tV5W52iqtHXai6ZIuc6Bdq76dDo5ab+s4buavCWzWxqUWWJbpmcpZ91S20uibIhxptOlhvtTWu06 - 6rx3SkaQ1QIAQklYASaeZXeNynTAhFA2ZKUUQ52WFDb4N5Oe9v4sH1lw14Z0puWyfjx7qq6APB1t - Fite1PoYsI4rLGlGbE6XFFJjcbG6s2gmdWot1+C2JDqV0i5l/bjWDaAjlPBT1kT8t3YteDERYOoS - 5mfghXdpqzK08Xx8hwAVoSRUCh9xOONpWxXSqtxZ+iNdoKbIWvKoLzW9bhGLl25PgVDgj4QENB0N - qWABE5hgAevDWMMHp/Dq1DBYCWUBZ4AK5rTjk0LjZtY30+OSZahdUK0bjU5pqfVTM2p+dvPs0aNH - jx49evTo0aNHjx7ziS3xup21FDzjjZnZuvmD52OrtoP6navZtuDwini2TPTcIoRjqmiKICIxwtAC - UO+DmR/7TTHBDBLovEZJ/GbtAgrEo2l82Fd/2I0BcarN4FIZ8x6csthk0WPTdt48m+h8pZhp1PdH - h83kQZZdrYkgEEA+Y/Fi8EEN3pXkz08PF+P7EjFU+0AS/C02Ro4E2lmaVZKQqSxRigffMwXn485T - 1PgcwlimuBSe7golA+IZRASYEL7Cpnx7oo4BgCmeShQaUS2uSLjHPdK+UVFGkqWT39rVRPVdTflT - HsuiI5Mgek/gEkwIxpmxYCGLnt+OwQ9iRFL1gkxtSetSDBnG32pq8ftPlsAMtaBa6OQlU4RIqchS - bHW8KfVrkqim0reNl4dwFMSwBkKpIZDPN3N6X5ANkkjDRyZ/aF2dea6LbUJ1sH+q9h/muiwgn+cR - AEJhYDhlA7UWoLqLZLWbV15gPH26rDDe73LBs4cAaAjeASqgaiOn2ZRNaFDNhuHzZjIpE8H6ALVS - Kykv7HDoYurg3R6bsuvfSFAGIYRfSN2xLnb2WoaapByaGe1o96xhmDwPsZjIopYQrGJIqYwcpFkX - gAhgSnqGw9+oLSnkLQQpNoYwiK2QDdhXwICi8rNEpjnI+kgXJrXN3Dk9a2z+sgUKKDMl3ZcrsYLj - C2sdWLfQpp90LZam4r8wMXvCUc8gNIuw4ztLCkpuAMB7SxtXq+IUACeldraxDoc+8+WR5Vfy0w6F - D3wfC6uANxVYgUVr7Jh5uueW44MUrUcPj2SmykeSDR/pG9Z5QTIGRk0LENXRrBR+mqn5tpg6MNHF - Xmn1olSyCP71L/Gji7N+ao5jyEIibY2sZowBm9XYW7vKvvQwkyTY6OZ+EfYYAAAgAElEQVSLU+SN - 1hhdRbShPcLkrKlPgOyIiDyNHj0uBWxVwISp+ujMZlNxulYHB9j8wy03L9qiPuyZAwpnLYXhU+O/ - kcNonG0+LVMUFoxhRHYZ60UERu6uG7gHqcNBxrGcAmk48IcrUWQfE3XI8aj0kEH/syb1Zhhkp6zs - YwE6Jc9/M/XlxA7EE+Ej1xf4L8RTwcIVyvmUjDlIHFnGWajJaCM0nxu0CKKW+18j15kom8sAim0+ - UTUzaCEKsT9jngUqkd9kXzJ/QxaCt4JWQBUYLRiNfIAJ+U18KmUZY0KB6LmZE33x5uhmR91yUmIH - KcZHCKwvNW6kxv2gSO0rSAJf5cM5hIzFA6/8q9mfnBVPiAv128lqARDBmCSQ8MdPWAcEYwITnrc4 - BmwefDnLLtV2jqhOsoKYZFaYWv+N4MFRSARravsLEEKShoaaJWaolWpkd2t+MD5RJA6QwqtMna2m - KqJA3QLG+O30VHctU5Pghb+U2kC7f9a8PPsFgfXSDyIbkhkAAhXSCVGh8aSwVKSm76WDAmQVRV2x - IfyG9XGZs0mSZiaoqNdy9rYl+thFCYajeqIglxnULbhhiWiPB1nPiPoGdR9M173ko9kq3GUIw2Bc - yXjQ+g5q/hA5XhPy6lNJtgnjtZK2GzwAT7/mutPn1GuQ+grDWahXSqFHeeOBWujAt4Ske7P2YPJ3 - ZH2AMhXLmTT8h9TaGup/XhAb6OxFpE751LzBJ6BgyYPkqICMAlVcOpNWICiMd5cuQsvUGVaNswHN - /s2x8ZsV6iAmS0aBqjZFrgEKjAQGIE7avGYMznbhPnjRelyiqMPWBC2bT9sotdoZbSqL+963sXON - hoKJ1ZBOGg1n1TJJOs43bTwj6jrHXFTIFSEJUMaTIWKrRWrWzoe1q6fBNWGr+Spuq3LUnFXN0tiY - 2vl69vYM0BCWZroNG9VpfvP0dcDFAW0pfQqb2Di1fVPbPSi4E0FJM1MQ0oFAW5WlHDTzF1ysldJj - zvHhqduWMvKgbHRYt2U3bC6Bo5oyMMwaU6bdkojDD426aHFBSH7czQe6ZgnSe/PJJwMkdYaUUEWt - bZAPzSEhL7fGPdB8LA+MgncQ4oZwOGSiW35l76g7LQDqetLqJJZfqGXgd78DiWQmgDg/qItC/jIf - W2okQ9QikbMvzedm5LhzkdBKEZTaPHVuzb9o3NkOz5SrQlIASoBMRSizZxkCVMA48ngMWvSRhZEG - IVCkrOruoWHGFsTQmEhp/KxZuRsFaki3Ka6pMsnuV4BqE8EABBVoiJxZU7eiIBbiKvrcQqWZRiJx - DWB8ezWp/Ye+wsn9mVMpKeWpzm0LjZVYe44/NZJvo9Qd0TCnd8WOZQCTX5wq0qxl5qwb6nZJ+fO1 - Vm23SJsSMKk8MUWu/zZNGhHa/ULk10hDgGQMVODFCagEjWAMBDoBiKhI9dHKENSvKArAuGSloBLw - DueFf1V0HhdoisNLkc/uNLEp7+HpfbZ5NX7s1CxN+dT6tdPiW4rH/2trL1Vu3o669tOqRrMMUei9 - 3us8UsGqNqWRXhNYwEbtxZRE8xcAjAmjBJagJvYMAryVrUh1ZuOyNxsUDLUkVbfeToeqC7lerzmf - SDpvg5G7DmkxY1IQQmBLFlXanzEoRFVtGKmgUBpUUXOx31HRDHiyFbOImNf2hGad1XLn5dld3hwo - MdyMtyV46taEFrPqAyBLsBJlbzNnoXAfvmhbJuUeFyZSn44dELEZ1ZPixv2tSxpTofadPc4+NN/W - E1dvfvLsXRIgClZFMP/Xt4XJbtdz5CJCTUhJNB0CUQCZiqyi8Zc6g1DD8rYlOcIUvat1tsJ7G59a - Or1nbzeFKWOgZt5WWntBbZzERSjsNjkd9X8yqAdpMYRQAdafti4KqqnbOq0tUiTrTFw6t1CYs16E - ldNjrnG+jymjTXWUzSMjv7a+M515ZutRkuoOnqcxa6Gar9LRZa1m8COby84HeHAmUkKaX8pJoumU - zYWPTkuYWSXtVUeXPZv1jq3uHZtAlyQLf3VaXmbUbHf1Ts1fm1curdX72Suqxmja67+ixbTWsTUo - sX+avlHiAmNHzkM4XIg1t9kM5629Q07rlCad6fdWT6H8to27dJT3eej+5w+doXG9+1qf6iu6zq/o - DEbN8fVCRtvGdbGOuj16dOF3DF3UDODcY5o2zj+2o1r1CmrTOFuzrCnptqYcF+L87gJAyyC1maVQ - Xw1NnGd5dBYEPXqcW2w5dXvmrfjSWKAmmxKaK8zs5/xLzpZE3naux9FWATaxIqb12JE5QyrOesRB - k3BvOICcYeFSZWuj9s8Cmkv8+jXUuELth7QdTqDHOccsbmoKbdPtjZRXMRkQQdMG+/h7ClKdBy+g - Dd0ELgWcsfJqsrczfkTyHbgUqbfN8ba6kS5sKLG6Bbe0sRJIaUMf3/kHAfG4yViW1EM7dG6PHvMI - giKLwJSunkkK8z0/vmRA+fmozR+a3/q6aqOXyEWHKZOVdGmTVuOLuVXMmsvNXNugMatrmPvPjpw6 - qV5ItEWPixjn2+v2EkHuhjr1h4YCS9Rt+pwtPedRV3TV2Tzm8oMhY7iiN2KHtYzV0/1vmiw0S2y9 - 1/r7NBvoz8F8d9qUe9r5e1Nv7XGuMMNwMEXPUONPk5CNSPFZAeSNvG7D3IjnMCMDlxY2K4Hp97UJ - xpm8+iUi6U1bprKGum5KHbHWpHg3qUDmQtP23fkXPE35lL4zeifEHhcWZu9aQOihAKb8Fi/3Df78 - oK0q49kW9bqlrxigtS6YtuibcXuPCx1TBujpP1yCaLAaTZ6ju1CZkcA5dv3ra63H+cfWUrcftE1v - xvK0ue55Lge7PFMzaNVIwJ0xODtJprmSnzt0y7jpXM5jcWp02hK1xlxq38nQpq/0Rnx7S3ba/jG7 - qXVxS6CNMgWmOH9NjPHaboKtXHzITM13M5hTxICpzdVA3epmkn+x0jn9nkfrDSk3jgDkRipbFnNt - nuEL+4GnhK3xiqZ+rK9w50q/aPsgSG2+o3UVpNTuF/Wf0NCnuf5tbc4+1OOZf8nUZhSVQH1+Y/3b - 2Vvd9Mq/x4fH1k2de5wXdJcoU3XUjF8udsxgoZoTg1nj/tmaD0xJsR0tYeZLL70q/KBYp86nar2Z - d15CIu/KrFv+syeONF26hCTe40LDllC3W9bC1+szs1fDU3/fuhxNv9rN5wwpnIlwGtOedHZijHs4 - v6pkM/lap3LmtVhTOJf1JqaA304e76Sun1c7cZ3RbrNFCzVu3Wq0pwpK6WKL180+pd83zNP81uyF - jZq2bTF/rSsNMjdeo+Tg1PkttUTOv1M4XC79tMmYrT3WRS39lpKgzG6XX7yketMH3pVW940ostBc - qXYeT4orW5uGg2OUqBuW8ezhDKcHNXub7RakpqYOPXTmiZ/nCpdUc+2xFeibzIWFLKDXtOEq7S+b - OglpJnERY9pA35n2E8IZZTOf38LszBzgzsRz/WKvtrOB7kJuQyle/GKeaqzoRu7rLmbqv9lq5ixl - qUePucKHp263mDJdr89s1J+o8+FD52UKq0bRM2fq4jJbK05FazdY5L/aOWZ/Fms7ih0inbsh5iuI - 3yyuZ64yOQUNvrYx3WysjeMH7h75viG12W1LzTC37XdsLbJoj/kLlNpX8on4WclJj01i9tSPEnWb - NTyaNR1vEfAEAOJ5w45mk/STBE/sqbtaLzZ8mD636Wep97qd0ZaS4eBMl/fNiTxpGnY57xdhtYzs - ZkVX8c0Z2o2jNTUAoAakALdV9TyXqkePHhcmIkuLploSCr7/FG1L2rYxNR+5ONHQwrNm9LlSnzb1 - 7lz68Jk6xw/2iDjjmcylgFns7ZSVzrqWh63OV48ec4qtCpiwBX0mdskt6X5b2IfbY+90Zi176yYY - 5nzxpYEJaYAB046/Xae7Pmkyb6vP9Ylsmtu9CdT+1qBqu4xL9Oua+djm3zjVG3crRaTpzxTqlnLq - Nmt1ze8EyIx8zWFlXnxoxVz2urOmbuM9lN3j2xUHJksBoobvdLRHNLukAgIVf0BZUkoXfQS7Vgec - SpZtMp2Iqaouix1c11zmNj0rsYsNm/Hi3wzqFDjvGq1xN26H6DCfivwQxrOFD5U+tTdzKLLtHiBv - PuT6Nee01Wz4sou4Dfc4y5gxl0yuAX3bOi+oqds4kyAodVQ6QQCett65qFFr4e5mmoRmVKr2g1uZ - lxmy3+At6zFmPWai1QV6/dRG18BMad9QuqPuGxQIk3kjOXr0OLfYqoAJs7T6mXWuD+zUN3XEO0vI - 2dvuWzfx7tYIHfnqpgLT4L/ZuBrV2VQRtd58bhnRmW9brza1+WGuFHEnM6nW0vbbhtctAZFwqdcR - 1KnsDG2PKG3euDU9aj2kgiTx1yNinGSnNqe0lX7Ac1XVFxLqFdKU08Yo8TXZEmHqaoGgAmh07W9U - bYdCU6hnb2nL6v/CwFSH9I3R4WrT51l6u+t1m6LlTE32ooSuU9xNl71WWNlwrICCFBCuVXRYKneo - W2TZOI8i33ghHT8pIASTDTQGIMBc7A2mx0WHaeQrTdlBRt2be972/ECT/bcBgrBXs/UgqpcYZZst - 62qLbMtemN/aXCtsej35ATI17drGVdP3rzOEBr+HbuWu9wguNUlT51MtrNgwKf9xekSrLRTaejPA - zS3ML8V67HEuMUdetwBUlbzbV/wwn6AO2dEZZ3XKA3V3bpCArWmnhttCkp1do5KlmysIbSbbzebZ - gXY+Z3wfEMvTnsSEYmskhOZT1bVNAlktUU5l1SQ0Rw5WsfFw3aAqKPNBxrSa29K6rNse+blFNv1u - TbJVfdGlEfLU397tp6rrBvjtsQXQrC22J/sKonQEWdykGJ9q3Afxrv1ZzSuaFBoDUIUKELxuUXfV - S6GKM5lNtdRt/Gyu5Kc+RvVd9RVCY34a6uVik7imY+FzWaE1cmVKt/l9qkRaGwaCicK/SwjcMGlo - HI0zbddM+uyJPM1zzuwt1PiQuq9yyrD6SR0RNSV67lrPOZl49Lgk0NEMSRf6DqBhZz6mGB0vPMz5 - wqeBbHwKNdDQoj7IkqkvkPg5pIIoU14XSGk/KBq81CwtTD5K1dSJ2gdHPbz6b3XTUlUAzZZ2kdfD - +YBmocbIr560Fb+MWvenD5d0dVCa8qYvlJbbDXIBYcWz1TYhTX8yOrkzO2w90aUDLvF67HH2sFXU - 7SagKqp+OGFu7yuXCGstAFdVxtrWbdPGm/MCjUQbFFBVVSVmVRVVwywiqsJs/I9ERN67TaAQIiWm - bJRWwAuGVJkJIIgAFINKiiqUmURFRQwTUZi3OidOXGGLjkyo8+GsyUIhCvbUn4BNVjK/OBUHgIyB - igIUtl0TgLXxmhNZWFicGY/zvKJmlIFIR+ZK2ftwEYCqgiisBQTqi0jkfRWjs2pzB7SqqID8rdNH - nRYNjy2uS98TEZuwk0pdZYrC+2opQRQQBQGiqKRkIwrjJBQOQDWZOJHhcETEvneLiKoyMxMRs4gQ - ERGlD77sHqCA8NWXMd0W5NTmtM6gpahqpi7W1x6tF53xu84DKJkHAGQTGFVXERvAz91ZFU7Ex6jl - 0IpVq5IZMEaEhWP/VXJO2Iblltc8BNXJhEgwsImp12xCmiOvR/9VRYh5utgzoddto1XIdaug3Tw2 - WV/anj+3kkqkl6qKCDOrUlVV1hQgqsrKWGM2cGps87bqKoDJGIAQ2nuYcPpe1hzrGuGGve1rTtui - KmIXZgqKXJzzo7yIAMjHcfVDHXNVVapaWNs89hDTiL8waxbRWj0oVNJImkwPFAxNAAgqrqom1hAZ - QAREBJctoUkFojAGIqrimL3qVoiCOEYe2AIkxehz7/UhgMlkYozxc57NI40hokJQZoq7DIPydk6J - Q4sSB1G19mw1HxVRgMO0R5k5NIZZvb5Hj1mg1oRH42wkQaGKqoKxMAZ+DgxlaypVEhmYLeuzW4Ju - xwfAzM45AMYYxLWPMUZEJuPxYDg0zVI451T1TLXE2YaKiqgxnPsbqJAqoI6MOC0hbNgAUIWTUkkY - zMQahzRxqqrWsjZHijBjBJwITVswXoCg9hao7KNz4pwUhfXzbXFCzMZQWDMrzlSbqmpZlsYY35aq - qhKRoij8bHx1ddUYMxqNAPhZe6+uzw5U4VRBZFWlKgVgJmstRAA/65vC3l5KFdHgaAEEhehXaxyU - vJtUZVEMBHBVZa0lYgIq56AorHUiqrBbo/y1kSUVFSVjoBCvshTOOWaT66TGCAXMeT2mFXc+Rc/X - bqpaVZUxxiteP4qFUUn1ItLJFzDO4WzAk1lx7eVXxZG9oXgLhaVdnOjk8545oG7TkjL0z7CmJA3L - cVVAA2tX915fKEJwFWAA4qrKTWxhmSg60nKg+RQicSXvH/LekeT88SPQYIEiIiZO4SlVhUBEqUed - Q0FFVlPFf1MvFl9bIqLOgYmI1asKgNgws8ZDZNaJAnXe0KBPcno5sQwCZWjtjig5y6JSVRM2ICqc - KJEhhoongSm4W3naHl5Qnh4+V+ebq1aTMbPywEQ7BDlfH+QAF+lVKPn4ZKLiRIBolCACETnnPI3l - e2vouapaVZ72sk3aQDO55hxtm7X1F1vYdN/3uqLlwxIJs/XSqX+Y44lslEt+XFhseapECjiA8w16 - jHBaiChIvP4QqDDgiUIBFMb7YCOYGpRU4E1NAkxKNQAPwKgqBaiwoefOAnltOPNnolYVR9WZHm8X - fLZT0hnU1uZq1hsjAg1ISgQnzhsXxAmUjVnf8zjWizoRRxq8lv0a1TtGKyC5+z41nux8pnk04ifO - XUS85ZFqVUmAqLqq8r07TRApWXCaBrEme4spxW20d80G5Hq0DXeKqjivbKFaiRgiIqhAlQnG8/ME - P/wSiEWVvV4m3UJBR1uVpq/OOY44g/lMmF+AUmdOZilUKgAYZDV34EJQAF4bxrnK1pTNz+/hCRdV - X2dxeA9mG8/q9qRAjw+KOMf2XyYTFWVjAUA1DnpBR8/hkJ2Mf+Gzc8QxCHWmJCmSaxSXys45PyEy - xsxp90nTNVFFRcyA8VN/r2gZopCyVCICCTGINF8+eSGoyGQ8MYY9jyAiUPWUjQJJVZ6nQm4haNro - raqBmo9mQwBKrEQCsKggtPBNTlrC4JJ8JqDqmxBFYfqBeJZIVXX9GXKPTcP77vjZtu8WDHCYMQm0 - YbDX5toIyWKdPl4KUN/9w1y35oUMcVgssGdbxM89CFRWlV+Kbtn0WBygYOOnXE6cYQaRiAKkBD8D - a2S7UVvnaAX/ARAcDYm6K6lkcfdKWDMgDrL+p+5Kvce5xzkdEfO1inOuqirnnMa5vmEurPX2FlsU - /p6yLP3wg8xWcF6hrf8obMJUv7yH19AcDMt+DIUfERlkmMgA7Jw7fXrVOeftckTKDDaBu1Dn2TxA - wQzD7McAE1Ij78DKbKwtCKQK9cSa6LlW9hQoCWIYAz8Rcc6Jr1kVMBFhUk5UxJt4fcUrUBTD4XCE - /AjweYPmASry+ZP/wQUmgMFpZ1ikHUR0Ml7TqoRKWVZOoIATcV4VMvsgYU6ccxJXvIp8i/tZBAG6 - dvr0eG0NKiCwMWxZPAMrTlVUVRQOIIJhQyoiTtRvnoeIWGsGRSHOiXMANBK1xhhv8y8nE+9d0rW3 - EHPy0fMzxTAeTBsSWgaeDdHiSnLkY9L0V6T/5hVpdpBcDYPBBECkdMI+cb9UIhhD1sAQiOAEwbWW - CCrGkKFgdRAGmWnEui1ANJmMpaqgzq8zJ05kWrdt1BTRBsRNR9qUoVEL2sAGN585GkkBAJw40aBy - /RJaxIlztjCiOilLnam2cn5RRZyrSj9phyIoRo4Mm4Cp4XyhU5IinDOLzgeCeorWuWTD9yytF2ZZ - VWVZBlUQkaSttRw7TbsJTpWTeEvyDE4Mx+y5WAK85y/EFpaYHVCJH4+cq8qqcqWDUyTXVCIQWwGJ - qqps5YiknsOUnLr1Mx8ARVGYTbuK+FKK+MISETMZIlaIuFLcmriJBBduRMdxMMNYb01VUfFuXFtQ - LkBExuOx5x38dCT53vpqrZzzvtVb8roeFy1yNZ+Na1kghND619bWJpMJrAUTIktlDHsLop1Lgq9u - /6qBmgTYOzTFqaCx1mvI0WjkfXInk0lY+wDMvHktcc5ADDYEgqq4qoKKt0iyATMBYghQHU8m4xKi - YtlYYm6Y0sEMIlobjz1VLc6VZTkpSwTDropzufK8ADF1HNG4lV5UZTIei9PCWoqUfmwdYfTnhtjW - g4hMJhMvzKIoAJRV5Z1qjbV+gCaihYWFwWAQnskmPReynOcQvvokuEEQFYUtLBsO8+0UzKl5/6VX - BRotdNBgxmDDbIjZrzeZeVAMWAEiawsQV+LW1ta8y9OknChgeIs0pEIqh8oF06CqOL9mhDhxzqmo - t6bp9ArLJ7FzV5tpekbR5SJZcRItmwyHCKsXh7gfwrN23FO3c4Bz5HWbGP10Ja6H/R5FBB5HVZwQ - ESyYGIaImIj9EpC3qnOeMXJTcULoluqcqrKxvjmrKgh11wBc5QhsmLX2myJrB0vbthOrU+cdb1VR - Vc6YAROsBQHq4HwIApayWgVXjAKwTAWzP4ok7IthZmONIRaVqhJjzLnsWTEWqpeUEhOHhaOqc2SY - mEfDoZ+mGZDAL0HFqSqIjRFVES3MnM28czKBPSugAGql7b8FD0U4BxgQoRIwgw0vLgzFjatyYotF - GD9fC4c6cCQtjbFT6VqqTXlnoWCkINq2bUlk4tZWTVGABmziPBFMUEfsNOzmtt4Mz5y8ITRufC6K - wkvGWJsUOhNba9VYY7wNw7t9AfV0dKZhv0uqnmnx0iP5s/5zujIHLvwfHJlxJk0Aax9bQOAqQIVZ - mXx0T3UQhNBzXlH5XeLETArnaKIMSwWH1gFxLBVLRaSwBexwwAWYQQI1zEaJNz8rOffSruldbNzS - pmQshL5xk8nE2oLZeLOEn2Kyp1o3sLGExJmZrXWuYgGZgTE+roqAKG4IW+d5jfTkPLbUtNWXiSju - 5/VMpTe7WmsNs18lUraTxlPh9f0qhlOrztjZlohzwxnC9pXgTBquMkAiEAHHLV0CDZYoKAjWGkEh - zWQn42pclaPFIYXdpSXzFs03Ytvyy2ZrrTE8HAwV3h3PiIiIs5nynJ0SgBB3Gqx+HBIoQY1Rf0Kh - CPlFB0f2Vv0OXA2GRruRr/jmwcwLC6O4DPAutjDGEoG8cy8x0Jj19eixDroDSoqZABEpq4Xh0DtD - ubWxA4rRCIRkQZyvVTKA6HIe5h7MtiiCr7rXSKpFUfgOMhwOgeDzaK3ltIMB6MSWmS8QkTXsSY7S - GQWsqYwRyARUDBeGChi2wNi5ifP2YYIqqlIGBRvDS4uLzORl4jWh9+kh5mIwcM6Nx+PBYHABapLU - ME34Rq3ryszD0QhqxGnlSmayhQFUtHJOmQumzZbas/+DwSCNrdYYIvIO3YjtSkWSNdEW1r/JFgVf - sPPhuYXCCSoVMsyAihPyK0mC8cH2tbWXKs1kLpWKcFUlPgaCMfAx3RRwDuw3DyoRQ0GVIyIGhRDa - xi4uLBpmJRryiHgrd6SxNXH2LVA1HMMaEgwbJTgX51cZGouyea3BXIU656oYh8dvjPO/+jgJFD0O - c18ZPyrN5zB0qeGchk+KvtZANC3Gr6qA323uByqphA0zERkT3ESo7hY56qGw8X2rQDrlfNvMFyBS - bD6iq4/j65dG3gFWo3eQJtc25z00GcTBUcg7dkYXpLClPiYexKOOtCKyAHzk3MoJEROocmL8bIgI - isi2rVuqLZFNTIs0WNyDC114AUFExBlG2F8QA1wxG6jfYYl4EhJ1KnYOkGcqcu6Rfo+1pgRXggfh - /viEKEg1jtggw5XGcIueuUaUlY9767c11VUThiJtZmfLIAoRWMNi3WRy5L13l0/Jythevu+a3Tvt - aIDYJlFWcA7KZcHCzMFOrCBilQoKMkacOCfWWlVxTlWUiA1bxKDM9bDmK7rZaRWanCa7PpV1ns+E - v5o6ByWiDRLJaOM5H6K0/iuJCw+nPYtAqkq0BMxgCIIrK4KCLTN5+4GGeBcKrUiVaKBEFYMBQyBV - UsckBKcCcgJTwBhUE2ACA2MK4fwUkgDqMO/NTK8nfF0/nAVRCqQzc4GRQug1BTXl7swHsvs6jZs8 - VlZOvfPOYVe5xcWlq6++xtgCxKJIlOv0wajxcm/mkNSvfa92riLAOXWVMYaNBdvsIaRRJ5sQzh8o - +kYhOM8CsdtSdLhWINnt682/Uex+Sw0Fw1jSro3Rr41QoxrSSc62kb1xgvG4Gq8uV+WpStxwaWmw - sFD46bgIiKG8NsHy8VNajodMO3Zud4ASg1ihIm4L5a2qIg7QGBw5yEkFCg0T6eaZl82iJoSWEzcp - AyTKPkKP1wCVVDJxptQhAcaCCa6CGqjxyQeOdauK5vn31Fk8O2BMET24onFjRg9sJbZVuepx4WGD - Jhnm29547P3kmXhSlasnTq6Wk1KEjF3auWPboDj7eT0zZBsLwld4FZCPYZHGTT/B6z7v6FRVYfvC - nM1G1O+pa4VvDYdeiHOry8tHHNYWto3swBiCP0+OKGg7AEScRoPwPe7LdM4hDiJ+Tjhfhd8s/Bqw - pnKoHrxqc4NhA4EqEdgLTyGipRNUlQCWmQtrmDeWQXLQ1uTQnW+ODpvhxqdPny6KYmlxyQ/ExAyQ - qo/eVjseJcRvvdI+I6ioO7166vjxk7t3XbEw2k7EGrceeQk7Bwam2Yibo+acMoFbAEqObqR+STxZ - WxuvrDpxdmFhYee22qIvKiQKU6mwYmAtKVwIvMmdNvsh4PcTGtKqKstqUlULi8yWKUYuEWlXyLT6 - mcs6y9Y7TCTZmitM18MOthCbLs1Za1/dzaihHmcf5k/+5E/OwWuSxw0QThrxw3TqbX5XIRTWWoCq - qmRifwiJ3+I8q8GcydUzhoa0AttcX8v/ZSKQuKrybjXGgMjHDXS8AoIAACAASURBVBCV5JDrXVOd - w2RSsWERmUzWjDWGoXCAEpjZiJAK+VB7fjsnEYgEmBgrRGZSallhMilX18YAjDVV5YiNNTE4LqUZ - 0XrY2u7nKh+gyldtXIOrc1VlTIjt6/dqwm/zZFYQuHbQJZChcxu/Y0PkNB7FYMVh5hldPZzKpJJK - q4pLR2UFBYyFE6grDTvIWuVoXGFlVceTcjC07GOAiXriw4mryrKqSmuYiSNhke8MbnAYW1NxzklV - ERFYWatnnn768Z8/+/OnfrFj155dOxcWRqxSVjpwRKfHqEpHslYYIR4KWAEmGCapJpVzxpiyrKqq - tNY45yaTclJOiLkoLMXmkIsxOyc0/Rf5OA3OvHEm3xiO07Ry86Xs0oi5Qy7l2qf7QHcCOzdIHkYM - BVw4ypkMAFIHKWVt9dTqePnkKtkFBtZWTxOEjCVDTqHeH5e977eAHJmhMJUAASak5bwRjUCVwMEa - JllboXIMNmoGzkfJbUWpTkvTDC0xTpVqon4wm5xNnGD+ipYbdbqy/ks1rW3i3XlO/L+uKg+/8/bP - Hn/8mWeeOXr02PXXX18UA2JTVRUz+03oUzLZKZlI5VxpjSFTAASBSOXcZG28durE2rFjJ5mGtjDG - Ip79VieUGS2ppQfmAWlpnU4ZBeD5WWOMjwagqn5bPZI3GYXgDxoDQTLnpzX6yuAUzSnxtEBcC9dX - FYjx0kkBI8KnV3Hs+NHXD75y8LWXXn/jdaewo9GO7dsZwq6C8sqaO/z+qeeef+GXr79+7P0jo9Fw - sLAwWhqy8dF+xkVhmIotCcCuKmU5MYa9NIggolXlVBREJjrlRYPvesKOf0IHEFcpQ0kZSqjgVk+d - Wj152q2sWRFbMApgdXWipFT4yRSZwCKFpdKHLBqQAter+nAZzkdu95oc3rmLNrvbd66ado9ziBmT - m0zj+VD4DHEQgA2pnlpZOfjGoYOHDv3yzbeOnzgxWlzcvm2p1hrzA1XnHDL7ltd4/ggpIvKhZsqy - lBjX1W97D3sXqspaO29nlAGQSlwlxjBIQA4A0YAMMUOq8cqJ91595RdHjq2a4Q47XBxYwI2NUaJh - JUYURBgUMAQRjNdWiSiNDpRxuADCeQnzOhlbF95JQwETZ7nhemZ0ZygDIIaxTCDnSqdl5SaTsjp+ - /OTq6bGIFIXdMGhGalEtBwh/EqZhLqvq9Mqp94+8//rrB53I7l27jDEcnOwggEsBPZrL7dAH27gQ - a+RcwpXV6uF333zuueeXFrctLmwrilEMIuhdEVCWPsCIvz8FUIia72x57swRiIgNgwhMClWV5aPH - fnno0NtvveWguy+/DPC8AsukLMVVjNXJWJ0MrSXAia6urXkr0BbF1NdqPCZxxLy6cmp5+cTRY8eG - w1ExGKrCU1KapuOpFO1BTLPha45qzsejSAGvQxRsovxEsnTeuJ8meoXgCTq/daw/vWAecK4nBIm/ - D41BhaL1lZmhNF4b+7a1urpmrBkuDBHipoU1QKK0zmm22yaw5r8EYy0q5/xWlKpyTowtPO9clhWz - MYZFof5OArMZmgVmFZTOVcwKgasqwyNvXVUHdfGYa4JhnF458YtfHPp3/+4/vXP45GBQXHfd9b/5 - m7/xuc99viiGxoRNBtQZdM8RUiRG8pEHxe/jLgoLJm/9BZEqlWVlrI/RB1dp5YSNYQPTDvw9H4gj - qbaH0TjxqmT19Pg//+ev/+jhnx09Mb7+plvuuedjv/VP7t+1a8mSO3XkyLe+8V//7h8eXV7FaPu+ - W2+95V/9yz++ct8uMoCQqIiTuKFY4l6GBoVxtszczGwtWDEeH3nvnb/8+v/34sEjV++/k02xuLgE - rJbjtZXKrMl2O8TC0AxpyLxWuWpl1ZnBYFSACcYaVnXOGcvMxeraqve3HY1GzLZyUpVVGhXqwO5+ - O21ENOQEJqb+0qTbziAIV3Zrm0NsCLfB2+ZEXiJ3z0ii5wkKKFTAdSAKqHz329/84Y9/9ovX3vrq - H/zx/Z//7JWX7SkMO+KyhBoU1jBRVa6hHFtWlGunUI0Xdo8LEOBKLGJiDeBKaAUztHZQsgWUBwXE - gXH06PEV3rZzh100aJ1dn6jVmkDdhCSDk2a6uVlzUyYKkYJa5x4iSum0WkJtUs6Y39y2zESTGKnz - p4/8dDAY3nzzR2468JFde3ZbaxXeg75FtLaz6JsbM3FhwaRlVZblYDBkZjepvvnNb/z04adeP/ju - H/+Lf/2Zz37yyqt3J/cjbSQyv+3QS8xvpkkOmInDTbNDf5I1xYkjAWwMRaJag8cppQ8A1im1qiRv - AEBAnvH3lsLJCy+++tBPnvzG3/71ieXDk7XjlbobPvKRez973x/+/j+/bPvi6skTTz/70je+99CP - Hn3u1MnThZvsWhzedOMNv/27v3v/P/2ij2mzZV6pvhhERTEggnNuUk4MG2uLorDQesCj9YbsOjve - 8B0i7Sgxs4Q4NKpanj557NVX3v763/74F68cv++zv37/r917521XLS0MnIUjVOIYakm3Nk6Zn6po - MEi4I0fe/9nPntixfcf+/fsvv+KKhdGCic7G6xdtnht5j3OD2X6VsVMSYAxKt3bixF/91V//449/ - /OKrr65WlVNVY776e7/33/7Wb3305gPGzllY2Ghv5HQaRlSSqpr4Sj/985awlZWVN9988+WXXmbD - n/70p+eQtwVg/ELFKwDvTEiqoPEE77zxxrNPPfitb/7VaMf1X/nn228qti8MhkNDUk7ePHzsiWde - r2R4+d6dd99568KQmTAaLaSNvMmIG2QSp2cXYLQEaPS6zSayTaNj3NzmQyj50c8WVgkvv/CLf/jh - Q489+uS+fdd9+UtfuvXWW/bu2b3B65q7m327U9VgbDZmUBRPv/LK3//g755+6um77r77K7/zlauu - vGpp23ZTFIDfCBujGCHkq12gGjTnk5PzDifumWee/vt/+M43/vbbX/vqH3zh87911533MPlTtoKP - 6WCA+pDrBrZ2GjK/cJOJK8vBaAgyIK2q6qUXX/zuN771+uuv33Xvp/7w8r07du+yZgARBg4dOvSj - x3563YEbD1y7f9s11xJgDI8WFmC2kvWwgwLO6WT8nW9/5+GfPnrw9df/8I/++DOfve+KfftEIdre - aoB2f0mz+LnrIMYYJBWhmtyhvHZNHzz8+shaKyInT5584YUXlo8vF4Pi7rvv3rVr13yOSpcOzqn0 - c3eo5KpDTIaUQOPJZPnY8mOPPX7kyBEAonLd/v2/cu+9w9HQGBO98eLjPsFzmfsGsiV23KU7Ga8d - O7589Nixdw6/+96RI+HcdlWARqOlHbv2XH31tZdffsXuXUuJHBJ1fvtyWLpSMMd5VlAVcCACWIm0 - KtfeffedBx988OWXDxeD4vbbbrv55lvu+2zwzBUHVwkbmhHm9iyaTKk+asgTSQ7OhaGJGSLlZLKy - cvrQG4feevvdI+8fY1uQKQS0tH3H7j17r7z6mt279+xYKnS+52YdCSpUtCxPLS///PHHv/2t7xw+ - vnLLbR+3A3v/b/w6MblKlpeP/eyxRx944K+X13j73uuPLR//7d/+0u49uwaFFxdDhYgUTkWbej46 - nuZs4xYWxleNmywfP/bqy68889RTR1f41rs/u7CwZJjHq2uPPfrTlw6dOLG2cMfHf+22m/ZcsYvC - 2ioQc3DiDMI+rOXl5bfefOupp55aWFi47rrrb7v19sWlgXcr8/wW1WE06hzUB7qFkmd7hltt+EwO - T1BARSjuw5nlnll/DblB67Z5hSIeohRRH3YQL+obBw/+9KePPPzEL+785Gfu/eQ9dDkRE3vC0YDY - b/khgsh49YWnf/7Iy++dGu67+q77fuW2PYsKgvN+4winaFlFXFsvv3/wnVcffPq1lWLPXXfefvN1 - V1y+Y9ASWe7avPmCNW72PgkdfjaWb9MNgvx+h44JJOyjmJ09AjMvLS5cuW/f8vLykfePPvnkk7t2 - 79m9ZzcxnINT+GVOIhFnpJVMWVQ7zhJU5ZWXX/7Jj3/y3LMH77vvix//5B0IK71WVudx/tcCZajP - MYg2fO9Hb4zReIq6PzIFyQ2pPjaLmv9NQ2j6/olw0ktYDLtqbXXy2GOPf/Pbf/ft73z3to/uv+7a - PaNti3YwOD0eK5GovPfeu9///ve+/Z0fPf/akY/ecuvuPZftXhyMJ5PxZCLeyZYNRw+prRKOMawq - ohpDFnhrleeyFT7Y/wYv1HqpXGvNzEQFNx6vHD781uOPPf7YU+9ccfn199xxG3AVGMwQBF2rMeb4 - Fmo5X6fWFu+9994TTzz1t3/zNzt37vrEPfd88f4vLi4sbuI98968e5wDxIi2bVBbHcqpkycOHfrl - D//hhz/80UOl6LU37N+ze89qWYro6uqqajeKz/lEY6sp4KqKmJOVK5915LtJ3n333e9//3tPPfX0 - wsLC9m3bbvnoR6+44oo55C5rC1o+iyKsrq0dfvutp558Ytvek587fnxcVqAhQKunV1566aWvf/0b - Exne/tED116z77Ld20fDQdCQzrVGZA0G0gsdIeRfVpKMulWBU5W0Gy0EszpxYvmFF1/4xwcfvPGG - Wz/zmV+djMtNvEbrdpVNfZER4ieWl1999dVHH/3paGG0evq0iOQutX7alVNQs4dh9Hp7fajq8vLx - V155+eGHn/jEJ+79xMdPqEaiVsM8vkkCpo7UrANc1JJOkWBUnCqIjh8//sLzv3jm2WcW9+52KpWI - kBgnh14/9JNHfvL1bzxw61133nfvr1535dUEUiZrWDZ4xwfIlarIa6+9+tOHH3762efu/cyvfewT - 99Sr8WwWdmHVTNjkUVVdz9nJZKKqPuS6h/e0sNaOx+N33nnnhz/84aFDh5aWlpaWlm655Zbduzew - JPU4qzh31C159iA41Wmibk30cz95Yvm5Z5/9v/7Nv3nkkUfGk8lwNPrK7/7O9fuvv/Kqq5aWFhFi - 4c7DTD+q12i78C5Yx48ff+EXL/zk4YcffOihx3/281Mrp6vKEfNwtLD3sn37bzzw5S995XOf+/yu - XbcR4BRlWbGpDIsxzFBiImsg6ipIBUNggvMb1KEgJVRQGRRDZltO3KlTq+XEkT8fXiAOzumZLMm2 - UpDMlA7vUnFVNS6s9QF4q/H42NFjb7z5y//yX/7r3/3gH5574UVRUjIOdPfH7/nMr/7aF+7/4m23 - 3Ta8+ko7mifP23wYzb7FJXT4ryrHy8ePra6slGWpoFMrq6dX17Zt3z4YYe2Erpw8uXz0/ePH1iqy - J1ZOHz1+4vjJU6fXKja2YDCDweRPYB+PFxcWotG76XGY8rO1wlHVyeSdt99++qknTywv773iwCc+ - 8cmdu3aXlTt98sR/+vP/9y+/+fA7y/Q//M//+x997f7dd11vB2IMb1sqHABBWZbgko01xr7xxqFv - fvNbf/qnf3rN1dd86UtfvuKKKxeXthlDxjRjz2VjXXBvDUxGFOy6rXeTS5ewWIojU7dHqEwb6Gfv - 058n6JRP0QE853MLw8af9g5S6GRSFuGQMYB8xG03MJZRlKeOfu8bf/1//tu/fFd2fe1/+T9u/t/+ - ++07YRzcZM0U5FliBSqgIJCr3nntlW9898F/+5c/WNt29R//0R9s/ydfuGzH1aptzbMZSTaiU6BN - pJLfVj+bpJ31inZmKFgNcoeUWW3J+9t6i8lgOLj88ssGxWDv3j2vvPLqQw/9+JaP3nbg5ps1nPsE - 3jj2sgKqriqr8WA4IlMMRhYwgANUxE3KyerqqqsEYdtCCtzRiHU7t3PExDgk+CNlFqIqW1tb89Te - 4uIiM4vzO+qRQm4Fq46m+Nfr8rbhDg1+St7fPFK3Uk1Oraz944M/+tGPfjIeT373q1/9/d/77av3 - X/vUL15cFdmxa5cbrxx649ADDzzw+junD3zkY//j//S/3nPbzbuH9rWDr+y7fr9fXhtiNgOCmxbj - /oPD+xqPRkP15y2VYtgwG+ccQMocDhad0aLrf9MNoiJOLfvgBIZUpTx16sSkLEHW8IDJQgEHYYBh - yBgCQ6SqmANdvCVYG6+Vk3LHzp2vvPLKd7/73QceeGBhYfHtdw5/6lO/sm/fvlDPMwevS8W3qMeH - QD2yaVkefvfdRx999LlnnxuPx/d94de/9vu/f/tdd59YWVGindsWz99RxtPh1zh+v7+IrK6tGWMW - FhbCsVHhqHIFUdqLaox54403/v2//w8HDx68/PLLd+3atbCwsHfv3jgwzQtEoQ71Jn4/kyMMBlgY - jUajoVRlOZk4kbihRk+eOP7ss8/8+Z//+UQXvnDfp3/zi59bHNqiCJrQB9Wp40iIiD8BYp5KfYZI - gW6n/BRNj1qWJWNgCoAhTstJWYzABkVhRaSsKudEN6Eq0w4YT8GmYERFUfjgGypirBkNh6dXVqqq - WlpcGo1G1hZ50k4AIHmuT9Hc9WDdYz0QYTQaDga2rFBYOxgMiMh7NIEgAtHoqgVMIW0vDZii8IZ8 - EVeJs8Yyc1VVq6dPq8r27dvB5MSRk0cfeeRv/+ZvvvWdbz35/HNudfxPf/2LBBJmMzAOYNUt28bv - Kogws4pOJpOVlZWqqny9+HUDZV26U2HZbG0uoSL+AGFrTH5W8MmTJ51ze/bsSRd9DB9jzOnTp19/ - /fVvf/vbzzzzzM4dOw4cOLB79+6euj2/2DrqdkOdE2Lth29ExIb9SWUKnayNX3zxpe9+73vHjh5L - 59wtLizs2bN3MBiIoqoqE7borPuqs676Oj3TuSPvvffQj3/8k4cffuKJJ985fPj9Y8fHa+OqLCsn - IHKi5eHDJ06tvXfk+Pe+//c33XjTl7/85Y/dfdsVV+wi5snk1PHlozt3Lr34/PP/4f/5syPvLqsb - 7t11zde++oef+fQdxQAQyNqqYEW1ssZUVeWcGjZMBkoiKEsYC2PAbBTTTz9s5xnYQjEplMIBs84z - ZmH2QAonTz75xD8++OD3vvf9Q2/88sjR44W1q+OqdKWSeemll48cPf7ITx/7V//dv/7a73xpNNg5 - b3vdWgyZR2YP1WIwuGzvZYsLi4YtQYhZBCur48VtQ2YejYa7du3cvWf03ins3rv3mmuvW9q+fTC0 - Xjf6iLfWUGEL0w74mOMsNGgROEeFPfzOO//4w78XV914441333330rYhs+7YseOuO+985uX333ro - +bcPHz787nvM++HPjadCCCbGayMiV1Vvv/32q6+8MplMrrnmmjvvvGvbtm2qWjpVVSZmJuZg4FAV - HxqFWKPnGWa5Foad15GH3eS4zESaTfcTYZdWPt4fsEEeRWgGvwf8w8r5rKAlq9oR0bsTg0nFiasU - MLYoioG1fpsMOMZpsrbw7pDFaHDdVVfceMP+dw+eOvT2e8+98Npld+3ftWAwKEAOUqGqJqwTSwOB - LSeH337j0Ud+8v7R96+69o67PvbxnbumjN9hCQHQ7KBINW/rd9kD2gmilAe1aGi0GSE1go9n0w80 - f6gx5Yqb/f1X7yjaZJDVsFlYGN18882vvPLao489et/nPn/7HXft3LWTDTg6UKTKaNZKxkpbM+BC - xUFKgCiGhmEia+ygGAIkTvJHmlon0bnzO6mvqso5VxSFtdaboJaXlw+9/vpfP/DAkSNHDhw4cP/9 - 93/kIx8pBgMEupbKskyRFvwBwTPRHrhqv1Ooi4KRspycPHHi2PFjxWDwqXs/c9ttt199zTULi4s3 - HrhpDGKy7x89+tabb548eXLv5VfefsddH7319muvvXJHgR07t9tt240Nr1JoKSUDlrdgdqQqlauY - w+j8xJNP/OTHjzzx8yduu+32z3/+C7fc8tGlpcVNcjKNkLGqzgm03oJdWB4NC2ajSiHKUroXcBAC - GF6nbVU7UlUZDYdFUbjKXXXVVZ/85D1PPvHkZZdd8Suf+tRwMHBOimIzHPE8N+0eZx2z+37L5VbJ - 2tXTp5955unjx49dftll999//y233LLvyn27nQjR0PhzYecIfi0zmUy8ohuNRqdOnTp48OBf/MVf - HD9+/MCBA1/60pduuukmf7O/p6qqnTt3/sZv/Mbjjz++ffv2T37yk/uuvNIYM1e8LZDto/IZExGp - SvJh4oSg1rCqrq2NXYyIvbS0eN111336M7860YU77rh9x46do9HIWkOMtZW1F1988etf//pkMrnh - hv3/7J99Zd++fUVRpM3+562cHxxpc0Rmh51Wh4UduAqTMYoBiNmyJVSqIuKMMdaPTJvQkKdOnnzq - qaf+43/8s+07tn/sYx/78pe/vGvXLsRjQo0xZLiwRVEUxhoCfGxyERGFIsQK5ez81flqcBcgfMh3 - Aqw1zFxOSqaCqV6hi0xlby8dKNSfqyzgcBaCYbYmnvLD7OeLbMxNNx24/fbbH33+mXs+fe/td9wx - mUwGgwVrjQIqEFWsE5zpjGAsULpxCagxZlAMCBSP4oAqygpeH6/rkz6nYGOGw2FaHJVlefTo0dde - e+0HP/jB+0fev/OuO+777H0fueUWANZaNQbAwmh0xRVX3H333cPhcHFxcf/+/Tt27DjPxbjkcQ4D - JmS7a3z8Is/HiLjJeHL06LHnn3/uxw89tGPnjv379//yzTf5/2fvPaPkuq4z0b3POTdUrurqnNFo - NDJBggRBMIg5SaREUpSV6SdbliVLlmXZM8/W6DmMbM9Ytp9GnhnbSpYoiUqURDETIEgQJBKRUyM2 - Oofq7uqqrnTTSfPjVoOggi3P0Mvy2Hv1Wh1W9Q3nnrvPPt/+9rcpQUTG6nlp/QuV67vk3ZyanDx0 - 6NCTTz554MDB4ZERZpjdvb0br7wq7GmjtHY9fzqXHxufOnXqzNmzQ0cbTiChgOKWm68nUK9vEVJM - T09t3759fHRG+KylsXvjhs2brlprkLoaEiy1Zw31oyGkfCENQTHQoVAM6H+QpvbPk9kL7yDk4XPT - YBBK4CHoIKhUKnt2737m6ad37X7Vss2Wto5r1qxnpqWRKaSL5ercfP7U6TMTk1Ou62mVfMOc7xtr - P2VIl4i3iMYlLRQQKSCRQkoJBqXpdPqazVfXVHS+Cum2FevXr23INlK21I1IQ8i2I/V+NT//2d+I - W9JKeO7U1OTxE8cbm1u6urra2ps0BUQ0KF25cmBgILdz1+mx8Ynh4REpLl+if4e3CYRQRKGV5pxP - TkycP3+eEtLd3b169SrLsn5CWhSUCktrJSFIGaWvacrrJXLlJWRJrcPeHWHJBr0kPfiP22vH1Uqp - UK4UANhrDRkQlnhwrx+Seh1AXZFzqefm/8bg/nPbJUQOfcnX0l+01lKo11QjCKU0pHUSCuqiyr5G - QA0m6+lsXbVq5cHc6cnZ/IlTZ65a3gwRBgj1aj4EDSgBlIbAdeamJ08PnqSkob2ja1lffyxuwI8B - yUvDHjr3i0L4P/1GluZJ+KxpqIv8E6mnn3RYl2KsIQgbHkEptXQM8mMfA1iaGxcbkUkphAhzA4wx - +vpjIgAhxDDNvr6+jo72k4OnRsdGc7lcPJ5kBgL5cTz59dcLrz0RRCAEX0ecCV0H1OVE4LV34Wcd - 6xfULnnP6+1NEJFSJCQIgoVCYdeuXePj45s3b96wYQMAXKwUvmhLc+MiM/Mfodxeeu66WyE6VJ4J - uF9zqtVqDQnt7ulpam5OxBNIaUND1gcCAJ7v12pVx3EaGiJNzS0trW2pNEYQorGUIMAJyKXTv+Fj - rnW4R4H5+fzx48eefuaZcqW6ctXq5cv7l1h3P8dR8JJ3HZEghgIICsLGMkDqdXx1AaaLXiH8TH3C - vXF827ARGaWUUsY5b2pquvzyy+99672ZTHbtmrWxePyNOtG/279huyTDpzUg+r4/m8t5vt/U1r5i - YEVjY2M0Wi/yfCOFTt4g+7EogjHGOQ+LT+fm5q6++urrrrvuYqoYALRSWuvm5ubbbr21s7PTMIxV - q1ZlMplfULWE113Uj3nN+kZPKhlST5XgEdvu7++///77Ax3t6WjOZBosyyIIoEFKOTc3t23bNt/3 - N16x8ZZbboWfVtXxr9N+Fut2yUG/XuI9fNZaSyG50goQyM/ntB3XHRkdffKpJ9va2izLuvPOOzFc - orXGevs7qZSSSlJCAVEKCUthMOI/Yen9d/t5TIOWQigpkdRLjZVSSC96svqHfvyf/lkCkH8FhohI - Qq59qOYWNgTThCAqAgjdXV3XbNlS1mLVhvXrV64Jt4Shb3mDJ26d0oMISBAJCbvIYthM6FL7GZTb - X1wL9zWU0ktrT13XnZiY2LNnz8zMjGEa69dfFgb2F5tGmpbV2tp64403DgysMAyjr68v/u/R3b+0 - vaHQ7c+XqtMapJSE1BWROeflcnliYvz48RNHjx59//se4kLseGlHcbHo+361WjNM07KtX9geowf2 - 73/kkUf27N1bKJYpI62trW9961vf/Z73dnf3RKIxIeR0LvfMc9u/8c3vnhg87ZSq8/nCY489Tqne - vHmjYWjTpE2ZRico+4EXQq+cB4VCwXW9ej0NBUJNQmzKUUmJgKBJPU1FqWmCWtL600vqsj/tEVyK - 277BhgAAWikphDAYWSo6hlqtOjoysm3b1l27XgWEhoaGLVu2fOw3P9HbtzyRahAaTp05/9LOVx7+ - +jdtOyKE/Kcomv4LmP6xH8JvUjhOLfB9IaQGQEIpY4QyrYExlm1rfftD73v7Lyc9iHtYb5ouNXAO - moBB6s3ZlFJKCoP9hEzxJdS9+q9v1BtACCDMzc+PjY1MThRXrlrV1tZmmiAJgATfD5YvXz6wMgem - ee780ImTDY5zZ9SghFECIAEAgDIKAoTgvu+PjIycPnM6Hkv09fX19/crpbXShkkv3XYppTjnUglC - iAmaEHYRRNBKSaUoYbikmRDK8XAhQr4AhIyzn0Em/1mmpAyCwA8CKSUimkv2Mz+/hOWFFEJCSFji - 9L8xuv+s9tPekkumpNaghFJSa4WESKWlkoQQQE0RNAEFBeOePwAAIABJREFUoPQSZogIjPR1d1xx - xYbHj8zk5gpHjw/WbrkKMnGQAkACAlBGKYRIb61cnpuZGh8r9F6zZuWqNY3NhkV/HB4NcVshBISi - B1ozSvGnIe96CUIFACGE7/tG2MLJMP7xnvSv59sKITjnnPNL1ZooIT9dQSacY0pd/JeLaO9FWF9J - SSkCIqN02bLeZX3LlFbTU9Pj4+M9vcuYYcKSXs4/iN6GPypQEhhDbYCmS5iaBtBKqiDghFDKjH/w - EL+gprQOG8gQQsIMVvgSsSWKGefccRzf9xlj4asXIryUUsOo3/KSjMVP37G8jo57McDAMGZXSisS - ivNJyXngOI4fBEqDadqE0HCkCTACKEFQRimjfuBzIQCpaSJlAAIEB2mApiAkAGokmhFG3qDQCJEY - zBCSh/QxwzBs2xacK6UYpYggJUgpDePn4AuGS7xSAASQUMNURGuQEgSABCWF4FIpDSjVUgb3NQ/8 - k6mq/3PTSkqkBAlSStPpdCbTsGHDFYgIGqTU/zIdU//d/i8x/VMcghCCcyElQTRMIxqJEkLCFwDp - L5LG7SUWxh4Xq3nClc73/TBVGWYQQ1EFrZTn+5ZldXZ2dnR03Hb77eEiddFV/mIZXuQfhJlIQghl - BNTF7oVKhrQ1IERKFThOPGKsW7tuxZorOABDYLr+yJTSYahQLBZDbNE0zXDH96+8Gc7FOfyzFnMN - AEoqQggxL43jtFSS84DzQCkV0pj+0ZMFvu+6rhCCMRZqFoXweUjZDuee57mO44ShDhc81Bb7SZm2 - f7c3wDQEPBCCEwJSSSnVxZorKZdomz9lt/5v7DlgmHYO0xOa8yAIgnD7EGZ0KJhIEEBl29rv6u64 - 6c13CwATwAQQNS6UNKIm/tzpjZ/LhALQxDAorQPujDFKCRecgEEoGOznrhT5BbMw2CaIQkoEYIZh - GAalVApRLpdd102lUqZpqiW5ldDtMMba2truv+8+LoTW2jTNX8BU4r81e+PWxX9kZdGglRCcEEIo - YRSVUlIIrQG1FgF/9plnTw6e7F3ed+XVm/JzCztffJlpk1HbNGMKDalhqbPya7yYi6viP9P+ICSs - 1HeVCkEBEgTUoLkMXEqJ9r2J8cnDrx44evBEuRTEko29/f1vu//+G990XXtXlxW1kSClJJtpuOVN - 1zU3pL/2tYcPHjycny8szvNz586+8urByy5f19mUQPBMrZlGqoBoAlprJIoQQQBovXkKACWaUA0M - wQCpgCGhAhhXQJc6mxECqEFqEAAagZJ6UpyARpBhQ28hpAJiMANAhwxeIASQXDqYnAtQgWUa9fIA - QKUU15oZJgEEpTzfR2Ywg+lwEwkgBQcNpm3pUPSWUgBdWJg/eGD3YjGHCICkf2D9FRs3d/f2RaMJ - ADAZ9HT13HFHpH/lyo7uzua2RmooAAkaQWpQCMQQCJICAQAJFBQhCpQPIIFaoBgABQSNIARw34tY - BKkCIBqNsN8BBQUq0FIiNQGpUnhRsqPuX1/j2CklhJbKNEwgl+g8KQWEgASfK2oRQNBAJBgUNAEN - IIHSpG0Y0pOBB2A4QrlCUdtEtsQTkQpAMwNwCTIjCMQABsA0oAYpBaJijCBqAKkVINKLD14BaFWn - U0mhaLjyawCiACWEAbLSGhCJgUjCvg6oQQpJaNjIvY4UaaVoSLrSEjQHXp64MDgzPYM2aeldm23v - CcIpRIAykm1I9bQ3L+9oniuUZyemxyfyjLQmUgZi/coABFDNK9Whk4PlqfG4ZfevXp/sXCFMm2og - oEELAOkt5kcm5ra/tG/w7Gh+LmcyTTRXSHqXD6y//Mrrrt2cbUhEbcqQaCWlFErp3Xv27D9wYHBw - MPB8LTQgJtPpZX3Lb7jxTSv6B5pasgBwavDskSOHh4bOb75m862338ooCSUCTMYCXxTzhZdf2XXk - +PHzw8MaNNGKaIkIDc3N/atW33DjTQP9/fFIBHQ4epJQ6nv+7Mzs1ue2Hjp0wHUdwQOl0WDm1Zu3 - XHvdloFVA7F4jIX8EKUAiUIScvS01BSAEA2ah7O3Uq6VytVyuQJIo7FYtrHJikSRgODSZISS+o5H - g5JK8YBrpSzTIkBAg5JqZjZXWCwkU6l4KhWNxw3DQA2oLoKtSmsJiBQoguaBz8vlWrlc4eCj2dDY - 3BAFgwiwgJoGgkG0hWBqjUBAhSq3S1wZghQoAyDJjraBFaolbpfyudlzZHZipppZFo9HQfmACBQQ - gAIItzp07szoyDgArFq9fmDVWqQhoa8+H2qlwvj4+AsvvnTy5Bkv4B4XQmnC2Ir+lRsu23DNpqua - G9O2RUGJkFJSWCzv3X/45d378gsFz60q6SNAtrG5b/nKO+64o29Zp21RJYIjR0784IdPrFq5ZsOG - 9VddtRFQg1JKcEKp6/lTM3PPbt167NgRAOXUqtzzDIKWYTS0tm++/sZ1V1y1vLeLIYQJei19Hjj5 - YvX5F3cdPXluenwYRI0qnzKjZ9mKq6+57pbbbjftKGGoiUlBg0bUqqWxoautIRoxhscnj50e27jF - MGwwEOiSL0EAqbTSQGk4tPViOAABoBQPqk6tUCxLQRmNx2OJeAwZAZC+1pwaVAJwKX0hKdM07G4p - QWlAKgPlOQ4vFqu1aqAEj0UjmXQqkUiH2qiIQFAhCAASSu+Grf6UAlDAiAZUoQsSnPtcEMaIaZqG - oZXyXGdxsVSrOqAxlkgk0ik7Zi/djkJAAgQUaCmRhNeEgmvXF6VyZXFx3nUrrS3NqXgqYkWpaQNB - zUgAQBCQgeOUapUF7pRQeFKqqqeKDhRrgqEyKFBKdSiagqEPrisgSCmUAmYYYU9xSkAEvuf4nhtU - K07NcWPxRCKVTqZijGgggAAEARQHPxC+I52KClytZaB1xfdKtepiqURNymJxhbRaKlRLZd8P6rkN - XqtV3TyJMKWiURI+SpMC1ZpIHnB3cbFaLJYF19FIIpNqTKXS1ASJILUkAAxRS4kakLKLdGHHc6tV - p1wug0bGaNS2k8mEHTEBlAaulVBCi+qiW60IrV2hS45TKJWklgg0AnHDQEJAyrqCCGWhjMTFMERD - iNsqBYRKz5tZmCv7DqcqFTdbUxENEULjSGxA34pEJQEhgBlAiKYgqeYIVAPUPK9Wq1UrlWq1ajAr - nUyn0xkzYgFBqQTTmiCAH7hKO1JTO2oyysKhDhckLUEL0Aq01AprnkAzEjHQQEQZeAIqTkCtWCxi - EnJRDicUA6GAIDQoIQoL816tIqSkzIgnk42NzXoJAiIIqJQUPJTmBSTFYmWhXHOqVZthImImU2kj - mlQG5RxMBItdJCpprZWSSiplGMZrEhxKaSl4wKXWmlHDshgiajk3O1taLHme19rSGk9kpEQrEmEG - CCkJ0wxIuPOWWlGThS+0Bqi5brVSKy9WuM8RaTqVSSRT0ZiNFLgUnPu2ZYSdC6qlmpZoRixmGUjD - XJFEDYwgaAWBV626YMbQjhomMIB6OFEPO7Dq+q4n7GjUYAYlQMLBAADQIggcx1lYKPjcR0Is204m - 05l0uh4Sa0UQUGuQCgnhUpVqDjWMiMFsg6nAL5Wdmdl8PJFONySjcZsgIKCWmkD9HAoANCAqCKNe - rWWttuj40UwTMRgCoNZUC0KokBoQKSGeGwQBV1LEYhHTYqAlgAQtQWo30BJZJBLB0OlLUXNqlUpl - sVROpbNNza2myfD1iWkhBKJmlGpYoqNqqIuABoFTq1YXF0FKpWChXImUq4KahmnYlkEoENBureQG - yowmCWMGgXAegZYB9ylFQkzPk1IiErBsgxJALWXggeQKidBUU8swWBhYaSmnJiYC102k0vFUyo7F - DEZBS9ASkQDQMDWopUItkFIupBsEjuNUFku+62YbGhKpdCQWR1IfWhH4vlOplRd54AcBrzhe1RMV - T3AhTENbDG3LpKhBcq1kEARcaqQGDf1vqO6tlOCCEqKReoGo1BynWvZ9x6BoRePJTKNpR1hIEJBC - A7Aw9NRKcE+JQGlt2hFCmVBKClmp1ubm5g1mRKOxTCZNCWGEsCWkWCkNF2mvWiklPM8rFAqLpRKj - RiaVbUg3UQRqKDBI+PoCANVAARiGJYJKgJYIQIllW4CBDDzH05qZwICwekSjAsddnK8Vi0wzBVQJ - XSwupNIR045Rw0ZioFYy8IhWjDDDMJGyeuSvQEpuMkJQKgk+V4JrqVQkGjWMOgsAwwx0nd9KtAYF - 5OJt1WsTtAYELaTSijIjEKLmuPn8gu+6REMqlUqlMvFkHACEVEHgWmFrNaURCeeiWnUtyzYsgzIi - pHCrtUI+L3hALKuhpcmMREykWoABAAw0SACJIAEEAAdBfMfn3A6kO7+QI6ZOZSKZhiil2jBMRFuq - iJCm76sgkKZJlRQAmlCmw92BFIwASO75nlNd9N0qgJYaqh6fzhcVMSyDEUIs0zAYo4RGTCNhGZq7 - ILlhGEBoEPCa48zPz0uporFoQ7bRjtq4tGsQgTAYYeHWBxQgAUQecMdxSuWSU3OkUNFoLJlMx5Ix - wzIkKKIFQ9C+VwsgABOMSMQAiwDRAFrCxbAEEaTiQlddrpmViFugNAFJMPR24QwEDYpS1OEeTUpG - KGjkPi9XyuVKpea6sXgslcnEE0lKSXjNJIxDQINWWvBKpVyu1Cq1mga0o/F0Q2MkGjPYa2ACAigh - QGvCiFetcintaJQYhlaqVnWKhaJTc2LRWCqViiWS1CA69JT1DXNdCg6W4sEl8rImIA1QhhZEgKmB - KRB+QKjpeDAznQOlbdNONyTNKKUW0DqWqyUPCNWEaAAFmoFCyaHqlMvVxVqtioh2JJLNNlqWVd8S - EEJp/VrCQQ0ntu95AGDbFgBoJQXnjDGtiefxcqVaq5U9txqJWLF4KpbMmKZ1aYpEBgEjiITUHFdr - ZAYzTAaopRC1arVaqVRrbiyetiNxyzbtiIkUFOcmYQQpoATpK+46vqB2WhuWEGBRZRKFoBYKheJi - SQEahh2JxBsyDSYLr1eFjQvQoJQygzCqKGoEHd6aAioBIRC6UPONRDJsw8EQATUBQBBaaYUEkfie - Pzc/77qOEtIyjEw6HU9n0GACgEowNIBWQFEBSIIUNAHFfbdWcysV1w8k0aKpIRW1KOcCNJjMNE1L - KuSKADWAgl4Kh5awA1D1+SYQhFYSkYIinh8UCouFYklIlcmkm5obDcYopQTqgmlay/p8QahUqggQ - j8URUUruem65vOg4vpAqlUrHk0krEiGIFDSCkr7nCOUrpGYkyqgVbjVAQt0lIAABBYGUZddRhERN - 22YmUSA4pyEmEMIBoEGj59Rq5XytuoiImhjFcm2hVCqUFxHQtiKmaTGKqDgqH4D4nvADkkrbRn2a - qaWEkEcpsy1LK61BSy3m5uZd10PCWppb4vE4qRMzpAYpBC+Vq9NTuWg0HkskotGYHYmaobuWSgAg - JQqAggYZEJSgKff4YqlaWiz5nEci0WRDQzydJqzu1wlI+tNyIP932xsD3V6aaMCLf7qEFwVKaiVB - yTpIiCFCqbSGcqk0MjS0f+++arV69ZZrVq1bd/7EOeSUCYvpCJKoQhKiAwo0KMUIgtaI4QoAr39i - YWkv+afUXf5Dt6VAKdAEGNZrhkP/LAh4oDWvzJ07cWBo8HRupiAg0tq4fMVlN2y+5Z4Vq/tiCbve - 6gQxHrFX9XV2Zq3Rk3uruQsHZyeF60yMj76091C2d0U8ZlVnzueGTx179aC7WIUAECnXeGhwMLY1 - HYmxVDra1Rxd1ddEBCVSm1qZEHAgQI2qgNyCX8rPlBZy1fICKB6xWSTV2NK9PJZtitvhlgBQCkQB - KgCN0tdzJSc3M+2Wi0Gt4nGumEHsaCSWam3taWxqS8QBAAkI0BoC7i0UTp09lytXuGmtWLk6FYtJ - zz977vyiG5BIvGfZsq6mxqZkjCjUhCAleknfAaRwasWZ6aHALxIEoY1UurO5pTeRzFIGoIECpONm - LNHZv7JThSENeApcVQumhibKM8Wcp2ss0dS/fk1/wgKgqEF5oKtOfnx0opib545vMyuyet36dGOS - aaK4U50ZPXFupCwsHWlsb8l2N0Uj4MxMz+SLTqnCXR8IiSUSTV3dXQ2N8ViCaNSIWiMoJabGRiaG - h7nPU+lsS1tnY1Oz79Zmc9Nzs7lKzZUSzGgs1dTY3NGRacwmLdtAisBB+6bybVljwIHGHDQcDZKC - YiADRcqV2Qsnz884ZZ1QkZZMNrFyzbKIVcdtqQApuedW84X52fmZarXk+jURaEbtqJ2Mp7MtHd3x - TDZmAw2BmZAzGY6uUykuzoxPjZdqtYrn+UIbVjIaz2TS2bbm1sZMWmuiFCqsC6vr8KmCBqVBC1BV - 8OdnR4/ncjnOGuLt6xPNvTLsYoWCMBWNWd1NySv72w4cPF2anTtzJhdN9UaSYISLFnJADirwKwsT - Jw9740MxZgxcdnV02QYHIYLANKqgUs2PXBjc//KB4ef3nJ0ssKih0hENQbFQDWYW/HnHAjOx5ao1 - 3W1JolXgubMzuZODgzte3nn85MlCvpiKJqJGVCq9MF+cnS0slt2738KS2SylMJNbOHz45MFD+9NN - jdffdqMGikRo4Tk1PnZh4siBY89v33FhctKRMp5MRKkiwq2WihcmRg6fP5Pn/s1O7ZrLN1qEhtCX - 53uT45Mv73jl5Zd2j4yczzYkCSjHFbOzhWqZV6suM63uvp5sMgYiAC2BmQLQAzRC4EmC4s7C3Nhc - bnh6er5YdBYX3cVSjRhWJJZKZZta2jvbu3p6u9qMJYhCa1H1avn8/PCFYd/3L1uzHgRWCuWpyanh - 8ZG5wnwsnYo1ZDItratWrW7LtqYiJq0LxiAITogiqObn5sYuTA6dGS4tVp2AC8Rsc0N3Z7K/Lzvv - FjgCgo08IjmTGmg99AZAQB26SATCAAzV3NTZrVY3JoKJSX/EmRudmuvptVK2piaAT0AD1CJaqKA4 - fO7U2FiOUuhZtrKztz8EGwQABZifGb1w+tDePfv27jsyOVWIZxq1FfGRVGr+7KI/tyjiycbLsbu9 - JQaaB5XazMzsvoPHXth9eO+xs6YdjdkQMaTr1GbmS6NTJTvepLRYv7qToDc5OfrE409XbhKNDc1X - bQRQApQgWtYW8+dGJnfs2f/iy7snJ0faWrOgAxL4TIncwsLg2bMTi7XhirzR0wPd3RkbCALqoDQ3 - fGxwfOfu/adHi+jms5YH1an5hfLQ6dML80U7mlp7+ZWZ5gQhQDRSzRBEQzLSkrFiEWN2oXp2yin6 - GI3B0n7aB+0BIiLT2lAhSgDIAFFz8Cv53PSFyemh8ancfIkHhsFSmVSqtzPR1R71nQJFiRQ1pYpQ - CVRKYSpFkIAA3ytNL4wNT56fmiosLDi1mtBSxGKRTDqVbWpva+9pbe9qboyYTBBwlKYApgZDh9Bt - iLUKBegBEpAyPz5x6OTJeDbb0Nbe1dkxPHR+fHRkenKmVvGINhKZdMfyno6+7q6edsugFBQFYNog - kqAkwB3fK+XmF0YnC2PTi8VyqVye8YNCa3O2OdHcmGju6OhNd3bGWpICgSgl3MXjh/aOnTs3Oz5Z - mZ/03GB4urR1z6nJ+YqhvcaU3d7Rvnr1KtMwGCJBAAlaK0AAVUfIuFBOZWF6fGhuZiw/V3ZrolLy - S04QSWbimaZsc7q7r72ts7ElnUEErYR03NNH9k+cGxzKVfL5ubLPR6enX969uzA7Yhikt3+A2faF - 82fz05Nnjp2UQjrlwsjZY9ue+5EdTURiiQ2Xre9oaUjHgSpVmJ/MTVyYnhrLzefni4u+r2JWQ2O6 - o7m5vaW3tW15uxUzbIJaKqYUagoKIRCL1cWx2emR6cnZ2fxioQSaWAZNxKIdba1dPe29fZ2OVylM - z4yePXd03/6xC+d8zkdmF17ZfzA3P5uwmWkm+pevaW/vzWajdeU7JEpLjbKenFQASgAqCLxarXp+ - eGR4YnxyJldzfSA0k4z3dbclbVapEi4tIJYiTBLgFCiTiILqwNKyUKhM5+ZHx8bm5+cWF4u1Si1i - xhtTzZmGbEtvR0d/TzwRyWgNvufOzp2cLRyZmMn09i/r6OrvamQABgDTimoOygPl6dzU6ZnysbFC - umfV6u7mnqYYeqXcfGXPkTNmunVFb1dvR0vMoqgFhjktRafmFsdyC7OTY/mZcb9c5FIxO5ZsaGrt - 6FjW39/Y0hK3TaIBtKKa82q1kJ85PTQ2NleZLnpupZygPGtBsqExs2x1und1U1NDxgJTAXCBBIEh - gkatUCklhGagCBBA6bvVfOHk8eOcsc6BFcQya4uFqZELucmJYqEY+H5rS0cs3iyk1dm9onNZU0tH - gwGKgkQpQXIKEjTTPChWqiMTE+PT03PT+XKxJjyFYKRT2XQym25KLVvZm2pMRGwqQrUaVx8+cLSQ - K7Oote7qDY1dzXQJ1WJaQbmwMDa05/ApkWhL9qxdv743aYGtBUgXKIIWlZp/ePD80PhsR3dfb3dP - W2vWokA0CM+ZmRyfHJ+cmpjML+Rd7moCZiSSbWhr71i2bNmKxuaUHWEEJANNlASup2fnXj5wuLG9 - s6c50xizxs6cOjM0NZUrJTKtvcvbL9+0LpWNRki07jWW1giNACAQAqa4KJdGT5189dRYZvn6bP+G - /q6UjWjpQAmUwIQkPODHjhyby81bBrls/cqurmZKJGAAbmkhXzoxlCsFxhUbrwLhLs7PjI+PLBSL - pUqpWK4l0y0dnf39K1a1tbdm0gbWFQ80ah4muHV4KQRBQ6VUGh0dmpqcOLzvcD43y2t+Pl988ZW9 - iaHR5nSmpaFh3cCK9paU6y0eP3pgeKbU1LWys399b6ttaUAhpfaV8pTitRocPHi6UtXxpL3h8jUN - 2YSFgmo3qMzN5KtnxudpvGn1mtW1Simfz81OTExcuMBrtXg6E8lkm7q6V61akU1HEzZBpAqoDFt1 - aSX92kJh4fzE5PDUTLVSdYqL0nGzDdlUU0u2rX15X19jKsqkd+TQ/tNnzp05P1QuLlQc/8L49LaX - 952dmOVCNWTSA13NV65dAcDdUmlkZHhqegaM6OoNG1MNFmOwBPYpInlpoTQ9Vzw/Nj2zUKqV5rhX - Mqk0YplEU0dDW29Pa3NPa0vCZBdLQ0DpcmFueuzc+ZGRy67cFE83FEuVsfHJycnp6alZwzDj8WRT - tnFVf39vV2c6na5HjQo1ghfwufnZ6YnR2dyU5zn5wkJxcdGgZjbV0prtzDZlW3obW3qzlBkUgEhg - AFRrqiVqiRTQNDglCsAwGQTu3Mzk/iNDyFLNLU1r1q6ybXSK+bPHXp0aOXf06FBQ8YWOTU/kdr70 - wsnTUTQTaKb6Bi5b3tk6cvpEaXZaCb1m1bq2lg47lVQMeMil0By1JyU5fvzM9EyRS1h/2WXtHW0R - C5jWCKIu/aTDegVDkbD0KkSGJNUStAKAoFbLFwrjk9PzxcWFSmV+Ls9rDlU6k0w3NLW3tHX3LOtL - ZyLMVAoCVEQr7Tne2NjM4cMnVq1e19jaCESNTYxOj43NTU4KP9CRVLqtp613xfKOpq6mlGkAgBIQ - SJAWCAQBpcL0rHPmzEy+wMvu4mxhmkZ0c3N6YKBnbm4CkGqMKsgiSWsdCeki4X4FtBIKuEaGwP1a - ITd+9OiR0fGpk8dPcO7lS6Vj54aT23Zk08moZabi8YEV/f19fQYSE7UNfgR8A6UU4sLIyEJ+YWxs - bG5uVkkVj8daWtu6lvUvX7nGipgG1uF4VBK0AFSuE0zN5ofHJmemJ0vFhVq1ogWmEplMpjHb0dS7 - clmyOdVgM8a9ytzk2Wnn9HSNZfpWd7WuaEvEGQAo0D5QDgDAZWU+f25sbmimZKbbN16+LhUlMVOR - JTg93IGHdwsgFQpANT8zOzuZmxibmF8oFMvlqutEU8lMc1NTa2t3T29HZ7dpMgNBcTE3PTE3PZ6f - mSiXFovl2mLFVcQwY+lUY2trR3d3V1dfbyujYACgAtTarZTmZybHRy5Ixq645pqFcnl6YmZ8ZHxh - vuDWvKgdzWabWru6BtatT2QTcQOoBlAaqNQoOUgEC4HWnZgGAEWUtEBEgUcU0CBwi+WJ0dHxhcLY - XH5mOke4ipuRTHNz90B/27Kexkw0TogtDSIFQQEkAAC34hdmF4fOD+fm5wqlYrlSQUKjsXhzS0tb - R2dHZ1djU5NlR8Ql7W1eR25TUnCfEpSCe05tcmJyenohv1ApldxaNe+6xViURlMtqcbOrmWre3o6 - 0mmLECBCEq1Qarfm7927PxC6qSXb2dNRri2ODA3lZ2dLCwulKo8lmhKZtmxzw8CaZY0tyQhhTEmi - AQgXxenpqbNHTo9l+zYlOjYQ1DGoqMr0yIUz03PzhVIlEEiNeDLdsnrVmmXdHa1NKSREgpKgNGpE - QjWliqAkoMKslQT0lVs5OzH/yrGznctXr25vH2jNIqJBNCifofB8vlCqjI5OTExMzM3NOzVX8yBi - sMZMJt3R075idbKtqz2KhgQQGhQoA30AAqIwMz41cn5keKpQcF1Xg+atben2tkxLY7Pr1JhCLTAQ - 6GkmjTqsFaaxiQKlQGG4CVQIXAaVQr4wNZWfnS5Wqv5CsbiwuBjwINOQam1vyTY0dLZ1dLd3xaJR - oKC1BIpBwMuVyoGDB4nGDevXo4Z8YfbMucGFYr5a8QOh05mmls7OvoEVLe3tTVHbkF61kDufKx4f - nTYzbatb29Z1dFohyY9yQE9Lgmgu5ssXZqZOjgybqeTa5St7su1JalAZdsPVoASCCkTg1oonjx46 - dfzIiVNDhYXCYkUeOz1kxKJDYxcQsLWlo7tr2crlPRbxg0pu6ML46IznqfTma65tyoBJNWjue/5C - oXDo0OF0Kr16YBUXfHY+Nzo+MpWbqdV8QLO9rbO/b9malStjEcvn1bn5yfGJkdGxmdHRmVg0k8g0 - ZLLNK1ev7etqT9gMtNZIAwAOYIMytFucHpkcn5/QWr+OAAAgAElEQVSczOfz1cVSxfV8OxqLNTcn - W9ubl/X197QnTBKhCrS+NOXzb8H+z6Hbesfoi2ipvhS9hfovSgqtODMoEAAtwvQQUoKAE6OjL2zb - Njx0YcXatffef19PX9/42UntIxMmSktIShE0ggbggmspmclAKwAGSNWSk1qCaWXYvPsNkb3S9UpX - icAoLpGoLABToY3AXa80eerw3tnJKQo2YkNb59qBDdc3dK4yEkQAUFAEkITKRUQmovr6K/qmz7Wd - OTToA8zNzuzYc+DK2+81ZG3fUz/a/v2HjxydrPkAEEEa86X68tcf/vK3/h4svOzyNfffdu3v/vr7 - DU4gkIaWpg4kmsQwiw4/dW5o57YnDu7efurE4cAtd7Q2rrry2gd/+cNrrr7RsG0IB0IEhAoQNeDI - fTI4ePabD3/twuljcxMXCpWKMOxotrln+Zp73/rOm25+y+qBpoRNLTAAfF0tDh09+Jk//syBC8O0 - sfkjv/nxlb3LnMLiV7768OGzF7gZ+9BHfuOB229tumwNoQZQLUBqQBJG/jxQ0jMYN6gPCFwS1zfc - gAgFjCwxNzkI1JShAlAQEHAkVAuzs09865EDz+/ePZwvRlru/8j/+6lPPNASAwIAwgHDGT616wtf - efzFV85Pz9NEpuVPPvvZ2+66pbXBlOX5w/ue/4P/8t8uLOho+7q33nXLg3dsarac55557sVXDh8d - HM0XhWFkVwxc+b6H3n/DTRtXrGwWSoQMVyX5rt2vPPLlL184O7Ruw1X33PfgDTfePDs3ue35Z554 - 4rGZiZzN7Gg0uW7zlje//Zeuu+mmWHsSwTAQQQhRKURkLWrSshEVhu0BOgqSAELwhcmpH3zz2996 - cudIXma6111386ZP/sePdXQ0ISAKAS4PArewMPfSyzueeOqx0+dOzS3MuDXFSKS7o3/tFVffff87 - rrjmhv7uqORgKLAY1MnTBIpzuf2vvvDIo986Ozo6mZ8vlHki09K9bO2Wa2649643X7f56oiJQkEg - wDTr1e4EAXXY2TQAWQNvLj82mJuddTHJGldGGrugzuiVgBKobs/GbtiwIn9+aL5QPnT4Qsvy67u7 - wQIA7QBIQMWlVyvOTZ8+5o6ftzG7/qrrU/3dNQAAMLTmbuHovq3bfvjF728dtds2XnHrB9913+1t - Sc1Lo4PDsy/sP3duvJz7wdaW5kxbY4QCr1UW9+3e+f/9wR84QdDVt+yX3vHAdVduWdbe67p818FD - z7+866tf+2Yi3bxmw6ZoFISiWjPTjAIzAlAAYINGKnOzk1uffvLhL32zWvU3XHf9Aw+9f1l/X2OU - ELc4dGbwR6/s/tL3Hzs5PT02Nj7Q198QiRq2SRgrLswfOHToc5/7fHdb99233/n2B+5NJSKzs6Xn - tr287fmd33rke+mmRhazs/Ee8F0ACYxyoC6gBrApaA7VUvHAvpeee+qbj/9or++DFCCAmZGERLMW - yMuvvvae+9/x2x9/H603otNCBvP53J59e779yLcK+cLv/+7v8SofPHTyR48/MZPPucJzQQrTbOrp - /c2P//ZdN95+WX8n1nVREKQkytfSOfrqzh/8cPv3vrtVCEOjQKoUeOs39rznoXtOT56t+h5BW7qm - 72ipQIakHQ0EgGKYPgNBiACDs0RD1t+8vBNPjgznpnIjk7nLeGM3SCACIhbUIlA1dVmp2si50xNj - c3akobmtJ9scAQAOQBWYBA4f2PXsD7/y2A93rRhYdtO1t117690dq9ZwO3ri1NDWp184fma8reVE - NortmU4wdTE3tvelnZ/9/BfmHKNz7Za3v/M9V13W19ZozeWmdu09ue3FA08+95LWlSvWvwOYpkQ7 - NV8pk6AJEkTgMy3AZhPnzzz51POf+7uv9qxcd8ONN91555s62jJZyzS598rW577x/N4fPv3szuH5 - 4dGZjz30UKI9ZRoIVE4MH9u2dc/MvFq98ea33bjuyl6zOrrv2e2vPPLD7dueeW5itvQ7n/6jjc1r - WMge0gDEiJkkGVXxKCsEMFOmCw40JCFGQiq7C6QCQAlGGTXUUtNoAkgV9xbndu147tGnn396x55q - QCWPICSS8fi1GzvffOv68sK0aQCgppbJ7AhhWPOUDlzDjoEU+enRp5/7/qNPPXrw4FkhbEqTjIUE - Rplt7rr9zrfdfc/bb7phLWNC60oQUMoSgExpQA0agRFQHifggGEC4OnDh/70T/60Z+3ajTe86Y47 - b//KV7/y4rbnxoenQBoGxljMXrNpw/V33/Ku9z7Y0pyKIApQKJFIExClU5scPbvtxZ2PPbNnx57T - ArUdca2IowMvhYmuTM9tt9275d57L799CxigBJ/PjX3xS5/f8/yLbh60hEVqLxw7f+r0VwAqIBdX - LGu5++67fv9TvxcnFJCYFDVXQnINwAyDmEQjeH4wNjL0ja/+j/27tp0/m1cclLQDtAMS4SyaaIi+ - 8/0PvOW+O2/dskVLrX0ZlKvf+NKXdj27fbwMc8i02Tp4/PjgkV0RcCyG9z3w9ubmlu3Pb5uZGKuW - q4RgbnJ0YmJi67ZnlZVq71/3sd/4yO3XXZGyW4S3ePLAvmcef/TFHdtni4ucgOsBBBFLNzS1dN30 - tpvf+xvv7R7opNT0vUratAAJBFwVS4ODR7/11GMv7N01fGFccABFTAIxy1y5ou9NN2/5tY98oLCY - 2//Cji/+1eeK08EiQs2wB0+cGhw8zjBg0knEW979rg/edtvbNl11eSoFJgNA4EKB4kgoAQoSQHKg - Cpzi7OT45//7Xz31wgulsmdQy6ARhnT92tXrVq/qWrbe86lGy+NSEAAbBHAqXSJ9ytiFc4M/eOK5 - p5/bOjEx5vouQxJjyZiRRDSuvv2Gd//6L19++Zo0Y7AwO3bsyA9e2vfZR5+4/K57H3jzPf9P193R - MLzTkgIH8EBXxg6/9K1nD3zx6Vc33fPQrz14e9s1q6E0e+LYmT/77N/EOlfdd9ct77r/zRErgdIP - gTzuqn2v7vv+MztefO7JoDiLnqsQzHjaiKWjqdTHPvGJW++6q7+rVYf4ISOLc5MvbXvizz73N6NF - VRZREDzGiynJpQHrbrvv2gd/5cF33JsmoDgEFcewKWM2IBDGCNG+73EplUEsxqrl4vmTx//yTz6j - k4n3/+ZHa1Ic27f721/7Mq+6SoBBQEngMi516ubb3nrvg7e94/33ENAaBHIfAMBA0L5TmT996vTf - P/LIrgMHJy7MGMom0tTSUIKZLNbUlf3gxz5w0903rB3oU1AL/Ep5wX3k4W/sffFIwPQf/f9/ckPz - zbZpWkgZRVC8ND3+6rNP/NFn/6fXuGrdne/91Kc/YTWAoXzllQybCeGPjY1//VuP/PC5V66/6Y4H - 3nb/HbfdiBRQwML83JNPPLbt2W2v7tmnQQfAA60UgUSitatr5Ud+4+M3335dd2eLgoCCAtTSqR0+ - dPg//fFnNt90y80b1/Y1xL/9pb99ats+QdIs0nzZVSt+7w8/sfby5XYiWm8xoOuUew2ggBPwmHRm - R09t/d7Df/7wYy1X3n7Du37jo796bxbB0IHnBmY04wZiZmruC1/86qH9R7raGz/6kV/OprckYgjE - U4tjJw4e/R8PPzWc15/+gz8Oqvmjr778/Ue/PZufFwDEtLmMpLLdv/yBD9199x2brupndUUpZdB6 - MT6BsBIJAWFsbOw7j37n+a3Pjp0dMzgTAqar54/8t78GQqPxxMaVKz/xoQ8mr79iZurc177yP5/a - eWz9dW95x69+sqN1DUpQnie0Y1jK9Urnh3J/9l//cmys0j/Q/alPf3LjptWWpcBQfmHiwK4jn3/4 - sWTXuk9+8pND5wf37t7x7GM/hJqDnudp5FZ09dVbfuu3PnzdpnXJjmy4txEaAk/HDeVXS3t3vfSN - Hz7+9EuvBAGPaowjKq6sTLZ75doPf+TXr7lswODVz/2Xz+zau7/mg0Bw0V44cPTw0XNAGCDtWbXi - vffeesXKjxLKZyeGv/fNr7308iuJps5P/ec/p9F0xKIAgoAPgISqkTODj2/d+Z0nto7kitLNG9ox - ADyG3MysuupN999x26+888F0R0tYgaEAqNK5idEnf/DI33756//pM3+4bPW6U2eGvv/9x48eH/Sc - AIBSasVs+5Mf+9g7H7g/EYlTywQEUKARCsXySzt2Pvrdb+7csTVcgRQAQcKEnbKzm6+79rZ33H5L - 9rZ4JhUDZQbEIECVQimIlsSgVjIuDSoAAAjwYPD4kf/6n/9CkfjVW7b8h9//vWxTw8To0H//yz+9 - cPzA5Kwqy3gAcqEwdeb8AR/KFQ6Cpj/823/w6+958LuPfm//9mcXF8qf+M3fue2Wu3rXrJUEFQVq - UCkdomqc029/+zsv7DgkFP7WJ3/nlttub2u0mYFAFGgOSoDkIEFbCUCLhyxmLUEEphJUSwBYzOeO - HDr0t1/+++NnzuerNa3AEMoQEgTEki1dfas/9OGP3HjLpp6+JqFqUmpQenpq4rlnnv/zz/71hz/8 - 8Q2bLiu7pR88/r3Du3ZX5ueJUA7LcCN7zR33vedtN77v/ptittIgPOlxqhlIBio/Nbz75eNf+8aT - J07PLFTnOPVIVDVkYrfcdG1bawMXSaWj1Gi2I612JGkaAABISfgQHC8IkKWjplMsHnl15x//0R9O - zhYqLpQDKDmj49PFrTt2g/AjBlvZ3/drH/hAZ0eXYVHNPe2WUhZGDVKulF/ed3DHiy++snMnaEUR - GSORWPzmO+/9+O/+fltXTzZp2BahACA5cBdQzUzP/OiZ7T944tljh15V3CVKMBJJRDKWGWtf2fnO - X333pls2ZzrbfHd+4uzBp3ee+8Jj+5Mrbnzonlvfd9cN8SwFUCAcMD2gFHxn6OSBhx/duv3QcMvK - zZ/8rY+s72+NZc16DY4Kuf9hFlMgSgRJKR4/euip7z7xzFPPFsplAZoDaMswk/GWzq5feud73v2+ - 97c0NRAClWp1+wvPb3vi+7teeB4keAoCBcqwAxIVNHrZpmvfds9bPvgrDyUiAASQK5viQm7mse88 - 8sqO7bGmps+sXL5j954nH3tq145dwlcMmPBlPJYc2LDhk//p02s3bYo1LKW5qFIgBHAAs04ZC9Fu - rUGLCMoYyjgB7dRyI+Nnhka/8L3vHDxxDDSCQAsMI5q4593vve2BBzZfcTmxwQZCmA1YA+0C6NzU - 8J4X937lyw9fGBur+UEgJBDKDNOwoze86cYH3/nuG950I7Miri/sCGN40W0CAFi2LbjvOFXLoFIE - tXLh+9955EePbx8anhXCIFgFXSIIYMVi2d433//Qgw/es3HjGssCwkXCpCCCfC7315/7fKnqbdpy - 5d333jF47vjXv/KVyZERp+RyzTgkG1r6V67rf/+vvGPLTVf0NbbpQEEAYPD8+NmXn3vkz//u29fc - 91vrb4tkMynbHRs/tv1v/vqzi1VXG1bZVUJF09me22+/6+33v+WOW99kR0AB4cBD/RgQSEQI3YZ1 - qhzQmZ4dfurZlz79F1+66Y7733P37f33vYUQAgggXGpot1Y6duzY333xK9u3v4hAlAImuaGkATrV - u+q+D3z4+rc+2La6BQAg0GBoMFgAIAJn996d2x9/dNu23dUK+r6lwLNi6qpNqx9824Pzc/PS5zXX - 9QLlayYRhAaiBUNGAEAACk0MhJDBrXmtkj957NB3vv30s0+9XKlxTQgw6nNPobAjxpo1q992972/ - dN+D3Z1dRswArRCxXFkcPH3mrz73V0zjxz78USX4oaP7/v7hLzqe4wfABSEs2rd69QPvfvcd97w5 - s2IZBLXc+Pltrxz+i68+mupb/+433dz1jnc1pyNAKYBQuiI4miQ+cv7sd5995pFnn2pdNfChd30g - tjGRamigZjhcinOPRQ0/cCZGz33p7z7/yvbtJQdKwhA6mcu9sG3H84T6Soirrrzu3jff/9Ffe8hK - ysW54W/+/Rd2HZ6H6MAfNw7E1jaaUS25WyoWT508+ad/8pmBvhUf+uCHatXK8zu2ffM7X3d5wDkV - illm7M5bb/3Uf/wPy3u7CotTL+x46oePf+/woTOVitQQtePpxrauD3zw1x+8585IWxMzCFLwFHgE - iJYW+Mf3v/jtR57YufNItYLUsLxASA2uHbXa2m9++zs++qvvW9XVZDPNOTeYSd9A0YxfeHtDWLeX - ilL9BGCqAQAIJUAY1AsAFUgJSAF0UHNPHD++44UXOzs6rr766lVrVsUSca20DJT0lZJA8DUuAGPM - IEt16Khfd+IfB4zfGMERBVKFVWz6kq+wtC1wFhdmzw4eX5idQ2CU2K3tPQNrLo+mCNZREkFVvRwN - QAJVfV0tPW3ZCAIlUPa8syMT47OlBiDVctkpL3IfGIAGHUgttPpf5L1XcGTZfeb5P+dclze9QWbC - ew8UgPKFKpT31YbsbnqySVGz1IgcKUZSjFajjY2JndBObMyGFIqZDWkkhRyloesm2aa6u6rLOxSA - KnjvvctMpHfXnHP2IdEipdh948vsnkC+5ENmRiTuzXO+//f9PkAUqA6c5Y08ZZQxLhJJkWTEKGKG - oWtrG5vvfXTrZf/j7cWJ2PZCMhHHFMI7odRA31aanlmLfOWtN3x2xS4hRSDANEjGtrejP/zw/p3n - Y3Mzk5m9bSOdMhhoNJ+P7ul84Qc/+snIxOr1y+eOHayur3DKyETUwFTjepYbeiqVevTk2cDT57Ht - nemZ+UQqC3YS3oum0ulCMAkAARAOwIEiZgIWXC5HQ32NahULsZeh4WGT5SN7u6dOnWhtbHbakCgA - xcgAMIAhMATgANTQs9HQVmYvrGXzaZrPUxHvWz0JAICR0bN7+XSU6rqWF1kik85oWQ0ME2RRsAjA - 9FwuY+rxVDgS+9GP3zUiC1OTE+vb8Ug8n9ekPMILs7P//fv/sBtZPXe5u62zQZVFDjoWzEwytru2 - nokltzd2+vuHRibntkPrM3NjGxsbRjpPIcPy2vjgy+1oIhxPnT51rLmx1iYSGYuiIAjAODMM0xAK - Y2VdoyBjjCyynE2ld7Z2kzlFyuTzOU3TNR1yAkUSkFhoZ3h89P2Pbw5PjK1truwlwzkdOAXAZiQU - fvlycC2cODi1+Lkb11TCAy5rdUlAAGDpXCi09s5P//Heg4+n52eimWxKA8OAGItpxmwsmuCmSSk7 - fuyoxYJ/cT3s0w85AC5o1ZDLbG1txOIpSS1z+SrsjsJPP2BgGEvAuc/tPHGo5cWjR6uz8cGh8baT - 8Y7WoKwAwRSQCUCpnolFQqOjo8lk1t/QUVFTZ3WCCYABjHwqvLn++MnjpdXV0gr/ta9+s7X7Sy21 - HifJi5pUVNZY0nhsJQZaere0pFggHEw6PDQ0MDCoGez0uQs95872HD9V6gk6JWteN0/39FQ0NHSf - PdPW0SlIgHEBjZoH4AXCAwIOQLPpZN+zZyOjowyRL3z5a8fPn2k7csjqtFkQBc2h2hzI63OXBn56 - 8+bU+Nj7Nz8913OhoSaIWW59dnRuaoKC0Hr45JlL50vLK+wKcjk9iiRHQ7t3n4VHxibKGhubaupE - wQJMB44LfsHCPolq2Who9/Gjx2vrOwcPt7S0HPH7axSLTTP0pZWVR8+Hdna27z14XF5aeupQa115 - EYhcJFiWiUURKaUbW1u3bt9J7MQSu7EDbW3dnm5iEUKp+IupqcXNjZ+88xMLslQUfVkVgRBAPCsJ - LLy1Mzk6+NMfvTswtGBxOE72XKqpKrXIZG5+LBRb+Om772yHN/ZCdgP8WLFKiooAEOUcAeUcEcwB - PjPzYROICYpNVQ+31sX7B2e31iYmJnxdJ2s7vPtkDkCY5yCzGwsnF1c3ooZU3dJcWl7pdYIAIAHk - UvnV9em79x7MzK8dPd529crnjx8/7yuvIZ6inKRINnfQXxkLp5wiDfodgExIJfv7+t//8I4gOW9c - vHLlzbcra2t9TqIKmsthtbkqGttPptOZugoLANdyqXw+L8sKZ0BNCggESeBZLbsVvvfp3Rf9A41N - TZdef+3c2eNVFQGnXbQjLmjZcxfPKxXNtrLnL5bCwy8HVy9ccjudfpspE97SUP+NL1eENS84mmqK - eMCVCliqzwOZX4m/HF1bXVyLxpIZCgoGzEDkAIgRRXA5FI/Xub1j7kZT0SToHsAiADcAs/2mqM/i - olCI0IG5vb4+8eLpzZsfj08uqlbX6UvnKyqaFMU9OzmRCU+/97OfpWI7m1Gim1Iyk01ncwyssixb - kAk0P/Lw7kePPv3k8d2koR85cqKj41iRrxSAra6uzM/PbmzHHj58pFHB0HfPn2n3OIkgy4VbJAag - bD97RogMkC/wAcxcPBreNhctoTwdHBnfWV+prKy5dO6qhJXdrfDjvr75+XmuKlU1VYcOt1aWBRTA - hCAwKEunHj24f+fOhy9GJuJ5y/HjpzoOHywuFYgQX5mbWBpe3JrZfHjvdhSjjN3ZeKjFZ5GdTveN - a9day8syOzv37jzWEqpQ3tB84mpjmcOBU0EHaWioV1ULIRhxBABIwCKWAYAybmimIJNwaG1ifHh0 - eMjt8nz9a2dLgzUOm98UbauhRO/QVP/IwO27jwSHrba6NuiyKgKWJHLx3Jl6r2U5wX/8bHotIwfK - S7vbqmv9NsLNtrZ2u90R9Hp2tjYWZqcfPXlqdbhKq+vaj5zkqtdaVNF56GDA70sn9j794J1Hd29P - jA6VFpedPHu+pqWZCJZ8gu+uxR886n367GmU597+zjePtjY4FBWoDkwDTAYHnnx0//79+4+Q1Xr6 - 7IWDnV2SKOWSydWFxbXlpaGhobHxQzV1Zad7TvJ4dPblYP9SeHw3o1bWHWisaqjw20Umi+6DB3tq - amptNihAoTkHQgTADAMA44XcEotFXvY9+W/f//6LuTmrN3DsTGdFoFQVLPFIZHlhvvfJY3lkbm5T - M+TSHGU64hRAAIpAz8d2h4aGP7jz7N7TQUG2X73xekdHqyIILGvGd2N37z4cHxtL/cXfvf2tr9tb - 64octtIyv9fn4rncwsz8XO18Rr8mSCAAIMol4MAomPrqwszE6Ggmm3d6A6Vl5ZJATKYZhh5LpanG - zEKNKnAMFMBIry0+G53/8TsfDcysK6r98qnjrZVBp9e/EYqOzS0NDI28HBz0BourSoIiN/R0bG93 - 40c/+McH9z/VTdRz+lxl+8kyv8eaD2lbMy/Hp+cie+/97EOELDe6Ow/X+GSLiAUCDFHTxAghTCRJ - RphSwjlQDKZF4tzMLi3t/Py9D9f29iCbOHjwUEtNhV21peKJvt6B+cWozvDkxASyaKKTH+puaykt - kwQRKAVDo+nYnY8/ePfj2y+mZ5HVfvr8pY7mLpfFY+bo9tru9Nj05PL0j374w53U7ltvvlJdGbBa - ZMXC3Q6bXSLb6XgoEgknMuV+FQNgzoHqkZ2trbXldDId5XvrO5HdqOG3iXZFERUFiAGp2NbybGh3 - S+M4WFlbVFysyIA4jI8O3//k/Yd3b2ez2pnzFw90dji9DoNre/Ho1OTKi4GJd9/5cTwT/srbX7Q5 - FBkJgE0jl0sm4tG9yNj4WHZ7VczGjVj06NHjZdUdeWYtrnKWV5TJqqRTDUxZ4AAc8howEQQR9hvv - gIqYY6ppWWpQbgqWAuREIILFggFxiYDDZs1n8/FYwud2iJKi2uxA8gCAwQRuMOCbu5F/+OFPzHRE - S+x2dHQES4NOrysSS70YnJ2a3vjg5z/jzFRtb9VUlzgUYNQUUSGTCp+x7xEA83o9R48e9nkc86Nz - Y32j2xsRpch/4OJlS2lZmcNRYrM11NbLoiAgxo2cpmka5TqIDAATkCVZQhQJWl4zDEM3dJMygrAk - W1RARM+mJJQVmS6LSNe0qdnFH77z06W5cUNLnj17NmCzy5SFE8nHw6ML8zN/8zd/LQvf8Lq7ZVFF - 2EBcVES0vbU1Pvjsx++8MzW/5A8EurtPtlZWeWVlamJ6ZH55ezfc+7w/YBd7upq+8sU3W9ta1ze3 - H/cNbaeZ4qs4cuZSWXkFJoLNInc2lmJCADQRc5sicj2Xz2UQxjnNzOayDoXSbHx7M9T/fPDRg2fP - hyZzef3UqZ4jHXUBt8i06Eooead3OLS1+uGH71sxunrmbFdXC8fAGJhaPpeO51N72RR8+ukty+Do - yvqWp6jojTc+b7e74tHkyvLa0IvBn/7s59lU+nvf/Z7fH5BkARPIpPNrK0v37nyq69qFCxebWxr8 - gYCiKoloamp4bnp4dnZmRnyiimWuoz3dNtUliAAMmGHouSwwyjnLG7pG2f4hCiFgTMtmDUa1fE5R - ZI7A7XG/+uqN3Zbqidn4vSezWcNZVFbcfa7F5qU6sZui++ipY3aHerijPTI19GR5Y3FlrSWarJL2 - LVaccRkxQ0tubyU3NjejyazXF3Q43U6nIorw2dEEASaAOAgYE0yB7YeWESaCSDgChgH4wsL8k0cP - 04l4Z0dHXXun2+2xAOeZ9PrS2tjk6vziyu1P7goSdfnOW1QkiRgzA4Gm6dlEIjnwcnhxc21lc8lk - 2uEjR9trq/V0Zmotfrt3Ym56+okHdzUXN1V7VLcVCYIAXNczm/Ozzz784Oef9C8tR0urWi93vRKs - cOzGVhfnxpYXpubGE4mMZzcsBcp5JJ7Na0ApANUxZggxEIiiyBgJCLjdbm1pbnz7G19dXt+eWVh5 - 0DeCHP7i+s4jx044FVHF3Od0tB/okCSxIFWDkdUy8efPe9dTbHEz7FDlr339a06rmohFluZnl5ZX - R0dH/urvvv+lb/z6kQOVEoCumxLTMOHzQwOfPHn53kcPUhp0nz7ffbTLaZERhUw80/+8f3J98cc/ - /kmS677LPZVOqajYV1qeodTc2dxenF/KnT4GPgsgArgApaZgGqHtrRcDA4m0VG1zlpZXqjYRkME4 - xwzAAN0EIhcSTAiA7SV2R14M3Xz/o4G+Ad/0FEgAACAASURBVLvNcfTEyZb2NqvHtRbanVicH52a - Gp+aahodP3bksF0muztbfb3PEvFYz6njTfW1bn+pqDpTOkwsrN9/Pry2tvbg0ZNAsOT0ye66MpWI - uJBEZ3o+l4ythXb+/C/+fHRhKbIdvnzlStATQBwvzMwvzS8tzC/84w9+dEWjr549UST/QuPgn+kC - /ywBzJmRzxm5tKnDwIuhqbnERiLhKSt685tfLQ0E01uhzZnFvuGJR48fhiiWZaulrsrrEEE3QDT0 - fHx+bvbWRw/v3X4WT2e6T/UcPnLUYrUalIX3YvcfPpqdnfvbv/1bLEiHjx3zB30Y7x/0TArAQUBA - ECcYqxZFwLAd3nn2+NHC3IzVavnyl74YKK7xuoks5UM7i2NzO/efjT969JDxvGr/ek1thdciA6JA - kCgIBOFoODrQNzC/PJcz05KsvPraawGXm4Hy/MXk8lpkYmzwp+/LSdB/7a0vSgUzqpm3KKKs8HQa - hkcGlvM+UZT00AzElhrbDldU1XiKAqFIfGhkam5hbaDvgdsh2e1qZ1e73SkDIIwRQUjgSEICQYRz - VMDEIDARMg3T4OkcFxRRtu73ECMOwFbmp548H/rRux9s7EQ7OrtOHO/2uNyqgAk1hvr7+mbXPrz5 - cVr2lOLLXX4v2m/ZhvXtjdEXT3/+zk/mRl8gQs5fPF9b1enyWEbGn0Via++99/PIZjQRSquKHQsS - AwIAhT4W09AEJGGMkIAQBlrgIena3vbmpx/d3Nncamlrb+84WhTwy6olm08vLs1OzY7vbG0+efrU - obpvXL9RUVWMRcKBck6Bm4aW29wO37r9aTQSSeei7QfaS8sDFos7nTaf9w9vbm6+98EHqscbtCnV - XpuvpLi0tJQZ5tby6qx3Onox7rdYQDQBOEZIEgUwaWRza2J0LJ5MV7u91Q2NFqttX8UyAYCLssy4 - SQTs9biuX7lQ4VVml8PPxrf2dHtTe0ttrd/vtxCEK0rrWho7FVkBnkQ0q+cSyWQSmE5EuyhBoW4B - mKHlk6LAFhbn/uEf/jEc3kMCv3btFavdmtPozk5keHh8fGz4z/7sz+tra5Lp3cdPb5WUFr311lsO - u39+YWNuaX1lffPTTz6Rwfj2N77illWOoZDxioZ3b37ybt/t96ZnFurr6pubjzY2t1KOYsnU3G7k - 7uDQy77+v6K5b37p9c7maqfVCv9/stzCr7imDAD+38yuCO8fcfk/9WxzU9NnZmdHRkYWF5fe/OIX - jx49UhwMCoLIOQPOeKFp5zMMFi/4bHEBNbX/5P4LfQYX3Hf+ol8JLeEXixfuyQWd5p9o8YaRTKY2 - NjaTySSAgwPzej2VlRUWS2FcDYhzvC8xcwAEmLjcHofTSQhgAM0wzVgqlsyhUldVddWJ40cVYW56 - ckNjLAccBNHf0lle4pIEvbmhvKG2WhIETDEhBHGOAShjib1Ygi0kQlKl31Ve1IHMbHJve29ndWl9 - ZzX0iNpL2pqbDrU22L12QBioubexfu9B7/vv33wxuymLpK6quthjFWQ5S2E3mZ5f2Z4ZG9vYinGa - c9mulgU6ZAkzxjk1CpzQXFYbHZnQUqlkJMI4ABKBc1PPU1NDiAE3gYuf9WgKnDKERZfT09DQGAwG - rLaonja2t+YyuXA0uhuN7G12bgaL/OVlpY5iN7YW0oQIABd6C0xTM4wMNU0TG4wWKlILEQUMJmPU - ME0TOABgxkA3TI1yDggEQUCY6QY3aDaRWJxfTmwt8GzI43LWN5U0CCidousr8XBoc/DFDhdzTAFf - RaDEYhdRnmCNGTkzowkmjkaSw2PTkVRaUrjL4z16PChomh6Nba6tb28sr+zsKna7LOOyykpBECUs - gagwRBhjQDURUZlwzE2gMuJMkiTGIacZOig5k2c1g/MCgInnc9npifGPb968+fHNaDrl8jnaD3RY - nRYJWcw87G7szSyvL2+FImlNEbDTIrU11pUFfIJgpBKLL188uXPv7pO+UYpwZVXDgeISUZFNDqls - dmN7Z2NzdWlzpZ12yljZD9EwICbFwAETwAiQCIxkddiJZrI57nR4fHaPXQEMIICOAQEoAILF4W5q - rSsuc8Dc7sLC7ObmdjwVtFpARoV9gmmm46FQZHZtR1KL6pvagoEAARAAFAAtsbO8vNw/Nm+C79Dp - 6xcvXy2v86gYLKCA3VtBbL5KqMlBJl4a9GFMk7lsbnBobGJ6xeuvOnHq0vnLV2vKKwWGQGcWhVYV - eUsaqps7WwVZESXAGDjLMyOLmE64SYAJgJipxUN7L/oGNnfC5Q1NZ65fP3y0w+VWEQYKqqHYXc7g - UbezOmhbH3o4OBu6++hFZfO52iqQ9FR05sXGwozq8pa1H6vrPKpaKSFZm1VqdVQeOlA8vmCfnJ1r - WIue0sElKAQUzoEAKAAyUJFTgaclAhbVU914rKHxwKHDp0pLa60WQc9H56aGZAV9cPfF7Mzsp5/e - qQy6q0u9hJogMAlzApwzHo2le/sHnbK10l9y4eLFkqoy0SptR8OCTY3di0+ODI7Ut505ca68JGAl - FCONA11bWf/0o0cvnk3msdh99tT1z19rb2iwScL0RO2Ll/cePrmZDrNkIkXBoysYySLmgCkIBEwA - 85doXAAIA5FAsUhqY2P1VKXTHNUmF6dL1tdPay1WGWQAGTihRj4WWlzeXgmnsraSI4d7AsFiFwEB - QAbIplbmJgcnJheiefulV7/Yfe5qS0srSLKGCQGw+m2VfpuRg9xeMmDNAY1HNrYGR+YHJ3fbDp86 - e+Ha1YudhYA/MpHksLs8QlUdpFKgimkASk0EnMiyBIhRbnBmIgFpurG6ujU8NLmzGz5z49Uz504e - OnhAFLiIqMhNkCWf3d5TVKublvTue0MzU5Nzk7aSgNXpkpGo+CsOFnmAODIMMAdRMsAaqBFdFVWT - 89PJ7a3dTCqTN0BS/il7ZoKErTbV5/Pw7WwysZdOZkzdCioAZ4AwgAyAGUisEJfjHDEdU31xfvnT - e8/6hmaQ4uzpOXH11c83NDZZFHXohW+01xzvW4uEcrEUcDFgcjAYowwkjDnXcnu7T5/e/vTOvcWt - 8IETp870nDp9qjvoL+KcLy4sjY6O9Q2M3H34vK/3kVXJNTWWWKwBRVAYFGBbBApkZA6AETAZzCyA - jlEWQN8Nh9fTYFndPdjWfKzrwIkjB2URrS0vgGw8ezm+NLXY+6A/6POVlZRgTDCi3Ehsbq329j+/ - ff9JOs9bOnq6z712sqc7WCwAjizP1Q15Bvrok6mZped9j6k/6K4o81c6HHZv9/HTreVV0ZXF8ZHJ - VZ0GKorPXTxzqrPGgVMOkrfbbbKEEXDEYL9ZXQBAwHTEuIm4QVhSlnBlZX19Td2ZnrNV5dVup4eJ - 6vJ2xOZxhmKbM6uro2OLyyvbruYKqxUEmRw7cayt3D8dyt9fiK/tmhXVtRcuXTrWXA6G5nK7RVGs - q60KbawN2OSBgQGn19fU3vXq578g2T1IsTfVBS2cbi2t3bl9a3J8jGDhSPeZEz1nWjoOyooln0qu - Ly0lcpuPh2c/vfW46+j5mqIyT5kLmM7yCSMR6+2709fXn4znj3adPXuu+/TpY5KE0tHk3NTM8ye9 - 6XyUMbDbnRVl5R4BP7dZQjA0G5svKys/3XOmu6vFaxMxqB5vmdNpl5V9pA1CgDHiQDgzEd/3IG2s - rL7s7f/k5kN7TVVr9+lXXnm1rjhoxyi6vd73RHrR92RwanBPcytlxTpBFMM+H9PIJXc3nnx6a6Bv - ai9mnjh7+crls6dPHVcEbqRi4fVlw4jefT75+N5Ac8PRBqe7qLPCXllUXllaHgzshcLbi/OxcFwO - uhQCDAmMSdgASOcXVzc3Nrc97tLK0tKSoB9DlpqaSQ2DMgYCIhI1GaIMAQcjv7w4c+/uvecDA4Ya - PNdz9rXLp480Vrt8RQtrm8VDo0SSHFabkc9zU8dEj8W2Bl70ffrw2exSuP3wyYvXX+/qPldV7FX0 - SGp90lcxEPloYGBi8qntdpNX7Ko5JcoIMOGATS6SwkmfEIIxBxPAINgUBYPgXDi009s3iG3u9rqy - y6cOH2xtcFttsXDYbrEHgit5U5mcXR0a7rd4JU+xv760ViIMuEZT8cW5+f6Hj58+6sXuYHtb94XT - 5492HnJbnZDN7yyt9HktGo1MTk1gq1xRVuZxn7OrRYqMayqLV4LWtcnVre2tzVCixF8EAISbwIyN - zY2l9Q2NQTqX3duLbG5tVXjLvaogiSrQmBnfXZ0YjIXDqt1dWdsQKPJKDLTE3vRw/51Pbm5vb7e2 - H77++ltHjh/3+eyUZWPRyOOHvZGt3YWpYdUuHOo+VttQ57AphDPKKWemwNn2ynJ+CytMP3X4UNfh - M7X1nRkdy3bm93skQrlpcNhvDigU3BV2DQW0MsICQgLjQLAkks+SP4yIggCMMW5YRU7AZNQ0KOVE - RKIFEANKdI4pEikSo8nM497+Up+tuTp4tudYU3O9z+cMhfc89qdm5uH83PhAr7uqtsbtcauSRQDM - 0Wes3f0cEAIOLqfzQHt7U33NuKdkd3ErHknZAv5Lly/6GprK7A4b5+U+jyBkMXCCAWPEOZiM0wIO - ex8wzzCjiLOCkIcFkQgSwoTxAmWRIQQM0NbO7qPHT2wytDVWXjt/vtjjkSjb3dtLc/r05cu+p49O - nTjc0tZWXmyXERO5iTHMzEx9dOf+k/4hX0npyZMnr1251l5X55It482TRYOjo3NLkqwAINXpvHDh - fHVt3cTk1OTsQgqMstr6i1dvdLS3E4KZni1WC/MORDBWFUnEnFNqmswszOK4aabjK0vL7928PTy+ - mMmbBw8fO3f56tmTXUVu2czvrWzsyBbr/Se9M1OjH8mqag9UNbeoMmAATk1Dy4GZFzCMjU1YizNe - X+DY8e7WphaPyxOPJoYHhyPb2wtLSw+ePb/+2ucVm8Or2BEHYLoAptOmlHR1NbU0HehoLykJWlQl - sRd7WTHkkGy37t2fnJx2vixvaD9UoQLGn4EXOcWIU8413WAcOADlQAATLIpEZpQgjggRAIHD4z11 - 7mKyqd4WWOkb28GGvbKq9MLFV0oqHdxio5LFGSxzykJXc91KafFTxsbmlyq3IwcARAYiB4HrWDC1 - ZHRpfnE3FEGStbmtvbi4yKmCRAohzAJVlwFi+5seMAgUCO4EI4EhghEFRhkiVtV69NCh2rauA8d6 - fP4iFZssE1ueX5I+eLS4dHt0eKS0sqj7bDeR7ZLAOdOIoCFsalSfmp1zRVycQNehA90HW090Nmvp - +MuJpUgiubi5MzWeGxxrke2Haj1eGYCAnkvnxgdH+h4/HR2Z91UfP3z21StXj1VU2Xd3p0deFo29 - fDQzvrm2mDZNv45YjhoGNxkXEOf7lydjAinUfhqCIJaWV15/5bWV1Q1Hb1/f8JTV621tP/Da628U - u6wyNyTE/T4fwgCMC5jLmHETllbXVvJqoKrxwKHOSz3HAk41ubczNTr03gcfTm6FP77zoOvMjYaG - StEKhHOgOrDseP/jh3efLCxttp28dOXs6WsXznisFmTk4qFtu2Kk7ybHR6YCZfUHKiq9h+rVYEl1 - HSnx2FZDm6tTw3uhs2agRlAFwCIgApQmkpnNrfDy8qqn9mBNZWnAL8siMEDAPuObMiAACAEHrhvZ - rbWlT26+39//MpnRT/ecO3/x/OGjh+1u1+LWetXEqOp0BIJ+Q88zaiIOAqIel811oL2zraWttdUf - LFVUR1qjgxNzRLbeuvdkfmb6yZOnjY3N1WUq4iBwzgFEgXBqbG3v3Lp91+IPtrZ1XD9/uTxQApTN - TE3d+vjjhy/GnvY+LW5s6znY4faqAkEACAH5rB7gl9QjtE9gZcAphonFddVjtQeKjp441XHiQHWg - KLW2MT/w0syl+1Z2e3v7Whqaa+y2WmcxMApmPhPd6e999ODx07GFjcOHT168ceXyxXMOh0036NbO - riArd+89GHjxoqG51eF2+wM++CdIQuFRCOghhAkBXFAbzcqqysqalp6z10rL63we2SKZ21sLZQOT - yxuR5Y3V/j7j/MWeouIit9VumqYAFGMsIJKNpeKJ2NauXF5fcfLk2dMnTlSWFBOA8qDv4YMHHz9Z - eDkwKAdqLp3hFSpyIwCQQVRAEg0EKysTZgwZVHRIqL6s+NKl821NjX6vJ5XYK/XffSDcGpuYGBwQ - PT5PSWWFxeknUAjBcsyZgBDGiCFO9xUYQJRyxgBAkBRBVkwOAucAFJA5NTZ8/+6d/oEXLQeOnT97 - 9uqVK36fTxUFRPVAoCj1yaM7z8eGn/UN+dw15065nCpgRnV9Y3by/u3bAy+GRIIPnui+cfX1Ay1H - PB61prpoYOB+f//L7dBuOmUIVhcWBYIQBpAACMMm4wxzhBGI+z1CXNcFkypAnBZrc1Ozr7Tp5JlL - JcXFFouSz2emZ0aePnP87Gc/W1xcfNzbf/DoyUB5sSISzikGkDAQYJFw5Hn/S1lRK6v9R46daWis - ctl96VRewOLdp31To5OTI5MHG2oDnlbFFywvq2wIBJZWIzuLszt7WyVBt0Mo/AsLCBEzlQ2vr6/O - L9pVR1l5bVlFtYLFXwwWEAYBA6eSKHo8rpPdxyo8Ftfw/HyoX0sp9U2tZ3o6mpuKFVFSFbfd6iME - AzMxZyLBwLlhUsoR2ydHiJhwjHOE5FdXQ5FozuH0HT3adfnqebfbnsun11aXc5nIzPTyJ3duj09V - 2h2SbPEeOnymq/Ng0F88NTXz+OlAZPfjxcmRPrfl1c9dJw5VAlAAaDa1s7T08c07S1PLguTovnDp - 1KkLbe0dHHAskZpdWc0Y+Rfjk49vfXykrcEfLLJYHehf0lP/P75+xdLtP3O+/rOnC+7ZAugWgBDg - kEgkPrn1yYuXL0VRPH3m9KFDB2VRpIwBZ4XG7wJqvPB61OREYBz+WU8y/5dv9ZlH91f0BWLAHBhl - VOQCIACxEDYG4MAp1XQjn8ubpsmBmdSwWGSvFwgGCkCACQgQRr/0+TAgUoDj6yaYmAFRTC6Ullde - 7fgqef3040+e/sHv/m87oWwKIcnp/ta//t5X3rhoFXSHqDtEroiMRTOF4nuBEMwJUKY43YcOt/3b - 73y1sdxrZqNPH9z65P13lu8OAmNLy6t37t6vKwtW+u1AGWj58dHhP/2TP16OGoLqr6yu/Dff/fVL - p4+pdnsim3/c9+I//ef/skz30vHYvXv3DnfUdR9pdyky55yaeeAGM8y8ntvObQBnGLAgSTpDCJAq - SbJEAJtg6pwXbrwADBglhIDqcDc2NLa2tkwvRJKzYWrkk/Hwy5epsZEJt8NZWV7+5S998cKrl8ob - ywngQv0VBkmSJZvNIhCmQYZTU9eMXB6oDPs+DIwJRphzalAALEoK5UCBExGBBnpezyTTYIi5cPj5 - w6cCYUc7W3/7t/5Ve3uNRaHzM4vf/+t3P/3oWULPD48OZQTcdqxTtJX6XEzmhkzAKakU871oejO9 - bEriK69c+M5vvN1cVwHxvbkXfX/6n//P0NSSLCt9vU/cPu+11z9v4aKKJYRFCphSBkxXCLcpoiwg - oLqp6wRhSbHIqg1lpYxmpjI5TIiCJAT5rY21O7c//v7f/52GUGlNzZETh97+9tcb2+otxLK2sPHz - H78//3/9pUjwxvrqD37wA5siX7988dqFE4BzkdD0xx/9eGFpSQer0xX4yle/+8bnPhcs9mfzibmF - iXfef092eWWHhUmYfkbOx4wTaoAgAEYAwDgBStIGTmkAWPE4/Q7ZLgNw3SBSDhf0OpDBolvL/eVV - XqdrY2MztLGxuRWq9wVsCJACDJiei4Z2dkORjNnWfqTrxBm7VWIAEgWF6JHdlempqfn16MET3W++ - /ZvlVbUCQC5lWqwIBATARYzcdgg4VIVpRjoZjcRHRibXt+NHTpxs7ThWWlm9P8UWCIgECGDEVKuI - RVwo30NIR1wHqiFmEEYJJtlkant1fXRwBFuLLrzyuerWdpvDyrS4KAsUuwwBDA5u1eaq8J2t929t - GyMTyysx0HSwZBOJhaHIxpq/7KCtokl2IwYFJIsOstZS72ptrvrvt17Oru5FsyA7wIbApIAJyGDK - oAPTkIWVV1d+93u/m0eyze5VVBULIDIqIOxVa51u29za7pOhrZHR8XjyKsPI0HMycKbn04m4rhnZ - rDG/uPo/ff3tb37pKy2tzbJV0ZERzyQp5jkte+vT+xvrqxPTU0X+IrdCMGAjl5qZmvvo53fzOXbs - 2snv/M//tqy+OmBzWBg0VpW0NJQU+9QPP3r/2cReLqulsUkxRwwAABMkEqQBZ8zEmOzbUUwiYoJF - pby6pLTGrTiFhY2VyrWNvRjIbrDJIAIDZsZ3N18OjuxmqFLR1nXmqsfnkwFEzgVIsOTKyvx4Kkud - wY7uC18uKvEDRwVQOAdciEnIBLxBhwAM9hIT4+NzSzt5VNR9/gst7QcRAAGNAIiiUIifMQoOB1iI - FSCjWpw2m12WJFHEHGgun1EtQjqTXVhYCYcTTqfnlVdv1DbWyqKEgXEAHbgoqtTMKyI/c6Bt7NaD - /r3Q0+dPlcb6tho3BwlREUwGIlgRFDZejMii00IEhegCyzM9pxkMCAAmBXHWAMQVi+zzewnJ5rLJ - bDrGdBUAASpItyIFgYJAOQgFZjc3Qc9PTUzf/OTBXlx75Y3z3/ud3y+rqrLaRACtvvbaifby/tqy - n/zwneTcDhJtFrtDkhRd56JM08m95enBwcFHa+vrNU3Hb7z27RtXTpUEVFkwgOOqitLOA2119Y0z - s/PrG0u9vcbFSz0unzvgtXNuEKRjkFHhB7aA5jAwaBoohtXCvV77bDiHFbmx+dCv/6tfP320U5EY - QZmWFn9LR8P//n/85a07gw9vPTnU1XX46BEuYQ65dGZ3avrl+NR4KJo+2n3hc2995fK1V21OwJgx - jiqDPW1lVR3VlX/yp/9tcHUu9PHN45eutFY4VNlpL65ycA7xPVkgsgQut72qtry+0SHpdqegiQRz - ZhBMMBZMgyHA+6YoAQSOgaeqSp3+Kxd7TpyzWoocTisQBkABCW3u6lTuUDi+F/rpx7GYsbi02Vjt - L3KKooyVmiqvVdrDIUlRBcX0+oO1DQ3tBxqQqRFB4BzMnNuC2caSz2m3uTzeYHl1S8dBm0M2Odgl - 2FvfnZ4YHRkaVG328xcuvvXlb9TUtShWO6OMuNWSYtEkN9iPnX//g0djw+st5RXVAZdIcDwRHul7 - +KT3k82tTFfX5c+//vaZs11ev8whjUtLOlvbT584ncxFfUGn6hAFDKXNTfUrC0WTqwBzLpe7uam5 - tbXdb1egkLgDAADTBIxAlAAAGOeGYUoFDBVBEyOjk4OjnMLhY2d73vrm1StnPQSsZgbrNRe7W/qf - NH739/8otKPpiCOLwiVCAQComU1GN5dfPLofTymt7ee++LXvHOxo8blBYCB71KoSyev5ErE+Gvmv - PxseWGqv9B8+XAUBZ2NL3fUzp2/dfxFdW11ZmLHY2l1OqyBgbsg0ZyY2dte3dvO62d7VVl9T7XJY - jMyels+YpoGIgCULIaKe15ghgcBYOjE7NXb//p1Eivace+N3/93v1AdcLpEDZ612Z0Vd/dUb1+OJ - tGSxYkYRMffCax99/MHazl5x3cG3v/M77Z1dgWKHCKCC22erVz2+xRBb2uidGxpZP96Y1w9gi4hA - 4hwEab/2mDKgjDFMJYxMZALPIJTLZMzEzt63vvftt26cO9HZoGKdUMrzuTJ/cDuczOrwx//1L+71 - Pu/t7T99+ZoJgo44sHwovPfk4eOFsQnChNNnr537wjfPnz6hCiACqCZrrChq8Im+oP2P//r7a6ub - Dx/2Hjl8tCxYKcu8s71pa2zw0/7w4vJSyepOW0udBQFiOoC5tLI0vbTICBiMxRKxucXFmlJHsdct - YRHyucz2yljvg0TE8JUdLa2qc9mtRiqytTA+PdI/Pz3R1nHo0rUbn//y1wUFEc65kQoWeRUkiKb5 - 13/7/fXVld7+QZuvJGizCZQRkThsasDjXNkJaVb16LFjb3z5a2fOXpFli26CjjRBMjhogiCJBBfy - MpIMlAAAUGAMKDYZAAEsYgwCkQmWOEAuDzKjNlUCZghmDumaRcSSJBkmzelmRmeqSLDOsjqjWMyZ - YGa0KKRff+XKN7/8+oGWWquFEGS0NoHP7vWp9j/7y79fW5p/+OhRe1dXwFcqExEB5ZzTQq1FQQxh - zKIoZSXFoojTuwlZFmVZ9HjcrW1t9orKgCp6BLAxyKcznJoiQZIkUMY03dQocAJATUY1xnPATFEU - ACGTgWFSw6AYY0W1gqkDY7lsjgPo2fz6+ubv/fZ3PnftXEdzkwjAND2VTsWySZ3mPr5zb2FpZXpp - u6SsThQwMfNgmM96n/7kvZvxdPaLb1/5ze991+dxW7Agcjh34WLHybPhVC6RiJU7JZAkR1lZDaBY - JCxhUC1qZU1dTUNzbYNLQCCBw8oAaL4QKyTARQwGZ+lMJiiINlUElqeZ+NbGxuPnL6nk6z7V83u/ - 97t1tXU2C6d6Ahyi0+0pC/rcMvsvy2sDL4cCtYfPXtEqArJDAkEkmJsS5nYbJFOZuiPVf/AHf1hd - UeG227lpSkSsLA7uhcK37z1K5rSZ5dVgWanba6e6rlrErrbG0t//XdnmsLrcCGMEJuLUVeFwXXQW - u30jE6NLkb2JyflYPGcUA+NAOAgE2VRFJIgyZhgmYIIKShxDIpHcDncmB5IoG6YpY9nmdDlsTX6P - azMqElmSRcUXKGluOVhRG0CKqAM3AVl5pjrorSnyypLUOzLmPLRwKQseATwEsMiAG6nw9tjwUDiy - 5ys58Nqbb1VWlMmF0mbGgBNCCEOcAePcBG5iMAUsMJAKI04TBMoAUdbeebC5rs7pdFHZrhNVkAUF - 5TG3VVQVp9NsfGx5Ynp5dWUzFsvbfCNQ1gAAIABJREFUPW5FyFMtKQqaJAMgtra17QkGv/mtb/X0 - nGyu8csoA0bSXRSgpvGjdz+YW1/pHRwqbmquJTYGOkA+FU0M9r1Ymp6XJNubX/61w1d+ra0FLBI0 - 1ntPdtXOn2i6+e7fbGwP7OQxUWXFYUWEIASCLANQYKZumBgRDkjLZjnSZNlS2dRid7p3dncIBpvN - VlpW0dTcVOICyQRMGWdg6FwSkapIbpusikBM2eEv+zf/7n892dnglUyfAhg1XzjWFgttrt15ubi2 - Ob8R3opkVUl1iSJmHOLhoad3Rl6O+GuPXLr++uvXrwbcggWBxIzioNVrveIM+P/jH//V0szW8+dj - tdXFlRWe6npbe0N1dHN8ZvD5/OyV8hJfmerAWAAgVDdW17ZX13dyOb2jrf1QR7tVBADQGRM4IQgw - AVEETApeLzMRi8xOjn7w3k+NnHyo6+x3vvtbjY31VruqU63N42hob371jc9lsobBkMvpcEjgrq36 - nd/+nkAEj9uDMWYMTMqdHsXq8JSVV25ubA6Oz07PzMZS2QLzhIPBObeqFpEgLQ+7ofBvfvtff+tL - X/HbXTJH3NQPdjYbWnx+e2dmK7Yb3kuk0oZbFQT4zFIkFFpFMN8/pxY8ZIIoKhZFskE4rXV0Nv7h - f/jDhuZST5GkaGmb33nQY3Habcl3Pn2xHL13/9Hx2sruhmIgGPRceGP5/u1PFpYi3vKmr/3Gb3cf - ai8tcgBiwJHb6ystr7Q5XQt/8qdPnz71+oo6OrpsDoskAAAIAmBABGC/f1HTZYkE/P6rV6+++soN - QXYQxcGxSEBDPNfgbJZtRWubkQ8+uheKhveie/F01udUdT3nICbnnOpmLpHRMT184tj1N1/93Ks3 - VFFQCCEo31DmrHJrc8sz45Hk2HToxdimUFvmcANR5DxHWU6xDIlEykyMALWd+tK3vvSFb54+0aIC - CAa4VWgodzeXC//xP40uz408UL0nz1/yl7jscuGTc8SogADjAoWYMsQI46ammSYFUQJRNDlk8+AA - A4gGOPfi+dP+571eb9HFK9ffeP21mopyWRQwp5wZZy9dElylsyuRjfnFh/fuXzrS4fJZgenpZHRx - fOTZwwdZzTh76dJvfee3W2vbXIqL6pk3X3+9vbGiyOP/2Qe3ZhZWNc6AYIEImIGAgAASRNlkoBXm - ggAG47lU2ifxktr6f//v/5dknqTA5vD4FBEIBwQ2t+uo32OZm558OTw/Nj0bTmbyJsMUCDCCwCKJ - ImLpdGphZe1r3/i1a9fPHD7U5HDKMpGZwQnHICh/96Ofba5uzS2sNjY1uF3WkpLSy8eOP4jcT2yt - rW2tFjdUyLLP0KmIQDbY3s7uztJyeGun6dLlpuYDdouIKYAB+xIWYOAME6IICpK5WlGumumtvbzL - Pb9jICLJJWVlrS1NFkniVGIUMaoBGAIGl8OuqskYoEQ6k8tLdgmAY0nEVhXy+chuOG53Wr749d94 - 5cblQ11tBJuGHo/HarY3Z5PJ1PDo0l5Su3Lp3B/90X/w+1xOu8Uik6aGmpJgYGVudnpmcXNzbT0c - Qh5XgEh2THPbSwvjY8Mvx61WV/epc29+9etVNbWipFCDOXw+f2kxMFMytXc/+Xh+fqG8uTkQLMEC - SL8a2e9/jPWrd93+Py9KOTdRYYgGCBCkY/G5+fnnz/sIxq+8+kpDfYPdZqPMNA2GEdisKmhAEOg6 - JwxhAPyLHloA+BfJhH9a6Jf+fgVrvwyeMc7/uZeXc8oK4gRHCBGODaACIYr8C/8vQYQggjkGoEAZ - GCYgLMqyRYVMGgARACGjUc2gRJJBEa2K6FDEOHDgTDcZkyyqHbyKZANBAh24xqhJTQMBcMYxIhav - //TZi19780pNTWWRk2DTcrCjY2lyyPZ8LG6SeDK9srqey2uMg5bLbc/Pzc9MhUNZnUFZY8Xn33zr - QOfBQEkZEQWL3TzQ0vKFNz7/0w/vTI3NJ6P62vrW6vquRy7FnGOgwIxCSA4oClRUdrQ0Hzx0yOkP - yjZHVVVFVUUxAAOMCnFAg4LAQBIKwhAhknr58nXRWuK42zc2vRrZTQoETFNPJGLrQN995ydD0yPt - xw4e6TnW1lQJBCTAmGBJJoJgYqCSJFoUiywBwmBQEE29AJPknAMHDIRgUTeNnKEzUIBzERGrpEgI - AUMA+MLVazeuX+063h30SbKQcVjUsfaRxYnJycWtpJYKx5NrO+GarDvgUiWERMSIoQHTTZBEyf7K - F75w/drphub2IrciWyV7vrnnWFc0r42u7moUdkPh2cXVpqZGGyHAEBYkURIhT7mpcVOTCZIIFggw - Tg3D0A3TBIssWyWLapimCRrNpCbGRtdXlhiloqI0NjVfu/5KbX2Dx+MTQaiqIieOHn7txvqToYnN - vdRuPh8TxOWN7ZX1jRo/YjyLsIEwB45Mg4uCxaZ6nHaLzW6RJCRZrXmMFbdXUaT9qCGAgBAIAiAM - sN95o5sskTczBuJItsg2AgRTEIGSQuslEwCgUHla31hV3xAfXVlcW11YXKloaD2AsCgCItTcWlqc - mp7NIsVR1VrV1K4ogADsGIiRSoZWV1dXTWKT/M3ukgZRJgoGrApAKFAOpkaRgkWQBCDYyOcSG+sr - uZxucwaa2486faUMIE+BU5D4fq85B1rYgXIgEhBZBFXBBExEDUQNwDSbSMZ2Q7l0JlBcV9XQINrt - jGDEgFFTB9AxIAQEMJhGtc/jt6eeze+Gd2OZhMeFdDOTRJyVVlQpTm/BlwoIgJkAhiIxRSG6kc+b - plmo3C7wgkxAhAAIwA3gHAD5fME8toKACr0YImGAmOi0NNRXez0eUYgYJtMppQhESURIE7ghY6TI - lkBJ5bGj3d2nz9U01BNR4AREUXIKjorS4obK0j5Vzueyu5G9HDVNEMBIxyM7kUg0nYXSsoaGpraa - uhrZIRHgCCMkidXlFZ+79mpoc3M5Mr64kjMwN38pLoABREAI75eMIsACCAIjgAVw2aoay1o6GlfG - Its7O8vLG16pjAhQoCOFNrf7BkbyWC2p7ajtPGK1Y5GBAFnQE4nN5Ze9T3QqllbWeyvrFQcUNiyF - HSQCIAgwBq7rADkjlZifn4sm06qvuLi2ye3zCgCIU8QRpxwRggAhBIQARgg4AhBMg6UzaUoNgSDZ - IgEYe5G9oaGxfJ4VVQaDxX6LTSqYaRjHwAlFCASkKFiyGWVOyeeQs+lkOpc3AHIUqSABEUGjgAkI - gIAZABmNhhMZHYmlldV2h10inyHDCu2OxBQl4nE7ZJlkqa5rGWrmgUuAOXBsIJGBREFkhZ5tzsHI - pcI7uzuhaEIrqWqpazpQUlphVSWCuEkNiyRUVZRJp7onRsZXomwlzrMaNUwqSwhzGo+GR4ZehkM7 - Tpe75+zFhraDbp8bYxMjDTgyTeq0OeprKrtPdPb29WUysfml1ZLqBq9XERAB0CmnGBNOwTRAwABE - BlkFFjf1nKFrRLDWt3b++ne+W1tfTQQgIgbOVBupqiwJen0Kl6JbezRvSqLEQQduZNJ7o6OD26GI - 6gp2Humpb2p2ukAQC/WdIgHq97nb22u7DjasGgtLod2l5fXdmjJ3UBVFqyrbVEURCRACikUkIiII - CkFush/CMRkDRMSCr65AqReAAmJYQjZiUy02k9sYNzFGwAtcO1pcZO9ob/r5rUfprBGKxDWdYlCx - rADVQMCSIiNBMBnN5HXGsSBIhABjHAAUi8WuKqqI9XwmrxsaJ1yQZXF/ThXeXJudGM2mEtW19W1d - R7zFFZLFxhgQghHGAkIVFaUlJcVApN3tSCi0hzEBBJlsanl5MZVMOJ3eQwePVlfXu90WAYHBDcYJ - wpLfH3BxBwimKABgE7BJhP0GIEIEUZAEQRKIwDjoOgAGUQAi/HLui2BMoBBq0fWN9a3NjRAFqK9v - b247JIsgQGE2oot2qamx/M03r+fvLyxmTYp0BiYHysHY2wuvLy8nYolASc3Roz0VFQ0WBbQciPL+ - 9RkIekqKA07VlYhkdkKJrAny/03em/VIkmXpYd85914z8zU89sjIjIjc96qsrqWru6u7q2e62dRQ - GOqJkgaQwDf+EoE/RYCgBw4gUuSQw2HvXb1UdW2ZlfsSGRn74rst995z9GCR1aN5EEVgMBBERz5k - OpBwczPzY+d851ssdWfa7966/NlHH/cPdz/9+JOl9fW1mVYV1VEYTqa//fTus51B0pj5xjfeWTuz - kjBc6hoN55IkKHkYYpelWWINfLmz9WLz+aOD/d0z5966eO3WytqqJUArWGokahpZu9OZnZ0Du8xB - ivHeqxeffPKxx/zyhdtrV+80O11EOAfAoNFZXG5eu3zh+sbjT7/4cn97c3t3b3V1JU2ZCCKn1UYA - ImNZGR5SQsaJ82vrc+tv/6N33v3++Ys3kiSFAOqp0dxYO9ebLyalv3H97FfPF4/Gxcuto5evji6c - 6Vmj/fH0F7/57OXedHH54ve//+Ob195s2NMgBbaKBp9ZW3r77Tdu/Ozy7x88/+rzr54+fnHm7NqZ - Bi8vz51daWcOr7a3X2wfKcEAkALVdGd/77gIV+68VR2Eopw8vH/3m29cVDMLdRBoPu3vbjtaXlxd - m52da2XWjIf7L7463N20TO+99/6tN+64JuUVElAzbSYclhcX3nnr9r/qZo8OTh49fPred3MB2Fo2 - iDGMh8Nm2rh58/Zf/E///Oabd5Jmw1mYBI6cp4KgpnYAi9ZHsINy3fqyQWJMEgUhaowUBbUKLkuR - hBY0AGKk0GLMGg2TgIKyh1VD5BrkMiGnbNPF5e99+KcffPinl67danZSR540xiJfW5r91p3rf3V2 - /t6r/uPHT/qjqY/10RhVUY1Q+mPLbcjZFFoZpna7SYSqKosi7xnOEhggRsQYrCFE78vShwA2ztQo - CrExzNYFQIXZCELpo48iepoOLhLKsghRls9f+NGffPjdD757fn1dJJJLTLMx4/j8+urFs0vNxI7G - xf5J4eFUqzg6fPVq+9Wrl4Xg+pvvXLl2c2lhMbXWERlFVMqazYVGs9ebmTElNIA5c6aV2YRFNPqo - ajJDqOVKNdODiFQlVIUjheHKhyqqikDDi0f3/vDJJ4NJdfutdz780Z+dPbveTCESXZIyUzPJu3bu - WzfWfv/OG//udy+2+vnDl3u9znrHwTAYUXxe5Xj3w/e/84Mfr65farUbzlnnrGVa6LWvX7v828/u - 7g/K59t7/ckUBi4xpGKYzy7PS9KKSVZ5MUSWWWNoN7PV1fnl5bktX4xGofLJ6ZQkgEbDCo2AWpfU - LjBsHIwNlRwfnPiQlHkVowigZGCdBxcilWgQicqgjLnJgIFXVAlFM9O6dnHjzTdv7z7Y3er3n23v - t1bmuWkgEdPh1tPHH/3i58PBcOX64tXrNxpNF0LlrIk1DE4QUIQBqSExiICEEIIQWQYDxoK03e3Z - ZgMudSZjY2vDDoBgbW925vzGuc3NPSKqRL2AmLPUQXyMgVxy5cbt737vww8//MHZ1XljEbymSbI4 - 333j6rlfzbvHL8uj4bAfOAIJOBTjw/3jR892h6FxZv369Rt31laRJTAEg0bSbl+9dOG9Ozffeevw - p/e0QlWJB6vhU5MHsLWOwWQBk6b21Ocs+KqKVdlInESZ5GVeRlWTOhjD8jqwTmLwvgoB165f/87/ - 8D9evXl7YTFtS2AuED0xLl5YX1/bfbL7fDSZTvLCuiYDxWi0ff/uyeFep9V875vfvHTlamfGhoho - ajKTLC3PXNxY6zY6xYnf3T4JSEtOjYvfuHlx96unXz7cfbH5/NXRnZWNrqtdM0TvP3z6bPMga8xc - OH9+4+xyvWtWNsyuDj+pm08hqOj+9tar549iWVy4dOPt9z9YWb+YtVsgJEnKVp2Ba2ZZIxReE0cG - 0bKuLMypSShpAswiiUSwmWmma0uz3ZQQquF4Mil9GWBAbC0zRV8axLX1hR/+s//57fe+3e7OWmNZ - Fc6aNNnYmL94ee3+Tn8wzk+Gkypqg05Ztwz6mnVLXzsnEJF1ETyp8J0f/uh7f/7Prt6+NdOUTKYd - ZwDbXeq+fef65V/f/f2z/qtHj/devZpMQquJ6XC4s/ly89nzdnft5vvfPXflZrM358U7A5HoY+zM - dJdXlpeWl8fj8WA4YKbX0uA6nQxB1DKYKEkcGORcb3YWhmFTMAWNECEyoLTVzNZXF+c6dv+krHwV - yZrEOJtJHPvgE7ZzzZlktv0n3//h229/q9udtQDHiDB1nfTWldV//Ccf7P31o+m42ts7KTfOqQMA - ThsmbZQRF6+uds+9W0r7e3/ywzffudnqoBGRBDjGcq9948LynWvr4y8Pd/cP+uO89KGTWiBSDBQD - YlCRCDVkCAxoaqxhRozTsqqiuAyQBKU/Od4eHO2phLNn19fPXzlzZs0lzhBi1LwoGt32hQsXbl65 - 9slnTx4/fLRzsH92tWdtzMcn492XJ/u7c2c3Nq69efHGm+1mN1HAZrDm0sWLf/7n//TV3skoD49f - 7EqU/zuuRGzqwQjMYKZWuwUUgKLV6jYarC2bghQqYKCduZXF3vrZ5XsPt4/GZYVUrQWDKWoM1XSY - kJ45c+bSzfe//eGPbr91p91L0yQ6MCSsn1m6cO6sUR6cjA9PxuqaQq3Z7uyH77y1//tPfvLly9/+ - 4bfNS2vz52ayxFpEXxSPHzx+9XLHkbt66fr66vmaKvnaUAMgwLICUcXGAINmwlniVKHGdWcX2p2Z - RpI5sBgSgmOLSKQhlHkIHo6zZss4RIVhECKkhPj1C8vvfOvPv/m9P1m7cLmGZkwjWUL71tXz9x9s - PXh2/Na7H377wx8ura53WknmAA5JlizNdi6tr+xvvwyx2D487F68dIYN6XTw/P6Tu1+GaFYv3nnz - m9+bWToTLapymiYNIqSRblw8d/3sQpP12dPnL7aPvvtN4O86lvz//PUPBN0qoKr1LxCKWJVPnj79 - 6KPfvNzaunrl2o//8T9eWVmx1noVqDCpM0xQrR069Y+oqaqS/tHe9m//qR9rdbbB38sxf/1bVfo7 - Drr12yygKDUCogRhVubT2s0ghqHXwU+vDX8tG1fnl0EZQqKs9TmhaCCZ0bSeYRWFcm0bauu8NBWt - TSQkMpFzaTYzd/32ne98cGuGkRllJ+dWz64sLTayrD+1vgqD4Xial5VHGuPWyxdbm8/LACWwMeyS - R0+fDk52CSpRT/qDRmKsAWKJWO0dHL3aPb65ca5FxhqFVBAwJ2jOvvHmO//0v/tvP/jgg+XVsy4z - vpRWnRZKVHfZtakVLCACMDh96833s84501xYOPPZ40cvByf54f6gmEzG45OPP9n9/NG9e8+eVZT0 - 2q211a51RhQqFaFgqDWwbJggWnOZa0CnbmRrdIiiIqhGBRSOTMOmTsWIFZu+/d63vvujHy6tz6VU - JWrmzrRuX7/w4Nrys60nwxLjsnh1cDSaFowew1OsuBo5VIQka8598OGfvfft9+YWyQKukS2sLn3j - 5uUvn774/OkroBoMhw+fbS6du7jSNYggY601gGgoNFSW4Qxbpqq+cCDAwGbsUu+jBO/L/OXzpydH - +wxEIESMp8Wnn3+ZPDY2kAs4OtjrddqGgDKHcmXc/snw+fbeQqfHLunNzjRSwzEP+fDpowe/+/3v - 94/WZxe7ndnWnbffLxE9NMVpFpwCUrd5CihUwRR9jKO8mnp4YWZLAqNIaiMEPWWVMjEYF6+cv3qt - z39979Xmw8dPzk78m5y6Boyp/NaTJ189eCzZTGv9+tLGpdTBAS1UiOP8eGtne9s2F93cNdvpwcEB - 5F5zMTWy8QbOQKCFLwaH+7t5UWWtpY1LN5vdhQBA/1ibBFHqGC4VSCB21khiidWzBqPCUf10Oj45 - 0Sq0ms35pSVKbcWwnCqJqlqh0xABuLnFc/PdYXnyXAcHYbIs1h+PfRFkYXG+2XD1erKETdlCjLFZ - miVsosrY+xxocF3DAlQJnCAyooCMSdPMUFCMpqMyVIVMTHXM5fGoyHylRFbBQSRAM2cQg5EyNTDG - dmaX3v7mdy/duNGdn/VVDgiTTaydm2mfne+1LUhjKaGIMSo4FMcHO4fH/YnYmZULK2fPz8/MCHJC - UCUiSWc6651rF9fOL85uP9ncBUiohuIVgAgcE4MJETUxgPhUXN9Mzl44e+vOzb9+8Mv9na0H9764 - vdYzM22IIo8H2yf3vnrGvXfmLlxfPs8pw2oFlKjK6rD/7P5DWr3VOTtX4w8aT0twfcoNYAQcAijG - In+1tTkJ09bKhe7qbKMDVhhxkFoKKAyyhk6n6agsrIoYo2gEqTEEwSQvXr7cgdqZ3lyn07aWBFFA - pLUwlhkWTrhB3QUzP9eM04kOSgBeDGwKcogBahArkOq03N0abB2dTDrNS9eu9Bbmm4QEMLU1lHhw - cAbdViO1lEsVq1xDCSHUebpgRap4jTNoRDk53t06PjwuNTlz/vrq+oV2NyOCROXoDKPV7V25fH59 - bXGm1/JHwyoiKphBEseD4cP7D6fDYnbh7K1v3Jk/t8wpVAiRIITIqcuWF3rvfuPS5suP7z7afba5 - df3Y3wQsHGAgagyiaIjeUgIDUAPlCJ5joGa7u37pyrc/vJEGSP3LkkCgRrO91JtfavXGg5FUVYwl - bCCKxXR07+69/cNhY+bSlVtvn1lbtSkIII1MKYlQs7F6dvbKlTPzT/cfPBzt7B0eHQ11uQmxlmzm - UstEFJWicqRTt3JViAWpikKIIXoaai8MV+tqhEGGs0w8pmXQ6YhiHiVMp9PR4QFrBXgvVV5WMRpC - ExwQS1BgWy9UOC99FUUAQ0YpAoAxiSWHEMqi9DEX5+mUhWAVw8Odnc0nGr2xSYB9tX8ynJQaozNq - MNUw6g8r7xUU9g42Dw5fqVaQMB5PX7zYKXLtzc/fvHl9cbHnklOhkCpCjFnSSKyrJGejQA6NSoEN - rDVELIoY9XSiVq2XFrUlo55aNDHX//aV5NOdvaPjk7Lp5tbOnT+30nnd5ahCyKC3MPOt77zz00eD - 548KkilpQfCKeNLvb27vjXO/YBvNrDM4HlJltBp1m9HQMcJejLEoitTak4OD3f3jYU7tVtrtdu7c - OLexwEcvjv7w28/ufOvHcQPsJ+yqwbj/q4+/2Dzy7bmzb33j3ZXFeYjCUuLIWI4gD6dsnXUAUBaD - o73+4fZ0io2z51bWLzYaQAUEAyKQOKPO2SRNFTCoxqPh4e7O1tZhurokjdl+IbL9KtNhO4FRZREo - NBSzjVzzV4Pj/aOj6dxi6tLXLlWvzwgTWxhSUCwljAn5wsKZO9947/ylm93Z1AMqxmgEA+3WTDPp - hmpjY25paXbrwf7hUX5yPDi/1EAc9SfTey9OdorZqwtXbl1/e2O+ZbVWXsWIyhqg11tdX1tbXXnw - 6Pnh9vb+zsFgUJxpdpcX59bOzS0stPcPDp9vHYQAgsfkeHSw92r/IHetD77z/fGXz58cTJ/c//zo - 8FsB56tIdlT0D08GR+PuysXLFy7OzXTaCUwY7m8+PDnYBbg9M++jPn1+OCmqjH03AfzET4ehKgix - KvJXL7cnw6nEun7FEEJe+M78yuUrN7/z3Q973U69EWOCxmo8OTnsb42PSninhakUptFQa9MU59aW - ut2EycaIIFAw9NSc2hKsZShBI2JBPmeNho2xqZDzsAHWuoxtGkECbs/03n3/29du3u7NtqJqhLcE - RD/XSS+dnVudbdzb3NvfPxhOitIjJvWekV9fS5xiTkSwjCKoxixNCIghhOCJmYEgMAKoMAQxSAxE - xMbWq7RTGQIbUgneR9EII2SUOCo0BFJlKBFEsbC08sF3v3fpwsVeuxOqQqywNWTs4tzMcq+dkErk - PCZFgCJKfrL94sHR8YG6xqXrt1fPrjfTJktgIpDGqGSQGDQym0VGGIDUkGZGUxYGKkFgh79139YG - 0aoioTKItfuDkAEUEg62nj158iTALV+4cfXWN5qtxAJRlJ0jBqgE8/XVmbduXv7JvaOdYfXw5e6N - i2d1xgDiDCx88Lh2/datN9/r9BYtRUawhqCxnZmN9bPNbnfaPz7Ky2mIQmAIKIADUmbmPOBkXDqt - Eng/GfrJ6PBgy1qxNvHBRclej0cCjRoDJILA1kZFBAgW7FQon5SGHdcpdIQIZYmBSYwJYK/sI0cx - InVsnRoEo4Is3dhYu/PWGz/bOtk52P3y3t2N7jtodaGIo9Heixf3P/+82by8tr6+vNJIDISmSixq - 9HV2qJxycai+p0RIhFkAQFgsk01SGAuR4KtpUVYxUMzJT6tpfnx4YkkTK8RSifckICbjEBAClJJz - F67cfPONy1fnLUNDPeymnWZ2YXVuZYYdx2lVTcQCcIhx3D8+Hj7dzQfm3K2z18+tnlttwUaIhUdi - kGbzCxfWz129cuF3zzcFlVIgowAkeDKG2DAbkQCNTEJsASBG7wNClRgCtPTi5fVcLMpEMICQj1L6 - qISNjY3vf/DtM4tpZpAYC2UEAvHKmdXl5WXQk1hNxRcJoKr94eDh46eDcZ6k2WyvV0wnWy+PUZUN - gwYNuDpIZDid5kbMZDDZe3VYVuQ1TZP41s2Lz3/bvX9/+vjJ44uv9m++uWacNzrJp/kX9x492x7M - zJ+9cP7S2soCnyaTWQCiMPLHrHEm7R/uHW4/R8Dq6urF67cavS4cVECWjCFFtECaOLZsqY71DEgt - URrhhtNSywmFkqIvy6p/csLVyFIIIZSRQs1UNQZAqArSsDi/8P0f/Gjt4jXjUhUBBBxhqqWl5trG - Cv367qSMo7z0pyeXazS9xm1ZT3W/ChBxIC7VFIJrb77x5vtvz8/Bec6KeKrfnWmeu7i+vjS3rBhs - b48O9wf5sNlMhpP86HB4clS6M0namdkfjtKtKouThlUfYuVDkjaGo1GSJgdHR8PhsPJVKplV0Ner - LlUVJQKMhUYQI0lrsXBZTcYf1U2NAAAgAElEQVSV16owKuVkvLO9E/KBk6lFCCEEOAKIXYQE8Qmb - 2UZnZmHljRt31tcv16lgGg0JwbjF+cVv3L71bz7a2hkf7718Uty5HF3DAgKjmgbFwrlb62//SLl5 - 5cbNc0vIgJSQAghIsuTcwvyVjfXPn1fbk/JkVEzzStpEEgzUEUhEJIZT1AiIkrCxbBGlEg1E5ACB - HxXbL5+Nj/c0hFarU3na3T/ai4VRL+rzfGKdOTnOmzahaXWY9w9Ojvu+mLU06u/nR6/8pFxaObe0 - caM70yXgddocktmZm+3bF9fPL3buPQs7JCoiUREICUElQI2tdeRERLBJAvGnsQRsrNhijFgVUlUk - RaxOjve21OeiOg2oTEMMwGBiVlGfW/Wzvd7122+uXb45t7JkyAM5aQTiUq99ZnGhkaRVKdNpBLcU - aDVab1698Ply66efjT/98g/L337/vXirwYYho8n0sy++2tzabzZnrl64urG8ak9pKNCoospgAmKU - SNGqAHCkRiVGiWqSRtu6pB66CcYwLBkoWHz0hcSIhE2SkIUABl6iVx9IsLS8evvd99ev3eguZFEE - KECBE3N2YXFhfols49qb7954623OMjiAa9iMW4k9tzIz09J+yI9Ho0lgAyAU+e6z3c3nVSBpLErS - 2dzdT49C8LHd6qgC+TSOBjZMjPjtVzt7h8M/gnP/1bz+gaBbMoYMTs2GfDg4PPr5L375r/7yL9vt - 9ttvv/Pee9/MsizG4FLnjGXCdDIaT4fBV81GXVQRFazxtdMP/o43g9LXb/29UW5ffwxZNvQ1dFtH - /LBjY0HsQ4yiikjQGH3wcFltG4avcWpAYQxcal0SlcY5qggoUMVme6bV7QIDJDZzTL6wEAPAumBT - qR8A0QMBUMMgwJeVM7bT6VLWNC4zFqkDg+DJ8qlQAmzIptalo/GkKIpumg4H/UH/qPa2vP/w4f/y - L/9lxjGhykBIlRSB3NH0NGpsNM5PBoVQYpIsS4zEMgbYJFvduPyn/+i/+e//4i+SelJSNFqcIgVK - 1E4WBD4NmFCwIBpoQo3kxs3Zs5ev/vjPj+9+/uiv/t1PfvHTj54/6YMEVI2Gg48/+kN3fmNupr30 - 599vOFtV5XB0XJYeQKiKKi+KAt4hS8BpCrFEGmOsPy8GtUnCqRMCmC2YA0wgA8uUtLuzaa83FZDC - wrCJ1y+vffMbF/7TL38FX5UStw9PBuM8ggJCyMdaFE2gSRk352YW1lvd07BTkMLR2YWZ5blualAw - TabFs83t21Pvu00CCxEREQSxQqwMxEItIxKyJEmzBoIt1VQRIQaCGFJfTHw+8UBRFP/hP/7NT3/9 - czgRE1KhGZtx7o8mfuAZSEAONpt63T3q576TddprG+ud5j3Eqpge/+//2//6s59/dPPNN97/7jff - /ubb125daXebKdPf5p/XPNAYAI2EkDiNIqO8GhahqDQERVSrMKYWkKPy0bJJiEC6cXH96rV+s6G7 - 248ePl7pTwuTZi2QmRZbT588fraZzd9unL3amEsdowFwGEOn1Wjv5OioNXfFzl0tDQSgCIS8Pgik - NrE1MpzDj0IxKKfj0XgiDdtbOGczI4Czte0xoDFEH02wzAJR1CxSz+rrU50aZiaE4KeFJXLWceI8 - oQLUpMzkQDVAA2Ikrdm5M/Pd7dSXM6hsDCej0avD4fFgZK01HAN4CjBsgoQ4JFmr1W61mk5kNB7v - yfwySKFNYyC16XtlgRSJgygoEod+/9XB8V45PD7Z2exvb77cLR88euYrUXAQrTQqlGLFobCI3ocq - cnNmnmxaiSSNVFgDxEIzSx1H7PMstTML86VGH6XNcnKwt390PPLE7cW0NcNQWyfQB02YUUWMx1bQ - cCmShI1RpmigEn2QEMUmibE1fwOnuyWp0Q6ZP7t4/fbV9r/5/e6rzU9+95t/8sEdQ20E9YPJ8d5w - f3+8cPnczNqVOrMIUiIWiCH1UYoyazfMbOMkIiO4iNSdzkkMaAVUMEkCOKM6HJ6I1fbKDHUS2PrG - sGAlA42BLCXGABCNGsQKrHHdTodIfShD9NYiSZI0bTYa3UajZZ1VhDKqVMhcM7GsUv9mCal0F+zC - XGtnOIonuVaAM56aDoQG4OvQZB3vDD77+MsnO3uyuP7NH/9w6cxKg5ECjAgKoAB4S5ImbFlJvMRS - pYQaqEZY/5oazrWdu0aU473Np4P+0DV6s8sb3flF41DmlWPTTDJSgEtk1GqydSwhsmsYlwSvCYWq - KPe39zVSZ6bXW5oXhzyg5QwCQ02SNgE0M3PpwsJcr5pMD7d3947Hr0cFZWYwvGAsIsDsaSYhEkdJ - YrL5hZXW3Fzu0UjqOGSVGCRWVoozc0vX1i5NxtNQFP3+QXOhDYgvpk8fPzk8qtaX51fWrnRmmwoh - FIYNURPK4CmSODNr250UZpIXIS9CqICJj2VIrXUMiX4yGQatpRsI8CqSsCVSIlJCFRBEOGFmMDMC - kAdohTaiRTENTx49ivmAxD978nDn1ebdreHJ8X73/Jms2WLOBA6BEQVaRvXsDGwSlCsfqxCtBXGN - GIdYFVJOSFWJPaeeEABbgZGHyaCaDBuJ3Xq1/a//6m8+fbjZaTiLwFpRqNSXQfjzz54hH2/vPNvb - v1hWE8fFcDB++WK3LGmh01tbP5dmxgckVhJKKLVScBRAKEkaigjJUVVAdIlN0hSgoqjKvAqN1CXI - MvqaMiCqIkrExGBjoV58cTLoHx4NfUzOn9+Y6y05RlVCHMgaajcQigifNl2SiOGcMIXmQMXQ8WS6 - fzTIS32xuUM/++jl7rTX5oSL1E6ramc63Wo1W198Niwn4/7Jy1evLvQn6lqtXi++cXX15kb77oud - T3772T96OSjuIDEl0fh4cPzz3352OLHXrl958813ZnrGF5PEVSI+ikRQICcwKoqqlGJCsWApDWN+ - cak1txQBkwBqEEJEgIpxFH1UGOdkcHw4ODogYJTH+5uH//qvf9aWvazcshIluBgcxG+/uLf/8lPJ - j2I5KQsWbUg9QJvTRXkN+lCNAUrly35VTYmk051Nmw0yKAKacCCBlIgeHKhNsz3TbDo/LX1wzBZS - 5ePDk9F0yr28Mcut1U5roQX4QrihAdMi+LaxsAbGNJztMk1AWkkIJklSLC9cvrh67drF//h08OTZ - 9nCEZTsp9zY//fjjrb09O3fmOz/+JyP3q6Nf/u7Jgy92d7bHE1Dwo81Xj+4/GvWxfHvpjdu35mZs - 0/gYRgdbjwZHe0VZffzpF/uTmPX+QNal6m0s8v5BKPpSDI6PdkntydFJMa0kACwhVkEi22RhcXX5 - zFqStdihvs9UMJ30Hz/86t/++7/89c8+jgVrZQOYs5SSdGVl/l/8i3/+xhvXUmejQGGMdc6lTDYC - oqdnGL6CnzqprIq1rtnusMsCwQMpEiFbeamikrGd3qxrtDzgi6rtgrVsmikoNlG02Fv148qPJuUk - l07GxsAS2DC0/jBFTW5TUQkSw+mix1CWpcZQBMZTdZasNSqBNVimLGuwSwTwFTINYAU0VuV0MplM - 84gsa7ZtmkXFtCxaLqSOO+0WG45sXJqJKCkazYZCBMIkWcJNI1KWzVa3MbMyKaDNaOL4ZH9zPBlR - 2phbWWt35yyDyYjEKGKSRIAACOBD4MqTE9ZopMosEmdhEuGvlUWAgoxFJIiwRqNBmBrNlk0SpgCp - /GRQ5HnanrWdJU47onAEl7qIEBRJqBAnS2k4t9izSbo79g82d6c+goyKb6SmlRln0e70mt2l1NXy - EwAV1DcclhbnTJIWZLnZRZIGRSynGZegEkLKyagyL3cOkA/Jj0eH21tPHjy6++nOzguRjnUdRfs0 - dMQSIfoy13jqWlxUsVSjxGCXuKyVtZvZfG9mNnGpApWGqipNlrZmZk2aVUUSYaPYGGEVjpghiB4a - F1eWbr9xO/tPv3v+8vlPfvGz9y5vXJnrGtLJYHCyt3u8F979sxtv3flGkiBxsGAFYEjlFLetI0cE - GkUgbG1iAWEUHpUv2qkDam9c6g9Otg4PTob9WJYhLzafPP/qs/sPvro7GR1HKSupaidxRFWvMQIe - aWum2e3UuIhxxKYJRLaTxZl0rhEzG5W45EwAi2jy4XhY7I44d2uNuQudZjsjIKBieLYpDLFtN9Je - t2WskglpwxiDGGI+naSNlkmYCb6qgs9j9M1mwyYOTpyzCcOoWGOTRrPZNglDBcV0zDZ1WQKiIFpF - SZvc7ba7nWZNSxcVA8BZNNu9ufl2uwVfWgTHykBV5Cf9/sutV3kVpnn88svPd8vk84X5lNlpYH9Q - DF90kvLwKBudjPoH+eaz7aOjyfKl5cWZ7p0bFx5f6P37JHxx9/Ol28++88Et285ddbS70//D5/de - 7k8uXbl++fKVcyud+twHkABVpaknbpzO4EyUT/rjo12fI0nT5sxctFD7upRBFVGhTNaZuvILEBAj - bKMQfvRid7i/GSd9yYf9o4Odly+Gey8azpBL1KSwcFTTQ2LwJUJJRC5r2rRjDaxh+AApwflMj5eX - eyaxXk0ZNbzmZBOIa8rtH9EjFSiIJmXIPdRBUxcTUsApnBeEEolHxpltLbQaK0DMc5mOhuWoE9q5 - D1UJjbS9ezT97e/7njfmGvPOm1AIoMpB5N5XDw4OD45Ojo+Pjw6PDtMsS1wSFZZrHijHKggiU90a - RRiGRO/L4/Fw9+RodDwI0/LZw8fPHt8/2NkaHW4mplF5XwYKgCIY9apqiVucdpJ2p9lL09M9GjNg - E0QwqJUmDY7+eP/Zg89PvvNWIeddglFeTSaRLaJbCM2znfYMWRs9Egej0AAED0uz7ebiTK/VbMfS - Hg+mg8F4qacNiglz0zkLFYleIgERYovKKqwxYENpwjYJCgCTyfjZ4/v9g+1iMh0Mxh/97tOtzef5 - 8MCiNM6kmSur4mhn+tXn9ycHJ41Z0x+PB75opXy8t1Ue76QGZ86ud5Y3SgAeiY/GCRCgIVQx5KWp - pJ1kFhRjLCqYDED0ZWHZJZyklqLCK6roGywwQOlhVKK8erk96h+X03EoBoc7j58/+uSzj3+zu1f4 - xvmKs2jwOqMXTcfwuYhJGt2xp3GJ2cwJapUGeo1kvtuZ7faytAmkNbWow3Zlrn1pMes16cHTB1f2 - 96cqERpDeXx0/JvffPz85f7c0srl85fPLfYSgIBSfCh9ljaJEKMECTAKZxCINUZfhRArgY8ofahC - aaHOJOZ0GaCMaEiYSYmrIAGsBjGUvqqkCiwEcuoakmRkYUEIBaSAxEQ0ehkP87S70JpdyKPnIM5w - wkAUx7I4kyU0LQrk3hdqFUAxtuPDajTsj8rPnuw1fvLrT+9+0skqa12apMEHGg/TavrVJ78qhicn - Ryf9kfc4JaP81/P6+4Ru/58QU1WoiHhmLiu/ubn5+PHj/f2DH/zgB5evXHFJKoqqKiWWVVGNR0PV - 6JhV42AwoZi1mtxuEZFhFegfr9HfBlS/prL/Z4/lv/BLEdPruN3T0sy1IM8ljUazZUwlMSj8dDI6 - OZa0xTVjgABVjVFsXdFVh8NRfzwpBdEAjTbmF7udrrNc+CITrxpTQwmg8ChyZbZJ3bUSAMTAhptZ - 5pyNIeR52QTDJFSTjAOkKGIIGqPECFGtwmRaGOuSJAWqk6OjwckxAxIhVVV5X8UcUpAgM3BAKSQm - g23CZe1ur91dYJPBSwwlIzKD2YmaymNagR0SA0dgea3DjlFIyZIxdcLslE0EM0wTlYfVTqtxLs2a - WXtxYem7H3zn/pdf/PoXv3j04OH2QYnAD77a+uhXf/j2+3ea6x1jXdZI0hQWIBVD7CxQswTrC0tU - I5MKElHjnE1tDbKKDyGvEJyFCTCNdrvRY2KwJhQFvoQGSwUTYBRsy0p8RK3PTgylBlVNmnYZOK2L - stMICWCdn2nPtJsSAYixrtXt2bShBBHx3gfvmUzqbOIskQYJWhT5dFzk48pX0FZky9Y1my1neDge - Prh/73B/JyOUiipIKKuYF7Axgqty5CqUAJtWNAwwrHPNdm9hxaad+dbaD37ww+mEmukvfvWbL6fT - o61XGJWTZ9svfvbb3165dukH3//2u+/cmZnpqMIH1HIJw1AHFjYKkBArmBUUazeQr9ceakDM1mhN - mIxwM721tTO3b5x/ujPc2nz89Nlzal5pxrD9ZHN7azeIuf3ut1ev3ExbMIALAWEKePJ5Pp1OYqy0 - aWqf4tqKwVioIkZYYgDwkDJUk8l4UHnv2q7VnjH2j602GFAjojFGWCWAwYBIDBI9Qw2BCSAyAKtK - CKIKYqm1h5woJCikgjMAtJabEQCpYj4WXzUazUa7k2Q+SoRKjTYKbAC5slLAOlv5Mp9OinykMguo - avN0QURAnduSTx8+f/67L7/87Scf9ccHo6Iv+dgPB340GEyyZztS0Zksa6SNBlmTV6Omxsyog0IQ - 4ThpcpKRYxh5XWa0mbq5dpYilvm0Px6ptdYSh4gYBCRJlsz0slbbgAw0KqJEdUyG4VzKxoAQpPKh - DCGSFctMZEFGlEIkQ3+85jXmCHW92Y3zev7cysmz0ZN7n+7tvByfm+myPHz08sWLE3D74uWbaxcu - vi62AerhcypzjiGEWIEpO/VmqCG5ktA0cAxnAFLk0/FoUBZ51NQ5R0kiQPTg13ACnTbDyH2RSGxa - S1FUtaxK0UhEbDiGsvKe2IgixhhVALLGITWGTrW+McJIhENiPWsVihxeLIMIHgaxciJ1bkk8Hnzx - h3v/x7/+d5MqXH/n6re+/72lxYYFfOmNi6CanyaIFXzJGrimFNWPIWKQUbC85hcTAAkIuS/GMQaY - DK4B4xSIsTKSsjMQIARMhqoFsYKNsiO2zpJ6X0wmR/tHk1HRBjW6bW5aqh/RARBFrUdDdFwZmqhW - ZYhlcB6QEg1C6qBSGi5cloEEgeEjyBo1VRkm02JaBbVQA1H4qjBsrM3gUwqq00qL0gLOGYIW09Gw - 32cyjdZMs7vIaVsIQccpBaIGIqNScATHRkbWEUI8GYwn49IYpEkrGCfea/SGkTZS5lO7LQdjiEQi - g4gRoWSpvnACIHqEAHCY5P/x5//+l/c3nz/8cnS4bePUkZ/0D/PB0dbUTiaxZzkqijL6CgmnsBYG - YPESoWSS1CapsUahUSJUmWFIHYllGJciaZUCAjIDK1HL8XRwVEyn0+Nj/2zzxeGonUrGZcwnWil5 - IpPtjfL2mfmLl8+snltMU0uRp9Nqa2t/PKiscUtLc602M6OKlTHqyDjnWKCGAAoSbYiwrAhVVVRV - yWSajWaaZoahiihSZz8YZq55PDh932iIUkWVvKhCNHOdOWcTg6+ty08lm0KY5OOqmpJWqYOzIIRK - yyoEH1Vg+v3Rk8dPjoZVK6kcJpanQfuV9I21/cNsptc+1zl7fuNiszUfpQSGWYsvrs+uLMx8de9k - 6/nB4e7h8oZM+lubWy9e7J3Mzl3ZuHKn3ZnJLGwESAmiBLKJshM9tS1gyKvNZ0f7r4zB0pkzM/PL - 4esmW5m5pq6LtRwVCMGQseRiwDjPRy9f/Nu//qszyagX96rJmLkL7mjMy+FmPjqYn+X52V6j1a0N - 9uuiJIAIQgBx7V4CZ7iZ2WYGqnSc5752/bYgZUQHqWrNE0hmOkmv14FLp0UcjyaMViiGg9F4WJA2 - z7R7Z2OAizCOfJiqLa01UdW4JGk2szSxKn6aj4aj8TivfExIu73W+Qvr2fbL/snoyf3nG+c5KQab - zx4Htu2zFxcvXLn0am/zxdPDnRf9g53Dg7K3kG7v9e8+2grA8vLqxbVzTQOKU63G0U9ijEUlX9x7 - tHkwTLsz1jkOHmWeUqQw9MWxD35hfvnsmdXZdscxpMqBSGwibCWJr7Gzr5tjFoKEqjo+ONx6uWnQ - sGiqMdUQ5FzidDKZhqAmc1nWtC4JUcqijN6b2uCPgHCqJmokVkXKyk/LUAlFqp/dDCIiYgJUK++9 - DxFwaQrEGAtTFdDQbZil2Xan1RwqlV4qr1KjtQRDp9Q1fM2b0EiGE2eZYK0xhmMMIQSFzTIigUgk - qCFlQowxRgVgLeAV0QMhS2230zHW1n5mUQSMNE2h0+B9URQKIuNAxhhra8I7oKSAtBpJt5myYjIp - RtNgUxjD4qeT0XGM3jWandn5JG1oAJiYjFr6GskJEQkxpSlQkEYWr1URvKuilhEBUMAQTP3sjKKq - jdQh+qooisqHWg3t/ejk+PDgcJI3YRqNVsea11bAqsK1liY445uJYWNiwGA8LX1QTaACjSpBIpRs - QFIIEgbVKH70FqHZSMjaikxJphQNiswSIJj2D569+j9//+RvPn950J+YapiEiUxP8sH++GR7a39Q - zd6YtW2RrCpRAC0TDMNZrquTAtYZQ1AwgsYAVovIwUtRlgyXEJnUTotyWky11hnCENuaBRJiYAOw - RYiY7Z2/tLFxbmnn1eDJ/S/3D/YH62tzNj57/nL75Q4JLl24cH5ttSyQmdrYiup1jgiUoUAlRcLK - bET+SJNMDIwlizAZT/eeb//N3/ynh5tPdvoHg9FQKlGvk5PR8OBkcHA8LSo2mjRT2Foryc44wwlM - EtkGiUUlLo0M46OkbKDq1CdxSuKVTeBaWyCmKsoqTjUN7dVsdsUw1xw2Ps3wtgBDVGJQjVG9D2WU - wCbJGg22VgkisNY4cgJjrFMYAhs2ltSXuYUal4JPjSHSxJK1NUZLxliXFpV47xkiAZLUNhe1gRaD - DTPDUKxyX+YEuMQZw5O8zCs/GudbL19ujfAocQmj6dhhVE13nU7KvNfIGpfPn718eaPTnGHNLJfz - s+1LG/MXzp/5fO/wycudg6PjpYYUo8MXz14cnUwavXNvv//9hYWlhFAphE47f67rBk5RWJIwHhyP - TvZYMDMzM7u4qhZ5BfaaJkpOmNUAoNNODKHCqL/19Mkv7736+d3Nl/v9ONgzZd+UQz8dFsOTVzt7 - ZbKaApFMPSkEBRF3Oy1nSEREuQhURCSKRAUmgkqVcdTCJhY2jWzkb6WSneK2f0QiVAElSrKmTTMv - qDQGBAVSBtjV7kkwDDLz3e5qu7O/9UqrMiCKNaWPxTRYkxVl2N7dk7t39xro6LQaHpGxSZJWIfYH - I2vt+tramdUzzjljGIDUHjMEAqwxVI9aIghBc//Tn//k13/4/ePtF/18EqZeSx0dDqeDPZTD/uGx - zK3GGD1MBAyMGhVE9SERatgUETFCgBhgFY4tYNJW6+yZlW6j4SSEfEqk5CCEJE1Tl/kAJG1tzE2F - yJiGBQcxysYA5BAVGnsznWaj6Y9oMM6LyltjHcTSqWAURGpI6i2stSyQKIBGhVctA9oGzJQ540iK - CTZfbB3HT592E5aJRcEG1pnxdFycaCh5ZW5+br3XnZ2hLFGUkCKRIrXImh3KehEgA1MnJivAbC0n - 1jklKSoGOedcUofmkU2dU0tCiDVQjtqQMJbl5ubWb37/H37/h2e7O8fFZBh9QWHiJzvT/uaLnZMq - LqRLsyWS3CNjJKTQSLFKWNK0mbW7SXuWHHKR5ulEISylxkDgENhXiB4AEufQbVy/vnrr9qX7dzef - vHjx4OHD2Usrmp/s7e/vHPZNe/7G7bdXl1dnU7jXo7TNkrr1IsPWJDAAKlRlLPPT9E5yytZal1h3 - Kg4HYggmepKoMcQYoigx13FyBswEimLAkY2HqeqagwBT3zG+6WziEqjJI3sySTu1HKiOnidjSaQa - JpwnrimCKpgQBb40PocEYbN1PPzD3QfPm1U3za2xVVWKaDOWXfWTo+3Fxbmz5y8uzi37AP2HMn/9 - /8jr7+Hr0v87j4maSwLSvCgePXr8fHNzOBpG0d3dvY8++ogMC4uHL/Py7t0vyrIgwuHhwU9/9lNp - 2LVzi++8fauR0OvmE/g7Hgav/0L/+QP5L/pqRF+rEF5rgBVMYLJJkjW7M70km+okCsJ41D8+2l9Y - WUF6+r9rvBoMQCFydHR8Mph4QmDjWt2Z/4u8N4u5ZMvSg7611t4Rcebzz1POw828Y92xqlzd1e7B - tGxs0wbhbtMGY9lN8wBYMuIJCYnhwSCeQEgIY1k8GIHB7m5DtW266cFd5VvDrapbd8x7c/4z85/n - 6ZyI2HuvxUOcP6u6LTNILR7s85TKP4dz4kTstda3vmF5ebbfzT2iKhRJDWgQs4QUiJR4IoZkMEwB - c0yZc0m1qqo2MbMDIylSUqgyQGjMBAxqMSVhYSELNh6NyvGomW2K/uDK9WudnHJRl0KLkJmOlUrf - qrMuZ91XXv3C/MJFcVC1lGqCMgEQTVwHrerUaknTZ3AjRiJnZkpkk5EzpDRiACiAAskpJYa0nKws - dpYXl9754uuP3ro16HOMR1tHa0nzjbXju589PTmuYuoRi/PCvsEczQs5AfF5Pp0aQCy+ITWrmRGZ - TGRBFBVJyRqpKbGgsbBgAlEBPavrUIcSaFQOQvBsjRUneZHMw8YwKAtPLAkVZHFy8Z0jabJfmFic - c8zEzZI3xZQSyINlspVSI02WgoUyhhoEI2fM4oSgdTXe390Zn50JQ0yWLly8cuOycuAMXSKcnGVl - XXMR8l4p7RIZiv5rb7y9eOFq0XZF0b796lSqpVsUPpfNg9H2UbW1//Tp9rPvf3pn4bsL5VnZ9u03 - Xv9ClospGrwTABNYiNWA6FkLT5mDCCCWGAlAAjgHi3gkgkGJPIrh0uLCF9+4tX/w3t7moweffz6z - tDyU+Olnj9c2jyTvvvrGW8uX51zTb2qAJZCKkBDVo7FWwREAKBE7gTGiNiZo1niDsBE0pZqQmMyQ - zM4f7aYTYpBJEwDAeA50wIxEPDeKaygI4lhNVbW5DeVccaWKmBCbSkkaqlEdKxYfzBKsaOVF5kVE - dbJR5glAbGbRLJiZJiYUXtoMB4uNTkaf8/vrw4P9469//et//7f+8T/+ztfnl4etvi84eQ1Z5vqu - 53dHdRLnMnbOmGKMYBz/fzUAACAASURBVM3YhAxgBRvn5DxJEw7TdICaOerlLmONoTwbjSDOgVnZ - kZCwOkgn90UOIxA3F0rBwoRMBNE0waAqyVArCoEIC0CNfBEMI7CZUC3IDKQOvdmlpdatS8tPVz/d - e/L52tP7O7cWs27vgzvP7j4+c3769o1bty6RnxxvClagJi6dQ4yW6mzScgkUSAZFMohrjJZijVil - WDMz1MXKWxRTKBRSo9GEnvvhNC0aOYGpWqzrykAsjsWFUKaUkqWoMalioqR1zPIcmdDJKGJclmE8 - JurCCZ472CaFJmhEXX105/Pf+/b3v/uDj268/hNfevON61daLQIUIZgzduTAHok4Rqsr0sQMwBR0 - TrOQf6LeJCCJI+ImI10m4weDcT6axIRUAwEEECu5xn5YzKBqCbHWmIwzzzlASAqvPxwM2GIKJWto - NJ4mWQLsXA5qpsIGR9BmBZBgigRNKKtYxYkRiQJkyTsHLhA9QtJynMqxZ+R5BiDGmEJwzrHPzefq - vBIMDVe1mNjT4rziapNO2WjVgYycSIoVLDGRdx7kmlrBaAklS9VEBmeRyDEadzs1S5QS9g/vPdj6 - e//b1373s6fH208Xhq1+bm2OGWIn5y4yd1QSExEn5ZSA5qB3ZmRRE4xZPDvHk4ph1oDepmxJCMQu - cRYMBGRsSAGhTPVII1rt9sziChWdrq/aMkojQpVzbLG0O0ty7c3s6u1rL710XYRZnanUFcUgZnCZ - iY/ETgOYMpCITBYRyZCUzUBODBpjiCEC8C7zzgs3t2oyBKPmjmrM65q8IjJTRTJogiaAuBGboWEY - TZoS9oALIaWopCYweb48bqxGiIqimBr2Z6YHHV86VWFEyZPMBsNw0L95fXph7vLbb31h0IZZLhC0 - 3OUbKxcvnqbvf77+aH1z9fEbl+a3Nu4/evxg77S+8tqL1158w2fIBBkI1OyptbmfbTLjALDR6UlV - njEjb7U5a0Wcb2GZG2APUGKBQaMJF446lpB7krZ22jTV6c+olHLEeYeylsXcTXNms+KLl1/9wvT0 - tHOTesHnj8ikJzRCMjbKXO6Fm01WAyxOtm7kfnj7xqqx9SSRkLSua4GxxhRiHRKyIsvbmpQNXiim - KEgOXgnEIj5zWU7MdYzNfxw1ZWyd4dSLL788/Oho93D/zg++9+Xh1fzsaHX1cZCstXilt3Dxxo2r - G5/PfPTN44P1R5urD69Ov/hk6/ijJ8fWHSwsX76yNNdhiJbBgpopibqWbw/6g35v0HWOEfJUFv1O - IXQWyt7ylUu9mesXrr95YXY6J1CqCQns1LVr6QbzdVAon9uJmXdu2BtcvXTttVePM+l614G4MgSI - m5+fGfQHXjwRi3MQVk0p1dD6XMQMNN8vs4goqI5WVjGdn0KAkkZP5kjZosVKNWJizcxJjVUJRsKZ - FxFB4qSk4Ma1QM81nni+Vm4UbE5EWFMCjAmNexaAzIEDkp7DKWYpNka2EAGaim1KwnmeiQiIklpM - CsD5DIFUNaZkIJAQi4gDEzQST47sLHNFnjGhqkJZBu9AzGapadRZxPuc2as14AcxcTzfQBvALGCP - MIKZF2o8UtUoWoNNNIBlU7ZARN4JLMYYGqGuGrGhjqmqQl3VBOSenTzvk0Dgps09v/UBImEiKBka - pVpMKRqSSYKPCuNJ2wxNguSFIBLJVUrBoATvGGej8dOH/+i3/9Gv/dYHv3tndzi/MpVZj6rcRplD - v1/w7r6qwXJYroqkAMeJdVFzHyjYQZoWTcmUYJyixtDA7sqAJ2ga19WIDGSMxj5IQASLxMggAlEM - +gsr+vK15ac723tPPt/YeLZ5cjvr80d3nzx6ttvp4trly1eW5hrspZ64UIIZps21qVQrsDd45cmp - wUDOIFQpVE8erb77e9/7n/6XX9k73S0GOTsW5KzeuaIoWlXmynJEbL7wyjAYmBw1njaiJApTDYY0 - 8WdQZjWO0acgZjBKcAYYjDQZKJCPWZfywljPO5dzHidIlTURQGoWYjBTZuIsU6IIQC1nBjcAuUSw - I2YmJkshqpo1hjwGmHrvjCgkKIxYyPmkiDGmGCyZKhnppNu2ZuPWeOfWKVYMeHHO+QREBYkMBgMZ - DrqZeMS2J+986hcaxxQHV68uzfQvX7q1sjic7VjhNKCTr1yZv/nije88u7O2sb32bO3WbH+0u/HZ - p58cj8Ng8doX3vnqYDjDBkIyktSEc8j5fs8aYypNobJ6LIDPMle0EyMkcFKnKhPPQZy/caRyfLq1 - /vXf/e1f/cYnv/3Rs87M8lyRhlzmYew1Dlpuj5UtmWoEpedmGkyZ982AYeSiSUiNaFZhAVwDY7Ua - DIgHO31eSuycb9tIbCelm0DknBMRM8CSWbQmP1YcIGBn5AjC7DISaQy3WMi5OmpZ1jDqdjrF3MzC - /My0T0WQClGcK4pWiDo1M3vT573+4I03Xu92O96dZwHZ5MicKEbNoHp8dPT44YNf/9rf/+1v/d5J - qopht58NXPLCeb/br3WUEUpNRGzkdHKygwWWEkcVAxEZ0AT8EME7QIFMsiJ37LyZgzjxxEgGJ5SL - kYGFzLuYojAKgtPUdMFgQBkWDanBP8uQVJGxg9ZImkIwVSJikUlJEUeqptYA9A3Lxgwgy7x4gRPk - eavdGvSGrXbWF5zBVBhFnqOVTbWWun526tJwZWUlL/JUn5EFR7UQiL1SKzXgCxuanF9iCHl2Akoa - uKk8AgMUloljnbgL0nmZCinu7O3+3jff/d+/9o333rs/PZzvtHzuyNk4R+y1sk5OWSo4b9eQSpEM - RsYwR+pInYjkLS5aEMRI1uwuNJJGUlVFVLLEEpEZiIGuW7698uKT23Jndevh03sffvTmYiccbD98 - vLpzWvbnb774xpfmpmf7NDEcgrCIaIQahBotmjTgBUxFmEiMSBVE7JrtNqCGFKOYMhmTmWpSbRxC - AUBImBwzE0dySXxkRCAi5JRACo0OxiQgVyYORo5BEFCCGogFSdMp49TxkEGkAgXgNKWQEnnJep3+ - 9NRMJw3ciAij8QhkXW53KM5Pt+ezhZVX/+jNazckNRkaf3AY+2f49YeDVP8/XzEiZmFh03hyevrh - Rx89e/rs+Pjk7/7dX/n1r/2DzBdJU+KoHIUYRwindYb2d9/7znt3PxmL/cxPf+U/+Y//w+XF6azT - 4X/i3/5hs/7/8s38f3mdb47P1b+NBAgM8UW7Mzu/0Okc7Z6dGMLp6dHe7nZdLVr+vAD/sO1ETLu7 - ewfHZ+ZdVbluu3vtyuXZYb+doUAHwUfVcjzWJm2604KlugYyGAmM4QR1VY5HIix87orOAqCq0CJz - rVaRF5k4AsCMPO90uzFpXUWFZpnPM08AE26//PK/91f/6os3Ls/P9jKNNjrLYi2t7ti3zlwerRBq - 5R7OgyZwSEoJyiSu6PSGg2nx53afjcW/RSLJmFFPTLsj+wQ2REMQSMHezCqjYIh1LJ3QteuLv/TL - v7izs/rx3YOjA7GRq0p20gF8CLEKZR2hgHfsmBpErQl3QVID+SxjbnwtUVZlWQeDh5kjbmW5ELMx - e382Ojk8LbPucxOuIoRUVmNmWNIUUOQd7woCpRQJ5mWCmpGweMky5IICgmSoq739veOTk0YtUoWw - tb1dVZXj3Be5F2qqdVXH03F5OhoP+nmr3SoGvXbuuEGziOuoo9Eohjz3bnlhfqNdrJ2VWZH/5E/9 - zC//O788Pdf3LS5i7CQtquoscmoPS+mMLItZQW3MtNAGYBkyefnll65fnv9Xf+Hn3v3+J7/5je98 - 7Te+sX0QT0vZfLr9f/z937GRXpi/dOHybCuHEiIQzlf5gggNTqzb9p2Wb7XYFU4ZQZsm20OYyCIq - MfVcADQ9M/XjX371o4/e/2xr6/7nn9945Z2pIv7gg7ubO2et3vL1F273plA3CRUN8pBSu1XMTk/f - W9cwqkKF4JAa8W8EwBACqU4cqaTTLnrddquVBa33drdmFq52+yAgKWKE8/DOA6RI1PhAqhLEuazR - Hdd1zVBVbdgBMGhqokcmKyURIIeh8V/Ww6P9s9FpbzjI2h3xDrCyHJXjMZEQOWs0czAGUZElq8uy - zLL23OyFleUrmSfQGRPFAGWYgOysPt14+OjJ3/k7f+fOw50b11/8C3/5X3v9nZdmBkVHktdyVPf+ - o//sb/7OP16t6zgqy1pjNy+QRtCaLRFR0yYm4gRoiiQMsEJJk2jwUAiRE2U2EEFylxFT0Dp5M6YU - VJhYJPNikzWDxuosVCXEF62uy4pxjSKPLXbEE04UEiEZXEoiAUbEmWTg4WCQ3bqycv+je58+2lt9 - /NnT7WuD4oX3P3l89+GJz2ZeuHbzxkW4SY+aQIoc7Tb1OtkR+Vj5ukbK0ADzzjffdNPaRNRnyGV6 - ejA1nKKtdHJQnx0jJbicYAGkMIYqmBjoFG2PAARYUE1qxiwsDiCfF+J9WZXJIgmLywBJMFVtfA8N - DWwvOBmN9vbOjg76K9fyfrfxonUg10RBjcZ7uzt/+1d+7XfffZd868/+2Z//4k/8sfEJXAvOIW9l - pqkJSQAgKVpVUozkDQbDRCT3fHvVOLPBAFLkPD0zzIq8rOvGNFqBdrvwyVkABYCBTuE9gQzJkjVs - K4iXYX9wceXi7rPtOsQy1G2GAmVVFxB4PyGgaahHp5Riu1UMp2eyTjcBrQKZNbi5wBSxAuUQD+9w - UmtIRd7KijZnLSIYwASXeZBBGUE9KAc4BcfkvBCs0+0MB4OU9OjktHV0EsGSwRMM9cTR3HfAGcY6 - Gp1WdQ3JZmcXB/2pGJABMLUUhQ2wqgqp+RuTEioiOSyawSwlUmscj6EEQGTt+z/4n7/2O7/+m98c - vvTOX/ylf+tnf+KLF+d6Ha6zeBqOtr63evxX/tpfL2F5q91q98Qh1SreQJqQGtAiGVQnrfVz38wY - 6liNSFGFOKp14k2sAeWJIHYL323jna/82M/90n8wd/HKIB8XdtAi+DgtYSomGbXqql2jSD3SOpTe - uNsZXr5w83QvhBC2tp7xwOfFbO5bP2TfNGFhBBYhKWBnzBAnImxqoY6NgogJ3kljBaMWFUwkzft3 - TghOajJoluckcnh8VNcVE9wPafMEENjnRSfPc6FROTqLoRJQzrk4pwYj/sIXXvvTf/4vv/HOVxYG - lOkhUFbOV85Xibz6HAWr8y3Om2WntGD+5u0rt+5a+zeera9uPPos17eztUcf379/t6Z88eabN155 - iwQOIMdoKmoIdUhZs7JggdaAXlxZnJ+bNmzu7u/vHh2XKGJzcSZ3vZqFaBpJHAtTxmgL0ZXLK2/+ - mZ/+pb/4l1acG9YVawhiQYwt5FbnWikcOovo9FSaXQomUyEjL5A1j2UdY50sajlWg3YHHfhmtEBs - RvaGVq8J45Pd7Y2d7S2L0fm8VRQMdPKs1+kQ+1GlZ6OSiRutQjtzEYFggETjKhk7L94775aWlhYW - 533mEak7P//jX/3q3/qte6ure+9/691/6Zb3452HD++f6lJnajGb8tevX9m/Mvdr1d7mozsP7nx0 - 69LKw/WD+zuht3Rr5dL1ldleJgrTzIsRKfvB7PS/8Zf+7T/6429fWJ5hJKiHeiEiOiU6JYpGQ3ZT - mRdnkXIHSwns2lNZf0GK3snp2TBvZYVrOJitovXS7ZeuXb34F/91TepAOZiNyIhFqNNuOc+pOivr - OsQIhvfi3Y/kSDAjGmIMIRF7cnk0gviJ8WYMFipH6qCONBP2IgDGtbKzwuUkbYyP69HpzvbWyfEJ - eovic5dRYwvWiNylqR0NmtsQU8EwHY9HVTk2006nk2UeDc5DECZhkOmEvvB8FmiAQGar67OzUyIy - ohBj0omNLgDnXFG0DJTMmB0RQ6EpUg4gwSIsEcBMTpxwZgDMskzm5qbzPCurk5PTsxATE2JtBCNG - Mg0qAciavWECygqm/U47YzCzy/KG/CTn5SPG6MR756CJTZ1IUbTFASRgPxhMzc3N+4PS1FI0UgIM - pOKlKUsgQozleGQai3ZrYX6uledOAOEQQxWjAgnOqPBNHKLRJEmHNMXaYJFdbWTihAChw62197/x - u3/9v/1vNnn57S//i7/4b/7ya1cXFzrcwoji/tazT/7T//y/+s5jX44182gV8AFgaIp1XTXwcVRt - 4CRNAGfCmSVSM1N4nzVUYUJwErNGiaVsakTaPM6ecwcPBHgFx84wvPPqjWePPn/3e6urj+6vvvzm - dHfhux/fffR078LFK9evXLmyPMh7iISqqfWAm7DDwUiZkwRJ8GBJCRpQOBBFxJPT/Z3f/e3f/B// - 1q8/Wdv4yk9+8V/5c3/6pVdeGnbnSAtR+fg73/6Hv/p3f+P//I2kUcmCxoiYK6Cm2pAevMvzopUx - TgHnfYYIJGVLLbJcxIwSnAIxxIypKNom+XHk47IySrAS0djnfL7ShInzWZOHqdYg+jDVaBINlpKz - JJxgYjCdiMmYTQlIKdUh1hFEyJyAYqjrMlK3cGqIankrZ+GqHIsQAWVV+cZy2cxAZopYO1I3MV4z - FsmLNvts5cLCn/uFn3/pSz997cKCtwpxrAbx3ohdKnxqS8rg0Rk2p7FAsHhh9pUvvNT65pOd3cPP - Pr3z9qXru0/uv/ftb41ru7zywguvvNntA0ieUU16b4ibLD1UYQQRHvY7M8NO5o5PTk+3948upNlO - gdwLKxNFOs+baTR4o+PDh3c/+9X/9W//YCtdvPGlf/nP/+WffP3GC/PtVn2YpTMeH/21/+K//Hvv - b2yrBkVs0GEBEY1Gp5qCc85lueTwGZwClcIquJBl0XtUoQ4JEKd0nr+CHwUg0nkXCABlXYUQxMF5 - ZtbQ3CrRYAkZRzgodnf29je3C/KtVuGLHCJJrapjXYVbr7zw1Z//c1/5qZ9Z6mbtNGqxkTiiCb7Y - dKE+y4pW23sIIB4xWII5zzEEtsRekMLjx4//xt/4G+9+892U0c//ws9/+Se/+tK1V3O0fcpOdx+8 - 94++9g9/7W99bzPlRYtdloAasYDluRcyq+tQlq0sdxlChJ+QmgxsZXny5NmTs5NTp51uq+8kS4a6 - Rl2ONIwLjwStzbpFIUzO4IQncbQEOA+ytY2nB0eHcMviC+cyB0HSUJaj09MUAhG5PEuTccM0JpjB - Z0ZkRC5HqlGFOtSlQFeWBl/9Uz/3xZ/9C6+9eiX3JfQYMbomyi92KLQyFNaBDDH2oToZmVWk45RQ - VrEMPCFUaBSHSSS9KjUpHTA+9+kMBkLw5GDaZMhAoap1qsbjo0/vff7f/w9/c/Xh4dLCi3/l3/33 - 3/jCS3MzA49RoYenO/f/6//ub/7Kd3dXo9ZwJnACAjnhTpFRinUdQsIoIAAtRwIPG6MqwZZ5F2Iy - eC9FQcialSCHwZXpmy9f73faB+tb9z76xH7i9f3NZx989OFhpSsXb770xhcHnU5eo1LlnA2cGr1R - Qmh84cUEQJZJkRdFwSIh2risqqpOSK4B/w2qEYzMS5Fn4kRV65hSEgM0Reek02qzEUg4bydBDXAo - O75u1iJhPKrLGpInzhKLAjWiT9E3gaSUnNQaQ9KzTHzmkHug1dGUzsYj8v7Lf/THf/kX/tSrl6eH - dBZS7TMHNtES1WmLYipW6nwlFOjm8P98Ibf//3jdUhOypewIoFbRevW1L8SoKxcujUdjVRIWECmH - RMFiOnp6vHmyDlin056/dtVP9W7fvt3v90RENbEqiBuR7Y8wLH5kPf+H9BU+B101KdvEBCEpomgO - BrjbG7z11jtrG+O17c8q6P37d9/9xu9df+HqdKunDGMjYhaBBdR1dXT47W9/58OP74wjm2svLq38 - 2JfeXpp2DgAEcNHIJo1xRD3KWbMMUVGDWpMPqTBVnSjcmbnRlYiDYGKdoqoppoZWpkmFmZkt2czM - 7PT0DLCvhpQ0xtgd9BcWFgoYl6cIAUUrSn7GnIA6QgMazq9BmYmoyYdjPU+JE4Lnc7TiR14GEBI3 - VAVisG8yaMwYRAR4RwJVpz4z4ghLMCbf7bSGedYGuI6xYQcokGIdyrPxGQoH14YCVIed/cPVJ8/G - 4wT0mm9eJztXSAOoECVL1Xh0cnI8Hp1StwCQDBJ1Y2t39elGFQD4TIqp7rCTF4QETTGmEJvCGsvq - 9PRkryq7koMa16Q6bGzv7uwdNglarXb76uVLncJbMkuBTInIiM1l7HOXt8h5i0ihFtLMSRNmVdW1 - NOst4YX5uUGvZztlXYek6n02OzdftLIslm0zxNiFh+9WDmOgAkrAo1mEeZBHp1e0tAC+mGXD5YWb - L7/2O7/3wTffu7fxeGN9bevB/Wd13XD4YOdkw6YcgYGYHFs353ZmKY33D/dOqnGtBWVovvUqlZAE - EsADNuj1Xn/5xuX5zqePnn3w3ndeePWPuEH2/Q/vJenfvP3apUuXOgN4INWABjAB3B1MLywsxPvb - J1vPDrcxmEfI2GLKIBMHQkoKbYz1W+32xUsXisLtHmzf+fQHSxeHiwtThEl70jRwSiCaBHoQs5qE - YEmhRglg5navMz07zU6Oj4/Wnz6bv3DR5ZO+qhnSoyE3RQprm8/2jg4XLiwP5+d7wyFnYTjsixw8 - efLk5cMjw9CfryMBjE5P9vcPAe98XzIxgiWrQyWcNwsUyHh35+H3vvudjc31ufkrf/xP/pnX3/oj - 125e7GWao0Q67ZftTrtDxDFGEXHiGWOkiBRy74QlKYVkUc0AlqYtg0Ib2z7WaDBuMmxBIN/vDtvt - FrRc33y0tXvLmGOEGcQ7aq5XqjWUMVZQDQAYE7VcSkyNocmEFwUjg4XJ5Jt7QqvDX3nrtScffvzJ - J9/59OP3F1+4Msin763uBJl+6+03F+fneoSsKRsszXal1WvPLy5sj8Z7GzvjI8RpqPzwVFComREb - vCAZiSyvXMgfbj7d3Nle2zhdWcJcA6ljcqwYQlDxDfaewCqOfZYZKCU1IwK3O+1Ll1aerj7b3Nrc - 2dmba+cdn/Nz297mTIox7R6c7B1Z1KvXr07NT0cDUcN7MYTw9O7nv/n1r3/3o4+nLlz8sz/7c++8 - 9ebyFMzgZcIoZRYYSQSMtQp7m1vVaJzPZrNzc0WrrWCmCdkiJkizjyCAFMLtXicrshCq7e3N4+Nj - ApKqKFzDBjdFiGUZqjoARCLE3JCNMydzczN5Kx+X5bO1zezKbRqwiINWoARK0FiORztb+2dHwUlr - YWGx2+/o70uoYDQ2hg0HhCLEnIMTZnYGF55fpQni1RS2RCnQpBv1hgiWVpHPz81kO8cn49O1rY3r - FxcGA8d4HkJvMCDo3u7hydEpJJ+emu71eqYT4rrzwgQmFnYMd04pmnzTMDMkZtAk3IEMk3t/9dG9 - 7373vdG4euf2q//CH/+T1y9Mz3aQU4XqEFk9c8Je3NgYxg0PY7KoAYG4cRgSZjOLBiZrRF0wYmZh - MgOxkGRpwu5XOJ7qd+amp5gQQgiKwUx3od0tkLtY+zQLAyK6RVa6LAA5amclQmKWolX4zNV1tb7x - dOrSPGEuKSYuLgAYbJOnC2CYeedaRcHETCwsDfkbApKG4mBMjVLcVCcdDjHESbvdygqftNrd2947 - 2Ds5QzH8EZYPMRLKURVrNRNVNp0koHbb7ZnpoRfEWMZYD4b53AxIh+BorjUGlYBXNGaLBlDDJCcB - ubmllYsX6ql+Z/PJ/bufHB3tLn7+yaf37q8OZpcXr9xaWJluJsbmq2s+gE7IwkaYiCE67Xa7aJlh - Y2Nzb/+AMQ9CTNCQskLhQMSAGHklSJYVrbxd5KkeWzWaHXTnW9QNLcQET8mBkDjWiDVcEa0YNess - oPm0OtFpnHOOxLNJqMwSqlQfHO/WKTy3HYEBRtCGACKH+8c72zsIsT/oTs3MqCHL21P9/lTbH2/v - H+6sj0anZej6TInZoFFrzwVZCnX9dH1z4+BYne8Mep1ORg21qjOYm+/PTw+yB2tP7n769NHFOjx9 - +GRt+q23L99+1QSLc9O3Ls4t9bPTnbUHdz5af+W1ZzvHu7F18+abMwsXPKFABCk7N5ieKbq9cMxg - 3+50FmaH0rRaBhiiFiFykTPTQJWgE96rWQpJq4RxpKicFy3fEDUJADE5dm2f572+gzmFB01KLqBJ - IzX09SzLWpnPXFmeVuUJgKRICkcAC8DjuopGJo7Ex2QhgjyEVBCdBdEaYVyeHaVQCcAZEzg1TJxk - p6Py6Pg0Jm23u+12x/vn7w4am/Xpj7SWREiaVJ//Jp2zIxrInkVaRdEqWpn3KYZY13HChlNoAprO - 2QxQTSmliWoKgNkkL5BIDSFGVQOBRUCREKFBTdVgRgQSIgaYGT7r9Ho+81U5evLk8eHBUfNjMAFK - RCLPVyyYhAUx1XUFmDCLc3TOQjODqTkRkDbeuGYmwnlRGBCSOvG9qbnpmVnYk5P97Z3NtTR3Afkf - mHv45Kza2tkNVTnTb928cqFTeIuwujYQ2BkjGqUJp+u8eycDlMm0AX+dw3k92lh/eu/zTw/24pUv - XvnKH/vZV157/fIMDRlOSmhBaYcdsUhRdGNAinDuR44kgRPnnUupqacEckxCxDQZKiZrZCBkTnMH - 1uhhnmGaGrg+KRhskhGiic8K/9oLV+4tD7/37dM7n3zQW77p4+17T3cq6X/hC1+am1voZJOzN0ya - R6iCGxovRYFFpAghiKBBT4BY6fjweG9z7cnjjY3N5ZVLr7/+xltvvbWwtFC4PtQ5w+bsdLfTzpwn - YjUidgwDqWpIKaAxe5oUYZpEV593qxSC1tH7giRXIBr7rN3tddstd1Ad7e6uH50dwabhxCwIpEGC - Y23Hh2dm4lzG3NxxE684Igg5ofO5hyZSMeec965hnRuIGAI0gd9OJGP3XLSaVEHi84IavYpzQH1e - hJOZNuDjJEMTlmd+bm6myLPDOtYhdLrd+dmBQ3DaTpSBsgC4hMyAcH4EN1IjouH8zAsvYmHhvceH - O+99+913LtcH5F2C7gAAIABJREFU9x7cv/+4O3hj5erN2UXkBYDEIPkRl5TmqX7O3c68axd5keP4 - 5GRjeyfodZvM48qmjc6q2WGmEDbX1z758IPtrc35hVd+7I//ydff/tL1K7OLHUVoIZ7izLc8CVm7 - 1TJQiEh+UrxiCMwkzukkpLmZZpqgrAQLalFNrVnbn8eR/X7u2HM7RwbMMRwnJFSjs7qsHKOuNUsJ - mW9sZEYH4/3js6M6qi/a3WG/N3SQbrczPTVgsVCNQzme6vcX54oOhjmpqsWkzEI8EXHZeZ5OQwEW - JjLEkJiJSUBUno3W19a///4PALz44ks/+ZM/feOVFxeHF13KnWLgqvWl5U4r13hMoAZbblb6SQOZ - UkqprstyHCO8n6zSQIqYTk7P1ja2zspQdAYXL11tdzrN4SEEUbUa9dnx+PTAtwpLNU86tHOXiWRn - o/HW9u7x2Zl4PzU13e10m5weaQ6HSUP3wwIgxEwMMzWb0CcERZFPT09nzoc6nJ2OWkV7fkE8dQy5 - mOYgB0JyCIBCM1QOHpIV+czMVKdXhIi19Y3d3SPDkgi4yd6EAaZJR6NRFWpPuZrFGFQhDAarJehz - jzQzwHl3sHbw8MGD9bW1xcUbP/VTP/3Gm29ev3qhaBOsRMpaOJga9Iv8NI04gSfSxwaRDjU0mWkd - I/j88sBgBidIMYZAzaOs7AxZc3MJMDtYurRyaWXx7ubx/Q8/fPT5O2uff/rRJ59b3pm+fH3l2o2i - BYpGqWKfJ2EAIYAN4qAgnQAEBuc7nY4ToXNYic6pxExw4gCkpDFEYc7zzKxZJTVWHCHUY7OopiFN - ohG9z2Gn0ARKjhILIFInq4IpIPCTaFEoI4jVPNmreUuoK+TkWv2pwdRUfLRuVheFn54azuc9RSIx - o8RWsw6IEni+tAk2Ivjn6/WHBd1OWoB/6o+bhw1ERJ1e95133llYWFx7tn42GmuCsGNh4xipjmX1 - 6Tc/+e0nB1rzhYsXfvpP/In+0uztW1eGU0PPycxUdXx8eBy0lqwYTPcK//veRTP3/CH5JjT1QDVN - LhQhqUYyz8zsev2pN956+4OPV9//4GFZ25PVh9/85jfe+tKbHbm9PN13BQtBVavx6Gjz6aNPf/DN - d7915+7DMkkxPXvt+rWvfunNpWkwEKBC3lwheYtxwjBUIxsfpRLJozR4NUfWyPZjmqRSmyVobJ5u - MiCiEchokyKRosYgTMxMxssrFxaXVxT3ABwe7L///fcvrSzMTfddkWUs4IQUD09GG2fl3nGYnb48 - PUV4rv0gMjLDBLdtHlrCeZk9v0zNL3SCFjJg4eRka3XbUVd6KGYz1yVPLBBFPDo6fHj3s53trRgD - CNPTU0tLi3mWM4hIslaHs8YTstrbXl99uJ3fnM8Ep3G0dvfBx3fuPX56MKqyhlBJE17/ucwDBtak - IYX45PGjR5/fn+/1WkVexXR6sPvZgyd37m+MSzjqDlvDi3NLw05bUAklA9fKCRqQxqc7n376rWtL - ceql5cJXXI5He4d3Hj5d3dyJcAAP+r2Xb9+Y7rJoJRYYCQQjUcngcvK5gVUtxkCa5ByAbIT3TFRk - 2cWLF2dmpu3hTtCwtrb+wQcfdoft+eXZbHJmGlR3d3Z2x3oYuOR2NuhcmwcU8XBva/1B0ZVWzxXd - 9vyFS9PLl26+8PrhfvXZJw83KZXj8cHpuIZLBBjE4KgZ8gLBDA4kzqHbsn6hpuXe4f7xuCwN6iGG - CEqaRJr+ywHqi9a1C0vXFme6Yg8//ejOD94PM8M7D9ZvLV249fIbi3N9D2RoYjcBOHDRnb149fJh - 8Y2nZ08/Xb3z2WLvdsgRTaSxPGCKkBpRU2oTSbt/8aJMDToP1/c/+eCbr7125erVqbzpADBh1BhY - mYiZiQTOkCdzdeKglMDOcavXG87PtPudg/39j99///rNl2nQ9wRAzbiZD+qyxOH23ccP906PLr3w - pemlhdagAxxPz0y3WluPHz483NsnGwrBm7ImpHC4tbO9sdsfLhSDRXUIBIYkxEJyBpBOQaejw2eP - H30yKs8u31h+9e0/MrtyzWV5I5xHFauTs3o0DlXFmSdAml7UDIBzjui5NBoAuAnKhpKBqbG9NCII - 06Sb4VZ/OD8c9EjK9SefPnl686SsPUvGTkCsjNNRvf54f2fzdHQC45GlqCgERaImiwzN5ZwI7BuE - nBMkISOQK/Dy7eu3L80WnB58/tngez/o0Nyjjf1hf/HtL/3Y3FS/DWQN/AhPlMG1i6nFay+8+NH7 - O3tP7m6v7i5lszZAUvC5vCgmzUJCNCgoa129fmP4/uHp0yfP7n20d9VdnZsTcpMzhQmJY6KQQBJy - n0A1KBGzGZuxgQnU7XVuvXjj29/65sbGxud37rvuoLPYfn5eNZ1XNa6e3n+y9XRfla69cGP6wkxj - Y4UYUNe7z569+633fv03fyuIf/vHfvznf/EXB3m/TTCHyJPpwwMghjKU61G1tbZVj6tO0ZqemfOt - TiD1E59vsKo0GcWmIIN3xaDf6bWYwrPV+2tPn43GmhNlEuEYGOPoeHN1Y2396Pi4gjiCwhTGSClv - +cvXlrvv+e2Tk48/vDu4/iUMOt4zYgRVsIQw2j/Y//zOs90NbrnhlUtXZmdyOocuzUDmoYOGKzOB - bl0gVypqIiJkem4LZUbNaQlRcLKmvTUHaxlq2KhoZdduXPzBxqPN0d69e5++cMEt92d8s9ExA2qM - x4dbh48ebx8fl73B4vzs7KDniSbXwXknzsFiqKKFc4QiIVkTy+NMjKGCZBNjIoEZ0nh798mTp6uQ - xeWlm7duXW2REh0BAZxCdbK3uxODkeaaSC2CM2IFMeC12bkQpLnDFWB77rIk4sR5BRyzc8/Nng1e - pqemFhYWANre3n348PHlW6+gDUbbkprVxBlcg98292nmiInGReEXFgettp6eHXz22d2lG7cX5wgN - zZ+ginp8Ng4a4Yp2K88YSlletDtdIgohlGWpmswE1kxheo7GPUfh0RCSyfl2p5gatluFbh1sr22u - re0e9odDB2SpOd3C6f7Bg8/u7+2eRmtD+sZtgzdg2GtfXBh2cj082Lr36O5bpz8xN2y1CM0mlQFp - 4D+D2eTIZTQLgK4MLi8uVZdWeqsb9x/cXb9399aHHz1b2zhcuvjK8qXl6Vm4BrptsAo4ZhECWTSN - jU8DxPX6w3anb4a1tfXN9fUy3VKGAiHFDIBqWaW9s3GSzmI/z9tZb8pNTbcOj0/2V3dPNg/CwgBZ - NNSwnCwDcTAYSCirjdSQAY07HkyNeLK/mfBwM+EWWYssOzk+vXf/zqubD68v3Wpl5248AI6PY3kS - EXa2jg8PT9AaTs/0B1PTkUd5Pj3TP7sx68frT842R8/WVqeXZuZbvkCmcBQDcx2PTrbXnj14srYX - eebilfZwkBcAAM6QDfs9urwwO5+vbq8++uzOpW3bWtuvv7J04cb1Gw6Y7hSXFqauLs882T948NnH - n925s7q5d0K9xRfeGC5cYIBJYQRfLF24OpzaLB9tPH36dGtr6/ql2cIxjBoye2P5Gp0IJ03OTcZr - EBEzmVoIMaWUZe7cU+Z8EWgMMMih8YqfNHFmgGlDWOeiVbQ6raLlT072jw626wQ/0QkrzMLR6OO7 - j3aPTqIR+4wYZI3zY8wsOKu81fHsaPXena2Xb167vNguAKNo8Czjo7NHazs7h2eSteaXlwb9fpGf - 95LP+8wfYiINtc7MIM434cWaGuEsNzctOdfpdNudjjAfHRycHB1UI1hxDuClsLO7t7r6bDweQQtY - mvyrABmiIiQ1GJFNwIEJNh0ZCWbEopIlEBNlpAKYgXzRm57rdrsWqtX7dzfW187Gb3Xy5iIqk8BQ - 1RiPYnRhpgX4DD4HMYsDUJfjWE+QLkuWNIkjAqshxJSagQkGQzIC5/25C4vLax6P99cePbl/p7w2 - 0/cOQjGm5AgmGMX7m0efP1i1WK9MtV64uNDJYKlWVYg311KGGk/Yxs9fjfNgc+IYWJrhHQY92N/f - 2tpMigsXVl559ZXZWcoyWAAApDAux+NylKI4FoswAwuQzAhgNjARO+HmS2xc1wykZGCcm6UYwwgp - d9TNuC0xhZNUnkBD0/oFNNMcERzgiszfurL84oXpYWaP736K/rekGj/aOp4ZXnzpiz8xnFvIGAYI - rMT46Pg4nflc+1N9OFaa0IyVkMj8hOmcAAsa6nJ0enJ8WJZnKyvL167dXFm+IkKGZJaSYlyenJ6e - GJjIpyBARlAgEFckEZRUkyqZATRJ1yJWkAFMylBy7JuUv8Ctoj3d71ULXTtYe7C7Xj7Z3bxwaW6m - n5uOcxtBRzg43FjbWX28UZXa5xzkMUlQmRzaToBzvs7zFYYTl/nMe1KzGOP5o2QwY2FPRFAmE2gK - wUi46EWGAs55pAoWgeSsJlOQmBQmWQOut4rs8tLcsOUeH5zef/Dgxt7hzavLLTLPEHACYEiKaOcc - kclRwoDDcO7K1d61SwtP39/66P2779/MT54+W9s6vvnlC1euXu73J15GzZ9+jofh+UEFANQfDGdm - ZsQ93tnZfby6enb25VQ0lbIxG0pVXZXVOETpZnJ4cPD0yZNyHFYWFt96+50LF2bbXZgagREjTk9O - T06qcuyECNoQf9Qm/OmJmuoPcPZZftiHw8ySWcIfeKu/79V0hspWOy29YevJ2rOHaye3XsstZc2H - TIrT0dbq7sbByY7LOzML+XCu7YocaXbQW1mZ7vXc0cHWo/t3Tw8PdWpRPABS08aViJ/P3ATCBIJj - QBikpEndBBfQsq4Pj47W1zfmF+YuXrpy+8VXesNZwJkCihhxdlZWZUjRntdEBgEpxcCMmOqj4/27 - 9+5k1xauXJ2aDP0WEeL+3tFndx8enNXF4uzFF261+20CHIdcyEO4xtnmGu59eFYUG1d72xd6c92e - EIwhBpyO17cONrb2Q52m5vqLi3ODfg9QGBMJO4eJ/sJ+uJdiIRBUWRvIGixoddoLi0u9/lRdrz+8 - /2Bne7ssl7jVoL4Nbts47TS2YYDCCxU+m5md6U4PjbH27NnG02fjeHvoQCLQCLPq9GxrfXd9Z2tv - fIrCK5mmRAoPOIAm9EGAEc2M4dmPjk8O1rZtVF94eeXVN16fWVrMWg3/xxBiXY7PRmdlVZqqiDBP - ug9VjbExM4eqCk8gOW2W4c0qTMHkBCKmovA2ierBYG5uWV67fWN/59P1u3c+/O4PVu99dv/xem/p - tYXrl6eX2OVASNyY1AAAokJtgnKeM4kZLmt3+945pDpVY9LE57bbBDgnSBzVghoRZW7iBGZAMwga - VeDSKCRVVRDg0YGdwipQEB/FK1gNqsZoJibyoBIUCJEsOIOQEjQpKkUPvjt3cfniFfnek+ONx+uP - 7pYXpzXviGNDMJgRq+QEjYqkE40l/1MexX9WX38o0K393/2QAIAbmxAYmNvtzrXrN5ZXLlZlTcQ8 - 0SmrcTQJFuI/yP7Bd3/rvWqkN/8v8t7rOdLsPPN8j/tMep9IeO8LQBUKKG/aVZNsNl2LIjUjzc6s - dmP9xsZoYvdm/4G9mVhtjGJWGi0lUqJINU2T3V3dVd3lHTwK3nvvbZrPnXP24suqphQ7d4q52MlA - ABFAIAKZyHPOe973eX5PTfUPf/gDEvD4fUxhCgYLC4GleDk0ND6/bBHWee1mU12NfDUjyMs9pHyN - PfyneHJScgnkd3ZKIBIAmOoJR2tqakuKS0JBf+4wk86czExP/v3Pf2Yev/31N697WIAjns2k97bW - nt+7+8kv/nZsdPLwGCQLF1fWt7W2tbfGVAYSIJ3NMU1VfUF/JJ49MHSDAHJ2lmaX5lvamgoMC5Bh - hagN3JFSuAeMkMI0c6aRsS2Q9NWIxI3NQfnXAoMAKTACpqq19fWzldUSHiEEK8srP/nxj2MRfzjk - U0tSCiOAwMpkxsYm7/UOfP7l8z/6w//+e997R7qBUgIcLoUAiTEg7ELApAtE/935IuQv36ZtA7IZ - xQBicmLqL//s5yF/qryx5MJbHQXl8YBXF8Az6fT05NTf/e2PBwcHjJzAjNVUV7W2tKiqyhgEguGS - svKpKBOztuOYk2ODn33iSfzLDzTmWV9f+pu/+nH3g0d7h2CDQwApjCIXUwYAUiKJpOBcSA42MPXL - u3dOzaOoV2eV5cQ2R0eHuvuHRyaOMiZovlBhtKiltrEgomDYZhQUVScswCHDQaQP13/0//xpZvtG - +F98t6UydbK/Pzw4/KR7cGp+VVIvaHosFm2sKwt4QTOkqlGCpJQSMLElMR3IWdzk0iMkxRiE49gm - cBso0VTFNA0paTAYONPcPPm8G/VNA8DLl0NpM0N1cunahUgyCcKGXDZzdPLgSXf36Mz02o5BPC0X - b/zr/+o925LTw4N//uf/V2VTzZnOs50XLwQY4o6dy5wc7WycbK9ix1B8AdUXNiVzAFxbHAUgYDhO - ThJFIJUQBVQS0FE0QDQVDs3sqWFmbbAxYAmAQSUqhywHwEARCAwASNaXFNQUF6wMrw2+eL4RCO0d - Ox3n6qpqmzUGCABz0BUCDgMggLVYcXVbGyv9YuRkeezR7V/VVP43gVCMqmA7gCUIApZEx6ZhHu6X - hRXmCSQLAhUVxdPL+2PDL2Y66ksr4lUlxW6Jww0HKRQhenycZkxVNKYQoMyraH5HYEuAg7BCCPVo - /kgoVVLUP7F+59Pb7e2XyuMtuh87jikwJRRsDlv7u/MD3QNjw8dG4mbn+UhhSlCAdC4cCYeCodnV - 1ZPDI26AzQExGySHdHptbmF9ZaOl7ZtlNS2ggA3AiKoQINIB0wFnF8ihitIeDSQSGZsf5uycZG4c - k2IDP0qvrxzvbe+cnpwQPWiYhiVMiSUoDJgiHC6FRAgxRSF5cB1yOzgEEU1TPbqOEUIYUfpK4E48 - 4WgqmYhG/HRnbWp6unJ+ba2suFRTqBCALb65tPzi018PDfZubRtAi9PczpkWlQoWOF+NUYKI2y5z - bwJIAuJAbcACMMWWT8VlcU9lUWRqa+Pp0xe7a/bGXibVeK6qvjkSULz58trhQChSgej+ZNmVG289 - mv14dnGy9/EXKe/XCgIR2wGaZ3GinGGmTzPOyW4ihCAcb2w6U/h00e5Zmhx80Vrla66NIcoYkiAc - cCyEFIWizZ20R0kXxJltZbLZTDabdXMhAQggEQoFW1qbotHAysz8g/uPvNGCeDgKwtJUgjCzOMeE - HB+fPH74fGJ41pZqZW11sizGECBbgmWKk5PPPr195+7dyeWlP/43//ri1971hQLy2Cacgh9JAAMA - viJyqmBD7ji7s7EtHK/P49M1D1BsozycgQL4GKYAWILkBkIcFOaNhCPxsN/L5iZHR15WLcxdrako - ZhoCmQaSW12Z/+zjz7u6RjY2DOSt4tyyLIMgH0gZCgcuXm5/8vjL7qGtL+48TjS82VFWG9EAqA3c - 5Nbp0dHe3Nz8g3s9W6vZVGvTmcbmouK8V0hCTnBCkAIO5VwSBQERAByQYfPjnHGMEGbE+/p2RAgD - sAAEUFAUrChYgpQCS44lVYAjr08739HSs3Q6O77b29tVU+irK4yruheBBGkDdg42Nrpe9A8MTBi5 - aPO5MyWFhaEQMAZgWiAdQrGiKNwxt9a2sqc5KUMIgW2A5MAxqApgTDmYGBySbxgiAAGZI1tkKMPc - wbkczmTAE8BCOo6ZoUxsba/1dA9kTnPErwsOhCBKAbvTWkltByFEALjkDsXItaRJd/rDJaaUKioA - CClBSsYAg3uSyWgkUlpaQghZXl7u6e2ta+1M+hIenVLqRQAADhDMBc5mgXhABRCCAmYFqfjVa+2T - Ez2jS+v37z0sqmktKaoKh72uhs62rJXV5Y3tA6BaWWWNP8UAYV33+H1+hNDe3t7S8tLltmZKCbyq - 44mL7kGSYIVS4F8BjjHVlKrK4umS2MzO9vTCbGJ+oaTiHJOgOkKR3D44nB4Z+/u//fnYJiPFV1RP - grCAACYBxUKBqpJk1K9Mby4/73na+cZbBdEaPUBAcCkEwa6eDTImIAkaBYW+kqNKD5BYLHbUca46 - /bR3c23j88/ujY2tW06gqaEpUZRUNSB5dZYALjBWVFVjhBAkpCtGQxI8eqqoOBJNCgFrq6uz01Pz - c1cCxUpEA4WqgGwznVnbOe4ZmVeChd9+p12PBpIlobKyxO7M6drk0uzQZPRceahYRxoCwYQDiABQ - xikzHMAEXIMxAcDSEdwGwjCmNucOBwUwCISYN+hPEuRd3zhceHQ3XHcm6tETZ0oNAxiXuoo25uYX - V1cEgcX5DW5DXV1NKhXVvBgrPhAi6j/sqI4b86PL2wfPnj9C8dSVglICVAGdUQxGdnN6/NnD/sX1 - DW9hxfV334ymCkg+D5MC9VMPbqmpmhqbfTy60tPTE1IMA7A/nIjFYioAAyfspW31lbvdM4vTY/fv - 35tcWDPVSKKqJRQvZq6AUQJo3vrm1sK+9ezzhb6+vvLicEN1YSwUQEg1ciAlMCZ8Xp/tZA3DkMKH - FJekBbqu+3x+XdcIwUII2+ZcYPo6AMjlIUsMmLgAQXhd5AJilAkhBBeqrnmDPq9P2zjY2Vhb2N3O - 6VGdKBJsxz5N9w9P/Lv/8HdD4xsZJ1igKB7d43HjT6RNpcWEyaR1vLv+6UcfRoJ6MhEuKy3yezAm - hAs+Obt073HX2vZBpKilvb29IBnX1Fcl5T/SXmDiWprdpqeiKJQxhJBtW0Jw9IqLC5TowZDu8Vqm - tTQ1ubq4cHJ8PsXy8e9mJvvsRddPfvpoc+MAWAUl2LZM23bdTNgW0rAsLgQhSNM14hpVAIEUgDhQ - rGkepvkEEEqQhgWRYDmOStTCkoqCgpROR5bmpqenppZWL5aXJBQFADmYQfrUWto8mp6cqkgGLrfW - MArg8cWTKY/Xb2wb66srR/uHlhPGFCR3BHdMDjrDXCI3btVx+PHxUZADYQgcNVlWU9dwqisvNhcm - RvoCb56rTngSoCvpdBrrCgO5vrL1eGDy8YtexVtZmQyUJQIaFhgJoqmq7kWKbgsQCEPenuO2nxHY - HPKYZsjvOEgiAIQJdoM2AEzbyhk5IcH1+QHg08PDheXFo+Oj01NQj08UCpoCAADC4UIIQLYjbNsR - DlcIMBeYwKXDueXYhArAgDHGgDAIAIEVHPIqiaC+t7yzuawg6biuHo5Bulg2QBQIU1ggFqgtDFUk - g8Nba/093Yc7++uHueJz7U0X3gjHU5i4Exxrf29rsG/8aMuM+UquX+lQGGAqASR1Tz8EGEDYIGyg - Cqcq01WGkeTcJoQwqlCiCbdrT+z06cnG5vL8/Jxp2CCYkZOOBZxx4IbuRR4vA8Q5d2xbcAcQJa4J - BGPudm1UrOmK1+XXcwCgCvJG/YGT8pi6vTi1ubQ/MjMZqqhqj6oeAkjmwDid6Ot7fP9Z94vRfR4P - WlICkfIfXGl/d6Lx1dUUY0VRAoHAHufHR8e2DbYAx7EpcUNXCEHS/XDRQhyrOQ42A+Q26yQHYSpI - uIRkqvsV3e82yXy6VltRWhD2ZaY2Hz1+WtT2Rn11SUlUzx+mKM8yMXIAAhQABX91IQXVFw7rrc01 - cysHsyMLXS9U62gpY+LyqtryijKcjyF04TXyNa35H65/VFVVtdvYZNsD8wvzdGTk5sb7Ud3j8wFl - VIDIGcbaxvra2m4641w618YoVRQVABwuLIcj4r6BHQ0BpNNr8/Obm+uHB8cQPJGcu/mmtgO27VBF - cYS0HNtdGeKVGAwQgBSqqvh8XkIwd2zTMH4nLv0fPl79DhLcSB9J4zigQu/zF2lUWpko7Wwo9QUV - J32sM+HsH08MjM6v7xx7Ak2dV/Rw0jjhwaAMR0LVVYUlJdHu2c3e589ufe39krA3EPMLl1xBvlK2 - cw4YAcF5qTLBbhq0ZArLnx0SKGVMUTEhlmUbhoWAOQJhDgwDOHB4cNLbM7C2tolRBEn0GsTEwbEd - kynENDPri/s/+9nfvMmsf/5HP9AZILC4ZSLDWF1ev//w2ca+r64+XtHQ5AkiABBOhiHQiKYIWBkf - NlfTwCFm70ap+eblax6VgAAPhtWtneHR6eXVbVUvraivKy8rDgUxgATTcbhEhGJGASHHsTmRAjgg - 5CZBAHf9RFICCARU01KFRcXFZerLg5eDL1vGJ9rOVZVW+Gi+tyrzrVsCJgIpgWAAIYXDfYFAMBHz - h2F1Z3thZnZx7mqwVAt7ABAGx1lbXLn7xf3BkZHVgx0TUUkwJVTBABYgYRNVAYylBIvbQAl2OXQ2 - p7bwMQ0jZNi2IRyLMyYFAQFGbm93d3JiYnk5bUYTmqZ51LzKACGE3Q9MKGWvdfHYHa8KCarq0TwM - M4YVhoBKwBwEsjChEgXDCeWd65f3F7eePR17/vDJ9tbG4alx+Y3Gotpq5svXvgx9RYFVFRAOmKZD - FIExBkIBFFA9Xh9njNq5o621FSObwYAEcCklcqMLBRIIU0WRkHZsU2OUYpACCFF1rxYIqpjaCAvG - KCGvwdMKAAKwKXMI4SAsRVVU1fuVQh0hQA4CG0ubYcBcZLMZQMA0AIPFSqobm9Pez18sjvc//kK5 - 2FRVENQRAYwQAukIsBzBpZDcxkApzqM7EfrHa/H/x49/WtXtf/zHLtzoFXucMuajzOtFCBGECACS - UghkSWRLzjVNc7gjJWiqGotFkQ+rijvhQIaRO9jcfHD//uPeQal5fNFESarYp+kqcQWY8E8muH31 - wK81++5wHJBEmIMggEHRgvHkG2+9Ydrko8/uz+/un54c9vV2Z/a3Bp8/8esKIYILK320tzY7Pj8+ - eZqGWCKZrL/45jd//8b1a37mOkWFouoCYW8w2tLe0XOUkyt7yDZ6Hn55sr2SiEeLUomOqvg3LzUT - ShEmNucCAWVEVchXSVPClZwgRBilDDgAt2wjJ7iNpARMvKFIU+vZ3/+9N+70Ts1u5dIHzqeffjo9 - PZbwe33Zra6HAAAgAElEQVRIaI6Vc/j8zv7s9u7yxqFhZBnN98ElYISpRI4rk3EcDnmg7T+mJbhr - klCF5M0JkMvl9g/25mdXB6Z7Xow81cOqqmApbIT4/s5mf/eLzY0sY/54QfLy5fM3b1zxewkGqeve - mobm8dIqbXDq1BKbawuPH2SP9ucDAeJk1kb6eo9PIBiGowPGgUvpEIIoRXksmpC2bTsSC5BA0P7e - bn/Xiz+zM4lIkAhnb2N1cmQibQIC2lDfeu3q9fLCYECTNP+2xA4wB5gACdwwjrZ6uh+j05Wkn/Hj - 052l5ZnlzawDXKWdV6/fuH414gMVAHPTMTK2ZXIhgDGkaFhRARPkJpkxRgjBrkTFNhzLVFVGCGaM - 1dTWXrp8eXz9oHt6ees0PTU19ZOf/M3TF49LQgGWzbBM+tgU40ubuzku9aDDfLn0CZJAibCt9Ora - 8vzWetfo+BcPnzOCJLfNnN3XPXx6tA8CVVeVtXeeC4Q1TF8hyQFAcoqQQIi7K4kgpuGyomg0ZCys - Z49OT06yYEZBCNeNhSG/7WOXow+q3lJXd3b+4Pnw8uLMxJ4aEESLlzVUVNfpFAiAStyBBgZBgGg4 - lCqvpB0tDUMjS0NdD/9SEedu3rjS0Rlgqswa24dbo3NjyzvL/GT7++9ea64qID7vpYsd2we5O/cf - 3rn9q72D9ZuXr9UUlcX0QDZnbeztzywv9w8Nn+/s7Lx4ORLyCEkFsJzJORCmeYAIpmvRguQbb7+Z - 5j09Lxd//fOfH20tXLl81udTBbUMjtfWVyf77jz5/KebJ9myptqbb95IxDGXAJTVNLc1bpJHk08H - XzytCaNLrRW6aqcPNyf7H48MTWQzVmlZZTQRBAAHQOQzQARgB7AExEMBT2lJodc/tba59vndO4a0 - qutrCn04uzK58vLp/ccvpyaWQGqqwihGyB0jSwlcGKblcAcBRlK8noG6i4lLbtuOZTtCAkOYEULc - Ca6gVPFWVpS/caPzcXdPf3/Xv/0///Sdt79RV17iwWhuuG9+4N5Mz+fZ05NgOL6ToZghjGReiYoJ - wUi4nGG3qYVoXgsJVLjYAozAq1SUJduaGxaej6wtrmcOFKIGCitrK2uq/D5QAIR0EHJntyoIG0JF - ZzuD5/oX9nqnHt/5lXOys9zeWV1TGY35EHUOtjdnh8d2ltfifvXiuarqusKi0vKOcy3jCwcLE72/ - +nBva2Omo725vCAWVPHx4fHC2vHU4u7m9s6ZxvgH375MKSgqpZQhlL+9mKaFCa6oKL146fy+YT57 - +gK0YPr4sPVMrcdDuMRZ05qanll8/mToeU/AG73a3llRWeGeIAoSxwvzXX39d774cu8k03b+QixZ - mDGsmdn5kA1ZUxqEiFAAIuGQD78qMgiYPH1qHOydqCwajUZ1r8pUAPaVOxsDYCmAc5AOYAmM6eFw - TV1N5/nWp90jQ4MDf/WjH1+70llT7PPTzPz4wFDfy4HufglqLBZKpzmWIn/EEOoPBiqqKxvPNPVt - wczcwu2PPpXbVZ21obKQg4mzvbs2PNzf82RiY/2wpqL9ypvfTCWTap4exhHYCFNX7IiJm+Nog50D - LFxdnRRc2Fw67l3ZdTW6kQ9SYimwG3gpBQcEGDD1BIPt7WfPTB0MrQ0NDfX5IJfd2mxvqg14iWMc - 724ujPY/639+b3cvW9l4+frb75QUBTUVkNvP9uqRgoJYooDMGMtLK91PuwJ2R2kwAOmTYEBpakw6 - AqTNEXMAuCuow4AAYVBoQVFBRXXV0lRueGjst78NtTfHE0GTmodLY32jXQ+e9Wwc7DuRmGPmDO5Y - EnQACQhLYBIIkgDcto2M4NZrUYwAsBzOJZKIAAYppORO3mAlJQBEU4VtbedaW9u6lk57urqIJ7zc - Vt9WUxLxYJVwYWfWN7cn145ntzLtl26crS2pKfSDhHA4eL6z7bNPE4Nzq5MTM59+8sX+3lFdbXUw - 4LWy6dmJsemJcVPgm299raCoDBwMQng9/kQi6fMH1tbWHj54UBINlMXCQKxASI0XBBPxJMZYCnC4 - gzDLBzEJQAgDwy2tzSsz8/dfDg8M9u5p/vTxbmtJPIbMveXJudHupcn+WKQgYtA01kxT2JaUgAgQ - JRisrCi7cOH8bv/y5NTYX/34R4tTZzqbq2IhL2G6xeX23vHy2t7KxkFDQ1NjTVFtWULBFgAC5AGi - JJPJq5fPTE72To+u3rtv7+/mQuUNHZ0XUoWe3+klSODI5sixBQhOgVMsJXB3z4FwrLb+zMUL2w8X - zP7u539mnN4633yuLFUQ9WxvLCzOjb6cmBdavLbt2mlWRhVIlaTe++bXTn/TN7+5/eFPfjw/Vtba - WlRfW4ukh9vMQerc6vr8+lYmm7l04eylzrMaBuFY0jYJIQQJAYJgN6NHgMOlKU0TcaFwDnb25N69 - T9Ob+0vtNxsKy2IszXdnB5/cnpqbz1j2xNh8NFL0re98q6GhijLgAiSo8Wj4vTcv2SsTa12Tjx/f - W+bK0nJdfXk0EUCalVsZG57oev7ly7nDk0z92YZr77wTL0xgLG1hUcCAdCCysbq8uSzxDPjUzJzP - S6k/HkumkhFdBSDghHx625nGl9NbE8srgwP9x9IXr24oqmkMRL3uIAMEAFaKy6oaGpsrK5ZWV5Zu - 3759vL/eef5ccWGFVw85ln2S3tjbXZydm/B4U+c73i4tqYz7BXDbNC3LyNlmjoCjUMwoQf+g/4NA - EBeoJF9H7rzSfTsOR4AwoYqmpIpTLW3Nuy8XR4f7//pHf36xpbYs5leFNdrfMz7Qu7K1KwlTFY9h - GIaRdRwg1DXJcHBMxE0sbSqdrqePDw/2zp5raTlTH48FJl/2Dz56NNzVbwjSVF13obMzGobX08v8 - F/cV4CJ/HRQSHOFw7nrppZS2ZcNX0WQACIGiVFRUNzVtHswc93Y9/wlOf/1Cc6HOj9Ob3b0PRsd6 - dnaPHZszDVRGEAgQXAJCmCCmUVVjjEkkHdsUrxszUrrTHcvhBgcOmGCkEEEkEEpB8/uY3tjQ0Na8 - Ojg88/jRAyttXL7YWVmRCPrJ6sb60OTi+Nyqpmiea+cxbQJuAyaqPxhNJMnyxuLC3GBfV1TUlPkl - Tu+EQsH6unpAgAhlmgcRBRAmGLvuc8BKIF5UVZ0529I0v3bc8/Thn/OTqx2tVc0NWFePcpn99bn+ - p/f6nvanTd5x7eyl1rpkCPkwIggBRzmb52zEEWBMCfqqv/Ta249d7ikIApJbliUFIAiGw/FkCsjI - +Ni4uH3bQVptKhJAxv7a1NDgvZ7nn25sbREcVhkVNlgm2BgIdodkmkRUCCm4jeUrLT+mmBJEkaQS - kBSCSymkG1GJkF9XygtjmzN7uxsrv/31rxs22iOJ5OrmdmllTWtDgkqCEHUPiIqiREdb0/yDibmN - tVzGBjUQqWgurGlQfQACBDeIyjMHu8N93dNjG1F/WdDHzrSUpFIeIbnACCOEgWKXP+yKrykLBEKB - gB+BmJoYe/a0MBgNlpQXA5g72ysvHj0ZfNq/sLCYzticS+G4700MIAAJhAQAxwgIJvkdG4BzB2Mb - gwRJhGSCg22Y0rbya1r1R6KRG5das7vL3TNrdx/cWc45i83FjQkmdmfmx0bGB/q3lpZLS0s3NzAI - 9B+/0+Lf7d1quicYioQj0eX9zNjY6OefPzyqjpeoxt76UnFlbVV9MyCJ0Cv4O8LgauHyyw2BlMA5 - wYgSAghZlm2Zpiu9o7onUlTY1to6uGn3rK7/5re/PdlZutbeWBz16Z4QF+zgILO5ubu4uFKYKj5T - W11fXqZqbjQdBax7vaL9TO34xMxUX3psYpYIUwukauoayst8eYeAzO88r+wA//gRiMYrq2oamqL9 - K2jo5eBf/+gvL7ZUnqlMxMPa7sHGwuL08OiwxxOur2uRggeDwWRBiqnK/PzCp598bGDdLA4FnCNr - d3FhpLv3/qeLS2uUhahCFQquyosSYIrCFA3lM16I4ODw3wnH5o5pOrbtSCEoQSqjGP1/qm7R688Y - gU/XdIVyA5BmL03P/sW//7/HOxpbG0prCqOHS/MLQwN3ng3Nr54ES5re/Pa365rqvAoBywSC48nY - 1WuX1rMvh1eXfvHTv12bbm1rqi5OJZmi2LZzcnK6ubW9sbml63pdff2Fzk6Mf4caBQghELYjuYOl - IJQGAoFUqnBvf3dsbPL27bu1Z1oKQoX2qZgenh7r+2Kw/+X2rsGD4DiOzAsWOAWiKorjWJa0LDDn - F2ezt3+7s7ty+eK5ZDQgs4eDT7/sefj52tZBVUPH+UsXiiuoxwsANhIG4g7iiHAgWIJjgSOHB/pV - 82htcaGyvCESTDnp/ZEXn/bev7e5I0s6yi9d6oyENOK+zkQBTG0JtpRcCiREvkXFhSQEYwxCIO6A - cBCAwwUBiXRfc2t7ywqauT/58P4Xhr19pr0qVRIs8oVCQK39o5W9zOzqnq0EigtCNy63R0IKoQy8 - vpLq6rPnOzYHj172dv3Fv8++f7W5tcTvVfDw4MDEyPDE9GzGzGl+79HeiURACMF5KDl+VfJIgRF6 - hRfw6p6Qz08Emp2eJffveULRhvKSkEaNo425kaf9T2/PzC1YZpBglDk9zhnRsAa2Y5uW5ZamAABS - CCePAsaAwQ19s7llObblABcYeF48K20JxAYPU1FTTUVN0tdl2+OjU8cZW3rjdc3NJZXFCPIYESB5 - qJW7ZyGc7zZLEJK7wEFF9XhDAT8RO1OjQz1dSQ8+YBgT7An4k02VBZRQiZlhc8dxgHJuW5IrrnDc - dhzTsTgIhIRLFnm1ImjeKEAQYAncFtwWDuevlwdCILkQAgEgAQhAYZRQ176lKQVltfV2a2P90NLW - YPezv/wL5UJHR2NDpdfLGJOmI2YXltY2t9LH9vnWS9Xljcmkrir/eUET/pOwbgFcD9Kr/p7EEmFE - kBvnLl1LkOt7l0JwLjgXQr7axjF5rakF0zB2dnaGh4efPXuCdP/1t29l0mmdqgJhggG/2kr/aZvv - 5LUU272pI9chggAz8CmdFy8GAom906w+M7+4f7i9v/t0ZfHZFxaWNiaCUIGl46cQ1klZRayw8UrT - 5ffeeu/9uho/BXAEYCQ04gVAwVjBhRs3N1Y3x45NNe0sDvQuDvaA5LWXL2hfv/7uhSZCVCBUYiIx - JgR5NOpVQCG/Q0nHFDGVKQqyEUgbC5u6NkzHQZqntrH1n/0L/xH5rTE4e5RODw0ODHY9BdukgnsB - sEqRL6jHC6orqgviUY26kn0ArCCqA8lJDJggjCWSr0IVAF7R2N2bM5ICKEYEvFJK5Ajd46moLO7b - G5yemHk6eJS2LWkDIPDooDGMhAj4PBVVzVX1569cO9PSoruOWaJ6qmqb61sv1M6YYtXeyx5OjKyP - DTxUdRQP42SMlFYmGU6MDe0cHTkONwgSKkGu6UZKgRAGRISkgFA8FmLYeXT/bub0lBsGAeklOBTx - R2Pl169de/vm1WTkFREcmCOQJQQHiQCDygqKC43M8We3PzYP09IABUBXcSRZWJgs/cZ737hx9bLu - yjW4aRlGPiyRUMJUyhSMMUWACZaISMIwIeBwkBbDQlcVgglgqRcUXrh0ZceiubuPYHn74OTg6b17 - Tx9xDSOaznk5IE0lgVhBVUN9TbVUvKWpOMNACNeDSnFZqm9ksn90yr77xDItwYWqaJQqmtcXLYxe - unL2xvW2WBQoAeGGPwFgYEAoBiJfj6ZUWllWnEqYMJ8+2Ns/PLbtEiYAqASCCAZVgiPcxYkZMF91 - WWNj9WHA83BlbzVNggXJqmRpZVFRSiOv4KfucBMpgAh44gUp7erF804m93Bw9JPf/Gp2Z9fOWTGf - zzo5WVxbfDk+uJ8+iHrJG51npVCQ4mlvb98/NkbGRuamxnb3tzJ7e221TUXhZM6w51bXx2YXXo6O - hcLx1rMdnAMXWEhiCyQxxUQB4JjIYCR6/ebNw1O8tnY8+OJZ5mQ7a6bjySgjOHN6Mj87OTrWMzix - 2NDcdP7q9fOt1QIACw6qt6T5Quuhr+jRxNJY35d8VzevBVVxvLXcdf/B5lY2VVhdV1NVEM8/TQoG - diPfiArIC2D6I6U1tbisdHprevfJo08dcby0XF8WCezNTqyMDPQOTGcM3eeLaAphGBEXxikQl9gS - IKRA4CDpYDcFBZD7DxJCmg7P2sIGTAFhIah7gRUMEb2yqvJrX7+5cbD2cmjxw5/+LHNkN9dW+lXS - /+z+0fKIXxhFBcWlsmB2+kQHh7lMNOSayl0vWL6BD8CIBBXARnkvuwCJvWpBecmZtrb7L5eXlo62 - jjYam0pLq4pTJaBqgEAiyRFCCDCAAtILHjUawFcvnd873X/WPfzoy/3lhcWLly8lUxFMnI2VlYnB - 4ePtg/YzdbU1JYB0HAl1dLRsH5386pMvBnqfLizOb2/vNVUWxv1kf3d3fGZ7aHIdURoJtgjBMcWu - 714Cdm2djsMJxp5o6MrVi3s5+2e/ufvi2YvTox0ze9WjY8eR2ZzZ1dU909OjZIymc51nv/nt4mSB - A6ACYCQWF+c/++JO1+DLcFFxQ6pofXl9c+cA5Yy4pmmWc2I7BTX1RfUNUV8q7/sTUmTtg1PrKA3e - 0lBBIuHVQVFewdzyZRaAcIDbKA/VU0BXa+tr37x+fLB/MrO48otf/nx3Z7WhLBpSzJddj7Y2tpCQ - ZVXN4pgtDS5TJBh2Q48IeAMqY2c7rowfapvds4NdXxzPK5vtVVUFOlPE2vpCb9/zxam9RKrqxhu3 - 3rp1K+TzOACYc0Q4Boww5RwQBkwBMHDuEOEAwkA0QhWQHHHD9XFKCYJzJBAGBpJaQpjgCCQF5q9u - 9RQ8kfLqQGf7ydia0T803f386d7i3ObljmhQNXMHc5NDc+PDWyurxeUVnRev3rx+LZUA+sqDBboO - 0URFdV1i2pge3X3x6KGxvlIZj3kRr64pq6tPCgRSSoo4pRzlYT95kU5pdVPrOXtoe2huZvTXv1zb - XakuK2DMPu17fGd/ZeY07RMcCDIwWFI4+fa6QFwSjAmWHIRJhIWFg6TACDi4ozNkC2RLIhBQKYgw - iXhVLAgEkWh1U/PNN97cfjj0cHzzs48/2pyp2GhrKAh7VMJt43hmbmFk8WBhx9T8icqkHxX5AWzs - 80Sqqlpb2yZW06OL+13Pe1eWF5rP1MRjQSOd6e/q3d/eS5VUvPXu+5gQ7ggiUSAQLS62S4pL1mYO - u7tfxL20IOBhCjS21Laghng0STARCGybU8Ze5athAAoE1TY2tnVuVHb3T22vrD749HR7caupLs7Q - 3Gj/2vyYCsb5K18/eLk9c4ClkZOWiUAgIODxB4rLr719a9npXXg28eXdjzeXRlcWz5amEh7GhGmu - rm6ML27Nru1/64Pfj0bUitIYkS49nwBmsUj4/Nm6O4WekQH75cSq3xsuS5a1nmlOxV6V6a48CajD - FeFILBxFGhQ5gEQ+HkoP1jae+/rX9Y07Q33za/c+HD2ca1qoLasqSS7Oji1Mj47PrV1659tMoZbt - CMoi8cpbt/TVbXF8v7/r6Z2VpcTUVNWFzh2FEGHbJqf9kysTS7uKpsVjkQvnmoFJwS1pW4TpWEqQ - NsUKxgAOBylNR2QNIQTz+bCvqHBvf+fFs8erY/PX2y4kdXNvbmBq4PHC8lbGhGAs2tZ69t13305W - RBUM2JEIpBLwn710YX1mvG87PbS5vHj/s7Xp7vbG8tK4V+X2yydP1qenltOisLz5fMfZ8+dbowHA - 4DiCc0SIpCDssqJUbUnCQ2BjN6PZ3sK6ilSyoDAAXgAQNtJ9dY3N4ehILje1vTgXqzpbVVVWWBjw - +IEDUMRAMiC6Hve3tba8eW3v8YuXY6Oj8wszy2tbjbX1BbECx8xub80vzA5NTI6WVrcXV54LJcsC - gBlHps1tM8dzx4ynVeIwBoDz8ravQAQyL8/iecI7uMAE7nCCKVCKGCspLbl8+eLsrjG+sfLzv/vJ - 1lxbVSqigBju782dHBdX1mTWTkWGmkbWMbPSBiAuNxMkdyTnuqpWV1Yenxw/ffRweWluc729sDDx - 4M5nyxOT5v5Bqqy27ez5ttYzYT8QyJ9/rt8YuW5vLrDrpZbwqiGfpzkAyPwXF4kACKhS09B0+bJY - zgzNzYx/uDpubXcWefHx6dbz7vuKLgqLq7cPdxBFDAmKJMqb+YmkCmEKJlhKRziWFHkU4St5mzQs - kbOlQBhAEGkSt0HCdNBwc3PLG1uZo8PM4sLcyuLq2tpSS3NlMuYdGRsZGp9f2zm+eu06xphQBhwD - YqAHKmoaYkv24uRq75MHfGO8NIA8ItPY2NTU1CSl4ICB6oJqiDBGCXWfIKJqMFZexd964xp+3Ds1 - NvTLv59em5tov3oplIyv7+/OTY687HthZ4/LaxrfeuNm55n6oPoqr0+CYYscR+6likjn1eJ1hbcU - iIKQSQCotLFjSscUjgMUovFUeXVDYUnP+PbO+hd3JFZWSwuCjC9NDU5NPF+YHecCe30eXUGIA7eB - MwCCgDBENSAqwgSDBM4lEIoBMEIujopygbkUHHEpXdSDID6v3tZcszW72Ts395uPPhpbXyuqKF/c - WLvxzlsNtW8pCBQXroBoorjk/PmOR0MbC1u7p2mn7NzlZHWjLw5YAtiAuMOEY58cLM9MDPVPh0O7 - Fy6eK6tKRGVICkGkxNjEQoD0AsbErYixpocSpeWVFWUlC8urz54+ssA609YM3FpZnn1y/+Hh+gFj - qpA2gGBE0rzSm9oO5o4EhBn6il8AEqTbo5AMkGZiD5cY2YbqpBlEEQAgFgiGrly5sr+1vnBwOjTQ - Nbu9szFW0FmVzKxNjg8MiJxZnEheuNg5+2CcAieSvw6Kh6+utxgQ+Z3vSNC0aLygvqF5vGdxZXrq - 448+2q9P1UTI1tLszXdwdX0TSOlIsCWRGCMAIixFApEgEIB07XmKg1SECCABxik30hgASQmKBpGC - sxdvXDz1zt7tGRno212c3F1qry4pCIdC3BHbW9vzS1vjU0udF26E/L7ioqSq6YDA5S9R5pypK22u - DN330NWtI48/XlHXWFldXVTwmgSd18zlY+/la+3qq596/UXl1TffunX0ZLVr5vCTj365Ol40V19S - nApvbC1Pzo7Nzs11dlxuP3tBYVQLR8uraorLKpYXjr+487lU/DsV8QjJ7S2ML433zw69tDn4/EFO - kIIFhTxngFAqCeVYAYQxCCxA8ldIdESAE8sitikQSIalQl0vo8gLlvHrvTQvIsIACLCueZnicSyo - KizkOhvuebG/PjUzWXalvXWqv3dmoH9kdl0pbGo713L92rnqAvBgAFMCED2SuPLGO0tHytbJQNeD - u9sL44stTXU1VaqmG6a5u7e3tLi8srpaXl7BKO04f56SPEIhT50HxAUXjgOCM8YSyeTZ9nNdXV2L - Syu//tVvz23sVaQqTnZOXvYMLk31asBdjgpIiaWDgQJwBkRlis0diZFX8frDwZWVhYmJwd3t5dKi - JM+e3vnoo82lRX+48Pr1K9eut6ZCoABgyFBkA+fSRlJCMFKkFjbZjmVb+1PDXftL41U1LfGC8uO9 - 3fG+J8uTc/5o8uy5S5cvnA+7ElGJgWiSMAshGyMhpXBsBZhbZnDsEuQQsW3kWARAgi0BkOJpart4 - 7SQ0smIvzs9sbE+Nz5RX1RXWxFMRoma3didWd3vG5wOFldc7W8+31MaDUWAqIG9ZbdPNt/Tpw77R - mcWPfzYstjtXq+N+XXn88P7e7rbfHy4uKmbMt7Y1JEV+toCoq3pwbc2IIuR2qiTIYDBYWFJcUFw0 - trj3/Olzrze8UV0W8+uHW4szI8+mh3skxoGAP4cFz504ZhRpLnJdcomFu5C5gxzuStjzwzWJwUGW - wwV3QDiALI4MjoUAIUAVQFQCpalYZbEvHFRWNvdNFEkUp2pra4oLkTslci0SIAUW+as/BmAMSyRs - QJaQqsRAPbpfLykqjPvXV+cnnzwkRztTmqJEw6na6jO1JVFKqYOY4YAQkiCOuImkggGkkJYlDROk - ZEhixC33looAXP0IgGIJxt39xTERN5AM5GcMEoGkUjIkNckBMGYaBQo2gMQEhRJVVejWjWsIdQ9P - Tv76Vx/OLc1fuXQ+4FN1BduOHBqZWFxaM7K2XwtFI/ForJTJ/6w6t/8JWrdus5+QV0Wha8YDt0p0 - yf+vlOMEAeacI4y9Xq+NBCAwjJzu8wgCjm0xzDHBgYBf1zRKCAcJEgjGqooZ/p1p1z9x5xYhQvKy - NbfqBQrApbARl4AweD31TfX/6//2b0YWll+MTd6+88XG4oJxdAyOaTkZx7aCAa2sqKCtoeadd75R - 1nzNW9jojeoKAfKaWAQAoMdSpVffDZwenRyrfqN/fP3EsGwLGI0lE6mSYkxVsJBAxBcMEfWAS0dX - sEoc4GA5oGBOGKOqSlRdURTVEopGw36P36tjkMfHJyEvYYUlFyPFNFZ+pm/87r37k5MTm5sb0rYx - ErpHLSguqTzT1tRx8er1d2qqmygBLAAhjKmOFQ+iHFMajUdCIb9KgAAIDo5jUvoaR4qlVFw+mJRI - ChWkqKys+ld//IcFqXCgVx+dm1zd3sw6nFFiGdKr+Jqaam9ev3b2wq3K+k5vKGKa4PMAEgIjGiss - v/X+9/WCc7/4+FnP8Or66j4IOxaKt7VWf+fbb6RSvsMd+z+c/nJ0cNW0MiAst+0FhiGFCIbDzLAg - R4CLD777naamku6e5709A3NzCzxnxItLL7S2vf3Ot9rO3yqtDisUMAdCKHBi29LgnAOilCUTsX/2 - w9+X6e2+R7fXZidPjywscTBZcu7621//wT+vaz1bVBDCAERyIh1Kic8f8PgCYICL93KrLM6FaRgm - lwhhQDIS8hYlY8zNXAeEOI/V1H2/oCJR23Kvb+RF34vJ2fHT410jawQIjoQDiZLK5gtXz1652XH1 - phoyxBAAACAASURBVA2YeYOMAEizorb4f/6T/+kXv75770Hf1MySY+a4EDkDamsrz7W3Xrlxre18 - R2V9vdcDUoBwpIMRxiCxgvIMaHfGzYGiuqqKsuIcOBMba6ub2xtOc5nEeWQMAZUDcsCgIAFTkABa - JBaKJSPBPWsPUaWkoiJcUKx7QUGgQhYkBY4AU8AeQBxAUULs1lvXS8O+WEnhR88Gurv7up93a0gS - x+Lg1DbVXbx26Vtfu9lQmkReFQAXFRe9+/bNeCL6yZ3P+4aGPvvkoy+s31ALc4l90URpbcO/+pf/ - 5aWr11OpIEEAiAJmHm8AMMtatqYgBEApLS0v/+Y3PKlY2Se37w/NTj3r79N8qspzkD2UTiZVV/39 - P/4fLt680Vx9hgjTi1XKkACvnqpq6wz8d/+1/PTT+/dvf/Tii18TYTJuK8L5xttvXfruH1VduQJx - YAAagAImgLQdgqVOsAbghWjZmdbkrVtHOdr3on/o/he/vXf/M9XmPiHba2r/lz/537tezkwt7x1n - 9oRjS8dBFABTzDTV66OMYsQp4gRJDABSYgQICCEMELUkFpjatmNmMsgRBDAiGKSnuKT4zdCVE+vA - 7x94/Gjs8YMn3Y8fakSEPfjGubb/9g/f39ta/Whw7f7YQ2RlkLAI0cHhwrItLoiqEkpA2m6IEHBQ - BEgKHCMOtgW2RiFYmGxobIoGn/mQJahaWlKcLIoRFSQAko7yCrQlBUFYAyR57vj61QuJAlpaFHr8 - YvbF0ydPnjwllBMmFEIaqxsudVx57903aisToBPguYaGikjMm0j4Pnsw8Kxv9q9//EsvTusoLWzT - F6ksqzn/re9+72JHsZAChG1aZjab5UJIiRwOmqZjx+RGtqW12RMtjBRW/eLjux//5jcPvvytSjkC - YluOQpWKwuLvfe/71y9cKG5qNAnlEnxIAnf29nam5uaOTXNlfnFh5+eMMgyYSEdFggiLE/XbP/yj - d4LhxqqUS6AFCYdH6d2DrM2hKFFYWlKqqYAxcAApBc0LgQSSAoGLWnVn86ikvOzdtz2qov/msy/v - P3728NHDfpzTeToR1K5evfbd73xnfefok8djj3tmFAwMIyE4IASYAYaLV98iBU3xmpFf3n0yOTZw - uDziwYaQWcM69XjxmdbOP/rB/9jZ+la8qiCHJALkJQSEKUBghBDOxy0j19mqq5DLcaCEKgwjFXOV - gkIBS3AsW6UMMAEgWdM6dXLUy7CCEJJCgnQkcggQ5frVq1qy+vbdxz2Pn/X3D8xPjeuKjSFrZY/i - gWDHxavvf+cHNZfejZYHsfZKAiRscGyQ6PL1NyZPQk/HP14ZHtkcHw1S1FpTpSjo+BSCEVAoE1xg - wgGJPLRKAlCtrL71TTs5faTeH9548ezJzPBDD854sVUS918+d+EbzTf+7V9/ToNqIhZUGJESJMLS - 5IYtCGFYCoZFLOj1Ki6Ty/UCAFN1DiRrS0cgFSMNC9eAAIhAxgAFQTj63Q8+IIWN+Mu+e097nj99 - PN77OKQTLAxupRFhaqymurq9proumUwCyDyV2pF/8Ad/WNJw9cPPXtzrGh4YHJyc7ifUYlIhnF3u - uHrz7VutLW3BaARQGqgGsVR9feTq1Wub1vDscP/nn9/WhBkIeUPRP2xorJECQZ7D8epPB0CYunGR - 3mTy0vWrf6Lpf/7hR0/GJgd7Hi8MPPcDoty8dfPKzSsd5XXtiyefrxyteTHWCaGAOQhsC/AErt36 - BkrWB0oHfv3Z/cnpyanpCY9CPNzSHNOj6pY3roaL4oUFsWSCaZRIBJbkhk0USjSlpDBUWxUbKo5N - TB3p4cpEqjwRCwe0fDQEFxZxHKAaZX5V0TSKmcgJJ0soAoUB52AYkbKGDz5ohYJWz4Php/fuDA/1 - z7585mPAwEmEfGfPnXvvvW+cvXrN72dYINDjJVWhD74jwtHIrz+5s7F98OBh/5PHvSoxGMpmHco9 - JbGKjnff+/aZtlbGsBQ5ggRRKCDEHcfmXNUwQhgIAqwQpkpQMNWrqmraP/hAhBMr4yt3fnFnZXTS - L489/FCcHgqE48nE9//gv7j6/rcLU0lVAwVApQhsBAiB3/fO12/hktqf3ut+NLn6sr979uWzkAp+ - QnL7h5Wp1Ndv3Oh874PKC9dDAbcTIRBBAIq0EbIMv0crjHiSMf/SkUGCRZWN7YXJVISADgBGDoAU - lZSHwhFMsHScglS8prZS1fJJiRwoIRpgLyDoONcejleVVzy68+DB896+X378uU7uahgRblLIqtQs - Kyupr68vqqhUgxQpgIlPzXkYQdjJEOsY7ByS4LgOSjc9+qtIR5BfDaLc+hwxQhGiLiqkuKT4zTc9 - u5biPHo51v3swcl2D+HIsVvPnLn1/rdvffMH/8ef/tVu7yRGUlOoxoBbWYYsQAIjiZGMRSNfe/eW - omnLqyt37n4+MT4skcieHKeC0dazF9775vdarr5dUqQi6iKwAbkpX3ltE3AJGCHAGBDDWGeKKgFh - TBRF8Xq8KqMSwMjkNIJAwSBZfVPrm5Cc3YfTl0u7i5Mf/vznXpHxBtnZjqbL18+nilr/3Z99uLJq - mNlTiiUjWIIDNs9a3LAcyzSYYysUY4yEK0rECBAFjCQiNhCJiWXl7NwxEmCaJnUcxeNpamxWfXG/ - L3z3y8d9/aOPnjzq63mgMyedOU0UVd64duX3fu977S0NQgBmKiAHNM/1t25NZnx9M5/Mdj9Z6M2F - cK6potDj0QGQadvprHmSNW3EMGUg3RYzsgVmmr+kXP/h979XXlz6+EHo7ue3X3Q97x15SbxamtuO - ZUbCwSu3br5z6/0LF67FYkkwQYIFmAMmmKpI8WKGHMeWtqG4mBNuE8cBQgArts2xFJTbduaEgdSZ - IoUZTxa2X7z2wyz8/f3h+yPrP/vp3wSoCFCuQvbK5eb33vuTZ12DMxu6dEyFgKaAqgAAsrnMWQJc - TIOu2rmMkQ2ABuBI27FMYQJiEguCsZuSBUgBGwI+7/fev5VZ353eXF6Y/3/Je9Ngy7KrPPBba+29 - zzl3fPOc48uXL/NlZmVWDjWrShMloQkJg0AIIYEwzWRmbON2YBzuiA7+uN2mf7gjHN3RhqYhZKBl - I2OjVtMgJAaBLDGFhpJQSVWqKlVlZb7hDuecvdfqH/u+lILuf1Z3dIdvvMg/+TLy3nP3sNa3vuGp - v3z+drXQn99Y2r11ZdI0c4WjlpAUjnl949r94czmxz73hYPnW3fh8tXN3fumQIcAAbODTV09ssmh - NtOqKk5vn+vNL5lUIuLQoB3VhwdFoQhDFKKt1S2q7vyrXvsNhR/+4i/9yme/9MX3ve9Xf/39v0ba - ll4efeChJx99fYc7v/q+9wfv5wYdxwAUUkymqWkSipIsxnbaRiSBJ4j3DAL5yKWFuaLqFYG7VHuA - gbpVEO9d2qP2TRgu/Itf+fUXnvqz3/3iJ//s/6iXpFmZW3jrN7/j8s7Fo2L1Q391J5GStrMMua/5 - OQ5oBPJYwwzE65snvuM7v+sL8Te/+Nsf/8uP/v5TfzQ6O+QbVy4AECEkq9s0apKSN1OtxyXBAbFt - WdWRg+/WKjElkAarPaIHNEZOCva7D7/yO9YuVyfv+/UPfvRTf/4ff+3X3t9xFESR6iowl8sUFueW - 1lZPnCj7XZQAOZhHMtBka2V4+cz8hXMnP/GZu73VK1duvWptfX1Q3HMizIinyTEK+jcMEwCpNk99 - 13u+d3776cH/9pn/8MHf++TH//SpT3yk8Im89YbVrVu33vTGN77m1a+cG/S50Pvuv/G33v6O5kMf - /43f/8v3/covf8i3C74N7f6Ni2d/7Kd+5o/++I/++Omjzx3uUztxBiZoQt00o0lTJyS1tq4DoxOy - ETyDPMpB8H3h4FlIm9RMSGO2mZ2xC+34qwCQHZyVJpOmbrQKeNsbXn9y9/FPff6vP/DB3/zAb3/w - I7//4eblO75p+ssbb3j7t77izd8xNwTnc88HmKAa3v/AI35w+tTZa//qf37fM3/9uS9+/jO/5Rw7 - x8RNbKuqu76+fv/91/b2Lgb/VevbtmkYECm890Zoa3XBnd3e/q7vendRVr/9ex/56Ef/+GOf/HTX - lWnU7JzevvXggzevnP3gB9730ade6HTK0pMADCWYqrGT7vxg+eTaN//A9/zFi1/65V/+xfe//zc0 - TiWRMzl34aEHH3/ta7/prdv3nRRAMPWYcIAjUGIond+7Of/Am9rm8EzvqNz/9O9+8N/99lOfGrUu - RRSGM6evfue7v+fGq77h5KWTwSMAQgyVBEnOu27XmEYHB6Hvc3xZq8bE3O26lLSeZjoplQXSYPXC - 1TfO7Q1P3Pif3vcffvcPP/j7v/d7f/jHaUH8PFzZ6gFVB1ztPvLKhx97pNupEBMCwxUndi+9efHq - UTjzy//243/22x/43d/50J99uGbEuWH/wQduvO1t3zo6bH7/w3/4h3/6ydjGNsaM4YMYM2GaY+Zx - XbeauKDl1ZVbDz34zv2j3/j3f/DhP/nMB/7tb34QrbcmYHrrvjPf8u3v3B+3//tf3vnjL8TlYWeu - CwGKEFLVCZ0e+RITQmpLtgIgIFlrauRLVL2y6gbvPRswbfXAPDvuRhQCLpyie/fSztKt65df/PBf - V73zF/aub2ysDUpkXMb42DLMFCxICmKeAVpk5OAq9HhQlQ/cuPHZLx09+4VPfewPPvLnn4gMevzR - 16wsbKakUKpN4EvxvhDqBgkCArzzIoVS6XyvNW6OjtAeQ7c5+YaK/VE7mbTwvnJUOivunVlGoIK5 - Yqoyak+MaBgbRjH1KMytb/ztd73r8rnz/+Z3fuf9H/rQH3z8T/7irz5pzUS0AbyqLC6unz9/YXV1 - aX6hW3YhX1/Y7//zr//XWLew7Jwx036QAaY6MwYnmvH8wS6Ea9eu/eiP/5hOae3CTrfXIZdJ344Z - VVmtra6+/e3funfjlhbVw4892u/3stG4ZHJuSmomTr5+vhc0owHYvUI3FwgCFRBBBF2/dWrLzy/M - nTh56vSZgxdfiqMxW9vGSbRJr+NX5gZbK0vnzl3ore5Sr1IHT9lhZwQSlhLw8CX6gxuPPVqtn37g - iRfu1nYAnXo5c+ni1XNb5ALK3pmdC+9+7/e+8PJhzd3h+ukL918qBJ7AOdK4qG49/OhP/nT3pbFS - b2lrY3V9ZQmqZVUhD+4LnD+/64drWydOvPDCCwcH+9bWEutK0BvOL588u3b63MnT57oVQyEM6XZO - b+9+93u//5H94rbb6C9vXb50Ic9FhSFCYAUMlNo2RQiJ5NEwUwCjN0S3ct/wusfPXDrz7O2Xbh+O - 2qk5KttGO8Gd2Fzb2d5eP32+v7QQCWwwhUDFBTAvbWzfuLVSzZ1+/Jm7L99+UVjn5/obWwuXrmxX - XRy83L73++ZffHbcBHnowRsLgx5D4YSIRqNJGwnkybu1tZX7r11aX1944NZDt18+TE1cm184s7F5 - fufK0upapwubbQAPC0RijITEzL1e/9Le5VNLN29cOHnw0nOjgzY2Mr+4vnn+4u61G9VcP7t3BUQK - LswvvOEtb+1ffe2zvKLL2xfPLg37QaBE1BsuPvnGt5ZnH322GYSNyxd3Fvq9jqEh56BAFXrl3LX7 - i87aqas3rjz/4pcPRnd4Wg+dWyyqztzy6pmd1TPn17fmc4SqEJi1M+ye3dl+4xvetHv+gRdeOJg2 - iEkUurw2v3ly9fT21ur68qDLhKQM8cQ56uI4HMtykpIR2G9ubp3YuFtVn/vS5z/7xae3jE5hVozM - aKUKUUQkhgb0V65fu/mjP/LDz4zHkZfmVy7sXbtSlPAEtJNMDlIikKgysRdHYXnhvvvO+6XVlYtX - P3W7Pjo48EgFWyj91smtszvbO9tnu8VxE+nd2uryQw/cKDrFtev3j/fH49tHdhR90e0tra6eOnvh - vqtrGxshAIqz29tPPvn6y/ftbV86Kz5EtA5E4lHyqRNbXT+cHy49+NyXv7S/38S6au9200GnwODs - zqkbDy6d3p7r9gsl146TMnmvLqyuh9e88vG1ucXPPXXl4O5LnrUXimGne+Xi3uaVq505mgAeYNSM - FvCROBILF7ASbtDtd175xCsWt84+8uhDozq1JhX5vivPb566/8Yja9tXn7t9VLeHVy5eKFhgERAa - zO9cvPTOd/UfPyiuX91bGAyQwMRGqtCUUtUb7F6++v1/58dseOLE5VvDii0CLcCu6lYr3cVHH7u1 - srjz6AOHkyNup/vajk6sz92/u3X55qWjO+f05CicuXX5FQ+vLw1FACMm5xjCHpxmPFwFFC5BXdY9 - pJleZ37u/N6lH/i+H3zzl1Mt5YmLl89cvsQelmCWiPg4KTY7TRL7zuLy0vXiXLfqnj//wOeeafaP - psStL6xbdU9tnD53avfMubNVj8ATkLrgNsPKa594eHlj58bD+/uHE25eKmzfEfqLZ9dP3Xfl2vWN - dYi7QyaXLl3+iZ/8qTNnr57fOcEMFiaIqBMvW1tbr3pVr7u4/szTD5GONR5agmff6/bXVtavX7u+ - tXGiOxyIRoU6U2i6ePHiu97z7leP6peNOVkwcsnMGqHIHM2VF++7ubm2Gls45BR1fvqZ5z/z9PNT - w/rWye2zZ8rinixoZs1GOeT5mK2aIAlWdaozJ0/I42F+efX+hx4yWNmOOmmytTS8cOnC1ev3bd45 - LFd3N3cffPjG/YNexTlrywTiussnrpRLYWF549T6c08/4DRaMwVNihKDYXFi5eKtq49uLq25HggU - YR5IrSoxexhDj4M6GUbMcMWFS9d/4Af/zqh3buHCY4XAEhTROzEDRQL8rUcfGwxWnt+/c/Oh66Eg - ISV24ArRVhaGN+9b7laD+8+ff+avvygWLR2SHfU7fnVu+dT6ib0rNzsb27GEAqqY1HVIkwIGX57e - 3n3DGzbS/KUDGxap6SKeWls+e36n7M2SVyQJG4HggVYjkgEeCxt7V9e/3a1cfGx//4WnK5pIPAio - z6wvXDy7Ndjaa/unp+X65YvnF+aHMDRJA4feYGFL5r/nPe969V06tbG2ubYMbbPrZ/Z+6c8t3v/A - I3/3Z/5LW95dvPDIXGYFkVDZBSew665vPPLQMKxs33jgodHLz6M+lDgRi560258Li2e7q+cvXdrr - 9bhJ44oamIKkXF6/dm0plpvnrj7ylZeeUtxlnpbc6xfz589c2t69sLi8IAGsAnLw1fLy/JOve33/ - 9I3nP/9IkSaV1b1+devBW+trW8zejAjsHBPdE4tnsoch+KXNE48+3mmq8oEvfrGZNNJaadLx/sbV - y3vnt3vzm2//lsHVB0dnd06fWl8UKEPAAb6PTrl3qWvdlZNnd164fftoWlNsqulRrxkvzc3F4Vqc - W79y69rC8mJUsLEQiXOAQcj1w+uefGJt89qzLxTdud1T508vLVaOkGkczIA4mHZ6S5f2wo/+0A/w - 0snrOxtFFQAFO/gOOCx1B48+OPBL2w9fPlcfvkT1gUttR2h1OFg9de789euLS0EYMAd0QNNz585K - wcPF+ZcPbH8s49GdgP2OO+JyUJcni5XL12/e2tzswlSIRGbiI2EqKIc/AkIwKFEExUTw0u30dq/f - eOjirUtb59uXj8p4d7mMHaolDIrOwrUbj6xf3NV+z3Iy+2zVMIqy2Dp1vbuKlZMXn37+9rNPDzxh - emSTZlh2t1bWl3cunLh6w612ks4Y+MjKZAZcEfr9W7eu/fTf/akv16Hpb2yePnNqa6sAAgws6AxD - 6L/lbX9refvaS41b37l25r6H54YwoFZAUZEnLgEt+sXOmf6Tr37FxsmTj776yfG05nbsYk3NqBPS - Yj+sra+u7NxaWF6UClkWVhSd+++78g//3k/2Fjd3zp3NburE+BpO26yinRkzzOpdBZyIzJi5LGHQ - P326/42v661tX/38Kx6UZhSsDuLOn9+9sHvh7M75b3rbW/euP16W7vLebhCEWY1P7LwLRVlhMBhe - uHjh5s0bZ8+ceunOS3U7LZxfnV8+u3Fq79LVha0zhUME+J6i65jRAQJx9uRFSkmEtk6e/rZvf8cT - j73Ia2vra2vsGIbCuxn4TMJzixf3Fr7tW4cXbr68/8znXX3QxbQzCGd3T25fPDU3PP2ed5d3X0Zv - vjq1teGEFCriFlY3bjxY/WCxlrqbuztnO91SM2SM2T4czi088PCjf/8f/IOT27s7exccg0kcdUC+ - 03GntjZf/cQrNjdPPfGq5/bvvKz1vtNJr9dZXD+1deb83qXLS4tzYLbWyBhl//S5C29582K5dflO - TUV70KPJyZW5vUuXiUjEr2xsPvHa15/Z3k7V0plTp3oVATCWNgk7Wl9bfvTB65vLc1cv7R7c3T9K - 7ZQUZai63eHc/Nntc3s7FxbnFisHTya5f4GcOrPzjW/+5sVT1y4/+NqTW6uclawscB6qCNXa+uY7 - 3v6tN243Fy5eOLmxlnOAqNNfPnn2idd0O6dv3nzmaFQr10de62FFly5u7ZxbO3H2yjMvdUe6dXoL - 3sEAmA3mFi5dvfHdf9u3/a3t06e7c/3KZ80inTt/7od//IcphJOnT/W6ncDMcICH60hX59aqb3rj - a+e2z3/2oH0RFTo0t7Fw340rZekdKEeGwgRVf34BhXMlYdCpLly8eOLcWsosMwViE6cHd55/7kuf - +9z8sH9u59zGia2y20sAIQARTEVwIEVscpSi+B6c3zxx6vEnCuf90y+8cGcyaTSVIvP9/pXdvfXF - jYByZeNMsbo8P9cpPQgGk4XFjccefeJn/t7W2b2HL1046X0WIZjP70QKN7/6+Dd+U3nlMVs/e2pn - K5NMmZ0rOr4qt3f3Xl/2OsvLzx+Ni1Tz+GCpDFsrGzcu37+2uHpAg+/9bjfurF7aPTfo3/OBnu1c - Ayjnxc78ThQsGMztXrz0bd/WXb3vVZPJtJfurBXt7pmt87vnc8Vy6uy5b3zLt+zed3P5zOUTK/Md - gRiEHVGRq/6tsxff+MZq+eTutQce2d5aIzUmgD2YMFg5tb34pFtYObHz7BdfNTk6tLZBOkQ8mhuE - YnCCwur5S4+sb27BI1ESwzHC0CDwYgfz/W6obGHr4pWbj84tzPuvArWcGV2CxMdK3BkeShmkdij7 - vY2lhx5cC/OXL1+4HMe3XTqCTcmjGpTb57b3LlyaGwyJFKEYrG0++sSr/ebl8w+9cNCgg8mcS6VN - dk6sPHRtb2t79+qd9MykuHT+bMEQgAkLi0uPvOKJrbWlUbm8feZUUR6jsUQwQcvLiycfe2TjJ8LO - 4ORD57dPdwqHnL4KUxDTsWP98ecBu9Nnzr/uDW9bWrv0xGtet7ByYefcmaXV4RdeeNYxu5g64vrz - q5duPnbiwtqwi5A/rxBQgHocbPtctygW5wdzz33lxdF0EtViSmoWQjEYDldWVvf29k6ePMVAG9VU - mUiYYdbUNZPNTG+Fu/3euZ2dN735zWcu3vf8naP9ccOtDjudMxtbZ04sn1ibW16au3l7fPXmzbl5 - IcCDNcbx0UiCL/qd7rB/4uTWypVzC4v9u7dfTM1YqCh8f23l5LnzF3bOb8/1sjIoOiAHixFISXx3 - rlrarOLh9d3upe7ZyycWXjhs96NrohtUw82VE9dvPrh66mTlkSVumiCQnYuX3/Ge97x0587i7sn5 - 4SAABoXwcHn50Ucf/ftY2Ny9euXCRmbdAgQp4Gl+yd+8uZT88NbDe4f1y3U8CHXsJQwlxN5C0108 - efXW+QvbvW4Bi8isu2Kwsj7/6lf2+0u7n71xUer9Io69s5XVpZ3ds/ffd2O0P10arq6sn374iSfm - F4YJiAZPRnLMPzQEFhAJGYewuLryilc+MVzbfeCxl166feCsLp0Fml48t35ld+tw2p64jkfvhL3t - 1cBoWpSiVbe3sXXqO9/93q+0w/W9V6wuuBn3nAgkSAmhe/7i3o/9+I9wZ217u9/pOeI2oywMgAKC - WxwWS0sLrnhx6eTetZsPz88Pw/EpkQguWwll1ftMaJIpFkyumJGFuLx+/zVfLl67tH04fTliXBTl - +bN7ly5c9T6Am+HS+pNvfMv2NVG/fWK9U0hONbVOd7h97sr3fO8Pj4uTG3sPLg+PcWcyggOXZ3au - vuUtZ5Z3X7P34CtPrC3Nzi7LW8R359cee+L1q0uLX/Sb565ckkU4ArmcmqOdQf/Wlb1ybnB67+Kz - o7E1U2rrAPWuCr47P7eytrF28fLFwfzXWOj+Z/Mis//Ej2zHhd9xM4//y1MkqKpaJBhxBkI5e06r - EpEwMxiKqKgFkFhiKmiBgNRBPdsj6pECDJZQN5GcFlULJoU2KATeAQaNTTL1vvh6BM4ZLOX2DCpQ - KKMVtIBAK9SI9YzTYwyIuXLKNJOcRwigpIpaRGdD0VojD80hAiQgYNLcAUIVugT42FBzCAa4Ay3A - 3AQcAS3QgxXNkbMI9mjVpFBftISW4AFReABIaBuQQopRY9H5wqMet460WzqkKZpxjCadobri2OYA - AmjTIk6jEpc9dWgjYBCgK4CO0N5FAsr1lx3fnaLDGAQEQMzIGpCCFEjTuk3UlxDU4AwBgCkwgY7A - CikNPiIQPBtSk3MeAVhiqhkp5yo2jUcUAgmsnkwbVN35pKxRfbah5qTcTHScxqh44MmjwIQxAeaR - 6OjFp973r977oz/76cPOV3ijnCv/0c/90Ld8yxNzc4OiGghCVgRJMhglRQJEstXRPtrxL/2z//6/ - +6//m+fuHh6GheXLN3/+F/7bJx7YHbip6LRtuJlSWfWTw9TQKAJr10HQINaIDSZT8ysHveIOUAId - wGNaNlNCgibQ/FHyEw/vQdoEPqjg0BKmCnjlog2ePRLQouWm7bKDAuaS4ymh1ZwzCTIr6MjZIRKA - LrRCCtlFTBmJEIGk5qV12W4YIeON9841TiCNLBPYi0jPY//wf/m1P/vZf/qBiay+6Ztf9Q//lEJ4 - lgAAIABJREFU8fcXDiXgDD47dqFRTDuNoWW0QCCUHFlqFGMFGD1k9tCLap6LfmJRQ4ogQoEWaQQd - wfUT9V5Wbho4RuGR0/kMQDLW2iM5x9AEGITb2EaglGJy+8DGsdMdUKengSLNzB8AOIUokiI6JNaE - aQktYEgK9dACJOYwZtw5bKpmf04aKQVl9y6KEbgCBnAYTyOZq7xl92YFGWKtd24/VxXS63QQCrTt - xMq24yaMylDF2tMhpKrVtVJ0ENmOUN9FNJR9g5+0OJwkc2W/0wkMIaiibpEMwUMIRK2zI1ADTICy - tfkjKogQEoLBCUCIaNtmXEoiUSRqeVizi4rQoIgQB5SjiP0RRhQXvC3GKabT6XhyZ2WpXxWCVMMU - 0r/LvmFUQAl4qwEHk0ydBWpwC+1n+94U0LKNcdvbqE8Km6IZQJcRAwhtiakDARbheBqyOY0RsokB - ZS3rIXAEKqG9oyYcThvnNZQofEkAJcwCpTF2YkiKpOAAqSbA4Thye1ToNDiPYhEBSjnL9TYwVpuP - 2lPMAhkILacEU7StomhdmXyeNGg93o8xVWXHSWDKbjFQhjlExEIjmhZNhC+07BwSYCgBSbCUmBOT - mvg6ca2EFCvRghOg7/sf/uW/+dfv+50/+MR3/8g/etM7f/DcbreQ3F5Ehp9VWhqhNShCUoMYoYUV - ogXU16Ajw2Ral20zAELlERRcg0WxUAPTFh7oeiU7mq1eZUhM0tRoY8NtTW2txO1wWIhTTsEacfD5 - QSUGqbXTOrBw8JERZzexMsZiDWJEM4X2YmfhIMM3DQpMO8FbEykRJORrPCpihZbNoa0QUTeoE0I3 - FmUktDWaWqFtO72r6Wh5fuh9F+ZBLjJGjESgFmm8X2LSk4SC0LRKS4fSPVQERYdQEtQjChKhMLg4 - YRqDPTikZJJ7aVO4TkPlIcARQSM3E07TouNBCVxOpXtEAFBkml497foGNAZXNYYHQDtFj2OPG3Yu - IjQAq5U2gU1AoZX+IaBABVRqjCm0htUggQxr8hHQqO34bjM68Oa6oVNUg7pwY4eU8xfq232eEjzM - YZKAztR1x4ykTRNv+xBL6hfU8+bUIQkSoZMa6AHSIdxiLYPnIzDWCtM+Rx8CiRAzM6saAWBWIAKI - GigRxa+qMClNmtEkTVXJWhELXkJVBucdQAmIijaBBeJGAS1Z3mse5qI5lTAxjFJKdd0ZHfam46Lf - Q6e/78rkyAPUzmKUhXIMyh34fdgR0ipsLRGioCVMFE6t5+DRICmiQqGuOmKeCEqA4qjDU5cJHq2C - qtpVh4SUYG2DNHYx+mRd9q43nArVghIoFKhHaMYoA1gPxpHDMFGYHI5c3O+5ppxfPLDuXeMioACK - FgUlpgREwEAO5L4KbmiaPPf0Zz/xsZ/9uZ991sLj3/GeJ9/+zvtObJbA9OU2xIPFiuAM0sn3mApG - ghboAoU2SNMcgYZoCrKyuDudtuPRYr87unvn8O5odXE9uE50nCo6AiZ13a2cIDGoVO8SoAoaw8aQ - Ejw4BJoWQvCMrhj0COkIYHAHVuw3rKVTAbKKPSIAHW6FG1gCHMzFSI3zSTBqwK1KHOv0sBPQ7Zcg - bmV4ALRAV9FpD8WmcD11VYPZXM5LbvgAmOiM2QuPRpBnkw7JIwKelE1BNoWMgAOgU/PwyEptUnPw - ctCmW5VFWYpzrRVHDSKhKmdrtMIB9Da+/Jcf/cif/MIv/vu/eKZ59/f+0De85pV7F7dTSkeTo7qd - dspOx3UKFmBWVLcKDxQEoWRKSSn7u1sCCwxNEw+9M7YCyWOqyfujjj8Aeop5AlIL1KAaaMFzDZUv - TaGjttS674xLRG5QqMcw1UESEKAOLeBsVKCGTcG9BoMRAIOfpS0prEacWBOp7JgUdSJzLgJQVGgd - 1TAFXDSJJsYuAeOjph3d4ThZWV7mohsJ6RiSjpOjQBEeiKl1w6lz+w1ciw43HQcWR7kftxbaAAlU - 1fANZ6cmpDhGm0KOH2BGjGjqo9jsN7Xr9/q9YdbEMtBMoidXBUAN1oAiOIHaiKqlTo1sVI9ghtQg - TmAtit4Y1RFnJTh8ih2OsCnSBBBzcxMKEWgnatOjQcc5qRkTSDluF0YtfIHAkGSBDihNkcYQmbrh - PvWNeQhUCbl3ysUvsqYWYGqBMTBFDRw2oBLF8O4UX6GQJPoei+NFoA/QJMHuQkZoX7r7zP6P/MQ/ - +cjHPktLJ3/i5//59dff2AiYB/p1RH33mS99+rf+3a/9Vz//C1cfePLVb3jHt7/zOzsDsMADBRro - CEmRHNQDhkDmYFA2QkQzmYw1TU1j0n7RGXb6MIFSJhjUjAMkKaiHcUgHgKku7rclCvhZRE90yQIx - RUVKIELTmIRJpzgiFEAASotEBEVKqdUJJCrqFG1y1JShGnQHUEYCuLzbYuTRCwhAcdy1fU1jnX8S - kKAtrEGqYeFAFm4TpjW6yYY06jhj54kdW75VIkCgoAh1MiJyjigbI5gSkIySgh2zqba1l5wBpDCD - ucZEWcgQp9Y2TYx3ox50exzK9TZ1wHCSWYkpQIUUprADTG9/5H/9pZ//lx/4+Jdw6Yl3/eiPvHdv - Z365B8FMvYGZYVcSCGtukgFBZDBaxl1gHygQl0apahs4y+rqpkWbOFWdSiBQcIqcV7uRUu8A/qhF - CfScujR1aMEJBMhwxFLPMpzhDEWcIo3ACX4wpfIAKCMGDKQIPUD7MkKDsLmP4RHQA7qA0zoDHgkg - eM79yexbUWAKmyAeoTa4OaAD47tNMwIkBCJ2wsHPpAY+z/wV5BTcJEw1Rk8B8EiIUScxRbWmbds2 - dnu9sqqy5EgNqta2jamJUPAepm09JYIXdt5BNUeSqmpLhbryxbsTqycbywtBAFO0U0Bj6E0dDoEA - dDBKky8/81fP/NOf+2fPffqF4cn1H/iHP73z4LWqDLGekEaWoomkFAqR0iFQxqobw5Ta0eHnPvO7 - 7//1/+If//PTb/2hhVd9Z5Gm3/fImSd3B5juQ6qxVBMV7yrvOerXMEASpM3mCDM5iAVMxBrUHZuG - VAOsNrzTBK4QGIzIOAxKBMGkbVNQ10sOxmitndQHGE+KpH0ftLfUlm4EdIGugZoWOAKP4euEuSkG - Ezhq0N49CLEJpZT9wJ7YBCNF8ui4RBgLQGCFw6RghgpSrseQCCyJMAJGMAfMTZvw0kvTwmuvcqTj - MkSEFk0a0fLUF0kgEdTUgyI5tEhjEEOWJiyHCQ6oBIKJ15baFgngYSvusAHB+t1GcKTopFSRweMQ - +uynf+t//Be/+if/+kNP3/fEd7/r+95+5YHt/oBzTVNq1k/jOGgKMFOoOo5ZL4hWUmTzQIFEqthv - DqZoqqpbSOUJrMa8D7qLlhSbSi4ZhHPgWyRMYBNErf1S7VyTq4h02JEpwZAiTEBLhyT1Mf83SFOa - 88poFboPPkI6gl/aD8t3gAHQA0I7RkwYTyEOVacmvpNSmkwcrHJS+MpxYIIKVNAAUAtM/j8B7Pv/ - 3ev/GdYt/U30lpkpg5n3foWYmZjJjoPFoqZWm9J5oZkF/L3F1iaMRweDXgicNZXOkUxibCHOuAg0 - C+NVZSZm/3WzTEgKS9nmGceG/opjexsWqEJt9juW1Fz+NMLZ6o2JpI21QJzz8JzfZ2qgAimaEDyh - ICBGkMIXJbSGWq6vRQEoMRFMfInsYliwJm3byME5AIq2iSRwLscFGpirglsACZ0i5wlrPWkY5Ds9 - iMv7J5kBJA7iPTliiDLMwIATOMLMW4kCmJWYgE6JCveKyDq1k1Bm6Z2FIiT4lA1MFDHCCSM29fjl - oufBLplvErHBM2auxAaAcixmg4ZSrLzUB+Np3c7NL3IxX3oFQ1iFDKlBInhS9uBB6JFTlzPgKWva - YDlus9Pt+7EXqsqiVxRVKIqyKvPkyYAYE1IrrlCjqDMfGKhDywCcB8HaNo5H7dFRGk00VKbTWrhT - 9vr5eQSXOxwSxNmVTYKiR67IJpEZIC6RSBitAR7iS4e6RRvRqchAsU2uIcDBlyzOCRSIKUE0hAAl - WNZ7Zn98eIZnMKFpYgTKUCIJ2npycOi6M5ZNrYgEL0RQ2EhjZOkIU0JQIBoo5/ggz1k8qIfSb62f - uH5x58N/+rnnn37qxRfuLK0NK+Gc+moEQBjFzNs8tuCUK7j8F8fMnQjnmAqwaCYiCmIEmlRY/icm - jC6hKCBZUKqwZELkhWLyCc6RwFpYArNzYqZtjJ1uDxVDHMSSok7GnvMkkhRCEEFraFMbxDsoLKKJ - FhsyhS/hHANV4buuEvGglKLWaeyKfoCk1gpXOjHAFAaDKhhwjgfDXnAKz6CIQgI7YtQRNoUPLuPC - bbKpQCBO2XMXpUCNVDsUil43kcs1cm2aEjsHSZiO0S3hPIE8oKAAOJhllCQIXJodLsLCRZmheDiG - MkUUhCAQAhRJFcwFSnKeW4jA98r+YFGcWjI0QuZjoMplpjCi1opWTGZWpCDj7Dx3fD5nnzMAxkrC - RHAlRhExoIN8asWE4CDwAGlSMUDQWkqEiggtI1UIFSiUDhrIBTivhBbwxkgzKkIwGFqlqaEswXCc - qmLqHJfoEAcVZFmkkIEECDHZ0bgtKi8eAJpm6pOJD5CCOfgsvzG0TR18KIKYmeXIjQYMHM+Rak2J - jcABEvINQ4QUwWbOS4opJdUUwT448l68RegEbfz0X3/hU8++VC5sbJ4+u7XZDQJtYXZUBm/wCpBB - 8lZICQKDZxAZoU0QHxwHomkLb2VwFQjQkWXNGFTAZT5vYTFGSkEcQ6HatNMXi04si6Xoh22FTL40 - mLGaRFALiDWRDAxXSQkgKvSr0G10gFCek1RwpeSHAbgAD4EZSZjJUwzGoJDR+EkebIFLBAbYpmrE - juE7TFygN0/WESIoIxt9MRwhKoRQdquCMrW8BYGZy4C6QQCqAE4WQZk+7g3MAc0EiChEiOGKmVZc - CV4LsATD1DyXzoUZVZykbkbqQ8meASa4zJBJjJQgbWBflSiN2QBVYwOImEwd6YzB545zmYwJFmat - rzBgAqiBqXUV96s5rx2kMBlZreB+LkmMKJJkMo5DJAiHAuqQ1FEbiuC8hXYUnXNEiAlwABGioTWY - kqD0CAMurVNhOrtPMr/ob9YuRlnCCAKxGVKMhUPlDeSSdsw6RpKRSwbEUBgKRpOi6sSCMyrNeYKw - mTMFNLIEduzdMASpO4CBUbpUwzHADmxQRUpwrMw5PKaAeCjujV28R8FESMlaTkxcAI4ZpeQHq96x - 5b44s6mk9IRunjIKg0JpZUgMFTB5RsKxhJ8dfAURCHX6HCkAGLquq8WnCeArjwjknS2E6QSG1rm2 - KDwyV9nMoEbGrBy46BahKjroDXtLaH1UOMbcnC/SAGiRmuwJjIQEOEECSNMxxhHUysbDMWBxLihc - Yanp97r93gKsNCbxIEYPKCoxQCEC1qRag3RKgeAC2CVVIs4iXAdACcnBsv0KRbKycpFm32MuAZyA - zMMI2gIAIoPEvAHBgcDCXFU9n88ygwEMjCMkpn4okBTM9xTWYsaWM4HUcoLQvUU2K7ftWFpmKZlF - Yks8K2eFjTzBFTKYX/SpIUbSFFNij6pEuheZBItN7djM+KjRw2maJHZlj31BgBfpVVVVeuc8myQ7 - fm8AMohDEUgw0gSmwHwsn2PnpGJEpNxDOxGXnUQcA0ln97cSiKFGgl4BJk8th2zozr5BEw2FgFpM - p5AOhCEkKZoYgShZS+w9YUbjVsvHIJUG9kTsnDRZlpTTDlvVGMk78Q6QVmGGXi+4alFSK0SmSsyp - TSBynn1ZwRIowYNA1qLD8AEBIgIQZQM5MSIISMwoxSjBZTUoOy/kOLciqtAIL72qj9SphaexAVic - L4CidC5bYcRIMPicZSxMAjUBeQYZYkzWtt55sIORY1THX4STzOj0YINC1SAgg5CaE3aOBTCkSELo - lTDKPCwlcZAyJ045ChV4YtA4kwEDqfXUwgTiiVhhxm1r3ntihmOYg3O9ITeCyI4BIAqYcsOFEhRx - UP/1F577ykv74spTZ05vrS/NhxkGl2u4//inn/zEx/+8CJ3HH3/ila96Jft7JDkADonSaCKhA1/k - WN4IbdvowZ45dDtMCGR13QYEqHy18RN4jy6TZYIhl2iiQbpFhg+QyISSEyHL79ZBFYUjJ55QAJJi - ECKzlLhRVpHKMdM+0hhShuFCg14drSABGQjdEiR5Mo17hgk4djrRmT+1wdIx69yBg3foAGUHhVGR - KseaUopNXQQ/G94zQxOnUSXeyLVR0iztXlKEmBacoBEpxnqKsgQXIFECAX52QsA7qlyhMh/ZgaeA - +XtmPw6AGBiWYECDdPvOc08/+8zTX+r0Lq5sbq6szZdFvhs0ew/lI8whErL24muuQNCsPk0Moo7A - CjQTxKYuKnGhMk9EJCAiIF9YaNEmFSs82ggxAzH7EuSBhKapTSXLPBJSgncGJ+AC2sJghAIoMpU/ - GUwQhpAGCDOgWSGUW4cc8mIEAfFXCWMGQIASwggGMKwB+0rI2HPlGqAGGCgNVQswkKIiErupUmNF - 4TrIXa0mx1KGMI0phMJ5zyyco+YIdd2ORqNurxcKRwSNEbCyqggG0xRjii0TuRAEICoTYXG+4lRx - PmnFgYqMnsYI51AAlNquK+cG80fjyVf279p4AGbvgiPnyw5ibI18N8x0EhGUFI7A3hJ0PGqSJm5i - wEgUQj3jZILoEB2MHUmn7DaKo4gi5LWdBBAWvsdjFoCgBk1tEDgSOIdkBO4XM/V/G4+KEIkrWEDR - 8ZBsN5W7y2HRCWXHaUKKE55VFinPRAkgD9cxuARu2iPiTjcENz9wERBtuanRBiXJgzBFq2gUISDC - FClkYqDarCJlyiHdCm2aWjgVDquLpSoI6ssupAERCiopABhPIYROVTiqAQWVOIYOOjJT/LSxtqSF - KyEMdiB4nvEiIwxt8gSKs4yNz3z2c3/wsT89nA7mVjfO7uz0eyiQcoB9tn2jbCCdIYy2HdUT3++a - hARHEBXjNvnUolW22CuDEz+poyfNtw3AII8QWB3pPZMTgBlWAAwvjmejascaxBRJTMAdNBxZgpst - V5bIaBiZcsKQPkBwHlym2HrnHZCaCZDgHbpdgCESWIbBwxeUoicSnrX7bVRUrLO50zGd+D+P19cd - uv2/f3pmmZ4Fy+dw3j4zsSny7IiIWCTBfPZMlWzhg8ytdt6bIZmKadacCFiMxYgZpJkjG0lwHHPw - 9XrRV//I2imAYAmQrAxILZKCJUdCfzVBL/PTmVicGUUlYUcGJJRA5BnomyXsWV4BihACODMwU0q+ - MkAJYuTIWFXZiTK+mqsLpKz3ACkRzxAJO5YuZfCb2BcwVSOLCWRMTERqiNFEiFkAalqkhODhCAKF - 1lAFeYjLM+BZD2AAkrDNdrWpmhLLsboFhmxIBTAVhcAaxMjihTJAgZQywoxcEZIkQcOslpIXRtlR - DsoQYliENZjlIgvA0agh8oCnmblJRp1gCibOwXeQFGk8agnB+Y6C85dGIBFlM1hDLMLZwDvBgAio - CeUWW5I6UEnMkIJDyVQhw5E2U/ORtao1s8EMrYEDZGY95QEPJTRgBw5AkQ/lghChBEuwmMxxgAl0 - Np1gygFSxrNFT8htCMG72ViYyUyCgZFp1pSKwsPBaBYolhcEAbmIOfatm+0vyeJWZRgBAdSDr7Y2 - Tjx289pTTz2z/+KXP/6xjz3wilvzi/NZTWGz6BJ/nBQpkAhGREqIuWMCoO2UDXDcxtSK5PVLDPIl - rAVFEACtiFtG3TYqVkjgXK8lCIlxvlBDnrubEFEWeudHYCBlJiFTo6Qk9wwhM3AzW4gEVZCj7KpN - KUa0LN4hiAcMSZmoYM6IoMwQK4p1rRQJhbBPBkYqKgducyMCSwolcCCU2Zk6ChTkvQERBBSeBMxI - bR7XCDkyTFNOMTD4vGDgXZ6IYLaTjEBk0OyNKveo0bMriFpFgjl2xJwxdzkm3mEWN0ec22oHE5Cw - Qc0CpMos/zitpWQBlFPORrt3pBnEkHK+dLY/EKCAJA4ZGHfsIPkUAVFu3/L7ZsA0KRmxk+ysGmGO - /OzhIBG48swuATGmmIwMLhsmGySZBvJ5HIakqkdB1LOQOpDPsrPsF53UoJoUPnjNoxlRyStGCSbH - XjsggsuWOsR125oZE1jAmrE2JbREBBaoy52YUq6/zTQ2dRIRlgAiouxy2ICmOHrxS19+8QvPfHmE - 8uKNqxunTve6cEBCEqsFnI7Hi34GURHANiOfWdYtG6kyiiIUmTyvgHEiNoCQeAaig2BqMJ0xlJm4 - ZAXGQCOMxmCGJkKEPAl5VWtYWbLHQt75igS0gkhwgEKh6hWAgziI5AYo4zsw02SMzKZGAiIDksMp - I0HNiFRAHgYPy0Bl4pz0S458iq0RhDhFaD6VGG62Hw25jhMGiyNUDqKATokjuNsoZQQGyjCPbMNJ - CXAgBxHlxFCH6CAgdhlKyeuXzIsaR4FXQBPI4Fky8MxmmTTKFvMo6F41kCCOPMgxUOQrwdAYHNjn - P4hgyqZsRpTYZShFwAiBkr93uSsL5YwdQOAcxM1qFmbvvJAyifcuywDyuBmgmWse++MU8jw7NJg1 - dUsUfPhqmaEGI5Ps+pmPoozPsmcmUIKRCBQSbYZ1O0DibPwTWBMTAS24NQelEpYvaTq+N9l5tAmW - wOYQWySzIrUQQd7XysLkAAdtEfNdHETg6HgVQW2WR+HyhSGMgmCIBLQwNRQUwB6YHZeg1nkFwESp - SRIpw1YzYwuz4xPSGXkjJIPmiakViAYjDy1m8mAIASJKBRwlkFhexGqwxIlJW2unadKkGm5Q+r5H - N/9fs0aoVZDMNFA8KzTkHuMYCpYIjIGgqJiEdUZb9Q5UWZzJEK0xJw2LNJhZKAuB2cAys5eCM5Cz - jE4eg9QUckUMYmMRhppKMieiyOsOBkAdFKAESixgmCXKk3rJJjgJ1sT/k703fbYtue6Efr+1Mvc5 - 976qUkmyLdmWymoPsiy33R4kOxqaoDsCQ3Q3EDRtPvCRDwaC4J9swP5AE9BgbAJwtEOE3YONbA1V - 792zd+ZafFiZufc+w733DXKHUWVIr87dQ+4cVq55YDoEAckJGVHwMqEXJBOC6tp4gVDd9gl3TZA3 - dZAzzHoCekIxuCEzyBUdWUVwgC+h1ZBILGMoJbLoGkUAFqinuyKHBebpzqDmSIQK4VRIUOxaQIUm - CNEEMq90kV6kr/ExiI8LQVQDUlgeO+RUeI/8i1kbMpEyyGZjMrDA6U3sSO4EG1nTDIczFgndmQRO - 0oPdci9WxZGkCS8ApQcog2agQAQKT2AipakfzMyU1PCjLyZkThNIGpJbFkkCBWtZnCopgXCm4OlB - JnWrMDEKCKEC1VEqaoEQIkiaRIrGwNqOshnIkdoyuld3AZVK53BNJ10Uok0CCZ7IwxPQSi1JBHIA - AAndMjSLazayughSEx4CDxOqoTqnFYiqqyiQ2TkfhmhRK0KISWIAlXqstBSwOztq9SwqkQ5gIU6K - CYjaoAmF/+pP/9//5Z/9wV989+WHX/iJX//mN3/s8x/ch97fgeVVffnJ7//+H/7Lf/WXv/m3/51f - +lu/+uWPvpQOEIEDxVEhyon5CE0QwKp77M/k7tWhqc1BxOgChCmxgSKJBJvxqpqLJJg7Gj40wtgN - obWiRL55daDSCJsw0119iup6lKi555MaUKCa5O4BaqXj+lrlqLHh20yO8XOb5KTBv1kkO4lkI7nl - jCFEIrrZW12ZTBA+wwqUpEoIQASBKkgmsAVcQB6SggLq4jgVKHAXHkMe2aBBTYVpQUpgImgoFcWB - BA2ZlMRS/vkffetb//f/8/2//PhLX/2Jj77ypfc/g5xBLEAYBMPYHGbbS+mdjkTk6qCZOCg4Zhgn - yeKKArcI/A7KCsKTEyATcZ9BpwoMAogwlZQAdQ/tMlSDMrovlaARKp6jBlwwo1F7udAqZEKIn4yy - uY3RMTazeDBX8VObApcGq7AC1UNS1xQO6G0HHagPwaGQZqBRKqcKmhepBRSIZiaXRIqomMG9xRUn - 1ePhkLQpc2P5JAQkdxo1JQmNtrm7UzilZl2Ev/Kal6KSjt6OGzRUk+FprqmmZCKac0qJAEwEKSWZ - g5MkFAXzjFeOu3vRCfk9SCris+CUmQ7pSF+q4ETgDq7iQom8GKExMMWD1+L+HqjsLkFRsCGTCu+u - ck6XKbTZBBUCK251tiwTBcVAIBGqQocgOGmh9vSq4XZEglpqsTQRmlMFTwlMmlHh1aHiEINrBDdU - SBhiABHC+TA/3FVFzUgJELrPp1lzVRFNUAiJyJ3iLlSBLWYuKRGenXcCJRTm4bcoTSQRwySNwkHI - YIadi9ci6hqYiOKZ3vHBPD/82Z9960/+7M//4ns/8uNf++KXv/zZH0GWJokJVLv7Y9NJmSFM54Q1 - 3oAOTjqOvGdlSUkrzLwuUKUxAYcQFuiwpXkahDtCLdApK5AsNCRV4hwwA0enBE8VZUSFs6KQh+ad - iQR7AZnAfIAIMMGTGqwCgpSwuM2LHDRV92pJskQdjiAmgodalyRT52R+eNpfWa5bj1y33dkSA71h - SGSUhGxeYN3Mpi1tnxL3d3f0kwfSikp5lMyOXhFgacIg4O9IdxsEv2UJaXTBgNqoIAA06zcM3BQY - 9XV+Kqk4l8KDSnhlgEjKGVjgYiYlfFGluCUlXLAACwzLBAXCg1araXFk0ISNtwCckCQEnTTQKUq6 - FYVDZJmLE5JyPt7VavM8C6uqiwhIOk5LpShIB5YFteCQg/bMsBNcwARO3qKFQpAwsEIp4eRrMBOh - OkN6jbWvcEcSTPc4fd+KUKckoDcjpzsmBQDzEvrKRLgteco5vV+JJU5mRHu5QnLTwTgXAo7JQ8/A - EC5hFUKqmJs7HDY/VPKF6meXWkQLcSJESSpQqialwLwqZvAEznQXT5nHJHeajprvJIPy8OSlAAAg - AElEQVSUNL0HaKkwgwjU4Ta7zdUWoTZmQxJWvS0SwqSqSJN3xfIUymi4hUI9TTCiWJXiTMGER4R3 - U7F6o2FTghikLlDJPHaDRsGkMhHiFfRak2puyrVs/MA1bG2pHQhDCmdnEdQJIbmJ/fgXp7/zTf+9 - //73vvXdb//uP/nvfvprP/eVz3/W2skTL7LyjJNgmjqsF0EJ/F/KMlHhstRaRcOfWiVMfi8Ahyvc - CJ9or+aXlpk1qQiqeyUTqsBCoIXOC0iFQjXB5thW0CnMIiebzTilSRyscI8M1wo4DagCmcIaDrfi - tpT5eLwTCApRQfK9fJiBZF2WqPB5dsyuTMdcKww2JfbU7kQ185PJHRV6FwyXmEs+aqSiyHaAHYAC - AWQJ0alUVFgiJziJKE96iBSAZmA4aNAY2hkTF24wRsjQi/nsOIhkYJJNThpAoWrqMCNdYQqBEZXw - 5qRhMMer5eV0sHseDqEpjgzjbT+VyNYVdhMhzuzHJFrhCwopetDh5hFWF2kqZ5gZXcQRfL87kA2c - UWcUhUx5yiDdzYpXq8aUNJS8FGcKi7ADNrvVg05Ecy30ITkTpdAWush0wGmJZCCWVUFFOK233M2h - h1I6zN28KS1EOzaCC4ySQqweeR5gRYSwMs/z8e49JFU2FMf6Cnz58jv/8g//99//0z//8/SZH/nN - v/dbP/HRT6UEApklSREsBV5XWqMbRzZpKhOTWqW6TBlTApYCmwGD3BUTdVVChr2YYkRxJAlJ+R62 - hD9HJaJmNYLtYzKfaU5J8G6xIcxRHSV8ik2tpuoh1Icz36RO84h9zG6L6BycWwWXluc6VDHV3NTn - VjCIrYBxBZZCT05dKmZQlU12QXOaA0F3oQtMQQkjyUEhPNnyXU0OSbUcLcZsBDIIVAMMNKRqyl4M - VyUidogBiiCndOy0t5o5rTpJHiGiLuYuqLTw4s+h8jUAVDKriLAJZotjMXgYfJjgBjeiiENUhLnU - RayQqvc4JHwc34cq762YmkEqJoF4NTdQgKR3hBEpTepzC6GpGB58B+iEmG6Bq4EVJstcVaecATTf - CHMDXUS7Pi0YX6imlgnHwzpXzCCt4A8MgDeFpjI5DFZRFjdx0XAmbMAPVCBRIWFuqQqzAisTyDAO - LhDHMWG2OqMs6p9ARCUlbZKnAAJS09BuiHnWpYuqboaJOVw9vRjsZJxzTkHc5mU+VtJzs4maAQU8 - wd1wtwAVWIKbatatChbYlETb1wVyhElyJDPX5h9HFxisoCx2elg+PtVXhQY/ZL7ILQ30Ai9eK1NG - SL0apAZNtYgMOqgK5AI3+EHBOweR6WGiEYTrktnscGjyruRRAVKBJKjOzTZmR6+wDctNBY7wEqwL - 4eILfFFEAXNp0paDSGzVu1xqlaLTRBFAxOGLYSl6yMfQgLwA7hJhNfydW4J0IImjznTvHrp1NfZu - 9LbtrIQo5BPC3zcJXQhYgTevYAoJMYEhEpctLRRNU0bRhRMP71m+X2QpMlVoNSQF3MVNwjU6IrSC - gSLoFV6BGkF57SywK5sJkurhvNnxLIZ7bMihgrDfVxehEtK4VFRI+JjFUUpKMCxVFOZICKsUA6y2 - YtUkm4jktdalmmkK+358WiDK8BUIfirsHXCPZHCS4kc+HCgwx1yqimhWOByeaElcSDiWWp2uOYw5 - 6JoZpMRlKVYLw8AAAqzVUU3z1KRvEWHqzvkgUCOKyJAiBxOKGRxIaok+tPlQBaZmvCWlcasAzKuV - xZhVNRkdZGjJXema3Ep1OFQ1M/xZDFSEqakYlsUz6RowxCw9LiAxfPod4maowgRNxxIR8kkxm5Ua - 2RwTzPEqY1aooXsePJR//cd/+j//0//12x+ffvrnfubX//a/9fnP3L0ADvBcHnD6+OOPP/6L734y - ffDFf+8f/uOf+flfePE+wrnbgVKwKKj3jEzcZrVWIZJQVIphcVZkRwCGMVJLmcHvQtfgBmryBRU1 - izsLItqtr7zjADfYDC+gIh2LWfVFQ7Em6lRSxHAE8gKvM44Z6TPgBxUTgayxnifUgsOdQUtdUsq+ - 2vxDu950f4rudWtNNWUVHnaZFlAPVRFJXoNFFCBUXxnIYBKBdMFawqZVw8o4kimZQHwp1c3TxMAO - NQgJT8gPkHskhSdjdZgZRVzQMls9nP7gD//4n//Rt+vMn/3Kl37uKx++fx9cKsOHZ0Pea8TxrDgS - cNCgjuxwMW8YNkHy1AxAVrzlWA1um4C6iIQbrjYhvnlhkEgpRNFEqCCRdKvVlqXmpI2fRDcliiIs - VaUWgFOvguAODxurGSp7jPDgU+CEhUOVwgWWIBlTCn5gAiIKSj2SmYS6EqyWlFEWvTizZ4YplZy0 - pUewahIVpR05S853Df91VjQU2aBISvDm02zhZaWKZuQpqA9LtVdLSnJM3RlHagndai2u+SDHI/NE - zc0oUUnoRJgbaVPzgfF5mafpiKw43CEdZx5ngORBbEpcXGFHTBNYRd1oGS0cRLEIHsoyOw8hzjkj - +RGEmERbKqQwmzjh0ApJSKoVVsweZvMJQiyl5OzK5rJbvbqaq0ehF47tUQCyzHBoTnKgGwoxh2+C - VdPcVghZG+LISFGYC6BLOS2LW450tEkAlFdzZuUkWRNcQ01Jthyzi3mpPikEpi73QVKsGk0YARbi - ICO5gYKoIgpJVlmNsywFpcKjqKPUF41Mi2N+9X/90be+9SffXSx9/ee/+tFP/8Tdi9CJk6FLtTZz - Y4C0gX6ccmGkMmlyZxa07H5kKLKmPHEJ1AGnOpJB1RGEnsG8OEu1uTzcHxTUFDE0rmRmxCKi5aQh - osCKUSmSBdoMBQAkgxnEC4HDiRli8AIIZPqEbvPyfvK81FKqHBWOWkpKRIaqlnmpkkR+2KqU/VWp - bkXEHR1O3Jout0oYeptoJg5KuLB1gKsVtVWNEGFSHdbFOteK6ShgWRBxVUkVYk8M5fUa4Y6yQByS - XFr5cIUylDtuSC2azq2aJgHdI9gQYCenTJKTBR89G5QhxxiokqYMLXBANPkw3GYcj8eKVwseKmBV - o1UCECWIglpCgw2KAy7qIbTHwIAcHAXpIFTz8U6iEnqoWD2YPA/r6fGI4JwdJrogASYwha9rqoHf - vaL5pwOSEsVcjB65gSdgOgiWE+oCAfJR7L6WHrLkyLmH7Qty1iX0YUDKCZVA0z4ooELUubnWF7dq - uD+EBbFlb2l00f3hgWYikvMklKMeP/tjX7w7fp6CpIkA8QkjhSYTVEl3GFiBGTJjQlIRU3g6TC/e - /+Dzmu8KcAIILRXzK7+fqIQtp5QqEuGRLCLheAAP1omCArRXsAfInUt+8MiPgymEY9A5TYcIFA2x - V8M6m0QW+LIsoncjJqFRZKvwGX5A0ZYHVHJFncurrIvQJnEiEckQ0dQHaZAAARQl+YLmPyJA6MEV - 5PGz7/3yV9Mv/cyP/8n/+Ke/+0/+h7//n/yn9Wt/w8srTRDcZaUgBOjmBb9AKrLipDgJZkVOx4w6 - QVKeGE5S9QFJwAmvCiVN9yJYKqwi8YP7PAsEBcVhSsmlYDFMOeJcsRSVFAZV0lxqQUpgqV4LKaKT - pJY6u3rSHNqKWmuKLBAO2OI+Y0pKmaIoiw1PAE+ZcNdhiCDy4QAy7BPMgMmpnHKahQkQuJE1xNwC - YLGEJFMK3o4FyYEFcMFBIQX0uZYiKefAYhUQoSD05gWoBWrQJBre8uqwFgKJLjgrhZLSscIXdHuP - oanwDJMTOBJJk55QFnDCMmFpXG/FfELJuHv/UPGXhgocUHPEY4ZeTwkiheo2/PXEgHJgVrAuIAx3 - XpsnBJDYrCtgJVQYCXAQoWLtMOoJKkhJTWEVXkg7Tofqh8Uxl6ajo4gBXktEtx1xhBFQUFsS6pYP - nSnfiU6nmh9OrplJaahtvMzhmrjUV3aAINXFE7OklCdd3JeCA4e5qQoqJDVjPUMdCk1aH15NXl+8 - uF9a3Fx4j0Z9vlff+/af/P7/9j9979XLH/mpX/k7v/X3v/jlzwPwBQcplIr6AH3fI/qiuVeolWWW - OolSMpLCJSsOkXfXTMp3UR+cL5jep2sIJT1tBXM+apo8UoyWBe7ghJTcDSIpXDKBClSrHIqqxQDD - lKGYusnbgSR5kqx+gj+gPGBZME2JrReCSBPwCnwFcehxNc5BARMhsqNGandEDKMk5AnuMtdTToD7 - UqtOWs1PD/N0nACau1AZOl8z1OoOUUnygGmGP5hPOR0fQn0JhM4wstVAgYSolecOCaVaRE10yRWO - UuGp+U5CWgR11NoTInn1OlO8qeL6qSLhOpkbrQpcJJu05SpVc4nkSEJFBsOnMyWlBY6Rajh5GOmg - cqc5suAtQTLzNJXmtJIJuPnDw8NBU86pAkvFPM+TpLBF14qkkS8k0Gu6v7uPbSslQCE8peqGBrSo - DlhUDMgh87pXq4uKKpRITmkGFrh5KXURpruUEMahzlY110EBcuTTMC/zlDi8/RagADOQMQHHlCtF - UB3z94Ej9S40p9Y4JLA2+kciYV5gNYIg9NACYh2aRKlHSSeUCgPk7u4eS27GbAJukALOgBRKRKZD - umcZK3hCrSgGSp6yEuBS3QyTg5TuH+cUiiQ9lU8K5nyn+Sjlwb/z7ZdekNqITxBhzogyuBGWwhCH - UR2SDgJ1Y51xJDgBxIw8NiRb1XmBAzmFV/jJW8GgAGv4CTgU6sdAAj6gwx5gE3xaAA+DHAUyhdEK - qElCN70IJzJZVSB1hxKFV69FiftgLrEYbIG5HtOLZN0VWuCKBcsMCJIE9U5ArjPsFLK2N0TSnC+9 - +RsMHan3+DIiH4ATiotqEkiCzVADcpIIl0WlSSL0EI5qAAQ6mRxmTjOmmZyRTabmuMTwPPDT4vPM - aWpJQoGKyPEawfFgWK8R6RDgLnQKRJEFpsEoBnqAsiXRQOiEESkGug4FxbCIG5I0Q8rQzbRQB0Cq - m6MKJWkoCcyHBY6apoNiXmwxEWv1eZvHNCUJxYDqxf2kklQUmlBdRA5Jzc1NQB6Ox24Ag6IeEoEC - o5vlaXKwVBMRiwcSFPBSMh2qThTUakKZ9KBRnBJe4SqaOkNtiqg3AbSI2fCorJqyazWrQnH3Uo2a - KRRVM6CFwVUJRY8IVEXuILKYvXp4NWWfwpzjtVTLKRF0i8JyEEBT6Cmqwan5cJ9BMYh5UWZxoIR1 - oBRdSnis9axbDhSoOBOASSSLiTlKQsmwCWYwA07EXTG8nP/sW//i9//ZH37n4+XuCx/9/C/98vt3 - eucv72iQAixlmf/Bf/yPS37vb/7Kr73/4QfF8OqVHw/MCcGgPhBJEszoJ01CIazWUkwPrnlpx4HT - dKflAcvHSFPQHXNYgRkOepd4gj3wgOQM5GRAISRBGDZHgwhY0B0iFU5JDikFMrsS6o48gQpmw4vF - UKX7/YhByagimnQ4lbFT6WFpCVU7YNAEw+m0+HQ8hI2fSElKmekQJdfsKQDEmcP5NA6RO7xEohpA - czdCOUpxQpPcTQrvrqghx5sSNNw/AAlV7WFyHNJRKDODaPuMV9/97vf+4P/443/9L159+OLzX//q - T//sT314bOSD1s0RfYK2GirbfOGQCnFMKYkyN+IkYT0jlMoU7v9whwjCPq0SzlY0K+ZLRZoUEpWt - Ql0AcRe4gMt8crPD3QsKXcRg8Oo1RALABUXBF1NO8TqsS8GwEFgRIvewxAMgESEyBlgeYUMVWGqd - VA4AfUliSFEhJCi3KTQCESGKHIpnmHnQWndPSUVARw1PRml2HgI5pWplnk85x0N89TDD7e7urnnM - hTHMynL63iGfpuO9Ty9Kzx81BVWaK0wP6UjNVXRxvnqYl9mniaItQPBIGIp4AROOd9N01/Q2Iovp - g0+mBzPD8opIMt3hmEAgQdIpcmuF4Yh+Yn15yDn4zKXAtBmmWyJEKyYW5CGZhTmIBFAFrjodX9xH - 4Nd0SEkWlFJfnlSzHrNr/dheneZXaboLcWt4rqR8V0nDbH4SzgpBWeAHnbKVE2iqkxdvUW0KB+qC - gyJDp7v78AapZaZMyHp3dxScUEsEOxQm9Ox2D6cHUU53LwiKEx5qySqKUr2SqgkQUpIAFY6FaoBX - 96U40yQqxEwsggOqNBHOH2Cvvv/dv/jd3/un/+cf/fl09+GvfvMbP/3VL8lgd0NxE0Te6qw2EUID - XVJ2t4WlIDPO+YyDh1tJMBCqktKEZGDzJGRGgpkWSeEjVoCENB30YJAK1Mh/CxdDdqqHl3N4FZoE - t0NOI1rApPkzFEOuUHfaAzDDT1CDHk6Q5ah3ecJscKR8DIYg5YxkkMWJlFNz0vkha+9KdcuLHxdP - bFxtCTh3eQ3Y9bfs8lowoWED7EqoSFHYspWo0Fslx47+VW5//02nFYbIPtg+rhB+I1tHI4dstsio - eTSmRHbC5O39RnPZyIcqIS2amQZqiGgMocVSeBSE6bCTM/bAHCKE3LjaqLoEcx6elUA41XoYkijw - RvFJpJSsZ6Vo3EFFE/LCpxcKJu+UVaKzzi/0bUmESCsi5U3abhydQDIwNdd8tj1dt7yvTluqfsvX - 5UKnSxTR4YAFBPsAwhVRhjh99LM//1/8zu986/sf/CW/dPe5z/3SL/5SboG2JCobzkt9N7tKjIbM - X/vGN3/nv/pvvvOxfOfFj8mXv/bRRx/mHt9MQcoUDeEuFhZ9baNEb5OaUsve0GYbzFyOhB4YGR5U - mEBBZGzoFD3gyXU7w6577WFYQ8HngkL1rM6FOPWRGnHw1WW098QKWcZqRnrHFuAzVf3cB//hf/B3 - v/DVn/uTh/rRT345KCpRA/YZHSV0m8DYxpJwEggiHpZNFRACfFjUXFl4rDBtZWRICWGxj45QonYr - Owldi85G+eNwhTLSGdkk4IJKcRFSmm8BKE3aavsiIfulUN2SYIrYbVhI6mjrGcIze9YAgCKgDnUa - hCrBlosDSBLeNbHXTY6Pj0oCF4AhmwYX1pLSUdA9SRE8l7C7WpIIN/oz8hPalQbio1mschV4hgtc - nCF5NVkylrINB+IRKtrDkMmm3fXGE489jdMgsAmRgTDyRUQYJFQd0mw+AlBTorfdpvcIUq27cAMQ - FEaxGYcOSSNgQ2pzf4rIrFDdom1FRw8TpCWNk+YB3Nn6DtwqTlSBMDBQqAYGLuYaHg5I2ASCXrSc - ZcoEgSopofsAmmka4j/6+Q+/+RvfOHz51/Gjv/zlr3z+/tiJDEfan674CNQa9sWW2L3HIw+sxkiu - ZdR2poUD2ONBJSWUwHBBzYhwzEFCOiyQKpoYiSPYIUUigUR/pp391HR+Tsiaa74voIMLUHwjMAkS - w4uEDjGYdFmkOck4tfkmRlQ1oRGeGUlD26wjbUoFXWmRkiGCvhWmQ3tIgNr9gNDKl0aixCD+vpn2 - OLVtXaFwR+3JFGKFXKP8DbEqxXsHDjhFPGqnVGG31YsgEiLKmKwAQmqn1xDK5P0GFBHzFfElGipT - bjaISVXVQ5enAtXw4HBAhI1SDMTP7oMwhGt20fls+iDAKAXKIPWqVLqiADCZgjqAIERFWwbA/eqt - WDY4fUqLzo+li6PfqWPFpCiNFReDZN2ybhETIQMTOlClc2sMzQbH/jgiEU7MSWVMkjGY8DzJE1oM - SmO3gGCOKsRhnIJJYW2ewYid6WiakXWQonp878UXv/QT/+i3f/vb809+9PVf+dz7LR+CBHekCld0 - fA322RMVNOQojagAWurxDkXNUSUC/uOUKXfe4d5S5QLzoIyYe7YieDjCsNGJ+K8ENwILchlkwzte - YkRfhlrHa/N9hbg0zR3CcoxZYY1KkxvPGw9yPvIBNNzdP9LHssUPCP4NnoJENat5cGWNkzPStKd6 - su4UN7332b/x1V/8j/7R4Ze/k7/2C1//4DOfaeeKgfYi2T2S9vRHkfVsLOLmzJIQios2iBAZzEPj - nsLBKciWkL4F+UYF2CIIxrwGO9Wgll0kke2bYxyihGz5z/6zU5R+eImePSE8KYTibn0EjTdTqIdJ - qobcTkneFStA2DGBMPwyXLJcwKhjFu6vMG/X1nEg+PuwYjQ5QARIEG9xg3SGyq0xIPB+5NsZarp9 - YXBdEE2TSCO+EvHInaI6WgxgMyQ1MqJtJwBtupbQ1TW62ViGDSMG0LXZp4OGCBZFneKjsVICT5n5 - +LWvfv23/7P//DfsvZ/8zd/68EeO91rv8NC8Cyd5/4PP/OLf+lU7fu5zP3oHoDqmxMQmkRgR9flE - hEhsufZMKS669HPU1lMEilbYypqzixLKTJYGcnREusuhSI2X3YOceZfM+tNNBkAUDWMEFLCiman6 - AbRgB9jAaLNF45Bu168RL40END6wAYM77px7p+To0ZbRtN+RdV8EaMrQiKVJgkjG3gJftf2yZlqN - 0JwFzImpdjxp8On+xa9989/+yvs/9R3xb/zmN77whfc6AWrncHfcxgndXUoevsadUrXN6HJt17d7 - ozw+VAEmMCVNyc76eq/DKbSw7aqIS6QtJ+AMfLfBlM1mGwW1gnXcDPSCSRmopSOQlT7CgSTIsAyH - 1+6eCKAXGGl6bQBw6dVFQuYPLk/6GT1fpWDGBKIdlEIz2PJRijdAEhpT5ByMlCfw9l0jAtOnF+99 - 8O//g3/4N7/xvfsP3//CF39ceybhEC9VXBtQAT25SUzi/oPP/cKv/MZ/+V//t4df/nfla19/z/MX - fuwDT21zoCBMIOoQmsIbXnYCXY+wdbymsNXIA0Y+hTZrEhI1wyREK4SXmjaBAU5JmlRG5dW2WCIS - SeeMZMuFK83dWqBkJWhCZ88OjciH088OOtepJEjV5ugGBRn5pkLFo5rYSiB1nzm2XAUi6gzPrlX8 - W5UfFOow3FagEIdQ/qIxPw+Hu8PXfvFXNb//m/Xum3/v7/74Rx90py+RwYY4QDrEEey7QUjK0MQA - EXmFhvwjVVKn8B0ks0MjzcsO0FVIRbjXdzhmO63VmtOHy5B/wwO9w3boLAqRw+hutVlzCEAqtMbS - BH85tk87rwhJoudH74ejcQ38f8M2kOGGa3304W5K34qiAELBUAmoJ3QPlqqt1CSBMCm3B6kOrU3m - G6Kld87jyZE8c2rW1XAStGJEn00waQm2vCmBJNWRtWfQf1bAK6QGA2Xd+JzdxGcwQcILHQrD7JgV - B1iGAerOU8UC3DFyyXX6qvHTQ7Eig4g50BIGtVuDSxXrFGSo9fxy8xpnZcAD4LAEz6DMEn/jCBzg - 8IJVItCmEGysN1pWf6/AAs4A4B84pCUK3GqyHZAogF100EAew6oa9Y6BT+AKSzCFpiJR1SUyW0WV - MHGAVlAeUB/AF+AdBHUtogPAiU8ElpDhGRBvWYmjXPYMAGXCMgEZCQ8Jpx41c/Y/IkAAjdB6gieA - EMxEAQ6A4gH2ALmvmFrJxQB8qQ6rqAkJnlBXUc8aT1+D/5IuOdfmiAZYAfp8IsFoW8mTIDIlhTYg - 2wb6OpqNiitoCqtx4h0wa85vKVVtzrWKKigeJW2HJhgtE8QMCBbBSbEoDhKlmgUGr81WClqjvhaO - vr7ATiH2GShIsD79SPyBlsrO+1JIqHE8UHnEJgV4bmwpTu9qYBmDZNgAm5oBUdupejPIh4xnW7hf - wAJkZ+q1Vma2LY5n8oI8g1OUaKjr+qfguIeCQebwsV5PPwq6B3XPZlsAh/hWTpV+aoEYgYOIak4Y - Sdy8wQmagqvADUmiElwsKFzgIeygZhgWx8cteXVN4ARGGYg2vLEMUyCqCiRUsRPqFG6WFUOpiq5E - 6AS0Od77ei5ewgmf4BMIsIABv7l6K9E8DAEtxLpzKfAuqmqLPevIanXDEBgxKyoxoQSGNOjJsRAZ - PsEVXTDTQMJtawrw4JgcU3CocDSmuc1ADVobYxlm8Qf4K/gryAvnZz+Gej9gCRDMwEsgVbwXtSYO - AKuDBc2pi+rSjBKKIjBggsFfAhU8Og7Wt7rDoQHeS3ZA3WAP8AI5mBxOKxEcOKNKqG5HhSCJuK9R - xzv+58AJfmqFPrxVYe1ZKQrwAEwzpnnDvmcsCm/pilwilXIAQDf1PRBVQgbx5kzQeat+HrvCqWOQ - V8ADUBzvPeD9AhwROTs6+WqUCqWhEVkFo41sNLL3AOgSPQW64pGGeCLyWg25QDqUxmkMsq7O5oPT - smOibmewchFx9Lrvbw9MrpGXE26QDCZr6bvh65ktEAl8lGGoBVahxyp81QgcFCcgr1GifY4OhD9+ - 6ILWxgC1CCkeUqT3kDjtLj8RNOIti89glyM5QkcsnVQ4OHdsnbwTjNpGuCTMhLW8s3KAHuYOhEQV - TBxnjQ6erIUpUDElsNF+AFziWBaYIIknVB0AjUZbF+BYOZ1W3BKQPMNfAgQOwAFkDDNyxLVTUyHt - GLuxOBbxT3z+eDmVV/jRRT6UA+4SJiLhVVs970SSK6oZMsLKWnXE4v2ZA0xsQV3ASJSskegpeIBs - hvoKcqiaPgEycOdA/QRI4MFknVr/dGS8qZ1SazvE3lUb7AP0DiU0wAyM6Q/NgKAo0HON5VMsKJDC - rUYIDieaFHu8ECfEZliKuB4ffMIMPgATeKznRzvilhrWBBERLkQRn+GL8fid0/HjmlPCveJekJst - rcCBHp3fhboONev0NUC2G6wrYQxTnUuE2s7AAUhBEDkUQRExM9BHrUilb01yoAZrEPR0YAw582VZ - ueT2R3GwQAPBTnBaZ0EZNu7aCax0+4lvUFs7gB2/VGCBew+eHqd+RXjaWGVHu+WrCDzwoLoxgqqk - R8k3SA6lYKem1k0PKyXx/WS7F2F/pkXTxN/te9bPxIDC0YM0JF/Cey/wYXw8O2AVXpHkFThDjuBh - cFOCGvsSLyQsxIJThuXmSH+oOBTgYMDDJ3j1fcPhe+n9T47pLuGF4oBXTX3g1mCV64IPBDN2mis/ - X/tXWZFKF5TigQwDTnDA7zZgEJMOXtiAI3yCN6WwN2pSgTmkM2uKuHAiP8SWtvgqhVIAACAASURB - VKWJJPESa9d4ySlEKTtB6aIPqxdMU80TFurk2g7JAjsBABOgzjxEFEWv3tZ0K1jnHYuzkZJ3ArNj - A4Zxm+OUYjBXDs/4GHgJ3AP3KGoFzKCW1k0teKkvq76sOotPWl4c57upj8C7e1EM1YiXgMKOndNt - hNHQAsZScI5b1qIZGIZ6+wwq42kJD98xJWl9d6vWoPVt2O6AgLvT6E1aCfiGGWyBVqfNMOIuMuno - 5bnyznCKuIRI3MU6OLA0QApeCwrJy5r/d7cvGx5hv1+Xt8+vjtHEiQj24ATcVxyXDVzn2JZ5ros/ - zF49QZnvsmYkicy8AHyYG7ohvn/KK8ontbz8S+Tv3n3+O4oD8KOOH5tBBDiGo4BG5riME/AAEPZe - yBwmA4cGt1atZamlIrVDooC8BGbHvWPy1eRXusMMoea0Agtm21buvWL1jQ6W+UQc4EcU6WesMKSS - QOvS1FAhHTdMbL1UHAPMBut9Fw6vcbODkwVKbQDdRQ/vUu2qEmHtmCBF/ERFLZgdZcIxWW5DwQn2 - CUr1YlbtQV/o+x8sq9XRjmCrEh2DZpcW3EAx6AKtnZk5AtkKykuQ0KnKFPkWW0UOwFAIJtc1AA6D - jJS2ut5pbqdW1gxv2o7S/lAEzxoDmBryP0EMDKn/uGCagSPQ6vAOGO3aON9wfLIaVH4o2tt73d5E - I7ee33hs7N5q3mjoR8TDADKcU9CVfs2AxaG3XXsa8v7zx/PEaHsawoa8BS0tFtC8EDZz6e6kA0Yd - gILBqBcAECJJnGJxHLghJr0Tg4kUiI7iUkRixzQ+yK0TXZ/LdprCrtvtqxtqtLFC+hlHemW93Ltr - aiTZCVV1o44O9prXGyavb1qf9WCgI2ODDGHb2we4ujkiKlK1ziICW9uikyGkdWWdAsf1W42zZ9AE - vQumIfpRNkuytz25Z7f2dzcWAyK7lxCANpdZsDkl+Z7t22xqR06NwW2yRR7Shh+iZg4G800Lbir8 - P9uy9KUf/BO7WmkwWQOgWxrN/mT304Egd448mIFmwuSg0g0YdN3nDi4AhnEObKWvujFcOWSmPgjH - OI9KHIHJo3xaG20hFmACmsMnRtKo8PsD0SybqUEt12VoQ+t+T2zDbuedfUfQHWyih836bEE5iKbI - uHS2i+vvMIQK+hlh23/dCOmRRp6r8wg7BG7XWhDzWocEtCSxY8sIuA5v8YHYfLtFQHi2DzlyxW9b - h2xpGiTt7lWADBdTb2dEA+yBNaRKV386l0GeNzwfIWko3sWb672vgDME6djXrloQ8AiiH3k0Wk6B - t1OwPVB94SILHdf1cFcWBaylnBs71tRiwVL0jbWuiepscFsiI2RdLFfwWJFC2LoHJjeUBRLwyM5F - yMYjeQIEPERFiuMZ1CAB9+uWxzvStnBVBUmTtdPA8S1cSAewbfo9I2VRH6YhtO71vuWRexQKGw4Z - 2rPUjw0adpzWsxG1RToOJBJxZ2hDZ8dggp4fLkayHjioBwOYW4Q5rIN2+GB08Xh1KB5nL6TWSqS1 - AK44sm0wYADQutI+SNmGgvTTAAxHt4GHnWP5+5vr1ZW1RHgQRU7XDpDNfuYdyRB9emiOBwUIe3F4 - 92Uwo7OpAidberE2Uq8R593Wv1OxDl7x3SlmMKTOHVBEP7JhfBtDEkFrq8vSwLB9kdARSsfofWtk - hYaxlIxyit7l3w0kBO7IgLevUeA2RY4maLs6XI5JIA9waqCzIsQGxdpdyboMtRpRggkfLMeKXNt5 - jGvD/7U5f46jVNW7U7wQGXzBlAV2wGGSEP4CF+V2ePopPJOK2THeGIS0dDWyqmPEV2AB2DmeFk0j - h0iVe+wEBnJsZIv7/V2NYoOl7Iqalb9As1xysIDSv70SEI3rbcz0zbnuiCG2cEN0CG26cG0JFLEN - XxMgUiF0HnI9fgOUYkTeUbl4qMc93akkgWqrVdXXumPEjVsbWuSLbo54Q+h0gDZOdQNSUtrhAUhI - 7s4Dvi4tPFRsgruEtA5XDWBnQn14M2DTvM9rxdIRSr5iNI64ilj0TtfGxBytCL0MiGqQ0Cwj1slf - c2kZcBBWgK13/lj6rm3MG1JRMmbiAKTIwEMGP6abJd7GE3BMcJ1cLKPLWPZ4ZAMKgv0y9QrrbcyC - CpzW7Frbh4nIZgDcKTQNZoc1wDSxI3IBGuugnVf0QAgp+pkOIMxkEopETR44DkRtMj8EZ57E6F4p - HQFiPYBjP72lh2vHKiAjcEifwgqYEfA5dZQF9GihBn/rXXQzTUBt57Y4jm9Axqrp7944CcJeFXEQ - i7GoUZy0nf2WzwXSHFlW9NsRv493ZSU3260fWzomsT1DzX97c7UDkYNB13MsHXPMuG0WRPzAZLgz - THQVya0mR3x8zIsR8ImDgGvoUP+vICrbS0Wrmtwxc3iR9xN53sZ0wqe5oVAAO6kr/rdbkf3nx9YT - 4p2nZ0SURu48b6z+FkhWaAC4gAugjsO4GbXZu8QzfGgFrgnr+LwVy2DvePzom4sBUptJr427hWlm - ICIKC2PiOIwAWhSAeDoaXdTpFPGkG5V0o9e0Li9zjD2WgAdVec+ZUd+DBq/cykA0mRgBUk3K4aGN - v+O44cJrwOr0EvxtF9LC4sWR08Y7SWpCUEPvETbV9UkdVa+LJUBU2uiEkKFP7E7pBoQmoQdMVER9 - CQIbNpdiyAbFkMh8BYYNXRg7NwjCbqOiqz6w7rsASpQ5HT0RYILcQ9yTu2Nikqin2RajO6M2V43B - VK/L1+u/dRRGgR5BgLo+1D8o3QNpI5+PReyrh/UU9mHrloTuzsUOQfW72ot6wwHVYeniRlW5UpQe - CbTv+4ekvb3X7f+Pm68RyGvjxb8boNk+u6UELB7VkZGItJrXt00RKbk6m9EYsBbLbZcHZj+i1qOv - h3c3zt2wt0901tu7oqo/T6KzZdjdGITOd5d9S/yaqTPQUFcVRTfmsFZ/BkOFbNFB3UzuykI3UvXk - Ie1Opg33aFjzVq5kZWes9yid9VrnNFyoBorpY7Q+3y270O1nUT0J6yYrfOMMF54+q5Z7LNsGXtrA - 1jD+HVexejuybd+w4+7NTr4yN9ZC8XqW4LPm+z92TNrGz2MDImPjZVCpZj6dgGlMsDMuFXgImbwp - l3awM8a/w+Rv2sYYV8Z117h9bA/DZ6+s/sZXj9zu4tlHrn/z7dqF4fKxL177aNs6a0nykFv9483T - zSpcpcVy1uZFuzkU3vT4p1DrNAC/+sGnW+c7vbsWSoEUEI5j7QVzCQDzOCvaCrQSnMG5eVPZoRVl - p1v3wIRhdDALXgKfAB8CL2rB6QQlsriEoysxopbanu8xUIeLsc6Xws+KDhz7g+KbDrF/74l9HH9K - jMy7k+0Gtfp+YGMkG8Q1MLyB6hvH/DFj67hSgCYsbE/GwL2E98SSqZnxA9UrLnQnbRg+pmLdWNKG - OUSW1u8Y1H61L+F+i3k3q42N8qPFgdjmDLNB7Bqnv+3UG8539Pmgq1gIHADYJ/AZnCBTr2YTXKXT - F7hBWsjIBKg77NRMSDv2kn6x6ZdtswCXuGR1F9+S+Y68rDtY+aDj45V16XxdwUf4Bqx2FXTSMPpu - 8fibAZ+N/6xdbuZKk8+d4c478D2k+1UYN7i1ws1gCzGFw90c8Eikwiud70Yz/uhBSDEf606+WiEG - TYEkvQIJnEYo+K67M25md+9yZ8ej+xE6Or/l2PMc0YY7ZWokK5TSlz6V65DOeKhblOLa8EaT/W3f - 7VE/y27SIq65fxbobnD0fVd9gn19thIKYwo7vDL+7RyaDaN+ZzwegBfwY3cMR6si210fNgFOa5TW - WXv0xG7BeHe9+4sJkTZJMK07uRsg8Ht4o1QdeznxQCxs3NTGFkx0/vawBijx1Bwf/dCPUQVeAhPQ - rzQIwCXyecZRvcrwOODe/S8JZMzAd4AFeB94351buxfxMm6FZ2tuqzE3HMUrn7iGB2AOd7eIn25t - YK0WUbA6NkCuzObKnIZXbAPILS99m6xvetmwjRuS7Q0EIotXQ0eXrp6+4VoDIsVHZr39YNlgdEtp - dxh+fxzaoejhoQTU91pKriPefJBnn8UmNGY4EQErze7W3XPs3qQJd/cg9dTtnoxhjPlI8730s14j - rMdWmhuha7U7S3B3aNcNa56Fe1meWM1nimsD2s5/1y6R4g3suVJoMfAEnBzZcGehjOrpaoQGfNLx - j8KnpgrurLhHTb8uqXP/v/69C7TOPQFaW10BEk2JfybWjWPgPUVaTxXYn6WArWJIvCNbBtm91XBr - A2BztOv6yvVbjlaGteXgOB/S5ZEdB7vfG9W2ZGMIePM2lBUZPRKRjS0unU5EodheTK0NKCR8Rtyt - 9RVcN+kKB3DWHqXC/fEWZ9r8gAb/Lw7lHmpHZoWx6PQIYN1+rX/0Kvp9dLiPPv6s9jh/8brtbXf+ - r1n7KypT9te58QYH81qQwo0zxf6wnD8XrsfjedxCwI908lrDG9gVzsvDxP0ILqSKN/g6d4uwf4Xb - P16758un+nj7zBxXln8lOWiMwliGazvNi//dHNcGhe74qKuz2fzcGFxvdT3uE3tD2OUIztbiyTY8 - za/3d4lvu1lNNn7Wne52j7iNd0Mju81WztcZ2pu1q4M+Z20uBsDtxevg+shHfjCTuT6TW4/eeJ19 - Z/zqo/044Na+9F7OVubNZswmDqxbwZGqcwxCGm4KZzd0jjzu97jzxuVf8nvrl1bUswYlDO86YosM - 3xzV76c2UMp68Vmv3X4hzlMb7a0er29bW9ub313fIq8fmaeGzxu/r12NKWwJy1u3ncalSx49wper - Ne06fuu2uJ0bx55cSc/M27D6ZjVXl8SNE+jqvrD/0BPH+Mm1eAIfkWvWzA1MP9nntXXZIo0L0vic - wTzrpj8DBngB8uevEBSIoUYZXFVpHq4bs+yj7NSTU4il5GarPWxXK5VducXL4/+Datz+99YCj1tX - EP4bfvRCtO54qUWKiowCS/tH/Yp29AfUrlKxS53A+d9vJFdeWZKgcBcUYNhyzgFnA1pPaSLWr12d - 49sv8BPovOfr3/pcTcM2346Ho5PyxKb16a723I78CVLrXVcbSdavVTUZMWK4viBPrEf3LH0CEd1A - k4/23Af4OD7gLTR4bRuu0q8dpr54/nUg2p+k9c9bh+Yx0v0HCXRN6tlMuuvpyBzU/+V4z7Gq98aV - rpMCbjItl4vRMNUTFOf6vbOFvPbQ7pH1fO9Mgbux7P4+RyAb/d81t5tH2iU+aCLZJd1sH2bXqDbC - ObIZhcDWi7hcYi33va1QuLrvbCZ9bYDsdOPKkB6fULt23erzts1x3vH1I7f5eQ3jPCXCX+np7PXr - uxhHg8Oh+Nr5vrYuWyz3jCFcfvZ5jz+rvSZO+rRt26eq20faFqGdXXyU+OLyEZ67dd+EWrLlLe9p - Um4dtOe2Z7/Jjeh7AwPt2mNY5Qouvj4kAvDNFHevveGkd0SxoVO/ggbXb20MVNi/fEbr2Ley05ur - AsiQ/s+HFX20jb2BtZ72YFzXZ8MWXHljs22viST3Xe0X5qyrlTS1EP+o4rTqSFo4mXfVLdt2rB4w - oU66puN4u3ZDEXE+lS19fYSevQn1/QEQppvDeN7aDfatwfaVI3z+92NLdhP2XqONc4/et8A50B+7 - 6jYi5hzoiTQLmNZkbkR3r+xDu8bKrMGiq1ptjP/qWrz11K5TkKdfG823d25w788d+jWu3XfPX+t/ - Lws+IrFdDmOHjPcXLvS2b7/UZz+bVGeNsx0ugVdn4HAjz1XbK8yE2qHhMZz75bDJKtwpMm7Gcr1b - THcFzRHdk+XG8eT5X/tzvbvZk2z6pXj8Oof/Eq4vsevjnd06UDso63XZ3D3K6rQT3/Q//crV3s81 - nDvAbIvZ15RNX781h+yGFt98Z1v9yKHbnx/u/jP+uLq172xI4+eIX77YpbYH7bG3+/Iz2xmGGZ/2 - Jwbgr6smOfviqlL1LZu2e2xjcb84jD1E6ca2XTl2VxHgTYx+ZUTPvAOgLR+Hn3z30eQ2bRh68KBH - ZkhoeOvJdu03Se8e/3QPD92Xt+6DgcN9LQd73ucjq7h7go+iwSdG+OjjT5KAsy9fAZnn4PFtL+do - sdkl3+HBe8Y6XFBab3jZvaULOFudbURq1/oTHY1G1a6zpfDxzAXAr4t5hURuUBYG4DyhXsdT9x55 - ZEeL9xLiHn9vfnp79jYdf82B3epnhx+7hvZy626qIr3baF6LG1iR5YaoXhvSo6N/xsq8cbv2sccf - v4LLn9XxI091oFxPRuA8xIqd4fvbPa1Y7jWG8Jojfu0+P9XevlH7VHX7ZLsE2HNi+bxOhtft9s0z - yiZsibG4P7TPoSevOaLHOrjODeAKN7DHAzuV3jni58A1Vwa5Xrx6+2pHN+5udec7gnf5Ule+jkXe - bMea+PxsPPtrPLu+arT6ug10K7vxdFR8Obn9v52KXtNDXfl586F3rBkdU13H5YSnNfoZcJzpZyMv - 33q3v9vjld7N+Lbw8eTBGdB964m3GtPKmbyL9o5WqMH8il+2HGx7YjBoNx1/no+PnjeoDk3OVvN9 - p1B3OLmm8idRwRKFB3oGgZAlbwrd3M5n9d4Yx577Z89+PGMGb3Dn9XoeaGTX563JPn7tKlU7v/ha - y7CBTp5dXy9fgvDIk/auQHt813vew1FMbwvoe8zgAAw0NtPprsvNyM68bscjGN3vIyD0OUqi3cde - Z643/rxc5yf6HS9cVVv007FZsY389hbbdjbC56O3LdK+8goRMdQrDgEQ4o7Db4eU7MZ0gxfhwB0d - M/GCqYs2Qo9fa4VuHtwnuJ71n9dEWddX4/Gv9XYddHcszMh2sL/v5PM+cXVor3EZHetv2/5I+o1F - ewfkdgDRGffFjiew0+zviJBsbEB2MYXtF7A7C09QhotX366tSjMApCE7TLeZu7q5JwoTye41rMN+ - 7nD8yn65B5xt1u+it6e7Xwd0Fgf0Vu2arevWpx/hRW9C/cVqPDb0WxPancVHZv36CzLI60pnfb3j - vk1s25X8XV3Z2lb9essnaCR5e4Qb4jWE9QZw8jpt/WZk4bjJYT26NxcU6BaUPD6S8a93HnLPAY0O - 5eyNLWz0U7K75sBIW+L932E59mvf2I3tunh79XGePTEuXIWLd9W4++8tIHv+uX3DzwOdHRt01R8/ - uT9g+P60/Rtrn6puH2/P5H78/JLv7+/O9Qjf7A+0DIHCKH7SHgoqJRtU6ecM3HOPIc/+vYYWt2zf - OXq8yW2s6JK7F7YLw83lm0jv4te+nY9ht8iXz2JD3Tr7u3EY2tLLobclsNU0RtvnQLo607Oh75Bs - +/D5kPbU8HzWzey8Ixa7mV7SqJtB0OOVzfMXa4CLuTzS0aaX7e92s/ORQ2+7pkPalA+Ku6O22uD7 - nzWC1xjm4+wNH/vzbcey295nr+5fUYsdkJYqjz6UVW3WvgNanq8ML2HosfP4xFA2bXAjq0Nw08l6 - qG7POCjDLhvxGOg1jNXjN6Vj3hEM5pt3nqNGvDL915jj67YnFHtb/PwIbt3rKc8f3p6TC874vK/t - 3Nc+NxH652hh188O+z2l1DyfxWs8utEoeC8a3jc3vtkSYjYXHiJKiq16uaufPovXvOFRye3z65m6 - 9uw1Lem1/m5CHK//3kz3YtMvRuTjG7wGKtc+dWsCbwbr7Gf9OU8+9q3t+DkyJPrZIXp6kFfQR3+3 - r6GPxHzr/d3zz4Hu16MMF6NaeY4dYrw1v6sk7uZiPLndjq2d/FyFNJCxebtFcByIm/v4GPjjtpp1 - fXes/AB2WdNZ7gjc6PDGl98Kb7Mfq9F7EJ0xmWAt+4C4ead9WTYXtjPCNRjmCo2+v3JrZG/XxkHb - f0DZ2Lo9ZWoXTLDRQXNQ72dlqGx5q0PZ5wO5ectiSsiFxuk1J3Sdlr4VFLzeeK4T4Ru9bE/8OdG+ - Dspc/3+dnMRNv+o4yTdZk3VsO29NEhuWc3u2byDencI2aittpap+Rrg9JmdTfGTI4/m33urHGvec - w/YcjpPEs3Xuz61ORK89xKtbeQ0+xrV1GzZowuMAjnCWPTCsYQVbve1wQlv7bQmPtwPwRhiujPrZ - dPodbxy3HV9syPlhw25L9+vyToc1xGTGf3x1vQ0UKJvvjUCp60N/48+/g44+be+kfaq6fe12nbpc - f+hKRi9vGpOtRT1KFhzhDJcQJ4ja6h22E2vgSGR+fRxXMO568QpHckGsrh/0q5Rw88ztY7yRAs/I - 7PkTVz98vbNr7Tw9wfjaeaEqnmOgxrFxfdFajh4fPe/e2H3pOovU+1l/nwkSu+fPFqQ/5/ubm44H - aPWCFPuH9hL59uXdCl7b/Fsc/Y1p+ubfNnYfbNYqQvluQutdWz3U3i0leA67Nh7wR56/XI/nDfRZ - +ph/g41tP8wHExb/+BbsN89u3uxLdq265SMgdGscrXnnvDEKxaAlz7I1NbKBa0LRdqxsexL6Ul9d - 7qj6oGs8rwwI9Q0o+Bpv/djAryLZR9obMT7nI7g6okdQsN/4TSAQ1FktwvNHt2z35lDjDCbWB3w9 - 6WfEpv/aIo2t0HZrCldh6vEFdHT7USvHsZaF6fe7sETxFfJrK3Zx8aHN8C7v+oDWGxj1nZz9M/K9 - kuZrdPk6Wttd9d1/zh5o0sAFA3BtQ8/as3DdtQ19cksvv839AwSiHllPttJ7bn56a87EJ0bI/R++ - uzPEoyY2DbH7YsB7Eve8rz2z7RdwF6GwG9JtpPO8r56t8NWBsI/g6luRmhS3MKo7Yr/42DI9AR17 - PukSMW/cV9voNkVzz6dzbRJv2M5Q67566o00GhvgZp/ZqLOom0HuEHC3S3bo5nj9ia+8Teupw2Vd - tpV2Xk7GBdZlny1dCTK0r697bYTj/G42KVLfXEkkvG+PMyW8+vtRgHyibd7ddvP4KM/Q8/nD+y4u - RndRxf06mX4OCj/3NNh9/7XJcbzhGAkvINwW09gkoL+Kr/r19bxsohmaU6dtMmXZxUyv0otN57s/ - x7K9kyMyGjsiujBPcvvAre+269z+sR31I5+9wIdPMqLrRV+TlOwx1u1O2N13zkRy38HjGTV4I974 - /MXXffdWG0frCgq63KTNH7u7V8/JGzdfxa0trls5mvGx7amhnzmAvdUIzv98dwfknazVuz2vfx3a - p6rbd9VuUDSuFKEfNHdUQHqEgQELUIHD+uTAuYMTRxQ4xs7X4/xzvv/Rx3BBtnH171vXXuPhDYK+ - ON3c3R7P8+yZR9qVpx0X2tXt3NtIrpQevfLR6Gcva7URjxLlur18W6AcNZ/P+MIrFOFiPKMA7JCj - r65KFL+Uobrti47tK+ut3Z0bfT7Fl/FiTL7hd7ZjH1+z7a1NF74RZS7B4q3b8/v6AaH8M9B4p6Tu - HTTv7LA7YNyUQgjwcAO4zUN3VgHEz+HiHQwIjelbexVUjwqvLhHkRd9Y+AH0KszBF18kQduNTeCC - qqhEAhLYWdKuhTlHUGcM5r49d86bY7md7DNeP8Mx7Y0z0YTXH0bfTJ7d61xpO7Vsjqa8giWvYTdf - e8AuY8A26QZxxeB/McTtn4/jxNcAr6G62mCWASH90+PLTavbQysMblFLB3tA2q+LbDoZ3+T5Pp3P - 4I3Fk7O3nkPEb17eUQVsycH6QAfO5w/yneC6DfzsB3fjINw+nD0I14G93vZZYR288sv3X/cuSyVw - RT57rmYUNPfrh+EdtAt42BDWq36Mj4Locz53sdpr4Oa1szIu3bzZ2TNc5lV87cEh+lr/HW3jdbs+ - tkcGZ2zaO2sxQXYeNUprduozhrBB7evRawPjHoE9MrjLPb9VEvmdcCMXJR/bf4Ubpm98mjDAVv3P - ionsNbJjAj28A1cQxTam4rxLv4CNa1QUu7V5FwCJRoRvcBE3X7r4+2IwT9DIG2ToSV57gNklwdpv - 3Ws0dmYj9ugyY00XFDaRefu7jdnrh6gC3BzqS9pxZdg3BoY9YLw9md534J0Ra4O/FTXbWaobd28A - 6+YrV79+Makzz+S1N7/yMLZbTm6P0JjZNXS/+c/a7ebq/nxt+3zGkNgO1DW2FlfH8/ptt6IbkLy2 - Sbv2nLihNx5ScOhbQWw3yh270p9+N6j+rGe8BZv3aXtn7VPV7RPtUX7XL35ca+woc40DZmNZiOC0 - uXmy9ce8//YGcz4mg3CPWnEbp12hUtcme5PjufI+Q6TR/Xo0gnxhSd5zfpuZPbKa5wjjPCMSNguF - WHG/8tp25Ny/Zb0q0layHePUcf3yw2P32DgMbpZrh/ZvT3CbY+GRXfau8VcgbVzndlPdLsSGgPrV - rTufw7WbZ0+N2Ko2XP5/7L1psGXHcSb2ZWadc+97rxuNHSDQbBDgAhAAF3HVRs1QEkVt1Igej0IO - b5IVdtiecYwdYf/12KHw/HKE/3jGjgmNwyE7pDFtje2RREoiKXGVSJHg1qQIEACJHUQDaKCX9+69 - pyoz/SOrzjn3vdcgwZF+yGIFovHuvWepJSvXL7MMAYxE1c98eplPTicin/1aO/c9q4XfVTs63y/9 - oivqt99JXn1XGvpfZfvuVc+qLkeQgWkWsoiakDV+zkA9U458euhs6Y9DXE1bYtafK8dPvHlYgFlR - QAeTAgpPoxXK7eQToAOgSD57Z1SboTG6NVbaqs0EmlA4ypLO1NKxG6Ov8xCrusIEHr7ou7hruvl7 - ICSfkeYW34x/50ho2rrKZ7dv39U2/BgwmmnQWzdv7eW5+n1EawyGu12Vzo/8O3Xz+A9Hh37l6w6T - UwxrYjA+7/D0nW/33ADfvnRi9V5r2Y4FYGicuuC6zWEhI3p8W8t/SfXh0JfHTcpRHNZxjzhGvlzh - 4ivONW0t+NaVxxEtHTeMl6LuK7NI2r5q/uT5A2n7lm05TN72fIV7tWTMl+m33e6sT78TQeEeGG1v - mVDbfYxk0frFld/7coXdlCMx71Wl0gY7M5qiO0fl2HdiOy+zPxjn9Ts+kVIS4AAAIABJREFU+BhC - PbQDj2vfobNHic8nl+LIl7YKO7bJskO3/WXMy7F7fFTKvIXzHcfsAgd0SgcZ78JRFXR8l88gHrNK - mtN5gkep79jN9z2P3NtkTgq/1QOW5674Q52f1se3aejQo4/tlh+X7TNdfizDONzhsR0VG/WPZoYc - ecp3mqpjueF04yF2sv3Q424M+fKdGMn29dt/ELYzXV6K708Kw/zfSbe5Mus+9JztHsWTp3/n3cN2 - AICqscjBxNpepnoEaHt6cLhkIAeEgvzs0JMP9+bKv8wIo4n64wfzstoh4qGjqNtt9aDpEZgpZVfu - 9WEd4YqjO6xz+bGUQKOd3F5MbV/SPDB/TJeOG8v88rbrGx0dx7S3Lp116XDnj3vFYc74l9KOVbbQ - 1onmP9HWT1fo45Xad6WSTVdQVUBppKQ5Szn2AOFt1vjyZ+koSzny6O+9zRSrf932l7v+fw3a9123 - 33P7rgTZoTuI4OBIVQYKJq+IHE5ZnQvfSby99Evn2tKcrxyrGuHQdp7z9Nl+P/6lo8/uiPrj05+H - ++XbjNu3cHK+xQRx5aFe+aWT9PXvMFGzTh1+znaQ3Gk2/wBAvlUKAdt/t2fabGg0LuF30aX5bB/P - bNvwFcjxRaudfEzzQx8orp/nUbw8/jsuzRz80N4SNT088hO3SSeM3BHIuU1YFdT8VxezxKHt9J2u - fKmLrywCX2qF/1IEy/Fa1xU7cLwcb7fXQsSOsTrduBUNMHhobDyt1FYW1CzR/GiXKllt9yc+HoGg - jdLbRjWf49roWoHLdMSU16R2o84go9LmVXNnnjTQo0CuQN1mCqlHdb/NOn2UAR5u7ctjlc+Xt8hX - 3njHa0v+UqR5JUZ9jM59iEFPDoAZoHd8HEVccbrHMabIVnY+gmjiy1nnK9xsUjJfgrUdOxtXnu3j - Z257Cnz+v/YTzT7NL5i+mfrWEIWjukwYizCQVZum3egKOIiD080hcON7Xz4TOGzp+hZxbq/nkV13 - HKl8V4RKh6fxsCF45LFH2xWZz3dqdGRcL/3CresJ2LIzx6OyW4vCq3zck45Tv4IexqDVSI8B0AYi - ZQGH8r23CA9bMauXHst30RqmeO6omAQqV6a5JUpn5DKtShSJOU4HulI7nm0f/dG3fp5Vc4jqCONy - tLWiow8/7oXfRa9G98MROpXZ1daAeyMT+55efIU7jtkgdZF89BcfYsWxOIQ525BDq7X93+xddWVp - znIal+A614cV/EM9v+IGP36EW80qzfn02cGBc27awUSqDt5eL9jRgtzbPdm6foyJzE99m+TYkfu3 - pZ9vz8AW3R0nYWj86+W07SceYb2HV2GLRo7+cNizud2Z47j58as8//bwUw53fy5kthbnZe+LKIcS - W35S/AIN6NMlc3vEq6anAOAS9bLmZ44QAA1IgbZeMvEYqfXKknHFxT305WSSeuvM+KbvSoodNy3j - etLsqpc4poxmhUdGCj98JR2+a/vn4+T9dmdeWgSHGhNc0eEA86FuzBnQduFe1LqrTeBW5j5i5K9Q - UsdmDzxOFvmMUg5dQdjuz8veqFduhzkSHf5+2g9+zAXTTy/FQY4uxRWJbb79RvlO7vVU5mk96PBM - ziZ366crksoVO7nd3XEz/+vM+ksS4/fytL+s9f/r0b7vur1im0tTt8pOeVSKK0uqF7nZWMbb3Nyd - WSaGZXADV2BbZCcrYD45+Lg+jJq2Q+1vBsgM1t42WQJuMDciENFYxd/MmAlwdycSInL3+NfMiKZr - Z0OYHG3mzi3fsCplbg1HEtw8vDmVdZoD4XiOLyJ8NxYYAODmdWBbGoDX0lezwrLzn8eZcET/D8Xx - UTU5q0C7aTjusKM1hsfEPoI6AJcmH0fnrFVN1AzK0ykRZmADO8wdiYjjVT7m2QZhxDobiJygTKVm - 9DgAA8kWo5p9MAURWAD3mbIb09+uc4NzfOHhH4ACA1SNl04MIBewDV0XL3UjMqqacUUHGZA8/F0z - Pj7j8z6jwNq3OvOgpjPX0z5GCT+zFtyAAk+mrtLVvQAo0LnDC5xAKdRumx1iVpMuXZ3Y3YmZtiW9 - mbm7yOGCaK3btSYTAcR1VbTNqruj1pA+1Cis7/FVXv+pFztg5iAOa+MoHYYh0d7o7lZF2piAbgoQ - zbpd+7m1VQ8PNobDzDHqQxdEfrs53FyiZ+MieMP2z9W4Y9SK0MwMsCDPAjiQRidd/ERRaJvM4Yzt - 6TNTdTLhrrIOA/OMFCa1xd2UiMGjDeLhXzVUWhrZrIPCI8KAUPC8ZmP7xDVQLMPWcFp23YxfxiAN - xI1hsY0eX8DMbaCuJBSCjdZQZRkI+pl42mETs/bdAefxIMno2fyQ5EOqk8NiQajSD4C4PY5wmEyE - WPSJtsKPPV9Kd5B6sIFDJ0A43GEKxNyOqhyi9idYnGq0EDSCBCsLd5CpMwTGcIAp6gFP9Uwi2c/c - BAZ3KAeTM4AokmCnI3IIo1drJsMm01HbAyNRlo9xH80mftxWc6FlYObK6M0IzK0IZJUXBAmuZwYt - 3i0mGhvlVpV1oxLajAj3KSWtDkRsPJRqsg9bDR33Sqs6IA+ZChYnNDxoBSkY+4yOtrRqTIu7xYwr - bzUAxC120k7msa29Nori2n1DI5tRdhGYxp5X+VHnIpSEJrzHZmaOmOT6andQ44Sx37ewOO6mVbWY - vt2uh11VDQK1pZ8ybNpUmPvMWpy9GSCSGO4okmZp+BTLZ64xGZUnH1Nyb5ziuh/dXWKYpsw8f/Vc - iTGQwxlkAKsRDFbArk5F6oikxh8tKhh5pR6Tls8QrxspGU0coEmE8ZujEqHu1CbPK88ADA4DWwOo - GYpAx8M/j1SQmdiet9/CXWFOzMRbVwLH7M0tzapKUG5sjqObqs5M7WnxJLPgykRoLsVx6anJiqNj - n6ZgXL8mPcePo9JLNLnnjvZ/VGqooW7HPWEOsFY2A54kwdgmMWLNL0FHO2xu5s4sW9vc21w1U1sD - UmgoiiTBIoygDrNswj2ouW4nZtOONW5KdOxgHydhFj5oJHKlWPh4+Pvs8lBvadwC0+hH7kKH12hW - MlgBghFsPInDnWsM2GK3RxVq3uJ7FVw5FqkPypk0LjORJi+ndXccpUxq0tQqh4wrVAvIRThkZKNe - CpKnMNNwhTEfmbbpwkb4o2NquxhlHaTPn+yj/8SrfJ/4+OyerQkfGdGoTYEai7T2jEoj1Cy18WFE - IS1DozXADEKtJBAa5buBiBtH9rZ74lNIkNnkz5iVbeVINVIch04guNVbzI28Bs3cTdVEmIjagVbO - 43AJ6sixD8EEI7C3U6/gCJ3QiMpULItADFejmuw4chQ3Z2oHmx1azXHi67i9wXKMmvHlZoTJ7J9T - 3lx00+Gvpg+z9KMjVZV8tLYaxde0RXMX8JQdQA7yqvCTwwyjnVFJw2aP3forxKiZG3NojnRMkR+z - tpzBEGdLG+9s2qPPJnIm/31OG/GBR9DulRvNUE71UQaC8+zR03/MbSHagmErP8EcPKt0/1IC5bhf - x29GXrd1flqV36iDcoJZKUWSNBNvxqCbxjZ+ovl+cQDu5jQ5arhxsEpPcxO9daDOf0yXmcsWRK2q - BJju8+ZIILSAhmNbJbLW6bmSsL2UakpMTGyNeRIQ0pybuT0qc2YeQeHRbB1NmdqraXFsfMVRhSfe - Pzqsjl3B7TbqRX9T2l8p0u2vfRtZsaqXomY+maXubtr4h5WSzSJS6Fp0GHLgO4LTqaFki41EDncx - mGLIOFiXzcG6rFa+WWctuWrjUfzWoGqGbLDirmCfnUkFQFVzHkopZjb7Jru7GUrR4DbhAzKzYRhU - 1Ue3W+hVkzkKA3KxYm7NXwY3mLoWK4NphikQhwa7A+pQRSmw2i0DDKWUtfkGyJWFhYIwZzMOmEUh - S4/7XNsvc+HgMLNStBTV5m/x8alqpRTz7RsAU1UrNUA/c1C7tx66uZmPzglHWFbmBXAzy8WaN4hg - BlXAVLMW9a05q4bKOKNu0GJFy9bQ2uyEgIt7vfkKctaiDod7sD2YWdzSBCLMwgcDM1jo/yiw1WZ9 - ab1erTOyYb3OB6uVu7mrmZrq2E0PB2o2FB0nz0zHenytbak3QUJqUx/MdDSLwvybmVQOKCgDZTNs - chmhnNBSKQu2aRGL6R53gBSkcC1acinTM9v4tZQy/367uXsppdT9GTOJUrSoIiRklWDVXTzOsypU - 58+BmZnVi92taLGRss1KKRZU2N5b3xh/q2lRVR0pPeeSc553tT1/6vb4cX5NbFJVHYZhPmp3xPvM - PJdS/66OSnPXqoEBQeHzrT3fJMHRoMW1rAY/yFiXkV4RWz5ErhqKBSsan6BwK3nQHKW3yR2qZm1i - 3INWK9HknK3ySTNTtQJ3c1MtVVm2ZteA1E19PHVCYQq1qQwaABhWFy68+OLT5168cFCLf5MW1zKz - R0iBol7UrUlzK3mzWZFnRiao2TQix2h3BFWMjHz6LbqqqqpT0mvwCjWdeJLbbJLh8TTzuLeUknMJ - BmKq3uZrIgav3XWLc9lnK++mqiWX4JOmGOko3qyloM3zqMKZWYiDYFNx16Trupl7cR1KwVAwZD9Y - lc1Qis03TBCQWjFXg2XNVRCYupn67LLJOG20V3kwzKvvWA2qwf+hWvs5WTLz/4A66lLqkgBuQTkW - kq3kXLTMpqEOs06s2mYoqlOmYnXWzp4/m2aKSFusMDlgJcSSRXgjOKlr/KmAmmc1c4cVbA72zz/7 - wvPPXbq8WiuKYZNVt1cR25/a8jnMLWcdNmWzQTAQQFV1xivULKaxqFUlpEpwbaGK2F/1pSGdi7pa - fUKj5mreBw8ZSp42SWslhyrijfRMVXVk6bFn55vHfBiGnPOMucXIPFiZmZmaqTYpZuPEjALUDHlQ - Ux+76pUXllFpcUPOlWuOBh2aJVQ3WM6jhNnyk6KaEGEimXkpGn12b2xqXJH6aPNxls0NUPOSB5SM - 4cBWB+sBG60+Eai7FtNiVo9/HJNQwjcQzF9VfbaswR18mg0/JBFmstlqd2pw3BWu7rkUHQrWho2V - bFktNwldA6cjtc9FQNVqHG6qJZesbTeOs++jfjB+H5pl41ymaloAHzab1WqdhxLEmAdtfCY2ppmb - uZprq2hRH1jZQhUEc3UC8znxRoRuk44UVBE/DcOgOs1buOUxHw/GwWuABgw2bLDZIA/IGTnnXLLa - yJZHOp4eEnLLGjWOfRgvCWY1UvA4ksYPTa2sN5vL+6uDNS4f4MIlDCUmwQA3Lev1yhtDAwCDtXFZ - Fe6O5o83NzMzt2ZYTJ1FE6lbA2jrMe3hUUNwLzrbe6gseqQBYFyjcfNXGoQpCpARUjXCrUVL/dMy - UOAhP8hnmkSE+ksLkZkh56FJWGgpOefg9DabZG9BlzqyeRjHK/mpjjpY3gzDjFFN6p2qFlOzba3X - 53/Nyb8pUgAcqqbmlZta4xk26Zatm5MYLRqqTWgSxUqeT+4R0Rdr0kRrzLlZqewaAIp5aZLU2gWw - 0sy6auaoapitCmyylnGbhDguoSmXiXjCz2fuTmbQmRDb5lTBxmf9b9wMgPm4NSuDM1U1De3aYiBj - 4gJcRx+sAY6i2NQTYMjABpSwXeoNRVcH62FYO9aGoTpcOeSdA6PdbWoll2lN55vAMdk281tGUQkP - uz5kEqrxMFummO+tYNGMILb+BlCZjs+1nZGgDfUYv1gdM7XigDXj2gyh9lDlM1lLme+KWDJzqLlt - KaEUykPOOdQJip7MDB9UVlZm7M62WV+s7KQlHPaQuce2DYE7N3O+c2tBTlSTs8RIZ/vApv3SXleZ - wqybcGxx40Z+o4E2fj8O+ZBFqWHGNMfwjIvXJ4QW5KqhRxazg/VqKKV1013Hct6ubmoTd3GHxrTE - w+qEG8y0lFGj8nbNqDBvtS3XuY/ugPqCQw4dddsMWG1sk5uRdXjmzbzSzfbUjjvd3EO2+kxtc8Dc - StXDJ0+KA6WUnHPJZdwEqmZqTaWqs+puahqHyppvrdFcKSqlqCq+345rdIh8v9/G5kB4v1gqr+ex - fJSbmSICWe4eMYIA5Xp1DhFzziWXsrNYJuJ63I5hUywt+NLBuce//Y1PfvpjX/vyI088+mIvJ155 - +rq3v+3eH/2Rd5555d0TEI4AWSuouBmIwR2l8N8wc7WLCUHso6GiakQkIhxRzRbWUA1oCVFAAKxo - Vkqdc1JzkuQjvAgguOYNSu77NEV0WAAu5qAErvASOITA5MBmtb//hc997ff/3w/3afeeN7z25973 - 3uVeR0myqRE5eiLiGjDMwAbWQTuJEF30KiLmEZCpaDUQQQ0NUEwErxCZQN1CzYzciQAhhxrULK0O - SHPfd9wvkCQwEYOjCCfyDgG4IcDVkcGFoIC4L10TjxpyWYMVHeC2Waf9faS00y3Q71QisVDTFG4Q - giQQHTj2YYnRETonqsqTYnWwTrJY9Es0+deim8bsTA7yUgYCJHUIRALxeDBvvIsxEF7Yf/GRb3zz - 6T/4468+e3HxUz/13te/9tZbbjjRSYABWAkZngkJshwj1MmRSvFMYCYBWFVL1r7vmcU97ARiaaeP - eFOMCabeBKoTgSVSlsSAjSGVYSkDaA1amnWZFkbIBmcsgQVl2D6cwL07rUvyLsVxURjywlfc93Bx - sIOOxtkqKzeLH3mmrFMgC0Z5rEaURFAKiCGC0WOby+AOZmFOTAkgLVXwiYAIqgZyImd2InewOZu1 - sHg96YYqL4i3N3HITDMHvTUL05mZU2dqDohIMNspND3bmKE0dF2HpiXEPAhzLiXnvFguAVJ3EQaA - iuIf7WcIE49x6RCyRMRck8sszFkQU/IBvs7PfftzDzzxGx/4vatfede7fvBtb3/Tvdef6pcAbIXV - i2Xwkna9PykLyQYFOgKV3FPhJDADGJIAtsYbaEquH9EfqFPcws0WgtrJiVJKEV4uZk5gjpoJDECA - zjcoBzCDnAT3hZAcsPXX//RTf/CZs7/3yS/+7N/7d97zY2+5/ZardtPgvFB01vh2YAoqPXnD8+AS - dRvQCrjW7So1QOCE4mXIm0W/IKBk7aQTYibkwdyNg5E2aDXIG6LDVGs4utECxsMnww0SCO05rXhV - 2sxMF30fSzmquZWwR5WRaB6zNpA5DSXu7YTh5nmzTsKpSzXAZiqpayyUAZiDWLwFTMzhWhYC6LAe - NrJcuHTi+PzH/vT++84+9cwzb3/Xj9779rddfeN1JAgn5SJyc9wKe4EBysMgmyKLHaRlpppfIAQ2 - o+oramgsZjhndUgX3tNigCMJVAMR44mZ26DDzIA7MVKSZtk4zXaNubs5ETPHhmIm5GIAUuKYSiGH - ZXiGp7Us1gRTLBwLskQGK/AC6UBdJnb13jfAAGLQMlNyoHeDbwA47awITlgC4htYBi8KdaXJhwTn - fBmXX/iTD//Bp7780MPPHvzCL//q3/7ht+506HA4U6dBERqlugO4fOHChz70obNnz166fPlXfuVX - Xn/36/udnYi9gYiFzWzImrqeGHB4UXLtEgMOK14KiEkEnJxEQSBkhRqEkRgSALzwswAgiYzsiAR5 - zWkF3ImJmLx5TLu+Z5bK8BoizxxaYrGKae47kZRqhgGRU+WEzFxUVbXrurZ2scIAQMyV5QJSgehw - BzHMypA3XRJJoYbEG8NuZZFUOZyCpVJ6LhstQ9clJg7foLmZuaQuiB/VcnYcki3uzATzUnISZiJ3 - IwQg3GFanJREnRycRBzA5ReffvCrn/7D3/301574qV/6j179tr91+yuwDO5DBjbzMrhRSoFXS2CZ - uADG/c4TWYSPx8c8UyIqORdVEWHmkfvA1EtxYepSNnMiokTuX//zL/3R7/yuZzvzlnt+4pff7106 - ASwB2oQCWRli+NRSgByH7A7qUnMCAsSgCp+dJwSYwyvEpgG1xtVyczct/tu//X+c/epfpLT4xb/z - /je/+S2SyB0gSEIp2T2nPjWPB3nAqkha2A/htwjNNCz/EI4ichShHBMY3wfDDGOPiZzMUUBgdA4Z - s8U4FHOCw4AVYTjI55949OADv/XH+xd2Xv3aV/zEe95++rarRBKRwMXNZFTpzdSslNL1XWM4TeFH - 5VfuBqKUUlinzBQxZAGxNYGIzcHB+T/86Ec/87mv/cX9j6ktrr32xjvueN3P//zP3nXnrXs7RbAB - 4MXJBFiAxDOMFDJwZ0QJTiWrObq+D/RqqQ5yHobCkroGp6Ttf1sZ7pnXKg8Hq9VisSN9j4CdOrSl - EIVHcURIeYUuVsRk5V+uoAIqKA5bwnoAlrBKUKAHEixhgCssgxnoNybgbuEVlQLxTSoDLIETktTw - Rg329F0XmhQajG6CaI0fKGAaChAzE6dhleHc9TIesKqmTi5MDVMZAtUjFQEV49tqPoyOcQbIsg4R - 1uq6PpLtwl0bby9FN5vNcrkkpjxsur4XYYIXLSAPXqHGRYkFwqDIcQr8qxWAQAK1bOYkkESz9Cp3 - MEzi4rzZbAaWjjkRCXECMxitL+HfLyVv+kQiDCbkbKpOTKmDdE6yyjaod730jARYHkLVTykF4JaD - 7U95YBT8OeeBmZk51NcxFYxbGoS7g8BENMVtXa0QETNTgCBM42NLOar+GiYipozi8AV6DIxM6DB0 - 2Kd6vGAHl4Y2TARdX374gc/+z//L/9pd+6rXvfXd7/7xH79uD33BIgHkFpazAw5mISfYjOM7XJGL - dp2MWpvDnWwsCKyuZEiSpk1D5KBcFMTETEzBVWQsLu0oqjpkERahmIpmLzOcHGQhY7lFtw1mzrAk - XPEWTC23lwEqaquhFGNwt7MjiUCBqAjTuSWeVFWR2n6n8AE6x9Oq7mEj6taRwhUOh6sTXAjCFFEp - K1mEIWl0prrBQCCmyCFuyViz84sntjzO80tnSc5b7Dl1qLpEguKEuj0CkgpDRg0sRDxmK8QV7CB3 - ptqNozmLo8E12lxBk2juwhAr3LLqwofjcFOrsUYzZpEAyxOZe9EiLMzsZloU7l3XOcWmoPBdjDRf - tRk3NyMCB3zeHe6B0WFJkhLA4fMl5pRYFSjK7iLJOwzAAEvuCxBrwJQMpTi7Lbs1GdQWaUGOS89f - +P3/+18988RTi5MnfuLnf+bmO17VMScgOQCIyJYnniogL9YxcH6p61KSirptFe2a/hr0kpk5pY5Z - zNzUqXExb0nqYXfwJJOCwxsIwgwiM2OKlBkfDeSZI2srAH/lNhd6fyPa9wsmvFTzEbNJlfiomVtc - tZgGimeCw3X0LnHQYHN9BWiC4EzOWvDoY49+8MP/6k8+8Uff+sYLZXVi2V198cK5Eyf8nnvOnLn1 - ddBUU4lEHdmRnNgg02k+NSRb2bjPM3ZqpgrMnIQmJaclaLu7lpyEZtqKm09oiDGfApE8XjV1rcqC - UFOgoA4ChMIuUqA47Omnn/rwhz+67E+A88+9772EOYsgQs3GYAahiszm2GmqIlWBFJj6mqTfStaP - lxCBqi07efJisMOwuXDhwn2f+/ozT1+8+upr3vCGO8/cdksK1zQZkbt5zRvxkGLK1Snj4XBRCz8i - AIYXLzlrefjhb3/2M19Lae/M7be89e1vSj2I2atQoZkQrZLHQVS1SHvh/PnHH3/y7Fe+trd38syZ - V9352jv39naJINIMDNT8TqpJG43czJkoAgkThMhL3lx6/tmn/+wzf/bot+l1d977qlfekLrkNlDN - 2o8+mMJUJUUwgIO/WiVKN7gTuVZ4aa1IZaMnTiIuCHcnGh2XlSIwnm1VO2ugDCSSRYSwuapQsU2i - YIJ4aGIWpmIQmVU8HIglNMIp7ifMUT2gXKG8AGYmnANuFl/EhlUtIKu5WW3jmFucezD6jEbqIQLI - zBUAEzuh2sjNdpj8ts2HF/9ETJtbNwAwCxEFzuNoXvhopft2wsioeYzq8gR0CtIE3KCm4jQxmNHU - hI9+P1CDyTCH03GKnJtvDvafePyxP/zwh0/fe+HM6ZvfeM+dxfuiQyqbpx588L6vfuPCQDfffuc9 - b/qBxd4e93ACsxMURBCGkQcgYeZbKGoyz+amNsXuwVCYydRjotVq5rQ7eWDTw9sY1qZrixSTE5SQ - imJ96etn7/uzP73vy2cfe8dPFVAHy99+8uGnzl145vzBjbfcfsstrzh51YmuppZNPmUwETEsg9d5 - fflrDzz51LOstn/vG26/5rpFS5WuLkJCQyV4Qy0RYlHcFHAWJiJQaCqwlkflruFgqoPfrkIdwMig - h0rI27DPoCSqblaH2ayEQrjDiKZjZmNbwcysFKqeebgpAS1Rn5habHEaR3C/GpYxuBV96MGHP/Xx - Tzzw4ENXXX/9Hfe8/iq/jrFl8YPJoRlZy+aZbz7y9IMPM9KNr3rt6TvvMQEYDNS6MLH5m9N1GDbn - L1x+5PEnLx+sdnZPnrntVddfd1XdekShcDeDppJtCNZAQVbhFswKYUZAWwDJzN3ViVFjPjH1riUL - OUjAnRUUR+L6aC3KsHE6GChWHOEMouqgjPmvWdUVK2jVG6RoyF0ADKgpa4Egry5965sP/94nv3Tm - 3h98w913nb5xr1Y0asbAldpmszl79uzH/uRjFy5eeN/73qeqVopvGyHEbE3aTg5gCjdEq7RDVUxW - zBmB5taTGbRAmjEZgobJtDggVdYYHNXINqUaa6ie3VGXYA7jjkYeaFY3day81YxLENEYMK6QFqLR - C6yqBErC4QueWRMeBQbMjEkITPXoMY4w21TDqe0OcwXJyEdDmDdNacwup6ZZ1BV284gFht8WcFNt - e9Pc1JzBAhICadCplufOPf3lz3/mjz7+ldvf8uPX3PmDZ16xaN0IJLmFZhPBteIGg/DkhaS2p8bZ - 84ABlpJSqsy0Oarq3zFac/KqyDW1CUXticee+OgffjivNm/F5sd+6Rcc6Vikis8/hKclAD5ELAk1 - CB2p17NbCO7kbuRjjq5H5CpytIlw9uxXPvLRjy8Xu+94+w+96Y1F24z+AAAgAElEQVQkXMFiY5Uo - d40bWxZ/3WCOSfGbLOo2S2jI1iQSita4fCE3AyhK1W0aMUFMS0w1bht8jBhRN8pQShkuXbz0iY9/ - 8vy57sLFu9/5Q3cznwqHYuVdhJjwyS3ioZcYzdetcu+6WNtG5kjLDtcLzz1z9htnP/jBD/75ffc/ - 9cyl3RM3nDp17tvnzr/jB9/52tfcbO5CDjAlRqmcl6oqyOv1waWL++fOPffUk0+ff+EFIpHUpT4t - d3ZOnzl9661nRBbRu9QRzyh82k0zu+Hyiy88+fjjD3zjG12/IBa1gDwwsTiROZnbHbff8cpXvnJ3 - dzdqPzSGTqMGCPj+5Uvnz3/7sW89Jrq84eQtp297HV+dlGHcMtYiWEAOzerkkGfOPffi08889/hT - Z2655ZpX3rh70ymnesBauOtF2IwCvipEzhRh7zHOMTr3VZV5GqUHRhTOI9NzqCkLOyybuhsxhARQ - d1B4bCnUDyc/esRPJbaQsMyIEmlVvlVGWF1o49yqj8lLVfPwQDAY+tqrVkGiLpEHYx1JzFCDze5u - pgxzMwLYnRH1c3wsdlL7Q3XzxGiCeXINeRKIFVD3Qa3nqCQ0GlIIR2O4rQ75TRDisEVUxvn3hryr - yvO0OVDRFXFh9JGZzIDwkXkpJsKr1fqFF55/6OGHL126CKFs2Rk7vCP71A1pSLze6y4vUMqQNPem - Cf3eznU3XHv6rtfcZJv1008/+dGPfnhx453rnVve+a4fPwXA0FWzS4CWPdBY5DTfqI7P+YZoiz4y - vVYzxMzcOYVL2FWVJOincQIiM1hRlrABK3ecGIC7R+E26hyzJYs4XRi/dbFbxSk4CHmz+fa5Zz/7 - +S9cvLw5ceq6d7zjHTddd2rZcaRyhi/LG4lNDIomltF+MK9aHktFcVmIUSIorOpYICGqpZm8Hbjq - 7rXeVzN+aNzXhxthElgvA2/b7q2GVVVIGjGNIpWbyBgtK9RejXy66m7VSYCxJ+Hu8Jba7+6lFBEZ - g4KTpbnNvWlehorqN8wsoeG4G8wYKXWVFtB0lNBc3aumMrIDB/NYH8RqhQRVR1P0aokROFVTeDRZ - mwJgZqTc3OkxSy0zYvSOOJG5ebbLly7f9/nPf/1LX+uv2nvdm994zelb0s5Ou7KOTU0pmEBjphhD - yLXXEE6Gmp7AYUgiyus0sC5NLCVQX1HVCm0Zq7JnDhgzxY3VtAlznKshHMQjUt2SIhypZCKTavf9 - Fu37rtuXao0jtI9VXTMiQA6X6XOzEt41YhIh5q7ruq4jwHLxzZpB1PWLZbe/Wn/5S2f/yf/4z889 - f/7m629917veudOdPLHL8FQGyZsc2CB1mGSCICVHasqtMwOgMV1LJIWXB5GxlUvX96q62WyWi4Wk - WgNMS16v17s7OyAahiEtEgSSBCIGJg5hUtkmzATWpcSdRMIC8rDZDLLYSSxeA/MYsgkxJ5QCtwG+ - 2dlZJEkvvnhhd+F5KLt7e0gKpo7FUFWfzQYO63oVciGhrul6MkohxEybKYhJGAAxBIFyhlktvlNt - SWKS0SBSc728f+nRR574n/7pP/30J794x+13/MP//D+94fqfvurUUpKEXqzualELFcVMTRM5SQMc - EIqCgE5AIma+2awuXb70iY9/7L/9b/4HYPHen3n3Ha/+r09etey6Lpeys7ObpDPAFKqgBAIL90Bv - 3gXDevTRR37vdz/4z/7Zb1x/3Q3vec97/8Hf/wenrjozeqWjwlGMnGsNLHKHmqt6SuyOnFED+Elg - zlSAvF4fXNqXobQSCxWaGkATYlAxHQbjDbG7WjFS7ojBgZlhZklps96Ukol5sdhhJlVEkNVrgrOq - lr7vUhoLAFd5FNpCxxBOoATjogYxZjAhtRpmBrh6Ltrzgjj1nNYDrAAJXd8JFu6+yRnwpaRY+5Kz - RqWbvucmZYlItkvHTigAgIiEpRQfBuu6ih8ZhoHYZdElkYgWF42ca+8kcapDCRWFmYgNI2hLmElY - mImsunwtEAhoUr/GQlQ3m42DupREOAKq4cZVVRGpeJZAkfCIa6++jFAmULXy6maI9MwIX6eUKFzd - BAdyKZv1uu9S3yVJwjJWOPWmGEbAnXQzFHNiSV0nY0k5c5TsZVAtmzxsiipAScyxWh30m0uf+tjH - /vt/8hsPPvncu3/u7/7D//K/et09d59YJga6lKjiGhwOVdsUde52lh0Ac8/Dpu+6FBiaSCeLCt/u - MIpiVYkFIHVfbwZzJ5J+0RlI1SSNNs2YRtk0CmAzrMuFF77ytbNPPvXUG9/45je98c2vuu1Uyqsv - 3fe5D/7RJ/7sz8++52d+8Wff9/P3vPENIr2N6uwIaCOgZJTVi88Pv/Xb/9ef/Okjq9X5f/SP/osf - +7EfuPbUqeD0XZeicmkpmpJQgnstWE1AzjnngeCLZd91XWoaBrVellwiWpNSRzUp2ZhJhMy05JJL - Til1KaWUEA4n1UDolFIC3kLM2tJyuxRxeOSSzazvF33i0PvDIFkul3kY1puNuy/6LnXL9Wolgm7Z - gQgWhddHQ42YSLqkw5rJl7u7Clpb2VzeP//8808/8fQ3H/rW+fMv5DJmO4/2a9ilZV0O9i9e+PSn - Pv7B3/7A+ecv/OT7f/lX/rM7Fid3ktQdVOXl5Prkg/39xx579H/733/rwYcfueX0mX/v3//VG67/ - AQDCIAY7tQVqtleqmyvnwU1BEGE0tT0AQMxRvtU3m40ZUuq6rgvGQHDXzbBZ910nkkC8WQ/F0t4p - 7gAvdLBaL3pZ9OEmVHZl5FpbDhIRhub4B+AMJ1AkLcIJEG8aeWzjzWbNWmR39/Y7Xn36lY9deOGP - n3jskaeeevKma18XLFnSOJ1zhWFya8Fstb+/Xh1oKV2XmGi9WqW+l65j4ZwziPoubQq8gBNSYvZU - w65M6KOwOFcj06k4WNBtK7tmanlInoyoOIo5M6UkahAmEiF305Jz7vrEIgtZADDVYTOAWCRx14cC - LQIICBJVVVX1YL1JIgE9c3M1Ayg44Wq1IqIupZxzStIvluO4tWQigqdhKDDqFyICEVnwgsjV8mq1 - 6vtF1/Vd16FSfp25WvLRAQIzh/NOo7KjdCQiAhC5VWgxMaVWiNMR1WKKaVku+lquzg1mpkVIQIxa - boVZOgIZMBQDEXSwsiFbw/PB+mA1ZPUFCPBieZO9cCfdYmcAFARQGTZmvruzM1pGPIqw5g9jIjff - bAYEHAZIKSURq/XgHG6uhVTRJYZvSqaui4yHg4PViy+88Nwz51YXD55/7vkSFhKOb80H5xEB8KK5 - FAMtdkbP8mELKUw7eA1WtbVz1eKuwiT9crVaXbpwAVexm3OrNQ7ArGLnsw4OI0bijhCJIOQON9RQ - nwcKW0spXddLc9UNuaxWB3u7e0IcxQEJRCIEqNpms9ZSWOTE3p6ZebUMR/QQSGAt75gTWBzwbBsW - LJaLi5f2X3hBDlZD7J1hyKljpjDQAbVScuo6SSKdwGGqOeeUOkmCQAebpUiUafmkRGCqoUBGhEgU - mh+4//7f+Z1/+eE/+uN16V535w/cdc9bDg42Dz/0LXMlZtWSElWnEre6hz2YGNxdePbiX/zF1z/2 - 8Y9/4hOfPPvVv9jfX/X98tQ1p1555vQv/hu/+Au/8HdPn36VGR2s9k9etUsNAjBbyJkz0e3RRx/9 - k49+5AMf+D9zKavNsL+/MhBL6vqFoSas/dqv/dr73//+W269dWe5jH2EQ75NwnPnnvz8Zz/+L3/n - /xmGk3fd+cO/9G/96u17N3dSQ/LFzEgSERPnzb6WkqQ8+MXPfPgjn/7QBz/2d97/Sz/1vr/9lhuv - 7kjjjijmtruz2wk7U7Pn2SPqum24F9VhswlJKl0XbKqo9ou+dtG8qGbNXd85YbPZmBsL7yyTQzCW - Zx/3ZCvD2txhDkJKFZOuxQL/FZmO4bSQxCcXOxE92kkLMxQrWnLfJZam8xClhP01sua004WLGq6g - Vk1fJCVxolYZAWqAoU9w8zwMAk2CfncnRA84+JLXcqxUU/1Skk526rGucAjXYpPVWRRuRa4i2b3r - 0nj2V1EtpYBImEXS6MQkgIV66ac1JwoG1bLKWlHx2GJaihYJ9aabCuqGVgP4kIech+Vy+cL55+/7 - wn2/+Zu/+cAD9ytssAGJTsoJuox0wAdC5Zrdi0tc3r/Ql7J062hx2+k7f/SHfvLv/4f/bs8Hw8Gl - Mqz0YP/i/iob1BGVjJ3gDiaR8DNq1BCDu3MAhZkg6JhdXYs6QZIQh8PXHVBXphSQT9Ws5r0IUCGZ - KaWqQjd31DDk9Xq1s1j0fbfYWTbLyIFaCCaXQixd30mlsuZqM5dENSxCY5pDlWeXLl368le+9Ou/ - /uuPPP7tV91x1z/+x//d3lvfuLx6j7m552tiAkRS+DqjcgXRBIghkDurFmn4rSiuAjgxBbbFHKZq - 5khJRKTrw+9ozYpkaXjbFsceXZw0U7DHZubDkFlYWGoeMI5tzScJQj3SsIUFm1UnwgCpQ5zcrahF - IEG6bjzsGtXXjIrvouq7oOaxBRBldqil/qxWq67rdnd3R69upOSHWln7ax5KRTgmRRjenOsBUtOS - 47CRPvwRnlikmbumVkylUVwdad2OgS6QKHKbh425J5Gu68Lk9ppRLSFDHGHyM5xRtGgxDt5B5iql - QA1dD2EkEoGAOEFzKUNer9bnnzv/+COP5U6ee+6FIds1JzlhqrlppWzWaxClJP1iEU4gNSN3Flks - Fh4WK1MtAunedUkkmbmV7KZdSiKpwY84JQJQig2bYblcEs3KjjtyHuDWL3oAHJBbwNyLWiJB9XUY - gWqteAeI1FRVWeTKpdv/hrbvu25fqjHVAjczEKQRjeiN5ihxIHy1JE5U1HIuIGZJLMibkrT0SUAO - KnmzeezRbz36yOOXLpa773rzu37kb/29f/OXFmkhcM/59jO3d12nefOVs1998pmn964++eo7X3fz - ra+YdYoc6jXRu/qAWgqeMEvXMRxMslgsWWjY5NVqtbu3Kynt7OwENnC5XMAKSoEkoAIqfTZqEmYn - Im1RYkKXFiwvXrj45IMP3f/gN6++/sZX33n31ddc33dwICUQuih1sl6v86YsT+4sFjumSigQgoi7 - FpWoDCmRnAhTFLJORq0wqh6x1OAvk5pZ8SSClnlKhNH2MQ+UWcsij4qfze8Fc1MvuRCQUtXH3XMe - jOlESgCqjdF1nXMuyIw+llnSaFkxi3QQwIZhs3/5sunmYP8yrCTBsk99x0XzY48/+4UvfL3v9m6+ - de/uN5zu+gLvarEBYveocGfkVnIeNmu3Ciqsp7gJ3AooTgtpyTwUYDaP0F9YlE1mGXwzDAeS0nJ3 - L/VLB1Q1MWlRy0Z9b8wOEu4Wi+o1l445DZF4qcU76QL/1HV9SlUdMa+IPfOKjU0kScY8EmgubspC - zGxum8FIEiVnInAH6kpRdggjZxDAHZwIqUtCFZaH0E0BQNWcnJm7XgIJGyDT1HXiHmHSiPx3XRee - +3DpciUQMmAKpTKlRMLNsCd0XQdS9aKaw/mSUp9SinPqSoYqUoJIJHeYlQwUZgvvW3NCIaxXB7lb - KRZp8t6SN0VksVgCkQhMkX8DESJmgaqRmqTEPENMNleXm+Wcq4LrAIOI+64zN3iFazk8DwMkSWIA - KSXe2Ynqjlpy3wXYxsNoDihZpAeLCKd6tGAurmZJpIeHT0qEd/f2qO8GVYOLoFv0dqB5vRrW6y71 - fb9kSUPRtSUDEisHikkVnlLqIJ22o0eIqesXwmOI2ODGUR4lDpw2q8EYFmLu+t69Au8reDp4QD1J - xpsaXD2Jl/cvPvrQA9985Fvm+JEf+dGrT10zbLDb86233PSKm254/pmnv/DZz95www2vufOuftkH - 7kxCpxvVYoa++MKjj1z88lfOPnveXv3q09ffeNPOznLIa8ATSycLM4Nzl2QzZIAWi5SzupsIp5RS - ChirlZJTcJCKOWQCpy5UIyKGFhTVJCIMIggTdSmliCjYsF6zTEcycQWO1WoAwckBp3ZmgkgSNsDI - FA5OMgYOU0p1X6gerNZd1zOzFpWUwMQ+lUcnQEtZr9ddYgLlYVNEILx34uTucrfjZKoMSanzVmek - qIlZAkiQmJf9or/m1HXXnDq5u/zm/Q89+I0Hz37t63e96S073SyQFjIqEAFk559/7jOf/cwDDzxw - sM633nr6xImTodKVDHJdpNBoGYQhu2okJiOQdHCJtI8IYnDb9fUdjr6PI8iC3uFm5AMj7yw6cBcx - tN2dfuFIQB5gqovdvY4Bjjp0SuSdAJAoI6AONSRuG98djC4OTBHAExzzMycJWPadmAL5ltOn77jj - jlNXnXz4Gw/c9/n77r3rdYslaHZ8UBD3BOeo/3Mm7CyXfdft7++vDw60lJ29vUDKa9FSlJg4JRFE - 5l5RJ83sRQK2E8ZnIHCZakV8ggGljHBjY2Ze9KCorZDQTK8yZPdavYSFO+rMlAKoQsRMi0XfHIyI - Uq5EzEJeGS8zy3Jnh4iYOHA6IklaGYzFYhE3s7Cb5WETuookWS56M8u5dCnVYCNFVfGcOhamnZ0F - cxoNQDfkApFwTaAUDKYpgYRSSkROY8UOOKieWSIzuNCYvpeEhDv35GabzUbzsOiTiHRdPUgTLAI2 - p/VmQ90yMYL3Gny9f1GHgySQxJQSCOsNOh24TwuIkudSLPXht+v7RecVvt2ifbM6AOabYUhdEpad - 5Q4LR0aFmbpb13VEqChyB0SgBiZJqXhopcQifbfYXewMfmBqxUIMbJVowLZTQXMWIrCQcM992OIx - Z62wQIumNCInGZlzTU1IKcHJXctmEzGkq0+dSqkzBTqkhMhEIXKwmykLCZN6CbZGkCi32zXwTUhT - n6phagjTnZ1dFnF3NRMSc8s5x7HAi8UCfY9IUqEANbRU3/F4oKqExdiV4IllgOY87O2e2Fy1s7tz - giWBKdBzDqMqiCkFMZjVinuEru+Y2N1LeChSIiAXNbfKwM0D9ek+pv0WbFbfevibn/7Un5bsP/TD - P/pv/8p/csPNZ8xx8cLle++9Z7HgxH34i5BDJ1cUB4mWzQsXz//+733oIx/9yP3333+wOrj5ppuu - v/6mixf3X7h4/rHHH/8XH/gXX7//4V/7D/7j17z27pMn96TVyKEZpxn9kfHnwcH+uWeeeejBb5Ak - NV+thyiAJakfywtdvnx5yHnEuI3+IEQpJlNhu+naU6+97RUHF88/8MhTz+2f+uH3rk4X7BIsqzJI - kkEKiiBLv+ykwPJDX/rMfZ/5zLMvrndvuOOGW+/Y5LxYrAULoOu7hJSajjlBKIR51LIINb9OJC2W - VSONIw0IJE2hD6ijCJP0UcJpsdhpmHtp6ZJksPB48Qxm1ibM3DRgfmaowcI2C+51QtSwv78mwokT - S2IIhJMH7MBMJaUoOpR6iHdg5E32slksEggwzetNSj0tdtwp8r5D+sDcnYQ59R05QQesV+bE0qPf - aXiJ1uafzCJgdPHcuSefePxbjz1x9fU3veLMHTedPpO6tEgoFhoRmRZ3jRoGIkzcVecZyIE8lPV6 - vbuzK4nNrJRChEXXw6Oeei3kgpb9ELsspcQiVI/orJVPm6MwwDcsNehS1gcHzz577sknniwoBWri - O7ZcrLvlpt8XunRJNktAN9J1O9C8rzv9tZcv7vddz8UZ5ZpTJ1bLhTplAzG6fkzNrGr5GK7nNGEX - Z8urgKeUzM0KwBTOvpItdTVwI8I1B4xYRBZLcVApllVZpEsMoOs7EU7MBJSiFWfpraIQS9d1Thyq - kNb0+cDURDpUsaJCCZAoZQXyUD7MbcibYRhyUZGumsuxkckj5m0OVYXVGg6ona1Drb5cYjMjCtc1 - BTLVTQ3OLJzEmEy1uhVZzMg8YrlROoCaVRiRKRMOx7iragBRa8KuNNwTR1Gjlsg221Xb7Kj+HXk6 - ieGwqK0cNcoQmh+JgUDCqal+tdRF8y+3o27dXdUCutTVKmccplnLcQMz7+7uAoiK5BNopnGdwJK2 - wmWICrsEdNxch0FTqSPywi1BuZ6raFCHcJIUCoqPJTdrklnVuFro37u+i3mF16DqZrNxYLHcBUEN - Qy5dlyISBCYRGYEzxECSiowpCnNfJg8IHlHf93u7ezBYMe67nZ29frnrbWu4ltDWl8uaMKT1GxqV - E2Ie862YOVEX9raDPFiisLuamxARkapqsdT1SZiWi9DlZjUh0KUO5ETkauYGClQJd11HlMJLHI7g - yNMKoDRLYpbxeMuXaFcIEvz/tn3fdftSLTQgM2fUwghNtWgH2UyVHD2ubJunGlAWAV2OKK8DirI5 - f/6Z5559frWPW2+5881vfts73vlWJkNmXfluvzTdXLhw7rN//pGzX3/otle//qprb77x1lcMUVty - EktjdyqDHC3BMSX6EIwiNsNqtQLAfQcvVbkzMzKi5DOeGjrvmIUU0AsQzj//3Be/+MWPferTr3rN - Xbunrt05cWrZL4DwMQqDB7cIBjIld+Qhp4WxpOqAbDihSI1s50dBDQwQaz1phxBZT0xkkeeBOt8t - AWQKwYxww3E2iNB3cvKqE+94x9uv2rvlxhtuuO3Mmb5LRA5Xt0JotZmifAsLCdSGYqWTjqayBY0I - iIRlsehuu+3Me37yJxk7b3vLG3aWfZ9YBAJery4//ugjf/ihPzhx4rp733Tm9tdczx0oYkvRO/Or - rz51912v/+mffu/Ocu/ee+7e3dkZB2CubkbkJNOSVTWaiAReeXdbTHOQkecyrEzVnLVV+sVMs/OW - AVgjpF5pwtDAS839Q81aQ0v5aR5L1MUlMnVXj7yKZhc5VVQaQMgAQUBiDg7vYQEROMGJDAlEBSA4 - O6KMYS1DS0ThbRhlGoAGaK35wg1vGxFwjsgCQO1f1LQXijdG590hEiDxZtC3pLCJchrFU508rxs7 - fGQ1TZjbzJDDW6I/UcuUBxFzrWsXSkJUAAgMTi0ThHo4VS0zFIDcKN6MmjsFwNUMFn5oa6f6jDUT - HCgKBrpOtCDsizoY1eo3q7NYlRUQg0jHFaVKSymy6gjZfNBS3AB0SVT49ttu+4l3//izK9z7lrdf - c931qeu1FgDxeph0TAdznLpevNbTDO+Mu0XJycoYW4Q+yryykLu51bC2N941M5+0JQAA6lGbi4AX - L774la+ePff88zt7Z97wxjdde80OHOR+0w3X3XrzjV7yow8/9LWzZy9durw8tTcyPhqHDAfZxefP - PXD/Nx997DF0r7nz7nuuve66vu81r8kDtJfhzGAWUGxArjCACA4xiEVMs9czKAit0iIJEbgd0BHp - xY1LRa4GELapai0GUcPwox9nJMZaHovm3xAYZgR3wlj5ooWpExGpDwYlSRHFqal45lWz9DhI2shN - KIqWRFo5d13HLOwUNoWDhuJJyCr3a0ejwxjUS3/9tVffcsP1Xxg2jz7y6Be//JVbXnP3dVctbey/ - WTvzw2H63HPPfv7PP/fYY4/fcPMr7r777quvuWbadDFNpg6nTrwVElYzNw1wAjGHr6cVxamWomYd - cukXSxauhzBEuliclMMMIgeHH7YjwKEOEAd+HSgwHeupOfVO4mAbT1euI1aQMirXMyfmpKDxILSi - eRHlxQ1711535syZ2287c+7bT335y1968X0/16WrFkc1rLoTG4eKMghMDATqVbU0HhXFEarhEb0a - ClrRmdpFOMPiQJeqFIycbeTqdYOFTyqqfJSIKIBaLVZXAzkLk7ZDb7hiWeq/7q26nkctEQeKGQMs - qYofi2zkqJkbG0fC/u+SOHOJYz3cYCTMDORskqZD4ee8OEmKUolMHEw4RhVjmnyLNcXBgKjyM26b - 9q+1o8tm9mTNXvIostbKc4+oUXeQELFajoTYeBiTw7P4RkiJ2aUpThQ1gJjhkQBb30LMBNUySioz - A0bbtk4GGAFV25JKdaAeHADEyANIhNN4IItI6iR1nGAwjYONRnDsKPcbMVRy8HoaniQQk5PHwU7E - NUHeq3RuDrSxzEHLFEHdmHFWrJnGwag+nmZTzWkmCmd+FHsh1BOMIsuCzFoi/Gwdww6vsyfCTKVo - PY+7Rm3IAEbNtnF3MwUTzI3NKxNo9a6a67bqPDD+/9h7rzA7jitN8JwTEZl5XdlbvlAoAAUPAqCH - JQmCoAg6mRZlW5puze7MqLtXPTP7uN+6p92H3pmH/Xamu6Xu6ZVaokyLlESJEh1AECAI700BqCqU - Qfm699b1acLsQ+QtQG22532UHwHCFKqyMiIj4vznNwBSR/XAR+KMuQDcctaIMXuusW8mxkg2QGzW - GWsiAOIOgNYGUDc41AiIVuPwwLOyVbaE0F/O52en55xU89DQxr37n/JSnDHQGjizXsQEQKCVkpIh - WQN50GZ5uXDt+rXjH508f+5CIuk+9NC2des2ZLPdpVJtev7e6N07o+Mj77///uDgRi4yDz889OD6 - 8tsjv/KYTeAHtWq1Wq1u2rylu7fX85IaCYghcW1saUFDQ+uTyRTnPLaBtUtLfEq0JHbjpZzubKYp - JcrVfO7uzJ17uY0b+lvTglQNwFGMWSDKgHEYQFhXufmpm5emJiZEak26a12mvVmpCqqAGCNgSBZ4 - tW6eQIhxfg7dr7pW3n0LM0Gj6gIAtPCgsQFM2lIFGZGd15zxRuDs/eOAtd+g+3+08tbDio9cXPrA - bz9EbEDLD9yXvSmLd6y81dqAjosjgBj1tuuMtkeX+FCHoB50ubFuqqBjlQEBKN0o/mKPphXXJNuz - BGu9CvH9z07fO33q1Kmz5zZvf2R3Mt3W3edwzhCsF0kDQYJ4MO/f/cr3Eyv5GwUsoAatNcQ5RWZl - NbH/KaU4Z8TA6JWG8v2dyGh7oCWimHTjeV5Pb8+uJ57s6uxUoBRpRcoNnXvXJ5fv5vNheWBga2J9 - XzLhZBh6Wpo6dLcPbty4OeEKrVGg4WiIyBCzGzFRnO8VLz8fnz8AACAASURBVA/x24jUANvvl44Q - o5cAcXlpm+UQJy7Fhy6jpF1e4m+GEO2WGE/+lVM/EBExa5ZoXx4D8YYFQDYCBuORbWz4jdfSjmFj - 1M3KmIDjiK6uzmcOPLOwVOnqWdPR0ck4V1IyVCtJMIhIGtT9EyI8+Cu7dSMAxEWEnfvxSVBpaRqt - 2YZSHpU2SKiRjFUMEgKg0hafRWvSClrbR9FI4qTGTa/cADLO7XNXsRnRg+/uygc/sMkZjcbY1dtG - a6OF6uzjtP7yDTDDEpZXJiqCfnBJWpkBplEYrtjXrnw1a74XG93GZaCxf/5bW2+8TYJ+kGtjTAzA - s3ijlEYxe2aOj0Z2zTANR/CV3RsAQEaSLIfDriANI+/4zdUNFbAtZ+OTAMbaRaMBTSMRyOjYC8We - bRrZ1PeHmTgQZ1xGcW9ACBcZizQQAWusEojWXRjAGCllvKtbE0RjpxgabaJI2XfJerhbkyu0dkta - I1qMIR4cMDZj5gEtnX0mxlAsnlOwAl6jQQAiFrPEMGatRVFkJ1G8Yjf4K7+7Hrx+B93+M5cxEEWh - 4Izbs7Y9fMY58lppzRkHxgBQyigMJRDnjus6zNJ1lQHXZdwgqABAAoEQGEV1vx7KkJrS3S0tHRxZ - pOpk3GQqAQbqy9XxydtHjv3q1Nkbu/fXtj+6V2mo+cA9EA29BsZoD4Ax2LAUAACptJTKEUIbkFHk - OMJxuOM0rUz8eB03AJzZd0srpQBJcGjsxQ3KkVFKoZbIsVEi6rnZmXNnz7z/3vs7C+XNDz3c1bMq - nXAflLATQ9d10qm0lLperfu+n3EFEiqlAIgxiJlqZH9CBIYEUQCRijwX7AaIFg8kQkDOGIFtMILS - RitNRPfl4whEDKwfStzNZAA6nUoO9Pf/6bf+lEyaM3ATQAIAQ6MkgBJuAgwDBVEEjAPnTIGWSkVa - MmasnkopYATEoOEJSZnm9KFDB3c/8Xwm2eImgHgAPAKQABjUqlMTE0ePHGlu7jLs4ecO7042JzlY - FoM9T+q1awbXrVn78ksvgSHrVRaXK4xUEPpBPZlO4AN7jP3LuJaEmP0dq8+1RG4IZRT5db9erXnV - WqC0QSItJTGHhGOQogbcpiTZ3wBKiRIEMGBMNNJrAEIppVTGoOclVm4BEZCBUoAakEEUSWOU57mM - 2TEBQIMGPI8DgEQMNBryBHAkhaARGEEogBExCVyCZ0ArqcgogZIRBwSGgEgKPAMQRgEanfAYESml - wjAUQtim6Ir4JaYlxihtY2AQHxS/EPKYgW3AgKEYdCXGhbG2qga1VkYTI+Ac+P0AFWAMGQgAjFQU - hb7RKMjhQqCdE2BLCkt2x5V5bIc4ssojAC6EZbHYeFFkwDm3HxT4gTEmmUoBgFaqXq+5riccRzju - ypCHvi+jKJlKIRGRkTKSkdTGeIkEMpIGanVfEPGkwznDRpQTSCllxAW/z0iPgzjAnjUJgXNkdpfV - CEQc0RhTrdaEH4RSSmUj6pB73p7nD+059MpC1Zh0Z1PWkQBh/LYCgNXrcMtANAakASmlK+L4IKkU - aCU4ixelFbIAxD70jLFI6UhFjutqAK3jmLgVaIVAC7C6UQOgwEg0kiPl87lPzl8uS+rv6Vm3prez - DRIOaGVaWrr6e1Z1NDctzC+Mj9xeWlhIZbuavDhlK9YX2UEiWJi9d/nC+WKxtHp7/649+5pbWjmQ - EC6BkSoM/JorEsQYAHoetwciYpyIOKfA941WnusQYwBkjLKyfa0UxuRGsMPleR5jQIQyMmA0J1Ay - NAaQkHHBVri4DVDBYltWJ0WExrbwrblzvOBDvOrHeS4KgIyBMAitGwYRknAcJgyg0lobze2nDUPX - EYjGSImckKGb8OytOhwBSAMqY6JQykhxFEhMKV2t1RM8yRk4hA5x0Bp0pFAqlBygo71t/bo1qYQ7 - OzN95tyFvc+/ono8MMAQQBuUEa1obGW0sLhw/sL5xcXc0IZNu3fvbsu22zVNOECGAxhdDyKNDksJ - BwRwAPDrMgjqDCHhOcIKNgkZkpLSaNuAxyAIiqVya3u7Qy42fMA5YwCW0xsCdyKEKDIOoiAAAkeA - BGyUrxYS0KADFUjJUDseYhy+bG0FAWxFGAkSWhppjCTGCLQBKY0QiCoKa0Un4SARaAap1p7evsd2 - bnv/2Cc3r1y6Nz3DnGRrhiec+/X/P3rEAGOiMJQysuualNL3624iSYwRkes6gCANAIJUUK/5TQlH - OAIMgpFgXUSDSGtAhwwYQ2ifBiEIHqMGhCuIJACCMlCtR5xTKsFcN97ggygiNK4riDHQ2miFBu29 - ASBjnAkkHpeEWoEBIxzuBzKMQuE4PG5D4Upj1YYOMaIoCv16PZNOM8EFCYsLGyWRMQTzgKIDAICI - HHKsbz4CRDJUEhxBRJwIHKchBLKrt4jfBwMyikKOgho2JqDjYFk0IKWxq7NwGCEoHR8e0ABjxMlh - tt6IO5sGlI6k4gKRCy5IUwzWIwCR8RxymAaQQNY8CzwXICQTBsAFMm556yuDrrWOotARNpaQgiA0 - GhzHBQJi6HmuUkYpzTnZqoaTdaJohMghckc0EsrjIWRIGtAeqgjJSAWRjb1vdL3+wZyzcdQIwASH - Wl2GEU8kbGhDEEZKGyDmeq5pPDljQEljUbKGmtwKZlfgLotWURhGpXIZgPv14P7XQxtjiQDY0DwZ - hqQQlVJagjEMGyQxi+oSkRDcsnsE3Xc+rtXqiJjJpAAAET3XsUmPSsq42aMUKDCkDVrLDESwiXsA - DR0xxBZKGkFHUVCtVIMgDPyoXg+iMNLGcGKWcgFgtFIMrDOIAQBCtCYJlpiFgMJx/MAPozCRTHIe - 0/ANgFW8xrQtaxxppJIBGOO5qUxLNtPU4jhcx9Tv+F8BAAAHo4xubFocIQwX5mc/+ODIlcvXjIaX - Xnr5M599defOxyoV33VT5Vrp/aPv/uCHf3vs2Okf/+Tvmpt7tm0fEtzu7g8y/e/vv/Z3UkaI0NzU - 9MUvfuHlV14d2rARiNt9MpRKKguRExFznJVXS3FGZO2MVogABKmEGFo3eGk0vHmvOHx75OGNHWv7 - VgMiURyLuzIBa+XS5O1bU+PjYRCu27axo7vPS4D0LTOhoY81WkaSESFniKgiKaUkxjnn91/qeFWJ - 7b8taXTl4aPRgAbFfV6HUnZeQxQppY2XiE/d2gBZL7a4T6SNVlpJ0JoYIrN/Q9ZXJFan2xRHBECQ - IQAAF5BOe/fRcRNDHsQYQ6aklFJq4oriZBRiDLSNeNWAjCeTtreNAFKZIJTCFVYQoaTWMlI6dBwC - InA5NNxpgMXeaisECikN6MgT1OAk6smJ8RPHj/3qN+9K5Dse3xVbYwPElmFg4rOZQa21TYAnxlcM - QIXDhJOy3xAhccexQY7WLkw4rlFxOpx1CI8smwIxiiLiTHBCfr+QCaMgiqKE5zEi4qiVymaznR37 - d+/aBQZAGI1So+IB+/P/4z+/9+N3C8PXv/61rx742uf7OrMmqDqh3+y1QMRIQdIFKcllxq8uay8U - nNtCRCvQoQJEG55swznjjcqAUcrYUHHOY7SRQYMRTMwYqbRSWoEB5PYwFvqBx4GEa99ko42MpEFC - xj3BVt6oSGoZhUnP4wTAOWhpdCNc6z5IabQ2RGjPJlKBkkAoiRkkIMFBERgEhgAEqMDoVCq1c+eO - /3PrdoNJskotpcOg7AhgIIDZF5CQiNP9yW8a3E2lIIqk43Buv01DtoNg11gAg0aCNgDcUpgY46GU - SiruOCb2xonFC2GkOKFDAhEZoTYGjTJKSSkd17MNcrz/rZoVnFRrCIJQOGIFmvjHzz/GgFZGK0Rm - tDZKceESYxYJhxU5yEqbQGutZGxKZ3t8WikZgdYWeAFspIMQGWPCMGzYzcVWqlEUWdzWc10r5gjD - kIisP0A8exp0EopT/2xDz4A2OopQaXSERtJkwihyuQAErTWzh0pjpIwC0Nzz7ONHFjeG6nWfESRc - Nz78ayVtBqDjgpJgAIg5jmMatDTGgBiTCiIpuVaM4QP9GW2A0ChQGpQBIBD3xUmMOABIqfx6ICPt - Mo5IUpqaUsLlQAY5PdDDAgBDDeK21jpmlDQevu/XiTHhCCQWhkrKKJn0tFIyCsnCLwAAQMSE3X5M - DETjA/LoxmjrSEaCW02hbQ0zAFRKG22EYBBDWJJi0gDYhhHn9E9MoP92r99Bt//chdZPoNEoUMoq - 9C1+wU2jlYbAuUDkUhlLgFs5PhkDoCRIHzACZaIw0EoiMEZJLV0lEQCIWa89AA2IhnPFuG8wCsIw - DI3S4HigCWQ8YI1GKdjaKj7hEyEjQkH2nUEUdkFHBCW1AWC2DgQABJARmAiE8yDV0UDMTjQAVnSA - qEDLGH/Ryh7clZKRlEpra7WjDDAEZVSkAut5KKUGFQFAUyaNXAEAI9LKqhlivEKDIlQEGq3fAhOA - ESCgXep0JCUg48A4AsPYuhWNNTLCmKgbAyBEYKzuAyzQzAiTCU9zDxRwq4A0GoxuxH82qhts9DsB - uRAIjgYtldKScUuDsHYtRnM0hOi6DmtKutzuegZ0aAE/0JGWkQyierVeq9YjqZQBhmTrPY7AueCo - jAatleDccxsKHztzBE9QAgGtwSUysvk9K0S2UIKJXSliRigzinNIpzwuODLuJZKciwZSp9HoRoGF - tEL+Y9ZCT0qQGozNN7J9ZkaMudwYMgAylgbGjfOVTiQXwhoaxGcEZjuD0kgFAIoJQ66MvysNqBHB - FQZ0qCXXXEjgAEAcGBhuNBgJWoKBCFnEhEBgjsssPqt1HE7CY99bO2bWVQAROY+xBhlFGJNhrDsl - 2lRye2kNUoXCsQWcrvlVAEp4KfvqEIujqOKd2oBSwDjYbipjjDkcDSHYstU0HkT8iI3l0iNFSllP - KMa5PUbZaF/GABkaA5ECYWkXiPZ8EPNyiaxCdmWZ0EqFYYhEnuchgJLSctYcl9mHYNs2Cc+z1lgy - UmhMHDRPyIWwOTlAK6m1LHa7N/d7x1IBj0JATWAIkQvhJJJuMsW4UABhGDqcAbhAqazn1ZgTSggR - FAMHwFj5p8ZGFAjGxD3kKwRTsq4QMcyrjJQxsRQZY8zqcWxbOG5AEcgG0hTD4UohGTCN6pYMmFDW - /dmZ6TOXrgKJlmx7JiWSAgQBB8ETrV3Z7oc2bbpYuVDMLQwP30x29TX1tYFtnmngNmPdGAj9uenJ - G9evhZFszXYOrF7jeZ40AajQ4UwwIkcUlwv3JmduDt8Zm5is+mFrS8vqwYGhoXVbNm8SXBiNYRg6 - giEnBFJRVCwWR0ZHb968NT4+qZTp6ulds3bd+g0bOzu702mvIfLS1sLVrtk6ivwgcF2PcQ5IVjjE - uLDH4HieEVBDaqcNMAKBBrUEGQAgCMeWfI7jGCQgCjWATQkAq1lzgMDI2G0F4ua+sT4OwAUgSTSK - MAKtAqW0Ycg4cULGmEgkk0gQAtxvKRBwRAVIoLNtzevXDnZl2+fmKqNj49PzC2tWtbck4iV1ZXMC - gnw+tzA/VywW29vb+letSmfSgoPSEATa5cRBh7Xy7NTEnbuT126PFCs+56y/r2fjxg3r1q1pzqQ5 - F2CMVhEhACPG2NLS4uTkvenpmbGxiYnJqd6+/tWDa4eG1q8aWN3UlLEeCNWFmYvXb+drWAqFllKo - MCOotT3b1t3X3Nnb0pYCBgTEiMAoVVm+cn24GLm8uW/9pi1pF4g3+jLIgAtAA2Fl5ObthZKvnNSG - LQ9lm0gI5GAYascT1k5QKWTkZppbH92+5frlS4Vy4fadkaZsf3t7+j6yAP/EZQwYwxglPC+dSiWT - Se7E+zIghGFkELnDIw3agOO4jUgJA2EIKgQhgBFxBsRsyArjECkIDbg8NlxGsiGnBpQyIJCRmxRo - INLACdCA1poYYyssnhhNAzvNGGeWXahlaAww5hCCNhBGGhgXnFvekzKGsRjuiSJJCJxzpTUnSiWT - bMWS2yIshKAlADDmAMZiceEAklFaSuUjKle4gnPOkJCMBikhDIBzECJe4ZUGYyTjmjEQgjfieaDB - Lo1bHpwjGWYg3p+IATSK67j+k5IjawCWCjQI4QAJROQcJQAARNoQgQDlCORMGS0jGUZaKWBSgwMa - BQdijVxOkACRBmOMC9oRAizCCMgZB45IEAaRNsZ1HJvgDBaDMNqWUtZ6x5KOwVaGiMAFgglkiFwo - oEhrMqClCusBGaszbUgLH6AQPTjV4v9zxu02obQCcgTXyAygsnweO/UQGEetwGjQzII+lrjdOC0a - DQCc86amptaWVtdNCeFYkDcKQBto2BoDIgWyrrT0nIQVaMWiS3uIiHPdQGslpWTMIONIKJXFAiCR - SGqjw0hxHv+Thv7XOogYIoFgNGpNMdNR61gMZDSoCBQAcsWEJNAKIsfhLa3Nrutx7nLucO4wm1cO - OmYOEWkZBbWal/CQcYaxGD/OpVS6XqsyxlzPXVnxlF1lOWvoPRtHTSNBR37dL5Uqrd2e4G4kDfEY - TI5UDKgTEiLnrgc2ohN14JempydPfnwSkT/66OOHDh1aMzjoOE4m4xAJErh79+6rNy5dujQ8Nzt3 - 9+7E9L2Fnr4WT8CKWcRvD3q8AvGYyBwJzl1HEGOApA0qbbgQ3Lm/B60s5KwRngdgCdF2T4FU2tu9 - 67Frk3RtavTG8K3x7b37n1gNRNroWhRoIRzQlnhQLC7fvHY19Ou93b2PP7GrvaOVGCSSKYZBjDtF - fhRJA8CEA4aMVmAMI2KMaaWjyOfCsSJ9+5BXOJR2LmoDUkqHDKKCOPuHgAnOuZ0InAs0IGVDsR53 - Hu4vvwA6BkcQwGhtLe+IAzEiUtoordEwJCCCGEm+j1lBJBUa7XArZ1FhGDDOOOfyAbcWzhmhA/dt - 6Ag0GFCaGDF0PbFyiGKMEBhKMmGIpEG4AABK6SgiN2lv0vJ3mSVMk0AyYCyjSCU8r7kp4zqOVsr3 - 61EkBVg1CpABpqWIhSlWE2/TB2hlJttTh5LSvt32BUZAxgURggFEssQ6+wQcIewvhBAaQCqjtLJ0 - VGM0EgrBV5wM7IJrGp/ZoCREBRqUdghcRDLaSGmM4gCum/Bc8my/OgRVB9IyIYCj8sO679cBgAAE - AyaYDYg1DRthrcBoZbQUjND6kNqzKyMgMFL6tZrrJYgLxpgGQwZXsl091427dUoCcbQpt8SA7q+Q - CMA4EbpI1u1AMkJbvRqLxMWvCcYWDCZe6+LxJQWgQEFD0mAfJtnwW0ZMAGjgljHBOXHmICqIPXvi - j9catUEDcXxGo6EGYNmacbqEFUaiMcr2NixDAIyWUaQMcOESMSAODe6vbHwaJLaS9GDJagCIDBx7 - SDJaK0ONzp6lZMfOOmiFOH+PivTbV3w0tZ5mihAdwYFQKRUpDciQcWq8PjHKSEQoGmixATBIwO3g - AhqlrHawwXi4b5uw8jWt7d6D1OkVbT7cP6QhaC1lpB1uj+/KaJCGtCHWOGVZRUfDmI+IYrsTIi6E - RgMN7UsYGkYoGCQSCQJDZJUrJv5IKYNK2XU9EGRvxs4HqWyHFoiA29IyCn2pNXcMGA3GD2tJTUAc - ACGIoBbqtBcRhFK76AhgjPGElxRMhIEMAqkBPY/HugQ0DyTtEuBKDqSluKI2IKWyA+p6CSIyBur1 - iIhcN4FoDdwcm08mZQRIhAzxPpxoTPwspQQAE4uykATnSGBAKyWJEQE3AAi0ohRiRJ6XBANRZHse - xNjvcNt/5PoddPvPXNZBCyk+JGgtjVaMNXgI2vj1eqlcXlrKlyu1StWv1OoGmZNIdXR2t7a1NzW3 - NCW5QAMmgtry3ML88N27V2+OzM0ugHZn7uUvXbzW3Aac1zqaVm9YtWN2YmFy+vzEvfP55Wkpa/Pz - 8+fOX5HcZYmEm0l1NTtr+1qFo2xfXUpZq/u5pdziYq6QX5ZSGQOM8/a2tq6urq6uLs65Ahv3DDY0 - aW5urlAoyKA62N/tcZhdWJxdWi7WIs2cvtVrO3r602knFgSt7ARGg+/XSsXRu3cvX7owOTFer9fz - +fzNmzeDyLRkWjzHGxzoa2sVCU8RxQwyx+WcC0Qs5JbmlxbvzUz7kQKT8byO7u7VHV2iqVURMwaM - jAwDbGRhG5BhsVSeX1icW8xVavVIAzGRTje3tmWz2Y5Mc7PrMgLQDWv2hmMkKgMUKxU0GIXA7o6N - FfPQ3Jzp7mluanXAKCAtQ39xcT6/JJXMrB5ch0yVq/mp2bHl8kI9KiI5mdTq9tah/t5sKhU/B0A0 - YAB0YTk/cWdUYLK9w+1bmwUdVUvlmZnZ2dnF8bFRv1aPwtLkxNSZs+dSI4yzds/tHFi1pb+bOKEM - gnyhePPGcHNT66r+VW1t7WjpbIRGqcD3F3OLuUJuuVis+77SGplIN7W0tXV1dPUEoXLcZLZVNGal - AR2BCbndRIgLN4HEYuG2hRfj/cFCmvHxwNTqM4vTUwtTTenmVX2rEVguX5iamq77YRQpYzCRSHZ2 - dq9Zs9aaWUGDYNGg4WDoB8uFXCG/lM8t+fVqGPhaq1Qq6WV7M92rE+2drS5w4kb5URj65eWl2emp - fLV11bp01+q2jEWPUEaRwxRU8lOLhZllP3TS3Z2dnW3NGUdIi/QBMMaWl5fz+fzc3FxnZ2dXV1cq - lVrZYivVaiGfn52bKxWLdd9HxEQi0dTU1NHR1drS0ZRJPkBfNpEMq7XijZvXCPn6oY1EolT0JyZm - /ZpizGlrzQ4MrG5p5QbAGKO0Ujrk3BBjoFbmpCyXyvnCcr5QWC4WK5UqMdbU1Nye7Whrz2aamxMJ - DxGkMvV6fezueL1eT6ZSfX2rMk0pspRA0EhEjC0XCiOjI1LKTKZp3bp1LnMsEpzP55eWFpeWlvr7 - +/t6+8qVyvz83OzsbLVa1doIIVrb2ju6ezt6ejgHNKAkaG0YGiXV+N0Rv17LpJPtba2MsXK5MjMz - ncsv14PQ81Lppua2bGdbtiPdlIkVOfaHklopQGaQDCIQaQsuMIR8fnp+YjEUomOwc6BTcdDMtjts - IUrVciGfq84s5AvVWi1SgJBKeK3NzV0d2bbW5nQyAQhgVFxeIMgwXMoVcvlCYblUrlSlNoy7rdls - R2dXWzbLhcMaLSmmbZR7w6TFJp+boLa8MDs7fWdiesPWnR3dPZm0EAAmAmQMeLqjvePxnTvmxu6M - FnLXrl7t3rRjsK8tLt1X4AqtVT43Mzk+NTmezmzs7V/d1dviuABgFbemVCzOTs/dvj06fOP21evD - ueVSpDGdTt0cbl+zZnD63tS2rVt6e7oQQWml60GtVr1z587w8PCdkZGxsYmFhSXH8TJNYzeHb6+7 - PbJjx85t27Zn0qk4xsZWigZASaWkRSkqlUq+UFhayhXLlbrvAxAXwkskOzs7sx0dbW0tYJEGpQGJ - tIqq5dmJkWK5OrhuvZdMR1LP5/JzC0u5QjFSJpVp7ujoGFi9OpV0yerBkYhIK8UMAhlVrRRyizOz - 84VypRbIEDHTkU13ZHubslobImY0aGUMgBCgVqpiC/iCQrLyUtPSlFm7un/t6oHhwujM7Nz4xL1N - awdb+hIWum24aBjQemb63sTERLlU2rR1x+ZNmxzhWMqQMdqvB8Xcwo3LF8ZHbt+6O3nn7lSg0XHE - 9XRybGxs29bN27Zt6e/taW7OaKUMGBnIiYmJ69evX7lybWFhaWFxKV8ojt0dv3V79ObwrW0Pbd+8 - acvm9f2go0Ju/sK5Mzcnl+ermBKOK2sZjFJNzU3dA12DGzZt3dLf19nWlrbQT72yfP3qxekyS3SX - OldvSXqxsy0AWKIUGA1+efT6pRszyzLZ2dy/sa3JEwBMR2gkMFuNMUWuRi+RTG1cO9jbmlhaXrwz - Mrp6y2NrIG0aJcE/ht4aAIMYm7/beiMKw+VSeWJyqlAshpE0AM2trasG1zS1Zp0E5w5qpZWOGBnQ - ykRhVKsWSpX8cmlxuVwNdWA4OQnhJlJNzf29vU0pN8HJgAlqtXJ+4e74uOTJTLa/d2B1wgOjQWlA - Y+NuKIz8Qr54b2pSyai1paWzoyOVSnGGiBDUa7lcfmZ2rrhctHCwYcJLpTt6+ro6OzOZBMB9kjtY - rSIjYkzHGTgIAEbJwK/fvnULAHq6uzzXrdVqs3P55aIfRiRcvmnzuo7OFtsqljIKAn9xMb+0mF8u - VMIAtOLGsK7Onp6e/my22Wk0QOOjGpKRKqzVC7nlpVw+VyjUg1AbJC5SmaaW1rb2zo7mlpY4yihm - 21nCpiIEo3VYri0tLizMzxeWS5E0xByeyjR3drd2dTe3pC3nVCsJOiQTgAGlo0DJSujcuzOi5u/U - 6nUJ5CSb0tnu7rUbeYppBRwMIDDO4mgZA0qpWs3P5/L3pqeXC8u2wvQSifa2tmxHtrWlxXGEQdDa - YEwUNUYrFURhtTY9PT2Tz+VqFZbwkummTEvb6q5+ow0ow2Al9s/Oqn+q3ompWbVaLV8ozi8s5ApF - qUEjQ8aE63V19/T29iVSKSFivuqDnwcB49OQbWcabZRhjDmO4zgWeHkANUZQSvtRrVQpTE2Nzy3M - GgNgOGee52W6Ovu7ugbSKddhYIwJqrXZubnp6RlAzHZ2rhoYZFxYA1MuSGuSUmkNuUJ+5M4dANPa - 3LRmzaDnCERUUmoti9Xi+OTdUrXk12UQaM9JZ9I9He2DLU3pdJPNKIstmrhgnueBQSXRWOf8+xSk - laXaQgEGOQKglhLAkKCg7udy+bvj49V6LYwibQwx5iUSHR0d2Wy2ubmZNTw0jNGFmen50Ru5hfmx - 0VEZ6mrFHx0bP/bRR+Qlstn2Vat6kwkvxWNgBIEBE6B8UBIYFgoLkxPjd27fGVy7cdvWh7Zu2dra - 2mS0EcIBAJe7AwP969at7evru3lzcm5+cXpmpq0j6QqOf3+l+S0UnzCm0hut4y8c/7DBYLEmGLBB - m0Vg7AHJf0MXDGjAc7Zs3rBmbR7ZxJ3RsdHR1VH1mdYVBAAAIABJREFUCeEp5NSwIDF2/87nls6f - PVMuFNp71+/c+Whre+NOwGhQrOEFZPOTwBglIwuqKCUXFhZn5+ZLlYofhFIqQEymUi0trdmOzpaW - llTKhfsGB9pEvl/3R8cmlIZVA4Pc8SrV+t2JqVKlBsTb27P9/f3t2dQKzd/65VgGSWxqp1QUhqVy - 9d70TL5QrNd9xhzbio/7h0wgUTabXbd2LRe2PPJH7tyWUbB6YJXr8Fq1Mj4+Vq1VFUu1dK7q6l/b - 1RZHMRmtw1q5sDg7v7BUKJaCUEbEyU01d/Z09fS2trc7TtyzJiJihBogCo0fzM/nFpYKi/lSaEiR - ACaSqUxLe0dvT1cm5XkOAhhZr5dycxNjI1evXJ6bndVKLszPXb50qeSrREuHk2nryLb3NCfcFLdC - cKNVpVrLF5YXl3KF5aLvB9qAEE5LS0t3T3cmnUkmkwiglAFjiJhSMrdUuDs21trS0tqWbco0z83N - z87NFkslxxFt2fah9esdz7VI+MqcIyIgNDYyTDeaaSaWEGJMj4lAGQ5aWPcILZWSBkAAurH/NtqZ - RDpiOuQgCbRFjgrLMDUzlpuZqgaRQZ5pau7saO/ubE8lvUZ29YrvhAJjIDLlUnl2fvHu3UnL0gdk - biLV0pbt7VuVzqRTHhAXNsgSkPm1Wr5QHBm729XT293bV/ODmbm5udm5KIqy2eyq/r6ubDtnpJVC - oNCvLRfyk+Nj5XJRA0oN3PPS6WxX5+q21s6m5oZnQwzlaqNNDJjrRrVFCAC1WvX26ETd514iu36o - 3/FiXBeMkmGQLxTz+WJ+ubhcLEeRZtxpz3Z2dHR2dHR4nkMUJ2HqB8wTASDOcTMqNz21mF/WQG0d - nemm1lK5OruwNL+Yq9R9JJFKpXr7etva2prTHjJmj8dGS9CKQAMQaKlDmVteWFjMzy0sRJFU2hjA - ZCrd2tbW09uXSqUd12WM4T9YiX5rUYqZQUb7tdu3b0mNTS2tXb39odTzi7l7s3OlSi1Uhhhvb28f - GBhIp5IJ13G57Q0r1DZlwwAaCINCqTo1s5BbLlerVWsH4blua1tbe3t7a2trKpWyxFsiKhQKMzMz - 1Wq1tbW1v6/P9TxbVyqlEAANjI+OFXN536ieNQOZrmyKJwAArG0aoF+uTsxO5+plTHlD69cLxqwy - xkgZlquLuaV7uYX5UkGh9UByM+lMT1dnT3dXwnUIjFEyBo21mpm+V8jn674/NDTEhbuwuDS3sFip - +gZp1erBru7uTHO6AW2T9Zsz3E4Uo7QCg2AUVOq58albCzNF0suouOF9nb19Hb0sNI5wBXN8FZu4 - EIGRxhhpqdBh4BeLpYXFxUK+UCpXbDfFTSRbW9ta27PZ9g5kjRKCoFyuX712LQqjdCazZu3qprTr - CDJaAYA2JgqCxcXc3Nw8Iuvo6BxYtdqWXrE63RgVqtGRO8XSsuuJVav6WlqaAU1uaSlfKBfyld7e - gdbWtnI5mJ2dW1hYqNWqAOi6bnt7W09Pb0dH2+8cE/7h9Tvo9p+54taNXWqtA6bWIDgggNJR4M/N - zQ3fHH7v/SM3hm9NTE7Pzi8C8Wxnz9MHnt21e8/Djzw6tKbfSxigqLAw/f57H373hz9dKNTnlkKl - wlNnPrx5+8Qbbyabm919T+7+k3+V+ckbPzh67Fezc6NL+VylCteuXxmZ/I+JH7a7mfahzQ89vWvb - Fz99MNmRsHHatVpt6t694x99/NFHx0+fPpPPFaRUqVRq7959hw8fPnz4cHt7OwBUq5VMJs0FU1of - P378zJkzS/PT3/qjf9XRmn799R8d++TsnfF7oWFf+fofvvLZ17Zt2+gwQAQpJYHiBECsUi5fu3L5 - O3/916fPXRqfXqhHMDIy8sMf/Si/XHGY09vV+7Wvfump/Ts2bugBo5RSYSi7O5qTyVSpVL508eK7 - H3zw45/+pBZEntvR073h0POvPnto5+O7hghQGV2v1z2edF0ABFCqXC5evXrt+Mcnj584efX68HKp - wh1346atu/fse+rpZ7Zv397Z2c3iJjRIrVwbR3yfJQRGqVD6QT382ZtvXrk4uXHjhk9/5rntbZts - r3t5uXDixMeffHwjCpN/8j/8e6DoyvWLP/zJdy9cOTW3NN3a1v7IzucOPP35Tz3/6qp+RwhrRmZP - /eratWt//ed/JyCxZ++2f/nNrwCqqYmxv/3+67OzS3fuLC4vFyKoVU8v5qqT5WAJoGX16h1f+9of - dR1+TDAoFYvXr1759l/+1cDA4HMHn9u1a086nZFSEmG1Wpmdn/v45Imz585eunJl7O54uVphwnto - +8N79z/z3KEXlIJsZ3d764BdwYgIVBT4dT+oKa0QiRHXWispuWAAcVdLMzJADS93AAUzMzPHLh17 - 4+03tm3Z/vtf+VrCTV24cP711388PHxrcSkvldmwfuOLL738zW/+ccLzViK8jQYZacFJyiifz129 - fOnUqZNHj3wwcXe0uJx3HFo/tHbjY888cfDVjY89kxlMuYQqiMq5+bFb146888v3Prn6zCtfefLQ - Z3c9PKgBwkCpynI2zZbmx48dO3Xswu3Ibd+/b+/Tux9Pdnf49brneUIIIcTly5ePHj36zjvvPPvs - sy+88ML27dttv1RKOTk5ef78+Q8//PDixYtjY2NKqf6+/oe2P/TsgYNPPrlv29ZtwjrFMwdRlqqV - sfGx73//bxH5F177UirVfPXKrW//xd8sLRbTqeadOx/5xjf+5d5922wGTBgENb+UTImEIBlGnDlA - FNTroyMjZ8+dO37ixOUrVycmJhPJ5OYtW3bv2fupwy9u2rwlkfC0hmq1Oj0z+/rrP5yYnOzo6PzS - l7+8fceOtEdRII1RjusqpW7dvvUf/q//UKlWtmzZ8qd/+qddnV1ciCgMh4dvnjp16tq16y++ePjQ - c4fm5uePHjny69/85vz587VarbW1dfeePS+8/OoLL76UyqTRQBQECdfhDMN67c0337w3NbFh/dDB - Z59NJBJXrlx+661fnjp9dmJqqqurZ/PWh54+cHDP/v2bNm1JpF3GAUCADlQY+X5da1UPgnKlIq3b - IQIYGLt69Xs/f+/GdPGRZ1/92n//h07CRhcbbWIwe3Rk9Pjxs2+/+8HVW7fn8suM0cCq/kd27njh - +UP79uzOrB0EMFoq0IoEB8RKoXDqk08+OvHxmXPnb926E0rV0pZ97IknD33qUweefa67p9d21xkA - GogFaRgbIIMxoMPl+XszM7PVSpjpHOhbPdCScVS96PvAkxlGTltr51N7dl89/dHVyUsXzp/ftOeg - emQjs54RK5qdKJyampyauFsqhmsfWzu0cWN7FhwEDoyEiAJ/eHj452/+4sTxk/emZjSwJ3bva812 - 5vOFjz766M03ftrcnPlf/uf/6bXPfy6VTPh+LZ9fGr979y/+4i/eeustY2D9+o2bt24bGBi8fOXa - kZ/8OAiiVz/9mW9847/bsf2hhJOIMS0AMCas+0iUzKTr1dr4+PjHJ0+ePPnJ5avXxsbuArHm5ub+ - VQOHnn/+wLMH9+zd24jJ0cZQFIWL8/M/f/PNG8O3vvYH3+hfvabqh79+5/1fv/v+J2fOIRNrhjbs - 2bPnG9/4xpo1azmZTNJ1GHJywlrVoOEOX5ifvXD29LsfHD15+tzE9Jzk/NG9e/YeOvi5Qy8bbTjx - 0IRhGMTspwbUqLXSYWhMqAmQIYChVKKnt3fnju0XZ2t3xhaHb49s27huXd9aAwBIyAVAaHlQt+/c - vjU8XC5Xdj6884knnrAuwILASfLZqaVPPv74//6PfzYzOa65O7T5of616x3Bzpw5c/TIkXQq8fWv - f/XlFw8/+ugjxhipoqWlpddff/2DDz64efNWd3fvwODg+vVDs/OL586dfeONNzdu3vzZz3xu87/9 - 1yCj0nLuzu1bH5y8PrWs1/T2pnSNVfOL+eVCSC39a1/69Ksvv/T844/tcBK2aigP37g+sqQzq2HP - gaCr1bWmNKAArKrZKAgqYzcvn7u9EDavfvjZV9aD5wKAjED7ABIYAU8Q9wINjDu9XW3dLYnrRo6O - jm5dKvhRt7PScft71wrDFdFxHESs1WvVSnVubm5k7O63/+qvz5w/XygsZzs7Hn3s8Zde/fS+p5/t - X9XJAOpVP1R+xhMohAmq9+5NnTpz/uTpsx+fOT+9UKhGJt2SXbd+445HHn3u4MEdWzc297YxwLnF - hQunP/7e335f8tT2J/Z/+ff/YHCg3ebpgQEGQIyKS8WrVy69/v3vVcrlvXt2P/P00xs3bExkMqDU - wvz88eMnjhw5euXK1VyuUKlU3VRm686HDxz61FP792/Zstl1CBCt56CFHiw1hTEmIxWEoee5URDM - zc7+xZ//udHq8OHDq1cPjI+P//Snv7xw6WYQsXVDg//23/3x08/s8TzOmVeu1qempt5//8jRIx+d - O3upXAoZee1tXbt27X366Wefempvb29XOgOAHNCOlqnV64uzC2dOnz1+4uTJT07NzM7Vg8hNJDdu - 3vzErl3PHDiw89FHuztbHhyEwA8QVDLpqcCfnpk+dvTosWMfXrx4ZXpmwXGS3YNr9z936OlDzz/2 - +CPNzUkDRsqwXq+oyCcCY3QYhYtL/M2/+/Hl994YvnUr1NQ3uO7RPU//i29+a2jLGiIguJ+RAoDG - QKlUHhkZPXHi42PHPrpy+Uoul+Oc9/T07Nmz58UXD+/du9f1Wu02xwUjREYkEYvF4ujwrddff/34 - uTN3piZZIrF+0+Z9+5/58ue+gIiucHxrnvlA3ux9tmWD7rZy1SuVycmpC5cuf3D0w09On5lbyEXa - JFLpgdWDh19++dVXP92/alWmKeNyWKG82PUrJiWB1koRQ61UteLXqtUgCFwnZdtSACCcGIkIg3Bx - afH6jcvvvPvrYx8dHZ+YiCLMpFs3bth28OALh557aevmLV6aVKTn5ueOHDny9tu/DsJwz959X/7q - 73d2dTlOXKEQgeOwKNLXrl79sz/7M6P1wzt3fPOb/7ozm+WMlYrFIPSvD1//9n/59vDt4fm5XKlU - y7Z1b1z/6PPPfe6pfYe3P9IsHG7vnAERolKqVqtXq1iv+zr2JzUEDBr2jETMc11qUMWtn4nLRS6X - u3Dh4s/f+sXZc+fGxu9KqVzPGxhY/cLhw/v27duxY0dHZyciKmXQqFOnTv7kv/znmcnJmXzoOomp - yZn5n/3iw1NnwRGPPf7I5z//2Yd3bm9tTTMAUhA7r9rAIoLp6cmxsdFSudLR0b1u3VAm04QAURQ6 - jmPFdtro7p6uzVu23Lu3XKlU792b3rB5AFJsZeQb7aIHC1+jtZZRFAQqikKlFBgNxK37ORAoA1Gk - tTaAxBjyhp0BgOWjKwQT+3ogBy/V1bdqVeetDhHkRi+P3O4dW6j09LV5LiWZAYgESA4R6GBmfvGX - H50v5/1H1rc8smltNg2OgQggMMzRkCIkwYUj4vvUOgoj4TiEWCqVLl++/P4HR05+8snE5L3lUomI - rVm77vEnnnj22WcfefSxofWDFu9ighspa9XKwsLCd7/7N9Wa/9oXv9La1nFndPw7f/03N27edr3k - C4dffO2119rbHsJGEq+SikAjGbSHD61UFJTLlfHxyb/9/g+OH//4zshoKp1JZ5pT6SZjkBjn3GGc - Hzhw4Fvf+lZTU1MUBnNzsz/4wQ+Ky/nPfPqVjmzb7MzMm2/+9Oy5c3XF9h986fNf/hcd+3YYBKVU - vVqZnRw/+dGR9z84evLU6eVS2YhEU7b7yf3PHH7x5T37n+rqbuMEWgMBIufAXLVcnr438867Rz45 - de7a8J1780uVQAo3ufmh7bv27Hvp8AubNqz1OppBm6WlxbMfn/jOX/75rVs3FxdrgYJLly+PTS8E - wN2m9t41G155+aWnn9jZNTRgKaBhEEyMj586c/bDYx+dPXd+dm5eStXW1v7kk0/+3u99/vEnHs9k - mohBvRaCMamkVyrVzp87/5/+0//z6COP7Nq9Z9vW7W//6u2fv/WLK1evdHV17tu//9/9j/++f9Uq - LpA1gAVEq4w2UkbWciHheg0RvWmglZpAExkOhhkNEDEwhEZBZAAQFGpppCAD4AHUZFSvoNYJV6ST - Hmi4cf3aR7/40Ttv/WxqdtEwb8vWbYcOHjj8wqHNm9Y3ZxJgSMuAjAZOwAjCsFKt3rh54/jxk2/9 - 8u2741OFUoWYM7Rh05O79rz86me2bdue6UmDwcgPpNKJdGZpaenkqdN/+Z2/ev6Fw6+8+pmlfOHN - n/38jTffWFpceubAM7//la8cePqpttZmrXWk5cz09IXzZ3/0w9evXb2yXC7Xw6ito3Pr1kcPf+qz - e/Y899D2Xs5tfKjkTDHLKDWN80acjwNGq7m5me9977vzi7W+/k1//M0/SnYltQyFCzpSxeXipYsX - T546e/Lk6es3hqs1P9PU+uSu3U899czBgwf7+vozGeE4sabQvrb3V39jwMiPTxz/6OOThvinP/t7 - Dz/2xOjY2Bu/eOvtX793d3JKeMmhoaEvffFLu/fs2bRhXdJlgCilVGHAyQhOgMYE9aWlwqmzF46d - OHnk6Idzc/OVahWQtm7bvmvPnk996oV1Q0NdXd2pVAL/a+A2o/NLi3/17W/X/OChnY98+rOfD5Q+ - c+7c6z/6yflLVxeW8ummln379n31K1/ZuHFDf2+3m3a1AaOUjnzPGkIpWVouXLs+/LNfvnPh8rW7 - d+8Wi0Ui6urqeuqpp/bs3v3Io48OrVsnHEcppZS6evXqW2+9de3atccff/xLX/rSunXrPM+zG64x - Rkfypz/96eXzFxXHV77we4/s25XqHmDIiKFROvSDkTt3fvTmTy/cup5d1fu//u//W8JxLcc2CoOZ - 6enfvPvOz9/7zYnzZyQYwb321uye3XsPHXz26af293R1ckIVBZ7rAJp6rfbee+/dGh42YF577QvE - +LvvffCbd98bGbuLxP/NH//JK698Ot08hLEzrQGGjFsTdIMAgnPQBH64MDX1zq9++aN3f3156m7O - RNnm9kNPP/f8M4e2rtlESJwJNMA5R4RIAmplMNZElkrFa9eu/erttz/++OSNGze1NulMpq9/1eNP - 7HrmwIEXX3o56TnaQBBEXIj5+YW/+Zv/d3x8orOz84/++N9s2bS2KePKKORcEPFqrXrs2LGf/OTv - BHcOHjz0B3/wh57nAUAYSsfhUupiafm73/vulSuXuro6vvb1rz755BPJZOLm8M3jH506ffri137/ - D3fv2T05OfWLn//83ffeHRsb87zEmsHBZw4ceP75Q83NT7ou+6+aS/8tXb+Dbv//r5gUs3KRzRNB - gCgqFYuTk1PvvPPuhx8em52bT6Wbh4aG1qwdyhfL+eXyiRMfj94dv3z1+te/8vmd6zsEDwmj0A/y - S+VyRYdhRFwqU5UqQ5ApL6t8rlgszdbCmSAsVSu+X4MoAgP1BPM1qnyhmC/41WqoNSJAGAWzs/Mn - T5489uHxGzduhqEcGBjYtGlzFMpyuXL79u1SqXTr1q3Pfe5zmzZtTKfTjMekwsXFpel708XCwodH - j5IOfv3rt+fy5Uot9BXWfV8qpQ1IANTgMMbAgFEgoyDwq9VqPper+3XOiQPU6/7i4pJwU0hUq9Vr - tVoYhohgM5o557Vq/eqVq9/5zl9dunLy9tio1ppzHvjBrdu3/eCXC7lboXp6y0OrulrXegmvkdht - xm4Pn/zk9LvvfzA+MVXzw61btxIXfiDzxdKx4x8N37798suvPvnkrg3r1zsCGQetHnylG71FIjCm - Vq3em5oaHRlJJxOVcmUlakHKcGlpcXRsNL+kT58+PT41evL0scnp0brvc4GlcvnCxYsL8zqfrz97 - YO+TT641cUNUA0CxWBwevpnxWjds6ITABxFFgV8s5GanpxbniwTIgButi8VyYHxiUblar9WjMAIH - tDHar/tjY6NGw9LSkpLSKgbL5fLRD4+8+fOfTU5NBlHgeYlHHn00knK5VJ2ZnfvFW7+8ePm6Adq6 - bccXP//FdYNrWpvBGIOMCWFtv60zgAKwQV6R7WcSNjJrViYyglKqXCmPj4+DxpMnT144d3Fk9O7c - 3LwBk0gkKpXa6OjYz372s+np2dde+8LOHTs8z+UcCAEJi6XSyO1b7/zm7WuXL42NjeSWFnv7+h7a - trG0vFQq5o8ePXLu9uL6xyae27Xthace7mmipkyivTWFujo5MXrm7PlU/84tmwczLgiHJdIpwMpy - /t6NaxcuXboTut0tLe3bN29a09vteZ6NtYmiaHx8/OrVq3fu3Nm/f39TU5PFAorF4tmzZ48ePXr6 - 1Kl8Pp9Kp/fv3y+lLJfLIyMj8/OLd8fuvfDCK9u2PdSeTRJDqaQfBNVqZWJiIgrV6OjotWvDN66P - zMzMlEt+GKrFxaVKpRoGYBAYAyFEkhKCAyBYs6h6uXz23Nn333v/5CenqvV6Ntu+Zs2aIIzqfnDm - zJnunt5UOtPU3EJkXZXExNTUtes3urvzfhA4DgFYwQuC1ojo+8H4xESpWGxpadVaSyWtBUS97s9M - z1y9erWpqalarX184sT4xEQ+l2tra2OMFZeXT506VazUJu/N/H/svWeQZMl5nvtl5rFlu6q6qtp7 - b8Z7vzs7MztrZrHAwhIkQFEkIYG8QEgkr0SKwQiFblwFKV6SEiGBoEQQjiDA9buzO96bHtfT3ndX - V1dVl/f+nJOZ90f1LEDeoPT/ivmroqI7orsqT5rve9/nfe1Tr3e0t0myzLdiI3gwGJydnS0VC+VS - qVQq3r17L5vNcs48Hk+xVJyYnIjEE9lCQdONnbv3SOJWfIooYEkQGWOCIKomEyaYABIEAbRKPp+L - RiKrq+HW0QQmQBkwDAQQQSQcCDx+MH7j+tjMzEowEu/s6OgftTHGopHI04mJwIY/FAw8f+LY9m0j - iiQigQDAwsz03bv3zn/0USyRZBx27NwhSDLlKBAIPHky7nS5LTabU9rylW/dO1mtysEAI8R1qBbS - 0WAykQAQ7d72eq8XeNkia4ybMMa6BhiJ3R3trQ0uEcPq6kooFC6UwCSD9AlIj4FWrqz71iLhTQTQ - 09vX0tZeO80IWCfcmJmevnb1yqVLF9taOo4ePrZj116Xt1FSLZquxyIRv9+3sDDn9Xprz5Ku6761 - te985zsrqyu7du06c+bF7p5ej7fBbLYePXb8XCC0vr7R2NRMhC3NAmIMqFbzPkmySCkrZDNvv/Pu - 7dt3ZucWAGOXy9nW3l4qVTK5XGhz8/z5jzYCoVg8uXP37rbWZlESEAajTCvlYmQzuLgwNzXx9P7Y - w6W19cVVXyyRsdvryhrdDEevXr2RzuTOnDnz8ounDVkiCBHORUmsFLKbG+G3fvqT+3dvLa6sFavU - ZrPJdXWrPl/47XfWppYy8xvpYIgAqRmHOd/yVxIAgjEWRQCOCKNQS3JjoKrDg4Mts0G6EF5Z8wU3 - Nxl06Qwwp1t8SEMvFArLS8uBYNBqNXu9HrfbXXscNA2qpdLFCx9fOv9BJpU6c/r0vsPHvW2dZkc9 - wejsiy8GAv5MOtnY4BFEARAIgjA/P3vt2rXr16+rqvorv/IrwyOjjY1NJrO1VNFSqcxmOBKJxFwu - l6HrAgLEaKmYb2ltHTo48sarr1hpQamkNcpvTyw+WvBfu36zpaWxq7tNAtUiMsKZoVXKVSbqBkPP - Zh8FBICJCIwC04FVBFbhnGpAqkg2anoWzGo8S0AMEKIABgZBkm2qZMaGXskHAoFMoczwP+Jc//lD - BueGYVBKK+XK2Nj9+2Njj548CcfiAiFmszmdzjx8+DCaSMVTuSPHn9+5rUdWFIFhRHhyc2Py8YO/ - e/PN4GakUK46nc6G1i4NiaFoIhgKReLJxYWF1189+8qZF7xOm9ls9rjdxWIhEI9Q0fr8mYS3wSWJ - NTQHYMCU0Uwms7q6urq6KkuSw+Gw2axEwMVs5sGDB/fu3b97934mkxUFYdvoqMFYrljx+daTb745 - Ozt77ty5Hdu3Nzd7KGWcg4AxEQTEuUEpoxQDiJJEDUPTNV3T8rlsNpuZmZ6ampxYXV29f/9RRcMc - 1OWl5XQqbeg6KCQU3pyYeHT+/Pm5ucVMOtfT06PIds7FTLowPz+/uRldXl46+cKx/Qd2WywS41pV - L1BDv3H5xntvvRfZjJYqFZvV2treruk0kUqHQqGrV64GQ6F4Irlv/76+vo5all4NyahpmqFVr16+ - ePPG9anJp7lsRhSlPXv2plK5ZDZ38cKlUDwVCG6cPnPS7XUpQg2AxxiFzXBo5q23chvz2eUnPBoW - JbFUrAaDwfzNmzmDnDj72qfPHSUATGf5YkFRTdSgqVT6ww/P37p1Z2lpSRKl7u7uwcEhTdNKpeK9 - e/cSycTyyvKLZ860tbXY7FaEkK5rhWIhHoncunLt0ofnl1dWMtWyw+HAqpJKpT6+8PGmL4DD+Wwm - S4FuEf3gH5tyCAB0phfS6Yvvv3fx8pWNQIgy3tTU3NHVW9GNXL4Qi8Xef+/9tTXf577whaGhofr6 - elUWap8So1tJ5bWjFiYYEMMYK4oMANSgfMve+GxWMzAM/vDhg5t3ro49uJNIxURJPHjwIGOkWKiG - w+GPzn+07gu//qlP7dw22Nzgcte76+rqKGOrq2tujzcej9c5HKqqwJZtBTCGaDS6vLKysDDf3tZm - NpvsNpsoioV8fnVt7fKli3fG7vg21urqHbv3dCAQ47FMKBR69913N4O5UGR4x542dyMxiQgAqlql - UCgIgmg2W8xmC95q1wADulXqMCjmXJCkLYcpJpIkRqPxqVu3b9669eTJuD+wgQnp7++3Wm3RWCyZ - Sl66dHFycnJwaOgLX/hiV1eX1WoDBLpWLRbyhq7pVa1UYgY2IZ0WikXClEIxn0jGq9UygAUAGAW8 - FTKHATPgRi6XzhdyqqI6HK66OidGiBCMiLjT5iIzAAAgAElEQVSlI8QII2yvs3m8HoRxoVBKZTKG - Yfx8oeYfLDNbVxiMCMGiALUsgS0zNHDGOEKkpgzAZEuWznkNBLF1lCSE/CxbiSMAkVisnS2eff1N - EzMbuWxmdiNBHfVNJlCBAc9hZgBhyVBwfWMznOfe1pGu3qEmu2oD0A1gIujIDphucZgZ47peY1Ep - sphMpZZXVi9cvDQxOenzbxiUNTY2dnZ3l0rlUrly48aNlTXfvqmZky+8MDw87HY7augAAFaplALB - jWQq69/wX7x8bWpmfnp6RjdAlEwL80vxWMLYirF8dmvANWM3p9VKPpeJhDev37h95er1eCJlNpsO - HjyAsZhMpYOhSLlSFUTJYrWpiloqlarVas1iWCgU/H5/PBYJhUK3b92Yn5+dn5stlEqS2bURCKQy - GYOCLEAwGJh8/ODCh+9urC5m84W+vl5ZNRtYiqRyC/ML0VhyIxg+euz4np1DAjCCGHCWDAXv37r6 - 1lvvhiMJzeBut6ehpaNs8EQ6t7m5eeHixUBg49zLLx4+uLet0c0orVarpWKRMSaKoDOoVMoonyOm - unyhEIsniqWyYdQy6mEzFJqcmrpw4dL0zGwkGnd73B0dnZgIsXhidW3t29/+9tzc3PFjx44dOyoI - Ys3kzRkrFIvr6+vu+npJUm7euD0+PrGwuKBpejabi0ZjxWKxXCnLXBJEgQNnjBJCOGeMUYyxJElQ - S8eqTcVauiiuBYEawAGoDoaOwZAFLEsEA8fAMFAEGGERKIAOgLkqYa5DIZtamJ/779/5H/HlyfWJ - e9VyyWq2aFxYWVk1NC0ei7527uXt24YavPW1fKRaft3q2srVq1fHxh6s+TaKpfLg0KAom8pVIxZP - 3b59byMQefmVV587ure3o1GURMKAGkYmkw6HNzfDm4uLi/bbt27dvjs9M5NOpyVJzGQy8/Nz+/fu - rq93lA3t0scf3b97Z3pqspDLNjQ2DI2MVClLZXPT0zOFPPP7E/nCS/0DHfVuBRGCgAJwRATg6Fn0 - b+2RY5QaxVJxczMUjhaJ4Mjmcl6XpAoYENsI+MfGHn700QWfP1jRjJGRESxI1aoeDIYeP3nsdnsU - 1aSq3lq4Ru2hpxSAMyxihLbc/ZVyMR6NpLL5G9euzS0uX7lxa80fzObzJoutWK7OzS9+7wc/DIYj - L794aqi/12G3SAQTSSTAgNNMODI18eT6jdtTc4vrwUg2m+vp6RElOZvLJRLxS5cuLS0t79q9+8CB - g8eOHVNV5R+mt/x/B6PVSjmdjCfSWSyI+VJ5M5oYn5pN5wqqydzQpKbS2YePn6Qy2VdeOnvi2OHd - 24dqjWFRFAFDKZ1cXpy7fu3qvYdPF1c3qhQcDsfg4GA0Gk2lUnfu3FlcXLw/Nvb6668PDw83NjQA - gEAEznkoFPJ4PNFo1Ov1qooiiKIoipVKJZ1Or66uTk5Olpg2sm931+ggb6hFwUKNjZtIJO7evVsC - 2tTTWaMXagYtFcuXP/z46vkLi0uLmoB27dolKIpWNcrFysTE03gsMj8/+8anX+/v6baa1ZqwGmGU - SiV96+ulUvHq1auFYunjC5diiUSxWKYcisVipVqhFAQBOONUrxLEMEEGaBQQByQIJLTsm3sycfPC - 5bnxyZVwUDDLdrMJY3L//lgsGOtwt0xOTBVyBcXrwljABAQBBC6yarlUyE9MTNwfG7t+/cbmZphS - tnv3bg5QKJQi0ejNmzeXV1dv3b7z6qvnDhw8tJV/g5AoSul0plAoxmPxSkezo86MoCbGZ5lM2u9f - n5qaRIi0tLRGYzF3vUdVFVEUKOWlUimZTG5s+KPRiMdbL4qiJEkIIJfLBYLB2dnZx48f5/L5K1eu - rK2tpVJpQRA1TVv3+z/88MNUKpVMpp577jmn0/y/mkn/e41/Kt3+rwfn7Of2+S3QCqVGtVrJ5bLx - eCyXy7W0tPT09nd09cqKKZZML6+ujz18PD+/EE9m9u8e7agHt1ewmMX2tra9uw8FIoXV0EZ+Y93T - 4O7qGBkZ2qeVtd4eVVZ5d5+rUBh21NVPTSyVU4k6l31oR5+3ZVu6IDW2jra0tiiKCYAVCoWpqclr - 167dvHFLkpShoZGdO3e56z26bsRisfv3HwQCgVAo1NjYaLFYRkcHax4WxnipVEqlU7Fw+ObNG4rA - CcZDg4OSpa5sQE9Pj9Vq5VCjuz5LBOYAlAmC4HI6t2/bxrG0FtjciCTMdXUtXb1tHd2qpIpYbGlp - tVgsNa4mQgghnM3mFhayyawfcMXj8QwODyMiZjN8bS0RCoZu3tx0eVGd60SDo1sQMTKAGqCVs0/H - xz/66Pzj8af1Hu/OXTuHR7abzLZipbq4tDoxPTM+/lRVzbKsNDU22awWUdwKOPrkq3n2CgNwXavm - c/lsOpPL5nStCvBJR5+Wy6VUKrW2lr529Vq2mMrl8j29vbK5l6JKOlvwreQmJqcpVWx2dWi4y2oF - aetGzyuVSiqZ5BZSKZeYoWOB2qzmgb5eUVCoEY5EVmXB7GmwbN/Rg1RdEDz2us56b0Ptb6KUVivl - dCrlrHNWSmVGKSCEMKLUiMViqysrJou5tb21raOzqbmFIxxLpMcePp6ZX7py+TIgMZbINDW0mFWz - 3epBgIBgQrYY7jWAIQJEahy6mjAGbeVK/ax8i6AGZU9n0pVS1WK6tjC/JEny8PCw2WKllGcy+bm5 - Rb/fv7rma2pqdjgcvT09W8ZLDuu+9bt375z/4IN8LqMo0vbt23fu3N7e4knGQ4sLU2MLqadPZxbj - opaJDXd66nvqVUXwum02i1CtFBaXVtrWNnMFUESQCciSCFo1kwwuLUxt+KNlmbrnllKpLGNcFAQO - oOt6pVLZ2Njw+XyappnNZrvdjjEul8uBQODSpUt37twJh8Ojo6MjIyNdXV2MsVAoND8//3R88vbt - 28BFu81uNnebLELNDK9pWjabiUYTY2NjkxOzWpXv3r1bq4LZZG1v77LZ7IwBII4EwALBgozAAADA - GBhPp1N3bt26c+e237+x/8DB4dFtLa1tuVw+sLmZTKZEUWLPbIQ1JEIul48nkqIkGwatOYYIAcwI - YxQhRCnNZjLpTDqfz/Fn3DhMCDWMXD6/GQo9evQwmUysra7Z7LYdO3Y4nI5sNruxsbG4tDIxMRFP - ZXr6+hx1Nq/HyShijBqGnstlg8FgIZ8Lb4YIEQxd7+joqHM4VJPFvxFYXfdPz0zLJrNqMnd29zjq - 6qSavxAhQjCrtQEkaYuSSTBwbmhaqVhMpVLlUrkmE6hVU4uV4tLi4vvvvTc1tcyZtG10dGT3Hmdj - k24Y87Mzc7Mz87MziiwQzFqaG73uelESma7NzMxeuXLl0aNH3b19u/fubW3rkE3mUlmbnVtwOByG - YXD27JzJa5HGGBgFDDpCCEDkFGglFw+nU2lAkrm+qc5Vj3kZSBkjMwAYDGQsi/Wuzlavp75uKpoI - hDbDsWxLg53UbHUAlEGxWFycn4+GUyYV+vr6mpudsOWkoEa1Mj7+5Mnjx4l4/JWzr7788rl9Bw9T - IKxmINVhczP45MnDjo4OQggAJBLx2dnZ6zdu9Pb0vPjii1/4whe9DY2iKDGOOOByRVtdW9d1w2S2 - bCXU1VjhNcKdQAjjWrW67vNFIhGz2dTW0dna1t7S2loolkOb4ZnZuamZmRs3bwEmdU5XU1OzLG9t - Q4ahF/PZ4MbG/Xt38+VqIp23Oup37Ow0WetKFX3Ft7G8snzp8lWz2bpr5w5ZahKxzBmVMEomEmP3 - 7127enl1acFid/QNjrqb200u5/y6bz0WffT4Md/MkmQRANAz5t0noAkEGAgGJGDQa6Aa4BxEob+n - t711CYSJ1TW/zx8oVkEBEJ914QxNS6fTPp8vk0l3dLQ3NjTY6+yEAAMoliohv3/s/v35udnurq6z - Z06ffOmcWudiZMs6kYinotFwLpuuq7MDZ9VqZWFh8dq1a5lM5sjRo5/97Bt9/QMWq41SwIKkaUY2 - l5+YmJIVteaeQ0AZNVwub/+2na+8eqqOGaBlQJBdbZMlcn3sB99fWl7dDEddlmYgDANFjDIGBscU - C5/oFGvwglrsO7CqxKoIgGJZIwrd+gkGtdhpzoCBjkEHkEXZbpLtMiBD24xE0oWSzrYI4f/zQSk1 - DKNcLj18+FAQxGw2Ozg4YLJYdUqDodC6f+PevXuqxa5a64YHe8wCFgUJmFYsFmOxaGDDLyrmvr72 - 1q4+Z0MLI8rimn9mbnFhZe3W7dsel72/q922fchmNnu9HpvVVlyPLK2shDbDHR3tLqeCt+iOwBiL - xePj4+PxeLyrs6Ojo72urs7Q9Y0N/43r12/fvhMJR/v6BwYHh7p7ejEm0XT2yeTs5Ozctes3BFFS - FNXtdtfKPYxzkSBgiNFaZgjCCLGtTBFNq1Yim5uPHj0sl0qGYbS2ttZ72rBgLRSz9ro6DlDVqnNz - s9dvXL9y5YrZbOvq6t6795CjroFTIRiITk/N+nz+y5cvWyxyS2tDZ1cLwkzXdGpokWhkeXnZZrF3 - d3W3tXe0dXQajAc3w48eP1nz+2/fvqOazTa7ra+vo6aD5xzi8VgiHtWr5UuXL925dZNRo6e7q7dv - uKd3MBJJLvoDq8FQNBqbn184eHi/y+0QBCwIWMCsWoX5+bmAZBiJ9RFPXUfLLlFSMoWKPxidX9+8 - cOEyVx0njx8RzYhwruuGLLN0Ov348aNLly4/fTphsVhGR0YHBoccdXWlUikQCIw9eLC8vJzNZlwu - p6xI9jrb1n6RzT548ODypUs3b95sb+/YMdDn7WhnkpjK5DaCm1PT0zyYRnpBAlLrMPNnLuGfO8L+ - 3ExjtFKphILBjQ2/IMo9Xd0dXb0OV31Vp5F44sn4xOz8wscXLjS3tpotZqfT+fePwp+82gpEAowE - UUDAa55MUmv9cACAagViyfC9e/cuXbwY3PR393Zu2z7c3z/AuRiPZ6Ym5xcXfDdu3FAVVRZ4o+ew - yWzyeDyNjQ1Pnz7dCARWVlaamlvsdht/JhzjHAKBwMrySjQa27ZtW0tLq8lk4pxHIuHr169duHhh - zb/WP9S/Y/eO3p4BWTKvrm7MTQeWF8IPHz4uVkJm+3HZ3Ghy1W1VRwyDECKKsiiKCOFP4H98a73m - z97Z+p/L5fL6uu+DDz+4e/dePJ7o6Ors7etr62h3ulzr6/6l5eX1df/4+NPlldXWtjZBFPv7+0UC - DQ3eXbu2Z+JJPBuMpAMm1dLU3tk9MiSY5c6uNofTLkrCJ/CJn0EuEACwXD6TyaQBkMlksVistWTe - TzTVNcmiqipWmxUQyheKyWRSN3R4hiJGDKCWfgQ/9zu1AhFCAOD3+8fHx4PhKMICw4QDrvd4HS63 - xWojwpZit0ZPJc/6T7jG+t1KOCeAJMDQ0eQ+OtoRXVlLxmMP59bVroE6J5gwFY0iAgMQj26srm2E - ElVhpH//4MhOmwJAQaRQFcEAzABzoADasy2SAwBCxLe2dvny5ffff79QLDld9f0Dg00tLfY6Z6lc - Xl3zzczOTU1NJ1KZSlW3Wm02m11Wa/J2Rqmey2X8/vWHD8YmpubTudLg0EhdnctirZMV1WKx8Wer - fO3MhmoYDcQMQ89kkmMP7l+8dGFs7PHefftHRrd1dHZRg6/7AxNT00+fThmGYTGb+/oHent7nwGs - DU3TMpms3+9//PjRwvxsJpPeOoDZvZK1vna8LFfozMzsxUsXr1694nZYh4ZHh7dtczjrNRCX/aEn - U/OLK6vsyhVJUTpaGl12k6wQoCyXz4XD4XWfz+Hy9rZ0tPf025wejeFQNP7wyfiKz3/lyhWv29Hc - WN/idSqK0tjQsG/fXpvN7Fv3+YOpeld9W++Qu7VTx4pkczY1NZvMZsqYVi4uLCxcuHDh2vUbhsE6 - u7p379nX1NQsiNLKytrMzMzk5KRhUIzwwMCAy+mQFKmmEDUMPZfLra6tJlOZgD+gquahwSGb3SYI - Qltnhywr8Img4GcxGQC1fsAWMeofLEz8GYYXEDMwMwhwDJwAr/kHMfxcbgxjgLiIGGKQTSeTy0uh - SKaOFb1uV9voIFbs+QqfmVvYDEeuXL3m9dY76qwNDfVAcC0EIpNKTE5OvPfeu8FgyGKt279vX9/A - sNXuyherUzMLs3OL9+6PSZKqCrSx/pTdJmORUF2vVMr5Qr5YKMzNz5UqlZnZeVk1HTl8xGazOp0u - m81GBFIul0Oh0KVLlx4/HCsW8rt37hgcHGjv7DIYX9sIjI1NbPhDt8q3BZEo6os2e58s1TDHzxyR - f++exjnn1NCz2UwmU3QWCpqmceAYY6Da2urKlcuXHjx4YK9z7d13oH9wWJJNmUx+1bdutzugtsnW - VksG8CzO7hNufs0vwQy9WMj7132aTm31nmA47qyv7xkckRRzOJZa96/PLSxhQbSYVHe902YxIUKI - IADVaKW8MD978/q1Dz78mCLB6nAPDg4NjYxYLNZ0JjMzO7e0sjo2NhaLx6ua1t/f39DYaFIl/o/S - ogBq04Xq1XIpGgnn8oXl1bUqwzpDg8PDdocbiLS4vLK8vHz/1h1FUW1WS19vtyoShQASCFTLocDG - hY8+unLpoi8Y8bR09LX3dHZ2dnd3B4PBlZWV9fX15eVln89nMZtlWXa73YIgWG3W+vr6aqUaDod9 - Pl93d7fT6cSMEUK0ajUSiWxuboZCwYKhrfvXY/E47+d8i7lByuVSLBZbWV5uHej1eDwcgHNWKBSX - ZuauXL58/epVu6Nu+8F9g3t2SCZTuVSNhmNPHj9Z9/vDm6G21habxWTv64UttTUvl8rxeCy8GaaU - YSIA8MGhQdVkpYA6OjtMJtMzGjAwxqDm9AZaK88wzpYWFy9euHDj40sKx52dHZ7BPnDZQIPQeigW - iwcW1mOBkMiQhUg/CxNDUCqXN9Z9V69euXnz5vTMTHd379DQ8PYdOxEiiWRyZnZudc03MzMzMTFp - tdoaGpv6+gaIgERRbmxqFsSJWCzu9/v7etubG+uxIAAgTavG47HQZjAcDnOOQqFQJBK2mq2qqhAC - nEGxWAwGg7FYTNe1hgavxWwmBANAtVLNZrKRSPTRo8eRaNTv33DUOTo7OgkhhWIhGo0tLCzcvn0H - AervHzCZehT5760dW0q9Z46if5wQ9f/P8U+l23901E5ymDHDYFwUAZGaSwlTJmAgnNkEaHHVnTn1 - wqHjz23ftc9e5xREGQMCXUuEQ//ju9+/cPu+L5qaXvP39XjczZ2kofXkK50njp++eXf8hx9cWAgE - Rg899+lXP/3pl09hCiKpqHKhr6fxlRdyvsW1//SHf3rzaXV456Ev/tpvnHzuBUqBYLASsEuM6Ils - PHbr2p2nT5YNJr3x2c+fPHNy7/bdIogCxaVK9tC+He+899O33//48s1ban1r/7ZBxAEzio2qwjTI - JnwLS8try8O7d/3a1795eM/+9saWqsGRyQKKijEQBAKmqGag4goHsLtadtQ3bNu1+/atK++9+9MP - Ll4d2DH4xle/uX33kXq7iTDNqmBFNASogqahqi7q1VQinc7TPLd/7Zu/fubkiZYmj4B4Ipp6+mjy - L/7ivz+en75y60n/vqPDwyaBgwiglbMbK4tjN67fvXXf2dD+2S99/Zd+6UvAqCQiIgiaXn3r7bf+ - y3/7q9v3xtS6pr2Hn0eiaiVEFWoWEw04AUAGYBEwQEVkFHOOJFGT1SIRqoIEgghQAShbWNbMC5jR - cCL/k/evnHnpxD//zc/s3Tta7zJjXg2HQj/50bs/+Kuf+KZvTPd7fKePt6puFxFk4NjQBY4krAiC - BYhFI2ZJoO39Q/+ivSObznx84dHs3B+ZLK4DB/d88/e+bvOYJFInIpMigFkEgXOoloulYpVDVZQN - SdaJCAhzIKrJvG14+Etf+tKR48eaWpsVWREwQgC6RmeOzb311rt/+q3vMFGMROIfXbo0MDTQ0e1R - RAyACMMCFwEIRYIBhCHyc6XamodsK6l6C5jAQYCyzAsSKy4uREOR6gunXj336smzZ04QTBHlWqny - g+/96P0Pr9659/TOzSduT3PfUC/HAJQSrTh19+rHH3047Vvfc+TYuTNnXnvpRa/DroqAaDUWDtx8 - MPXv/+jPl0P3p8YCc8/tqnO6ehusNmdDs7veYXMEErlQNF8ogcsGiACnFVQpZxMJ3+JiNQcVQV2c - nY0kU2kKVgGJRhVXc3qxGAhtBJIpT3uPp6nTZmsQEIpHfIvjNy5/8OO8JuzYe+zf/t7vd7R5CdJU - CSGqR4L+//s//vG1sanvv/tu5679zuamdpNNxKKKuMyKEiuu+6I/iH7saep56cypf/Pb/1pACCNB - JLKqiKIIGCOEDODsGXeZAaZcK8cj4ZtXbsUC6aGBHb/zu7/fNdADGJerGkdYAlEv6hbJohIBuGaw - NNCCQIgg2UTZzphAdSAiMAQcG5hWEed1Rl41tKQu5qmzCC6XaBZkAK5LUDKxFKomp6dysazx6muv - v3TquQO7t4uYVsrZDf/Kt779F9fuTMwt+B88Xmxo7PR4nAJBWK9q+YRZL5QzGf9GzFbf8NzzJ377 - 33+9rs7msKh1ZiXgW718+eof/dGfzD0aI5gcOPFCm2JpVbGAOMeaDpQibHCRGYgYXAFAoAEpi0KG - gVY2hDJ4kLSlTaPlVGZjbfHBw+sfXTS7O46fffm3fud3LFaTIGIwdL388viDB3/259+aXfVV4d7e - Yy/IFptDQMVCfnlpaX5mThZN5z71ua/82r9knIqYicjQdaNYoVUD26xWAiBwoBpQxIkkA9MAOAEg - QIEzAKOQjuWTKWBSneqxWr2GYJbxVlyKigFkAEHp6BscGg7NRh5HfEHfwnKbew/hQA3gIlCeSebj - 9yem16LgcDeP9Pf1eEHmIBNAhpTIZu/dn14LZAd3HDx+9pVtB/caAscAhAE1mCigttampsaXCUY1 - xd3s3OL9B0+qVXro6Ik3Pv8LnsYmTAR9K1SHqKra29ODEBaEZ6n1XAFRAqRzriOMgHCzJO4d3bZt - ZMfIrj3WOqeiEOC6QKBarsajiT/4g3//8fV7t8ae7jnxyi4KIgcZAQZN5RWZFhKp6tsXb3RtO/jc - iZe++Lk3OlqbVUUSEJx//73/9t0fjc+vzvsjY0+nHU6HWRVBKwLRw8vj7779t/P+oKNz9I033nj+ - 2NHernYiS+Fk8sHE0w//9p31/FwqkipBUQONE4bwVqD1loV1K1OHyCDWQq1BBnN3V1dHc7MJJxaf - bsx1JuIvOZ1WSdwif1XL5VQ4HAsEGMLbjxyr6+ohVhUAJKDJbHz20ZPoashhc//iP/v1HQf3mpz1 - n4QjAYDTbXM4rcCAIAEq5UIoEpmaWl9YHD18ZM+LZ3v37pURolTXdSZjUREF0ek4sP8AYCRIDDRd - ZVWLiIqMaoxrGAxRELAddL2zu33vtp43JZaIbPhW10a6mwG4aGgicCwoumitENABTLA14TkAIgIw - BryIWQ4B5QLS8bNkESrVPhOgRu0dAqAIBljEepskC9gXS6Uy5UoZQATyDJnBahSQ2l0G1XREBuZV - wdCEqlYulMcnFw6fOv2vfuNfbds+4nI6kFEKrMx98NaP/8Mf/cXMxNOGztFPfRqIGRDBhINJNQ0P - 9H/1q1/p37arrXeAiIqAJQTEoGzswf3zH5//3g9/vLbmuz+z2j2yu86s1DlsA/0dC/7oeiTtW48N - 9Be9LgXXuhdGlnA97F+9fv4y4VJbU3/f8D65zpqMbEyMPxh/eCMcCw/v3f/lX/7VE4ePqYJEONY1 - LZvP/tl//rMf/N3b750/72rvGtq+zeuwKmAwjhAXWE2nJxJKNaaXJQGZoMy1sq7DWiA9vRTyeN2n - T7/wta/9RkNDKyFKIRtt9loVUsymcndv3rp6fSxfhtffOPf6a+f6+gclycq5BJQ/vnvv8vkPf/jj - nzyefNo2us3W0u40EYWUEaDRoe1f/LJy6NDh1pYGm1kWMa+hKJ9O7vvRe5e+9f2/ezjrbx0InAbA - BCRWxnrW9+j8o4mFXJk9ejhRZdLZc2+8+trre3bvlggGxpK53Nzi0vj0dKVUsoqyiYsCF0RJpYKY - LoLv/h3Pic5f/MpXPnNk15BLFcHgtHrx4gf/13/57vRmZn49NL2yvq3b22AjJpVIWEv75t7+wXdn - p5bt9R1f/eVfPnp4f09XKyZMp+VEKnJ0ev/ffPenT+5P3736pMHb3trTKxIqoArNBi6/88NHj+dk - Z/PrX/2N508d7RvoqhqlbDYb2gz95Ht/8zRzP5ooI7BiLoqGUEujp7XtHwNgxjllHBNEMCDgEpVM - O3bs8jS27N53wNPQqJotBmMIE72cX5yd+P5ffef771y4cvueo3fXrsMSrWWyApBakesT/y0CAKCI - UgacYYGJApMErKBa300ALeefenz39p07C+uJg4dOfvkLnzp98rAsiQZHFY0W8pXvfed7f/2X3//o - zTc9Dtv+g4dFETwe58E9/Y/uXwpFY1ceLHbsPFPfBDIHmWkAVeDV2OLd9cWJqsY6B/YMju7GSKgU - 0nOz83/+rb+kHO3effAb3/x6/0CPw+XlIJaqNLgWevcn7966evvWjfG+UY+z1eVxmQVAJjCbZcow - LaFimZU4MgRAHBAAYQhzACwK3DDKWkWSBEIQAI2t+2Zu3br41jslrPTvOfqb/8dvDnR3NrjsksAr - Wm4juPLxRx9/+N7l+dnVyx9ctFmdTW2dglXef+TI/u1dmUjsL394cW79TZu34+yr5379m7+OJKQS - 0SRgCT/DAom1ZUECnQKtgsArqVg8GkkXyyWiMNViiBJjFW7oqiQDA8JLgIsWnpawbmAxXCLr8VLZ - IBwEDIwbDHGBYMCYARIBEOcIgKCarNioVKvw7rvvXLt9TzVZOMJEECXVcuy5Fw4de27Xzh1WQiQM - AKA/yzkADsB0wJghAggDUrbii2i5pWY5AnwAACAASURBVLHhyP7RyxcvLgQW79y7PXjoiN5uoSBJ - ghVYCWgp4lsN+FZZtTK4fdvw3j2GCAJALa9DAkDAGSCCCQBBiog4B6pDpThz//q7b/4kkMjvOX7q - 858+d/zwfpfNZFAkSKZQJDHx5PGf/Kc/XJqf/iAZ27NnV0tPn1lVCDdEViXAGBKWg/GNH79T7/ae - PHnq93/33ymyQhCWZVmSBAk/qwVywAQoJYbBZRHJiLNs/MJbP5ld2mzo3fmFr/2bQ3t2eh1WgenF - TMK3NPe7v/fvfPFi7+ieX/mX39i/q98sAdKNslFWQJOhEggGvvu3b3uaW0+dOPlvf+sbbofdIKZc - lZvsdokbhcjq5LW3rn/0DkPSi5/5xV/+6i/b7TZRFAF4tVq9cePa37z94e1HE7fuPxwYHNy3c8Ri - kjlnkmId2b73V+sadu7a39TaoZjMHIAybhjG3Ts3z3/4/l//6G+Xlpdn18Oj+xRrY8c+l3vf4UOX - Pnjrgzd/lIiO7du36zO/9LWRvYclk5UiYpaRnVRFLZmIBh6MPXj7g5s6k869fPobX//1Bq9LMZsY - 5sVS8cnY/b/7mx89evjowYN7ew8fG9m+q1WVBAwi0gUEBAsTE3OAid3m+NoXv/LGG59x1bs0rco4 - dbmdhCAOjIOBMBIBIaCAOCF4S6z9yfWEwxbKaqu1QAEZmFAsVghQzdDLGgaQOAAD8gnNAxSACtUN - BgiS6WKBxrwdrjdef+XLr5xocduwJCUymQ8+/PiDdy88Gpt48mC2t2to355dAAJwBnp5derxrdu3 - rtx/svfQ8U+//Oo/+/IvmUSZY6xRmisUb928+Qd/8AeP7l0CI3Xg0C7R1GRSEBG4CWsYQRVJD6bW - 5jbixw4d+Mxrr5x+4QVZlqiugV7xOKybG0tP7j+8/3iyyK0nzpz+0huf2jncZ7XbGULZQuGNz0T+ - 5A//n0f3Hr3z07We3oamzha3ywxIwhxEJALgWgTk1qWD6yLSHawsljKGxstIMVQHSCIHXS+X5+cX - r16/JUjKiy+98lu//X8SIhiUV6u6IMoMsE65ajYhAjUJBDBKOJLJVhQVcA6GBnrRahSoVvZF43PJ - 0vAA+Re/9vX9u/e1trVwzIKhwJMHT/78T78VW1i+pFePH93X1NmgABAwQKvkM7kbNx9cuvlkKZA4 - 98ZnzrzyyqnnT5oEWUEEAY1ENq5dv/jHf/rttaV1IswcPhYhZpdXlWpfHWGcAENbDW8AEGubE6Cy - hHTEqqFwIlFMepo7zr546guf/2xnZ5vVZqWczs3Pv/PWO//1v3776d0b9Vb5yKF9Xq9btIgEaLmQ - mJqd/4vvvZnJVwdHtv3GN35z+47t7Y2NCkLIMKLJ2L1H9/76h399+fq9tz+6YG/u7hsddVqlRq+y - baDXrtQlN8pTj1YO7T9JuYSMqiTqlYIv6BvPJYN6tWRgaW4j2hpK7wNkBiRyDogl46FwcKlcSjc2 - NnZ2DnImIAaZRPitv/vho6cPpDrTZ7/yi8dPn+kf3Q4IIU5LuezTJ49/+Dc//uijj2/cvO3xeLp7 - +kSMgZYFLauwQrFYmttILKeMo/t2feNf/86O4YGGpmZRVgVZQUSqodk5QUS1cEYpVAUADLoMFAqF - 1ad3b968Fi5pp176zGe//PmhHUNmp4UbWiS48dGH777zvQ8wIAQmDAoGgXHQDVAIzSQjjx4/vnj1 - zpo/3t2/+xtf/9WTxw/b6uxARI3yUqH40x/+4Pw77zx6OvX00XhDa29je69DEixmsnO0b/LJg421 - 1cmJqb6B/t6hYQxcggrSMnHfbD4UkAxKQcrltLVI2tmJzAJgDKLIKsXU2vxUIRkzWawDu/YRl1fn - VEaaaqSRUdIZv/FwaihX/vIXPnvk4P7e3h5JkuKJ+MTDu//5j/9jyDf/+J4+d/q07G5r9UoYQKj1 - PLa4y4C2WHT8ZznX/3uMfyrd/k8H44hzggUDER0AARIEWUAEOAXOZALNDqtqs5dEs6OhmRDCGHCD - mzFpc1lPH9y5FgxN+IKbmXyibAA2A0KgMIKow1SVJAAs6qqDWKyqFUQOBBQBGELYbub1FsUh6gLG - lFiYqVlRQQQQAFQOBEpQSmWi0eW5Vb0q9fRv33fseNtwH1JkLafJXLFbrbu2962sNl26IS1v+GfX - Y1kNLAKoGAHmol6WyjnEYGjn7t0vvtKz93BDc4vFZLYYjItyVdzikGPQMWAACQAQMQNigHRsRlYT - sol5mekC0gXFJtlMZitIIClASY3Xw2UVsMx1m4zd/T2HX3tp5NBJT3unSUYy1hVWQYOugRY854NQ - vLQWl2IlcEsggV4tpmbHH4V9PrNiO/vy54Z3nZSUOplwiehAmImjXcOdL55+/gdvXvJFMjPLgX0W - u9VekxSUgRWAy5ybKQYBAHGEGUOcG5iUBalEpAoRNAAJGPCShaVNNC8At9Q1ju47cfiFk7sOH/R6 - rTYRi0yrQ/KBwe61oYaHE7OlQjxZKts52IGonCNGBQqICpxKOqgVZOaEqVjDAjdXiyZVUmRRliRZ - MZmsTrPNbgIiAogMCAOgVQWYLIlIlnMcJSrVMmADAyGCJMn9Pb3O+vr6pgbVblUIqdnHJCIMNDcO - NnjdilIWVIyFQqlcpYaBgQHDAIRhzAiAQEGgiHDAP1e3/UQz9uyQigAACJRknpN4yemwdw0fee6l - X9h1YNBiswHPSIxjVT15aHd8Mz12d9a/Flte9meLVdUMSrWoJyPr0498a0sWb+Po82cPnH3F0dKo - bjGAjQavfGAH++efee78hfdm15dv371nahjqaHaLUG5vaNw2OBIcT8WSlc1otsFh55JGtUI6HIpu - hHIx6rbaCkSORzYD0WgoV+pymxUCvJpNbgZiiVhVELtGd9Y3dYkiAgph3/LyxK1qJjS69+yZVz9v - 93bJVqKQioIrwGm713Tmub2b+crFx2szwehANNnkssmIKUDtIlVRhWDAiufEy1859fIJq9shIY5r - 5fOtT4lCTWwLqHb5BWQwWtQrpXK2YhVdjd52hoguIEU2yarKAClMtBCQaI1UVRV5mhs5Xdc0Q6RM - 1nVMDUASAAADg6AqVCvWSsaJcRhZiqw+rdvqKcgcRNBkXjCxlMIMZ3vXthNnj5/93MBAh9UqAtMV - WZfAefr5/fGM7r86ff/hUldX3969QwICAN3MKy6sqZhwZDrw/KeeO/di8+BOWcY2rJuI0dFUv2uw - 98yRAw8ejscj0UeTM1Df3GCzCpxTrFHMKCIUZMSJQEHmFFgFIE9wGrABkq3IPZkimFSQhaoM2sTE - +Nr4uJZjz716dO/zZ1VXvUi4jDSRYCSo2zpbXz19KvbmB8FUaWJpo95TbzE7Dapl0+lCNu9pajdZ - 3QaWZRVUxGSWZwJIstkAi0CAUCAcMAMqYEaAEVkARhjfim1l1UohpRWKwCSRmQGZDGyqApURAq4D - YoB1wMjT1tXdOyjfnAmt+mefTO7fvsOmCIIIGIr5SmAzvrGZzhG7ZXB0T7PHXS+DxIAgSKaKiwsb - oXBBNjftPnTC7PFWBCQDF8AQAIkEOOcIQBJJLWpWK2vzC8tLK762zt6Ozn5nfSPCMuXAAQRUsyqA - LG3F4Oo6JwgRjDgnlFBOEGUViYEsCft37KgQxeJuEsyyRBjiRTBKEgOT2z7Q2jThbUhoqMBUHcDQ - QUG6YJRFI29BZYsJmLd78NhrJ86daersdNhkE6FglPb2etefP7QcigfTxbm1wMH9xWa3VRa5kYnG - 16fnZsYNydO449ihV7/Q6rXbLRgw6iD14vbtHiT9KPfX930bTKdI4kgCjqBW9hF+VrolwAFBDXWI - QcBAUJPXub+n6cnUQiGysbAwP7Rzu8WkGoCwoaeSifXFhUomY3M4h/YfUlvbDEKqPCcyrZyOLU9O - 05zW4G3uG96pepo1DJhvJSlyMAB0REROMXAEFZpc8xdW12ih2NbbZ+nuLSIJA1UJEyVSA7oRAUwm - mQKAkQMwZFqmxRxWnViUNAwpHSRdsEiiKOdsom7GZZVwURQRIGBUZIaMECKyJtgqZMu5WGNFMASE - EwADeEnkBYQwxdzAQLdUjSLUig+IISTWPitAGoiGTSVmVTZyvFRi5ZofGhsIBIYQq6netsQDFJAG - qEqgKjDdigR3nXv06PMHTr06tPewq95qlkBmoLbU7e9x7B9qWkzqoUg+kqYYE2wCExYdTpcFdzmc - TmtTm+pwYhAEDkBB5vpIiyvZ13jZLjLGokWUMBQvIMUi7do5MrUSXwwuTE+v9fcM9ne5MAGMDYzz - yVgwHQnQbLWzo7+jZdBsd+sCxDOZ2ZnxTHzd6Xaf+fS55uEhYrVKCGMdRIJNIuwf7J4ZGbr6dDaY - LSRy+XqrjAUDI5FSxjEGjBgAJ1hEALQs8KKCQdOYxs1qnevwyVNHTp9q7h6wqJKAwGnxiCQP+c1s - JO5fW8vkjW27Dm/bua+zp9diswLIDEAENNDbnNvWc/WyKRSN3pqc799/2GZSbKIKiPT3DDs9vW5v - o8OCsagDLwOlgOnOnsaJ7i7F7CpyS1pDGQ4mxFWehUogNPHxw6tPU1VLsUDae0cPn/p048BeYhWB - gYTArYij0kC9p04v6U119WZAwAgHrGGCVegcHdp+9ODho0dbWxvtIgKaAZbZ2e44dWRn+NpMrFB9 - urja0mhtqLPJMoJcIh9YWJ+ZkIize2DfnsMvNnU4ZRV0WhIVcKrqiNDTea1z9o5vYdznPxwrUWYi - tJKNxNcmkxtziiw1jxzp2n2isavfYpEsINhNgtNMcicPKdnq1UAKqIxAFHWhtukzAC5wjigHShHF - IAicAAcAyWayj4zu6OyteptbREVFhEgYIYSIpA23O7c3Kw0OtaDTTV3JANhrl2wOz+q2tcoLAoJq - kfYgEIJFkUlcx4wSCiAQAC2bjc5NProWiUYt9Z0nXvx83+CoWbZJEqKARQUU2bRzdHjjwL4HY49j - 4cTaRrSto9HhsHa32RtcwkSw+mghcjxOujqgQQLgGmhJKITCszei6wsWm9PZ1O/ytCHAIf/6yvJq - qUKHRncdOvJ8f++w11mHJTNFCMnQ3Ow+sLdvY+7uajCyvObrjO0d6jOJwBRdFjhhiFaFsk6qHBhs - VaPxFvUWAAQBI5ljThEjuhFYnN+YfKqnc9ueP7L/lc91jB6or8MWAQjoqioS0Xbq5K7YRiC+HvMt - rG6sh3JlXVIlRRTAYjJbTKJsokhl2CwoqtmuEMxNgEwMwABOgBFg2KA1sDCWES2DURX1IgYumC3Y - 7sZWRxULMhExQoauCyCAoAHkvaaK22k2sFQgdRViK+mEAhIBMKaYGQC1tHhSWxgYAsRBFInNorhd - AsI4X6oUNcYoMwyjovN4rnp3fL6tqeHs84eP7hn1ej0iEnTAnCECtMZqrlKmcywIiICEOBMA2ayW - 9mZ7W6NpyVde96/GkslCyeK0AOUqoRWoVsNrK/HwpslhdzV4Ld563QS1I6wAYNpaBzkHLCDAgIEy - KKRCK3ORxclCOtnaPTxy5IXdx57zeKwWojMmAlGaGlvJNv2V50/cYaVZf2hmZsbTM1S/d1DihkDL - TKsAkUCyV7H83Nlzn3rtU+5GtwBAGNTIybUVmDJgUGtvII5EAAqGJpQyWjouSab6zh11nbsVh9Pg - XMXUYZGUBkuHg/ij+no4ldQlDYMJgSQwmRgyVCRWIYIg1Ln3nf7UqbPPe5s7bDLSsCQy4BiMdDqy - /CTve6Kw0rEzrwzufd7saScCIgREZCgy2t3fEj2y5+nsgj8cfTI109/bXe+wIE7q6hsVydTY1m93 - eWSbQ5ZrunoKTBvp9AY7nE6F5Yv5QKaSZkAQKLJZkYjdZq63EDMGSUCCalGsDrMCDEAGkHgBKuHI - +vTGxkamLB07+dqBIyeaGjpsFgIy0sCwyqaBgfZXzhzdXJuLx6O3Hoxbm/s9brOAGBglvVoql6tY - UDu6us++9Or+w8dd3marFTGuUgaIbIGQAYCxqsGoJAjP0BQ/dzfZijutPWkiIAacAZQBGRwXORgU - IcrVmhaXgkTQs7MI1wFzjgjjIMpWb1vv65/9haNHtzW2N5sknQgGksmOHW2zE41P7s+kY+VUvFIq - gywC4RzKhfW5p2ura7pkHjp8asfBk4pSJxEAAWQAWcL9PS0vnTp8796dTCbm39w0udxtqgiImnCV - EFxiArg7uwe7jp96cfuO7U6ng+kaFomKMLBSbGni7vWrqVy1Zfv+Yy99vqN/0O60CqLAENhFRRWl - fTsHssGFe0+mNjf90WTG4jBLmHCuGJohEhBqDAleW1B1oGWbkTcbJczUClZLxKJhUBkydL1UrpSq - Rkdzg9Xu0HVDUVRFEU0qAMIGgMaActApEAwCAYQwAQOAw9ZpjgMYAFWrnkdUM0SlY9/R5587tWPP - 4Y6WdpsVMVYVGixGd0uP26UH49VUKpdNZao5VTCLnEGlkEmm5xZ80Qxr6dux+/gLgwf2W1xuEwOs - AdBSq8d+cFvHZ8+dfvfjiUSCXbs1Y2tssnsttQ6NgAFzDmAA1wFqUg1AHAECGRtML+lcEG3N+068 - ceS544MD2+osgij8v+y9WY9l2XUm9q09nHPufGOep4zIjJwjs0YWq1hFik1Scgu2hhYa8I/xowGj - 7R/gF7ehfjDQbtiyGi1ZBFtikxSryJqY8xwRGfN853uGPSw/nBuZWaTchl9b2kACEYGMc0/sYe21 - vvWtb3n2bm156uyda88+eOv+w8dHO1sPHz0sVN4dr9WAdOP5/TsPHu013erNTz760Xevv/vh5NRw - KQiQGQg5NVb/1ttXn27c3D452djrPtk72zo8qhSHamU3Mz48OzK7/bz/8slx4zRNLUVFAvX6zSfr - jz/L+iflMAxq4y9Pu3f2W0fAFFAhArvtzSdbmw+iADNTMwvzq9XymE3bx3ubjx99bZAsXFu9/fG3 - 51YuBZFwDiGp0lD17bVrd35z+Ze//OzhoyePnjz/fj+tFbWCVRwXXZdAKIzM3/7krY/fX3vr3cWJ - WqlWh9TwsBBOwBNs3rtOSkBrZIBB2jp88eToxd1G66y2cG3y/e9ffO+TkeGopEHolfTY9757Q/f9 - X/z5T/c2e912FsdZ3qHJZv29nY1f/v2nB8ed8fnrf/bf/tmtW++Njw5DK4YUpCpR9PuffCdqn209 - frL5bP3Tz+998sNWIRwqRbQ4NzoxUpXMezv7h6ftHqBBwmeme7jz5AtzdnihOt7o0Vmj/+n9x5Nr - t8YENNibzunB9oMvP3O99ujs3MTyqhiZYMFAv4Z2QTrIYPHq29/53ocf/94/W1mYrtfLQkCH0+7y - hY9uXfyi+eywe9zodk+cHs0pOuclJbkvkmcYz9GO/095jv9yxj+iP/X/9+C8jBBKSJ8zNgEHIqkH - qWLhZTkam5qcmJkzUiZASrDMyBLY/ur0yNhQNTO2ZdHzOkUJFIEcuBOiCWEggp4spVK6XDaKQBTC - hcIi4rSIWAKJC7uoZ4N0PDQn8L2suX+49XL35YFCfWnl+uzKpbBSi701xrnEIU1GhqPZqcLQaPGg - 0Vw/7JzFSAyYPWBDm5Rtvwisvf+tWz/8w9GLV6NyHZbhPDHnALGEk8golx/ivOuVcByASFJSoNPQ - Az4xCDJCLoZOSMEWluBU6BC6rBrS8srF3/+Tfzlz9bIuhUwS7HRkF6fk8pSrVfRpx+92qo04f0Kc - dk8f3/3qbG+vVhq69dbHYzOrsYHzxNYg6SJtTw6F169eDIqlvbP4wfPtdmLOq6xj+DY4JmJHcAyw - gPcC3pKIle5rnUO3gAfHwp2VfFuBi7XJtz/64fX3PpqaHdZaE6R0QVioXZkefffSyEjQs1mzkWYt - hhkIb3rlCU46FxgfpSKMRcEiANj7hH2mJUlBDGm8tpAESIZ0gPNwWQivpHRKdYXoeKQkbU7CIlkf - Hb14ebVcKXvAOgNnYVOk/VqoZ4vRcKDKQSBlkDlv4e15qQ28kCzB0pFylLNF8n5tBCIG5QDkQOyB - AGQaccSd0PuZqdn3PvyDm+99Z2pu1MAzEsExEK9dnL+5ulorDJ8exVvbR81uJ3FJGjdPd56fbj7q - dduTF1dn3/5gemnKK9jc+zIKur4wNf7H31v7aFmgf3L3/oOHe0lKAMK58fG162thWD06jZ+v78Rx - JpDBJQe724fbOz7B6uL8pdmptN18uXewftpKASLm/tnO+qPjsyMfFVbW3hmZXBAEOBy+fLH14Jcy - xYXF+eu3P/SBTD0cnDUdZA1JnasrE5OzEw705Kixe9JmDxjWLitSWlJZrVqdWrz59id/euO9q17m - 8kXAoHUwgwxgBsUsA8TbEiWKaKg8XJJDcdc9fPx8fW+njcxAGkhHCBTAQAr4JBDtQMTsnXUBc8Re - 5TCPB3tYUIasU02bQ8QSxR7G2x5JHjUi09wt+bPIY2V5+YPv/fPLty8OT2h4wFsIU6zg9vXFC4sL - HsWn6yeb26eZAXsPb8Bx1fVKUlVqk7c//K9uffhDWRasAakBF5b08uz4R2/dnB+uxd3Oo/XN3W6a - QYLYSeOIPUmPkFhpD1ifQ7dKnEIY1tU+T7RiWAeFOHD9nYd3j588LTCuXL21cO3tRCJ1zmQ9ZF1k - vbFI3bq0WquPnsW4v7572Ow4YpIU6KCgI0XB7v7ZnSf7Z91cmjkR3A8lojBnhgEeksGEGOiDHCS8 - hCVYB5fE7ZO00xEcKFdgLlogQcGCgATUgzAQGJmdX7l0dbg60tg9fnznfue0wRYaTOg12hvru8+P - OnE0snx97f2xeq1IUB7k0WvGmxuHjZaLKnOrax8EtZE+vAF7OCIL4QkObMEOYOd8v59sbe8dnTSX - L12Zml0Ii0VPwkOABMTAAx/EKAP9BwAwHgbCgDJhmRwkDc/OTc8uBFHIEgwhyYG70ncjYWbr5ZHa - UCfhto8yAAzBmfCxtp0C94qlcPrijaX3f//ye9cK9aLKFa6z1spY+MGtK5VqtZFh97TdyywIJHzc - 2GvtPjra74XDE8NXvzV9cSaqlkEMl2otFyfGv//B+6srC5WhIgmwZi8dw+WJOzWoRSKQhFewGjYA - QqcLXuvZqdGPr12YD21nf+vOnd+cdfsWsBCpdafHx0/u3s26nfrI6IW122pi3IAc9yS3s87pyweP - VYzx0ZmRqQUqhyng8uonWI/UI2U4n98+iWu93Ob9/QLE7PJKOL/YAvXhGE4pSUxs4QyEgJAAG/g0 - MH2R9gQYQhlCj9ASlCi0Oo3uyVbgurWirlWrkiS8194EYIgwU+XX0C2ng0osAmCBvkaXkDnhzWvo - VgEBOIQokBBykAZPIEytIMqFEF7EKSVx/r/NgAfzjaJzB8ogYok08LbEqJVq7337e2vf/v7wdIUC - WABkozKuTujbK1MFrVp9asWib5EBLKQslaPxsdkLS6VaLYW0EANmTdKdiPyFITkcWOf5LNMtUAoE - kbx8eXF+YYFE8eHjrfUX+yYFHCQyQmt3597B9guZ4NLUlQvz12WIHnB02nh4/+uk2xqdqN/81jvh - xHjHcWzhUiBNYdOL40OrFxacELvt3u7Jscn68AnY5jX0DnBgBwjhwTG4X9TkrGA9PDJ96f2P/2Dt - /e8V6gE0SEEXJShGd/do89HR3p5x4cUrb80srJSr1dSZ2KV972KT1CpqeboyOVQ4bpz+6vHGXs8b - ADKE0CPjkxdX54s1TVICFj6GaSE9RYHHyiVSlY4vtZ3qEWIYZ87Qet589rPNO3svHj5l1jOLVy7d - +nY0rvtASjDwQmFstHbj8vI7N67XoogcyEnPMhNKFXHt9s233r998cp0uUIQACkgXRqVH96+NDRc - a2a8fnDSzowHCKZztNXYeNDa2aqV6rMXbo7PDcsQsUFi49i3rehURsKJsbF6OH6w2TzYbzaTLEHW - ae41tu5lp8dDw/XVd74zunIjrAcW0OBiIMaHom+/ffX2rauV4RrrgJ0ILCIzgG5TsilMBmOQMTw8 - YAEmkuH49OzSyqViuQqpHYOEYGJwUi2Y+VJcL1HMdMjlJhDnys58rg2Sy2D7wb1m4L0gKZT2ARnp - rXAMCCBttI8ePb77i063W5+6eOnmd4aG520CF5ssy1KbMdzsxNitS8tlIc6Oz55vHvQtVWqFxZnK - hbkqVHB/u/P4AMftnO+ZID7C4cPG80/7Z7vTswtDM6vlehVMu5ub6883rJNzS1evXXu7Xh0VXqax - SQxShyDAytLw9Jj1trWxtbNzkmZOwRWkCaQXXrhUJ1ZlIJeX2PEALYIBHEBSexIWDjbdffbk4MF9 - laVXrtxa+/BHxQlB4blALvWrpfTm9enb11eWp6bbx82DvaPjZif2zIS8hx5DOESWIk/CCw+kmgED - GJAHkDmkFi4DnJQgAZsGWQ/sOCiYQiULoh7DQpJU3jt4C07AnRHdqRalVUGshmNZzRAxSwEWZEA9 - +ATWwckBQRbwoOGh+tXLyz/4/vc++vg7737w4e133nvrnXfX1m6tXLrc7Wd///lv/s3/9m9//Nd/ - /fTuV7bTIG8kMZwleJAHsWekDANYKO+LoAIK4dRo4dKFkVpF7h/tbu8fnDRhAYcCMqDZ3l9/3jk7 - mZ+fH52aCId0qhGHcAqCUYALwB6UQhpSeYl81uvtPX/c3n4mXLZw8fL8zfemZsa0jkBGCBZAsUDz - U9Mfv/vW7eU5Snvr6y9e7O47AOyUT8gbTwrVsfELVz747g/f/fBbKoCUUIJFjtPmSD2fFy4TvABY - Ist03NJpNwwrhbEVDA27CMYROQ8yhSCZCDLpzUGzf5zqvocHiFwobFGaCGmxVJxavnLrkz9Y+/Z3 - ZSGEkDjnO5ru8c7DX6U792rav/Pu++NLNxKirkXfAs6B05mqvDQ/USpGx63Og2frjU4/szBOFMr1 - kemFhZXLUXXYSrKA9wyXgeOJjLhH7QAAIABJREFUslioUS1wmTWnKbUFukAPSJiE5JIyEQFsU8+G - 8jAOCil8B+nB3vqdvd39FLWVG7934eI7WmtYTpOkl3YNx/VacP3S7FhVdTutrx8+32uZjAH20idw - 1llfro6uXl77w//mTy9duakicgKkIANYhvXOw+UiVcwGsASLVyYD57HuK0kmL+FD+AgsmSzLHg+2 - WuTOlRQGZS4CoATwTJKkKFaGZ+YvffL9P7h0bTEoaq/YoR+EvZWV4bmZsUgW+m3utpGmsDmo2Wls - P7mzf7AXjUxPX3tvYvFqmgF9IGafJuSTkWrwzs3l8brsdk/Xt7aOu4kHAVnEMYj70KXZq9ff++St - 9z+am5uXkuCtzpvq9huN9QcPvv4iY11fXLuw9u2wPmSc7PWzXmwyy1GolhfGlqYilyTHx3v7p63E - wwJekGFtc+fn3F8EG7h+zbTLti+YE1HsSTIEQBBzGBYqtSEWwcHRyb37D05Pz7xzWuVipIMKP8eD - nISSROTABmyRS6CzgeuX0ha5zIbR/LsffftH//XM4mK1QJKhXDxUcIuj1dXx0ZmgKNMkTjpt1zPI - AIt+5/TwZGvnJOHy8o33l9feHZlbynIfyQPWIsCludqPPn5/YXap2w1//dX61nGnDyQDRgyB8hso - BWdg+HNlH8WJ5EyHldrU5bc++qMbt78zMhwFSpFLhe3U6urm8vQnb18fLepO43j9xYtOPwYcfH9r - 4+HT5xupL81d++Tmt384OjelwxCe4TwyA8kzs6M3by6tXrvU99g47m7s72WuVyplUyP1lZmLZUwc - bHZOD+NezEIA3O6cPXrx+O8jkS5MTy2urB4l/t5RdydFLw+ibbbx4tHm+r1ySc5MT09PLRdCajea - O5vP9naeq6KcW12auLCgy6VeBmNgEnCWjNRKM1MTY2Nj+4dHW7sHrV6SGgufAf0y94VUKI6tfOtH - ax/+YGZhuVQpD1rjsiOwoHO4HcgAQGsgQOI7JycbD/sH6yR4+urN2s0PShOR0JAWwplykW5cn/rB - Dz6cmZrWqFkjveM8pjVp9/hg+/79+/1MTC7c+u4P/nh2dglK5gGOJ5ASl1ZXvnf7+sJQvdtsP9vY - OzjrxFkShTw3VZ+ZGKkUwv29g/3jZitDDzAmSTv7+8++lt3WzfnlxbG5JOHP7j972Y17gIVN09bJ - wcsnd74IXDY+OTmyeIFLBQbB96q+FZGFVIvX3n7/O9+/eOXSyEhZSHhGWFAz47W3V2eWh0BZu5Mk - Z57ifCORy+Os3D4Msh2D794UVPgvfPwT6/b/feTKPBhIQ0mAHUica2oIglbQAZQUbLO+1UEh1AhC - kfZt2mgctdv9NAFROYqiIBzoD5zTIX93jzHYM5N1mTHO5WKUcN5bmzdtxiC2BY4Pj54+e3rcOJ1Y - WpmYmfLGmqwXuLBaKrmWbRwfqqjtwCMjI9g8ipudTsMWa9CFtOwcEYUqKBfpxvUba2u3aoHQyGWm - BhADn9+rOQmd+PU9CyIhhBCCBr0OFL1+eQw8e5tl1rhcHnd0+Pr1qTgvdhESXkJr1KvjY8O1aiXe - im1mCZACcL7b6T598rxx1tbjE1KCiTNLXdOPTcvbniLbbsVxnDH7dvd04+WzXu89znP2LIEQIhRC - EcG73FfG+WX4DRmhN+c7iqLllZWx8dpAAogB50BcrVVmZ6ajkKw1cRwbAy4AQp63qAOz9+c6Tvnz - rXXGWs/ee2edM1nmc6W0gcdiQRacWWcz44uiGIRlEtIDzjuXdQPhEZS0CGPjs7hbjwJkjttt8iyF - UYKVlI6UdfDnywEAELkbRK9F2fi3/tBvfPeGguX8/NzH3/14aAQecNYXVQSKOe1SrTAxOT4/Nbu5 - 2zs7OWv2+sXRqo/7z588TNvN0aH69es3a8PjGZCdB3HWGs1eSLm4sDA7NhmIZycnZ2etduLA1tdH - J27c4KH/cOfo8NmDr37xvbeG5fiQCEovtk7WD/qqiI8+/ridip998cX25tbL9f2PLk8DvtM9vv/g - q5Pjk1Jxeu3mW2PjI0wA42DvdP1ZExZKhpCJA/pp38UtmbQKri/7vW4nSxOLNDs+Pup02mEAxGyN - MSYrFgrz80Mr7783OzdRivI09EBggl+f9zdpyxIIhCzV6/Wbt1Z/+bdf/PwXjzqq9z3/z3+vPDoy - VlB5V4w8SrZthAlCXy6XlSwSWynKWgV5vTWkBxSEBjHIkLBMGZNRAaQCEWAlewWvACwsLtx+62ah - 9AoENCBABKVCrVgoa6mcNWmWJQYFgZA9fOaTbqD1zNzyzIXV4TE4RjCoHREIiuXa0ML83HC1hOZp - p91OkswDgGLOcTlHlBIMwQ8kCqCZQ4YkYs+Jc1ASApzEyc72QeOsX69VK9VqGCnr0E8SZxLn0iCN - 48Zx3G8TUeb8xtZuq9MXMiwXy6srS5cvzHx9/8mP//rfHyb+X/zLPy1eGClVSghD8oHPA8p8CSSk - xOtFycVhvUPm2o1Wp90Rqs6Sztuc08BmyVx3j4cmpy6vtpcXJu482Hz29P7e8cnw3FgUkga19w7W - Hz5rNvrLFxeu3X4/KFdeWQWRnsTtw8xl1Up9bHahXBmKIBS8xPl7CKI8dGA465Ik6fV7RLS6ujo2 - NibzftB0XpTm4ByctVpJpSlvzPVK4Y0gAkRSeTgH8pCkB22GwWACMbNJYiGJiLJGq9dLvIcuAKxA - 5JzPrFVar1y8ODs3Vw7zIgPAMdgjCkdHRiqVSpSEQtCgsb33pycnzbMGAXNzc9PTMzaPhTxjQPMi - KeTCwsLKxYuHvQ4zm1x+mH5LovWcbQfKQWpinp+b+fa33v7bn/zk0d7+L3/1m7e+/4e8kMeHvZOT - w199fbedigsjU/PzS4GAArQACGmavHi5VY1mRsbGw1CLN263HOsmUgJSBlJ4OJedHDeyVIwMjy0t - LQxXagCnPssclFZSwljEPRuVlQgAqZFYa6xUCoBnZqCgIBWcx4MHD7/44vMsTWq12vj4eBgGeJXr - +q3hHQt+4+f0yoL+9l1CA8vxeghRKJVKpTIQmyxNs4w5+O3nv37m69+01nrnL61eWlgaovNgF8Qo - hLVadXxstFJxURgqRVrhVTMoCIEoAsiyk6/6pidJnCRJmmZZliRJnKZSQQBC6bHR0anx+lCZ9zbv - v9y63k5/KEIUWVCarN+7+/TJsx7T7LUbFy4vE8N1O8d7Ow/uPSsIPVSfKYYVb1w/6SmvXcba9bXt - CiUrlSoxjg+ON15svLMwPqwVJJMQjmGNF3qgIgpmSAoCDUGFUml6dubS6urcXEkAELkXzpDSdftP - Hj5qNJsU1ivDw0rpNE77vS5UyCLopr0w6WZwqhC0Xh61N9ezXg++COmhPISVUJLhyUuhwQV4hyxF - 1zTaWdpLVLsr0qwOELjXSd1hZ7dlj1OcAbOlen10eKiGSEADAYE9ZyYj+EAKpRSnTIPMqACEDrCy - srKwsFCW5wwIJUEKter83Fwhirzx1llmdnBJEq+vr7948TyOsTgyMjo61ukAGSLR0aHtpe2+O5Jp - IQiC0dHRje39ZrN1dnYWBarVbJ2cnGQZJiYm1tbWhocgBKx1rDyxh/cT0zNzc3PlarXZaBhjftfd - +Ya6JAMePo1FSBDKOcskhSAA1jqRJOgnaZpZ44xxxnjH8LkNJAH259s9f5T35BkeYEFEYuAa5Z5R - mqZnpyfPnj2DXhkfH5dSGmt7tt91vYR8JimU2lhDRGmWHRwfvXi5eePda/P18szU7PUr1z/beLn7 - eOfg5eOz4zWMhiBC6vdP+ntnKVRxbe32/NR4tQjh04Odp5svnvT7cbk6PDwyHsdp2uvHnpyOjFBB - 2lZaRmFAhP39/dPThrN5WpbO//lzN/cbM2Y9CIhErtiTwqQ72/vrL48zqKnpqYWFIhg+T0oobY02 - olAI9crK4u21hSfPH5+dHmzvHM3MziKKAMlETINr61xv4hufxwP/m5lz8j6BvbEmy1JjsiSO+71+ - 3I8LVRFCEhEcwzkQ2LFzXkoFIvY+0IEmATh4B2vAQF62/monEF24uLo0O/693/8j6JIRkXWspMxS - c9rs/vXf/uzHf/eLz37xsy+++GK0rBdWViejqoqE994zSUiApKTwvFBJSgFWCAoYGr1+c21uF3e+ - Otx+9uBgdeLG7KIg2Mw1D06fbe71U3v7o7fmZqcrhUEgJAjeOkmepJav2AVgCOdcenC40+k0wjBY - XFwaGZkYTJQXYO8JRAgjNTMzOTxci5P05Pi01epJAFJBSKW0c25oaPj3fu/7y8vL5cIr831OVRrI - 6ULQgGsNhnNW2szYTBJrcACrGZoQVQBfQr+LTGc+dEKLUAUFGWoEDFgXEpeLWmhfq1dvrb21vHxl - uJZbb/ZAZkESnXbr/t07x0cchuHQ0LDUutuHcJmRLGVcFmmn2202WyQoS5LD/b12q5nGU4EAhIYg - Iqhg4OUnSV+ZTiCszVLrnGNABhQUhB54KyaNvTXEDMA4ji3ZAQMHAh5EsG59ff3gYF+KerEYaS2z - lI1t9jiNKdURuNXpdHrsyVh7fHSYZgkRQF4qEYRBVCiOjU8tLi1duHChXMVA+liAGSYzIKskhJZK - aCVeKw+9YZbO9z69XvX8e8brY/I7oQzyvodwDiSiQqEuhybGxycndKmQl4pKAghicnxiYny8VCx6 - 54w1A4/eZv1Wc339RbPVnF1ciQqhcy5xAkmccd9SIgMfJykJaaxrNDrPnj27tPYuFoZhrTWZMwbM - s7Mzt27dmp+fr5QhAV0oEDzSvuu0j44Pj4+OKhNT9XpNCHQ7BrZpTOyIhFYVbYWgYqEQRWg2mycn - x84PViQMf4c9x4NU+ZsQAQMstAqi+dnpG6srX3z19X/sttK4/yd/8mfXbxRHRmuskDccJDlwHZjg - CRABsaOBXJODN8hSY4xzDKiZ6cXV1YVIDewfQUGWyiWzdGHh5cPnp61D7wEOJDTIdLvN3d2tbrdT - qY5dvXptZGREAolzjqUQQBBCSpRKi4uL9fqQddvbO7utZsuc033/YViNAGeMyaIwGBkOC7Ozl1Yv - TU6eW2cSeeVOtVq9vLI4VAz2kmT3uNlMkXkupL3j7RdH+9uk1dTM3MzMXK5Q7hlCBXAAdyHNwlhh - eX5KSL1/2n+xddK7fWG4VBsZpZtXFvfuH371cmf3aPewe3V8rKhTPto9fXT/+fjk1bHxa5hZffJ3 - v2rtHLYPYjMeORWLrPfw6fqDF4eF6sTk9MzkeJE8dl++ePboUavRXppfnZhdig2fNTvSh0OloNdv - nXUOCY49j42NPd/c6vf77U6nrLkUGQihlFJKQdP1a9evX79cKgFOvbHm5zOUM6kVopx6zjbu9A6O - DmO2I5PjN9bWpienkM9z5lMf67INS+WZhXq1UqmIji+qKIpIw8Il/V7n7Pj4YK9QujA5PTM9V1US - 8CmISEolCc4i1KPD9cXF+U17mjk+a/f6iRmrhMNj4wvzs5OjQw9fvNzd2Wq2DNV0Gvv+mdldPyRd - vvHWDbXTaO2fPX34pHvU5syrwHT6rf3Dk7tPd1Yu3pxfuDw7XPGAhIE3lpwj78FTU1OLS4taD2yz - c5BAGOiZmel6rcp7rTRNs2wwI4LolaD/AKX6Rzn+Cbr9zw1PlKM7CogYnr3KAX/rICSUBmDb7c3D - 7Z/9+uv1l7vHZ+1AsE6aonfSaLY+Xz8SREmnk/b7bzQgeBUAfqMNAgFERFJKqZjIkyAhAq21GnSB - feU1SyIIsuSfbL3I/qp37/GvohJHPiijSH0k5oDC5t7Rg8dPGjYphQyXpFwLvZIgKZXWUktQIQij - UCKHLJkhJUTOEmPK8VrwQCSbci+HAGLPzsEDQkgt5au39/lbSYHUgBBGBaVLQfA6ZBUE8ACl0IEO - tIZ1WsgoAAnA2CTJer203zed3d1//a//Z/1Xf1NWLkIqbRcuDSTHvdbGaXxwuBdNFazpgezgznMS - VkMKBOdRFfj8/sM3UfI8SpcMIYTUWoWBIobNSwIJEALOkhBRoSCUyn9ZvELBSJ53QuM3YnoCCRIy - 7ygCgpJCSTn4rTxX7D2cARsiMMsgqBZLNc+cGcemX9KMrN8+7nz58MW9Fzv7my9cp4l+m9Je6M3e - +vNW66Sp4MRwaQjMr4IMkbNG30hhf8OG8es3fP3Vqx96OOOzzAIeoShIGAhLBQ1BhWI0PjxyfOi8 - 5V6S9qwJkrh5duKSfqjK9fpwWCjnOd6c2StDSUzIJKCU13CI+0mcpZbBKlC14akpPzFSONzZfXrv - s7Pjd/rzRYr52fbZQVdOX1i5cv16q92p14pHuwebz3eT9O2E+8enu/cefG2MnVpYmJ1ZLBal89DC - ZanotYpxN/1PP/3Fo/Z/J4phESb0icp6RZcVbHLU7P1qsw0Pb4231ntIKUgIa2y/H5MYnp6cLBT+ - QTj/HJMCgYmJiAWsAJWnpqb+6I9/JDlp/LTx8NGD3X8T/+LTr+eXlq7cvP7BpRvL9fFI5JqgGWwv - TgLvNHzV24CIpPJC5q0+FKBA8GQtEqZAyFQpSJHn2gN22vtQCEglhXKDRaWUhAMzWI+NzoyNTBSi - sNfvdHs9y7BMIQGaQuGJYEl5XdQaESEAAGeZlAh1VBweGYoCaU3a7XTTbADdggMABEsU04BuTGAF - DjwXAEWCdWCjCFLCsUnjJE19HKPZ6f+7//3f/eWdh2EotekVfFKBCeIutc92u+7h85NMDMepcZ6E - iILAvffWTW4cZWnytHH447/5q/XtzYuzQ5cXRz949/biwsXhoTH2b6zA+dIw4MjLPNiQCpad91CC - FUi8isIGtilvwgitx0Zqb99aPT46aHTPHq+vVxYuD1dJW9/a2t949AIcjEwszixd0qWKlVAMZC3q - 76XdI+OzVCpDSpDKq3K8t+yYlM5RJRA7z1mWdTqdJI7ziNpZS/khPE9dUd6VjhSYnYUUsBYmYxWQ - IHKv2nwJgjUnO1ufP9n+6vHTk/0nLjkUri1TL1L35OH6y30DXVQqOA+7GUQ6DCEkCTE0XI+iwObR - KAEkoANApFkmiCQRMQuivHN2mpo0Nc6hWq5USqUBACME5PlUBgGR8MzWOs8MIs5FIs4tCQOvbf2g - zY0g8pXh6sqFuampsXtPjp9t7B83kriXlcWZaewe7O8+Xt8Zn7m4dPHaaL1kAQkXgGGTbtxvdPuV - arFQrgJeQUjAe+fBTOy9EDL/DOeZ46R3ctJsNNLUO8BrZBoqEIH07B0JglTQefCQG2YVqCCUKmi1 - 2n7r5aNHzUj69unRy4dffvl3//7gyaOba2vXrl2bnJxQSuVsEMfsvWfnyJ8bzNctL3F+ZYtXX70G - At6wq5zTEJ0HiIQWUp53YX+F1dA/ZHPyPSyCMBRCGpuFYRgOJFYGmD6IhFJBGOY9vl41onLMghmZ - Tbvdzx4//+LFy53NbdnpBv2Esi679tnJ1v7RSVBlJRXlnDuP+nB9eXHy6vLYncc7+4cvn241L67U - dci23dt58vTw6Lg4Pjlx+fLk4rQWiHwDJkljypy+8/Xz/+G//5/6UunUl5wMDQLf077XaxzeP+5l - 3Z4cdDGSYLDzLEECkkUeQgK59ipZ52WgonKhUq0EoZYED0jAMmzcV+SEZRtnJMRR8+wv//qvHt75 - 1URZmyxjoTxpcmlFZqZ1+PD50yyNRnSgrWELCAMySM6a7d7j9Zd3Hj269+QJW1tI4kIcc8pfbrbT - TlybQAVCWoRSGCN3TtLjpNBG3IUMhsfqY/VQcglEuWcshFAK+T5jIpWvClvjnGMBBDqUJBzOhQQA - CALDGOOsVULUKmUpBIOCIAzCUChtHJ48fbL7F//Hr774VUF2Q2pL3TWyaURTZoWj+9nRema9dd4Z - Y6SMvPf9JHU+fxcpBCSgVN7RT0BqgDNjW62m8058s5/366zkm/tOQAQKPj09PPv63oOHz55v72wn - aWqdKaXHFXuyc/fnjYbzdS+JRE4mFt98yKAPls9zxt5Z9rmssRCvDg7luSHf7DV/8/VX/+O/+lcT - hbTKTXY9q5UPlISI989OX2yfNZujYRCWisaz85BR+eaVG6sP/ef3Hu0+/Wr3SuhX1wTRcbP/93de - bDVceXj+448/np0clh6+d0KuLWCY+ac/++XJ/suhEkUizYROIDOIyDZ1crZ+79M0Sa2xYC/OUYGB - vci93d8ZryZSwHDWTXtxqx13Uh0GI6VKsVyEImgB5QEWQlVB5GGFRKA7ippZ2ml3EmPhEUpSfG42 - 3sBtv2EJ/Kvusq9AZRJKaSmEzVKTxs7aMIwI1nmv83wvSUB0+0njrGnSlCpUKEREsGCyWZjfQ6wG - ZVcOPOjoK6AjkkP1qAodgTQYzsM6rg8Nf/LBu3Gnffezn21tb3/9YGR997gwPDFcLAqlnHfOeaWE - JARg5vOVth5MiEqXrlxfetjEl1vbT+9tPZ9Kby66EM1m586DpwfNfrk++t57702MjohzvE4AQgiw - 5/M+SjQweyY1vb397bPmKagwPjZRKdcGBCtIkCKR+/leh0Jo8ox+nHQ6STdBRXF++rz3xUJhbm5O - SRmnII2QIETO7BhEBSQofxnP8B4kgDCo1Wtzc7Mbm9nmo7tbT1/OVxeiGrqZNcftoxcHB6cZ6eL0 - /Ey5FoQCygHWw1nnU0cmKkZzcwuFsGwZObOXAK3gGM7aLDOecXLS+/M//3P74zuVgi4FklwaZGcl - dKl3uNG0L1++zAoTYE/slaBIS2Ln46TRM1/cf/r14xebT+6V0I98V9pe2jnd3XzaaGfasmGRGvgQ - GihoIcDOOc+ADEkX8k6tgwo8a5N+ajLP3ie99l/8n//24ad/OVHMAuql0qXCejJB0pO91qPHzzJX - BdhkcZLAyITBQkoSSulAaU00aBP96m4LAp07Bt57CJID1O5N6JZeI7b/wLmjV1mUN37ht4dnCKmK - hUKlVMyvXI+815ckCGYIkkEQaK20kkpBSHhnenEvM6bX67Z2t/78f/1ffvV//eWoQ5gkXmQUekiT - 9JoHe1sv1l/K6nixWAyUBBh+0IRYCaqUCkP1aqgBhvUuEATvYYyxNn/n05Pjn/7Hn3T2N8quVeW2 - lOSJLFiZbmvv+fHGwzRFmqbWGpULtHlI/FblM30TIhgEbZaReRTCwq2bN+2/6HPaf7G1959++ndb - OwcLFy4tXLh0+/bby0vz48O1QIIEmAfZUE8CEIpZwIIt4CGF0EpITdCKQinOWVsEyBAQRL1Ktagj - lx73vWNwACiwaXcaW9sbmUmjclCplAOtBwLoA+89R86FUFJIcs60W41+v2ccpLTuld9EGDQOzmkA - OWTMbI3xXipBgZZSDsgQkJpEGcKFYTgxXC1pmK45bsddp1lowKfN427jmJ2USodBGBAU4CEEAUEE - khBZVcYlDYJsdv3BSb+bSoaKCnx1dfbp1JefPzt+svF4dP/mhcUL5rR3vN9unuL2O/OXrt3E2IWh - z+51jk437t29tDaX1F33rHHS7ls5vHz5ndHxySiEJl8IREErdtjY2On933/35f2XBSjlUFJC+VjY - vrPZ5t7x042dNEkEEbxXUua5d+uccxYsy6VCuXS+1fkVGPTa45YDoI4lGCwVyXavfxL3Y6uqlUol - jHReOCpEoEOPNIOwxMxeee+8J5AFMpumcTduNzqN02p1JSqVRC44TCHgkPcOgwdbJVGvV1XQ6Wfm - tNnupZZRhuSpyYnV5fn1ra3T4/0XG8+vX77Yb2fHO5247Wtz9dX3LtFcc+fXT+9/uXH68rB9MDkz - I8+Od/ePT1tZML50a+nCtUIuRsYJhDfCe8FKyUBrKWVmYAWkgJQ5P86HYaSCkIQAM50zH1+nXf9x - j3+Cbv9zg4XwDJFXvjMzOCd6uTzh7JGeNR483/78N49/8rNPX2zvn3b6YaBKlAa+34vjvbYXKLkk - dZk533MCr2X9IJjpdR9OIhCkFErmPZoBBIHKqyFeX3OeBUhIyVq24t723k6/e1gIjOZQea2c9qLp - 5SmrxtjY+OTkhWtLK8UgVIFyio1nJhIs4Jj8eXuE/NFycM969vJ3jsar9lfOs7GOGYKElIL9IML0 - A/qbyLHeIIyCqKi0SlP44qtnDViiQgopBLxRQmgFAbD3znlr2Bj0Te/l1gvbPAvZBt5Ik5C3hUBJ - +J4IlpcXhy5cnF+YLJVCGtC0JFwAoQYM2Lzr9/kb/w5PanAvkhBSCikp91zzJp+QApZBJKQiEpSH - Lq+g2wFFi3Ms+w0wXeSsh5zzoqTS+pxK9uoiZgsyBDCUECWtC+yZvWXOvE2Pd55/fn/jJ5/eu/fi - sHm4K5KOynra9rTtm/aZtch85jKXZX5AwB58ruTBzc7nnaXP9+1v7WN6DcXnw1gTpz3rQEAgCE5B - SigFeKGoXCgWZSggU+cTAM6k/R6yRFJZ61CoSACSBm6HDASBYAUskxHkyTqfWZM5cKBRro+N8cL0 - 0IvdZ3sbp6eHu83GOIzb2G+3bHnx8vzs/GK1sb84O9k+a26vH/Ta6KB1eLL99NmDILi0MLcyNlIP - AzDFIPIusMmQhTs+arSef220jxwCyypJy/BVgYxlpTyy/NbM6sWV4aGazSAFBAkQpWnmnS9Xykq+ - kUX5xnTRwKHIXQsv2WkSQTis3v72WtzfaSL96tnB862Th482ayNDa483et86ya7duDE9q6YYlFnb - 6/ctcShRZhcygygVEB7Cs4YXILB0nlKIlGSGwQYGOASHjFBKMLM1fUYFAMkMsDAMr0R5qFKuBUo2 - enGapQ5wObomEZADkHlhKCBCCAQwYG88CRGSCkvFYiDhTZomfWtyTnreHZBAligBmfNYQ4FD5gKz - ZLZKZVEBgsDeeQ/npDEq9r3t7e3MWcBrk0ZwQ8KGcbNgOomuj09MDE1cWbpwsVodzo/8xeXFuv9W - t9vWd7c+32p/+ulnX4oH9KjkAAAgAElEQVRsdry6f3T2yQfJ7es3his16CBfATq3kgA8QYocZAwC - qaWQXjDnR/P8NIIEhIBnOEBQrVp8e+3io3tf7j87vvv4yei1D6/O1VUnPdvc3Xm+PVSbmJy7NDQx - KwqwAspbcEebYzYNB5MobUl7T3nxu7Xes5fQYGYGRE6OYmOtZ2b2uRf++lDl0K3I3xnOkndOkPTO - O2e1CASRRY7Uexhzsr718zvP/sMvvrr7dL11uq65HckkcJCGz05a7WwIhboS+jxbxACpIISQHgiC - QAr6RgSkNEg459j7vK55sL09nGPrPHuEOojOTRMgBm2MBCDJM2fGWOt8zrAbZMBejzf4MXmGgwFC - IRyaGF5amivspptH7b3DVqvZGit3zvZe7Gy/3D9r3/joysXLayVCAkg4BYZNM2MMJAclEYTeOwGR - p0MH9hsSLJlAcJwLclifZTLz3tiE0ZcoawSCiB0gIRQCQR7wDgoEqaUKhJTNVvPg2bOf/+xnkrPm - 0f7G/V93djfGa7Xv/7Mf3Lx5Y3hoSCoHR57hPHvvmd1rpg+92fGAQIJJAETMvx1n5et+nuvMDYhj - OGZmFoKFfJNV/IbF4YGyTc7+l0oJKQZ8m9cffp7WFVIHAYOdzZw13mtmgNnFcXt354t7937yxW9+ - +fDp/s5+lGRlxxEZJWKTNvoJ9Dl7mHO4olhYmhu/fW3u+YunB4dbXz96NDT1QY1NenJ2tL7Z68eT - y7eGlldq44GmfmBPyaTwkXVh4zS7+9WDRHJoqYhAZU65XoRYU8aqtnr12rVLl2cmp8IwBNlB0dDg - 9szzA8jXObOGpNChDgsh2HsLIcEEBzhnlWCybFPjmTNrdo8OTfv4QHrhfS7pLsiWAxuKZHhyvF6a - n758Y7hUlOTBBra3t7Vx5+6dL+4++PT++lcvdpUuV60vZlno1UEbbFzR+4LzMkZUpMwHp11q+GoP - Z5BlLtfDUiS4E4GBgKEZSgiFV7RsBTh4DE4T5XR8Zv9qMziXe1TGWOec1IiCIEczhdJSaRLSAe1W - q7G9ddI4LaheRB2mlg+6Xne1LRbSqanpmZmFwsLCfBRFgQ6s8/1ezIAgkYN/5wrUg1AZxhlj4jgB - 1Plm+8YOPd/U9Op7sN1cf/bVvQf/6e8/e/R8ff/gwFoDIYbRHqZOum+TFGBSQsrX8dKr+/G1vcu3 - lXeOmYkghKA3T40QRGRM1js7ffTwwUmY1Kjtbc8F2gWKjY9SXwCuXL92+caNqbkZGWjnnKTw0vLq - pYXTUN3de/b1y+eV5HurRcG7Z72ff/X0uK+nLy28/dbbE0NKsRWuq7gryBDRwVFDun5JpeXQOhX2 - rE8hCq5dsO1yFL319kpl/urk+Lg8p9y+gYy8YcG/ebIBT5yR6fs0STOfckEWQhVInfOy86lxYFVi - hAYd6zJ2J5LAnFgrrIdnSEiGGEDE/yBO/OYLvXIahYiKxUKhwNY6k7F3gZYCzMwir0yBBFOSmE63 - Z4yJAl2rlqUU7D2zP0fcB1TL13+kECANAUg7eHshYa2AKBXCqxcXT/YuTo3W1l/ubB2e7Z915hNb - B0jAsfQeua3W5JxzIM1CWIaCRFCcX1penN8sh/Jg88nO+mLS/Y6h4PD07Ne/edBKeXRpem1tbaRe - JCC32wRIQYMupOLVzvIga13abJ92+ykjLJfLUaAAeA9wXm7AngmckWRSBIKxPk1smjgfQXg455kh - lSwWIoCtBefyzwTv/fkefX2hcS5ARkAU1mq1mekptbnx/OmDT3/6Y9+7Nj87YjvH/c2No/t3D06z - +tjM9XdvDY2VNfJyKw+bpWnXIlU6qJQrmpR3YDW4FvLtRgBIkECSYX1jo9vQpUBWCtpniYiPI9es - UD8OR+bn54OJlaurF2uVcqBEIEW/1TrYfnn36ebf/Pzzzx88P3z5ZKZCVdGz/abL4rjTTQwyj8yT - HSTkoRTBO5MZ50EqEEHRnWNAIk8vOuSJXfhsd3vDntkD3SvqLFNIyDk2ZTZ1yRMT02PDS8MXV6uV - omfrveU8j06ChBZCMjzRN0yOksgn2jlH/MpPeAXdvnGwvrHvB9ghg3gQlZ7Hiq9jFxAYUgLkGd57 - rXUUBt6dw+6DW0Yaa73zgkgKEoIEgQienXUWgry3/V57Y/2ZCfbGIYM48dKIiC0n8CmcmZ1bnFy+ - snzhQqVcZu9zCC1/jlYi1Cpv2cfOQ0hmJu+JBEh49lmadI4Onoq0YBpV7motIUXqrOs1qjKrVKpr - t8YWlxaHh4byGOScaf9qVs6niPJ5GLClKC+RgiAdLi4tDBdk62jvZ7++82B994uvfvP53SfDE7Mb - u0ffeXft3eurs5MjYamSZyOcGCjdCiLBABsQQ0mhtBAaLAdSKgKM/ExqeAWSOpCQqbExe5APCQrg - OOmcnh17b7VWSkiwJ0ARvT5P58E1A967NLNZllpjHZwXwufH4PWq8qsrRQhYkzkjwS4v/hvYBKmZ - JCETSlcKQSis876TcoyIc5A2btp+m3yVSEgaiFYxwVJeTkZAVkI3FE6Qik3QTijxoYEMtFlZHFue - 1kp2H794NLKz/5G90DrunB12sgTTM3MXr6z62uxoudI8az/98ssPZlVPi63d7bNuqsszqze+NTo2 - rqXVyCLFoVJganeSZO/0qGVL8JGz2puAXCC8d86QHh8fG5uaubC0VCwUgyCEyOC89YMqFSWE+AbN - GiCiXKbonKfikcs2AlCKVGxty6R945VSkSCViwcLCB1YyBTI2INZwjlLDO8Bz97ZxCbdpI86QWrt - CF7m0G0GggCDOF+FMAwgRGp9LzGZg4eSsJOT41cuXfjlr359fLT36NGDi0sLnUayt35qY1UbGVm4 - seBnh+7uNfCLJ4cvdk+3R2l8dG9nfffwxOj6xPLb80tXQoCdlf8Pe2/2ZNlx3on9vi8zz3L3W/te - vaA3AN1obARBEFxAiuQQI1GyNNKMxvKMZyz7TW8O/xd+sSIcdtgjy+OwPZ4ZhUSJIsUVJEGA2BpA - N3qtru7q2rr29W7nnMz8/HDOrSpQtvxu6QTQQCO6G/fmyfzyW36LT6C9ZfEM1qwVMcFZeA3FUAzv - kN90xCo/gupEy+VkZPiVn/39ef6hdft3PKLIC3nviaBzSHleXJJhQLC3e/3ja//mf//2d374S47q - z3/2c7/92Zdr5bBEHZ3t7u3v/9XPrv/o3Xulcj2Oy8fb60iTtOA0yK9sOvHInE8z75xngoIXILOw - udGW8700TbIkjMxTZy+99oUXv/z5FyZHa7EqSUraB2QOM2x5tev9cJqN1WoXJ6fBBhnQ6fS6SZZk - LrPinBMH0mBGwVIGQGDhfuVKfRBrv1oHZU6SvIFITBBvYR2sAosYgElAIpTDiGKluNdLdSkoqlpo - KAMVELNA4DzlQCcBMbPSYKV0MDM5/Uf/zX898fSVqlHU6/pOy9u0XikbRS0y+8pkpVq9XJ4Ybgrg - HZSgQEDnlYT0sxQQhIqb5BjZrADjofNvZzQbDc19QgcJFBOzhzgRAjST5j6+T/rzxVzW8rjAJmIF - UJqmIl5rFRqjjjBTAEgQsGLvxYuoxHK3Z0EUBsoQb6+u/uWf/19//Cf/cbMXN2eufPb5lz//3FNj - tRCdberu3P7gzf/tr94U3zwISu1O4pw77oP35+gER8Uo81PPp+LZcVlQwJKFvDbQnDMoBcaxAZBl - Lk2zBCRa60AHRhmtNDGct5lLs24qmeTC80y5eiMBoom9I/hQcai0cbDdDJkhFdeGhuipc5PzD+cf - Pnq8vba09ngSFK5sJ2k4cuHqi6VaTfmdF5978scfra0tL+5u7bLsb6wfLq8kp14YvnjxiUoZgYHy - DAERk9Ia4ev/+De++V/9blCPjHXodn2r01DBYBCnordMYzceqAwOjjG63SQ0CUBhWGJlvIh39sSy - nEjfAUI/wS/OKZHWBUzDmM989atnP/u1uUd7P3nrkzd++qNbd2789K//7JOf/PDB17/1O9/81quT - V6CDTCTpdcqGmxqBz7xNez63lCeQkzQhMDgEh0QaXrIENoUPAfbEwopMABHJ0iwv0YkNXIqi2Zem - WeJsqjjHN+XgJ8nHLTlY0vd3hgF5Yuttbuma09AE4p1jiAIAFigCsYgSx8etf0LOPRZKeh3xSRSA - AMNKV6oe5MDloPaHf/hfXPrG1+K45Not7VxTu7C3W5UWV4ZXXHVHD1eq1emhEnl0O2kcloefevYP - Tz/1uZX9d+6t/vTNtz5468f3rv1y9fbHd6/f/NZv/7OvfOWrU4N5/7mAchfKMsUEVkMH1XK9VCr5 - bQcleUXARWlalBM52KBUCi4/+cT0RO3nN+c/+uiDcy9/w11t7GweLj/Y2Hi8/8yXX3vi/OW4DBRs - dIvAx4EPA8+csZaoFIr1PhEOlUaBshfvvUCxUorDMKxWKuVyOY7jUhwzs7UAoBjMRScgt+TOM9rM - OqVUpRKA4UAGhgnetTrbm3/6b//kz3/0zvWFzedf+dIXP/ebl86NDNVMiZVrd/76Oz/43rXlgy3v - fTGZyZMUT+xIOS+dThuShQgor2ldPoQJo7gk3onNGEI5mNQ6LxAoAZzN2Lu4HwIK9Z/8zpE+4IWI - mRWp4x7jcfikk+el2Gpx8PQzT88up7c+eLww/2D7fHR5MJ6fm5ubf+hUeP7y85eevKwLewEwtJBW - Jqw1G2QotYn3mXiAVU6uFAAKTpx1CJRSHIVxaWRspDpQoZ0dmzrXr3Rycc5c1Egxuj3rCaEBvM88 - POhgf39+65Od/bZPWiVDZ8Ya33z99S9cvfDMs8+FzXGvkY9onMB7gXgFzydbt+ijQig/ESpfr6PL - +zh6CERyCjDnbchOYjvdnojTDK2Y6MQr/NXuDQPkQdZ7Yg7CQLzLdZmKSA2BAEqHcRwEhknSpOuc - IUApXllde+sHP/pv//iP1xNbGp/64he/cHnm9OnB4VrA+/srd29f2/iz76ZAr9dDznHWEWxnYnzg - s8+d+9FPfri6Mv/m27945vnnR3yytbSxubYbRENPf/Yz9YkRDgD0su6u7XY0hc3G1KWXrn7rX/5e - eaQxEFcHS1Xb6khyUDbWp+0dife4Wpk8d2bYVJUF2oqDBD4VZkGQS0Dn3HrnkyTJvHXkwT7LEm8R - ajgBk4TlGGkvs+7gsNXpdIfGxv7Z7//B5y9fPDc6qASelCOt2NrejqR7HJQTM0aVmZmZWjkEUtfe - 2/nOd7//P/5P/2Zt53Dy4pXf+Z1/evrMhZEgiHq9Egc/fOvGH//Jv+/ZXiftZN45iBCx0korpZBB - xNkszXo9m0VGk/bFdicixf3ZNAoNLQIpIjBYExeUHQ/YDErAxgShZhaXddttiBDYptnBYbvV6RJw - +crlK1/9/ZdffWW4ocqma/1u1++kvBejXk2mKsmkFRXPxNG0DtHrdLo7ewfMCKMoMKqvc9//KM5B - oIwplcveCys+Asv36+PiWxzvuDTZ3lr/X//t//J//oc/a3XTp5994Td/49fHJibrjfqw7pnO+lvf - +Xf3f3o/1YHuexUePyJ9Je8iwFGRcR0p4PoTqDkF5sGBgStXP/Of/vN/cWm6MRJlSW+/7W3HO5uk - DY6GgpLSpbQx6JpD5SpEMogZGJ08Ozk+1TAb8x8+uFvbP/iyL6nFrcOffjjv9PDIzPmJiclqBKUs - qhq2lXT2mfHN1//xb7/+xZnRciXIMjZt650ysT9UvZ3RCqExs5lGeuiUUbldVx9En+Pi5WjBiqZC - Px32cIBXigOllLBPXWJtImINaQbg4VM47XMHuMz6JPXew5gwjmPkoqpgT+wBISkCgZyEVAEEJlXo - EEMKxjhzvTkwODjADPY5rxQMpdWRph/Bw4lm1gzUytHo0GAUGMMIOABS+H64VsUbY4CYPBQX2uUZ - vM2p5gIRm9ZK4enJkeefeaqTOgpKKZnMk/XQDFYgpYrZlDgtzpPyYLAGAoRlMzQ2NTE2M1xdXXu4 - uTjXa+12dW358ebP3r+emurk6fNnzj4RlAv+wrEaTT4VJTgPEQFZsOP8imeIE28dS98tUzSErLeZ - F8p6pEgFWpm8sDdGqxwMw6yZKUvTne1to7lWLnz2vBc+LvMlz/HzYRwBxAKtEEe1ejU0vL2+9Cf/ - w3/35/+uVK+HvtuSg306PKzV6i8/9+I//U9+a3QkLIxtNcHZ7t4e93o+pb2DQ6sQaKgCz+ucMDMZ - o1lpD4yNV373j/5o5JlfG2rW4FJDvoy2TnZif9AxjUPdsOXRZjkarZWMgrfJyuLD7337L/77P/0/ - 1jsyfu7Kf/6v/vXZ4XDIdLP2fpb1bt+++T//xRtg4zyCEKawaPA2y7pJmnmAAzaRE2SAsQL2MEEp - rhFpQCq1+D/7g3/ypRfOnx0rxTrteLef9KJSVIELbY89WuFANxoamBqrxggR9A7JeRGw0oEJAmNY - FUO5gubsvGUGM/URLydBRydat/8vPZacbFocCznavgAgHpQXeko7oU438TUXaFYEo2Aox94wgcWR - s85mmffWuzSzMAZakYlCZUy1Vq1Mnvqtf/FffuWZF05XmnTY6rp24rs921bk65U4MJpL9bAxZEpV - 73rKmCCMjNbirM8Sb1MImMFaEQDFCMMgDDPn2+3O2OzIZ37zW//693+nJq0g2UmSrg5DS0DvYGqg - VKFkq+PM0Gk9OJuD4bU6MRij/qHOxSmQT4uRe7oZRpSvMlFtYOgP/uW/eu31/dsPlv/6Bz9954OP - b9+f+9MH92++/+TOb/36N7/+1VOVKhGcgxModRKYonPdP88sYJ8JeW0YoQb5TFzOKyEiYyWzaAtZ - ACQB5fAF9kpDGyZImvTSJPFHdFUBrAUDpLjgZ3mjtSIiCInQ0Xk/VqXrf3Fmw4ZEyFuSVJElGEcw - BCHyed0t4kQ8SFiziRxpJwLnlHjDMApKCYl3GZMBKTiBA0JxIGfYBUppFaigFsdNbSoCwPihsdrU - VKnRVPcf3BxbnE+yVx6v7e9sWaUwPDo1Pjsl8cjkQP3R0sInb7/ZeuV0qxx+/Mkn24fdeHDiwjMv - DIyMEjnba/c6B0mnBe/Pnbv0/Nd/95VXvzjdLNVVpmzX9ro+S+NSmcOyp2C/0x0cHJqaGokISPbR - S0HKGGMMe5faDM70l+goQrncuhHI19QXuDQBMxTn4nG+SIpPNJJIQxtNHGgLSSS1zjIh1sYq1nAE - eJc5l7ni2iZ4EAlRftIghNRmmbNeRIchaZMDxMfHRp+6cLZZizcfL1//8IN/9NpX29v7N28/yiSu - Do4MzYzbocbYxKKCXbxza+nJMp5p3J+7/2hpLa6NTJ15amrqtBao/DCTCAtICF4zGa2ULipdxYUg - p4CckBcodRxqjjdOwXc8meD8Pere/kPr9u94POBIUnHsSYMLdjoDII+su7u58tabP7l1+w4H5d/6 - 3T/4zOc+d/b8+SjwZeyZZGN9c+v6w215d97B+BwgCeRVp+8fRhbPfWaqiLfe6jzIkRJiERFnyVvO - WwP5b1esg8AEgbUZwVcr5SfOnpkZayoxZJVGyKadoil6HzKc2VHv4DJ4hjCUDsKoFEQlpbRWyqh+ - an4Ey0F+7xYFZn4uxB0fDaWMDkragMDirSmA/2DSRB7ioNh5f9jpqjATQqkUuOP1LFpjznt4z8yG - iCWXUKMgCKJSrIMwl3ubmhhvlqJQvMpScTY0ShO6OjxUQSdQJo/sADGgNayH80JeciVeoRzaXIjS - SR6Ljj6D9tCZ85lNCF4zDAA453w+2Xfis3xwDyHxdNSCLTpkQixc4NIg8PAuB94qxRAvzlIfo5vv - IYYHe5AFgU3oJEgz76wlsd52FxfuLS7cbbX2rzz/8pVXfutLL79werTeMFYnO5Hbk9Yy/eUbxui4 - VKs2asaEJxZTiyiACJ6kTyk7EcPoV+quoolNAIhJaSIFD2QZIg7BOdAwa3faG5sbSWq1MdVyNTRh - EATVel0FOtlP9nZ2e4dtjxoDTGJzoxJAi3S7zqZKqajRbJZrMWl41pAwjNNnLs3e/SSev72xsfJo - ZWVGR8Pr+5ai0dmLz9UHhznYfeHZS+/d2dzfXn/04OGBX1pe3ut1MT4+cfbsdBhAEZgY3imjwzjg - Dler9fHR6dJgpaQk8JlK0pILIkSgqGnCnRBsUHco9cK8tWedEBvFyjnr3BEMoNjjfWBAfsJO1Lni - 4TKwIIxRihvV0sXKdKkyfenM9N071976xQ/fe+fmz9/65eT0Uy98+XKsFYdRpSQl8qWsq5LQKBYT - 9uBMDiIwClY5MV6Mc+wdFEGpHKqegSzIgeC9s1kfACgaWf7RaGdzfWNzrdtrNxrjzUa9mLZ4jyxL - M+tFVG7I6nMlUgCstQGo0+strawctltBGI6OjFTLZS42A0OIIUo8yZHkH4HYQxd8Qp/ZFFZBjBhj - gihibWyaDQ8Pz05PmTAKhANwlZMo3VV2DxSWzUDLNFihoqABhDFYwF4FmAoGdGP01MTQa1dm7167 - 8J3vfu+TGzeyeHj6yedrtXK9v/z9sYcUaCEiEJdLlSguwbdT27POMuXABXIgiLAIKQ0RFQXTU8PT - E41KzI8W7y+tLG2un9649eDx4i5L6crlz8yevihAImBCiTJwVmuEZ09PGPPeweHW+uOVs9XZoByg - 55iVQGyvBzArlcsIEFEpFy0TrK+vd9ptVv3PCBDDeTjnSTPldXa/d5L3N5gU4Pb39h/N3/vk1vXD - 1v6VK5e/8Y1vfPb5i5MjsUEnEAkgt+/O1ecPsdWG5P6IAOAJiXXWFwLT8IVijPPOO8cMgEHMzIHm - UCutmIS8F6UM64CAg7291v6uykEc3mvFIIJzWbfnQVoHxMp7sc79P+RA+WVAucBH/8UQEJmLT186 - dXMbby3cuPbh/TPqSxev3L17d/nx+vDkqYnT54eHR8UjLPRsFEwpqlQHhgc63dbm1lqebhcAapAX - m9mEFLQKc1iZ0kF9oB6UubfW3d89GOo5FWmHxHtnOEK//aq1LvRjWDlwkrnRsbHRqee+8NrXAnIl - g5GKuTA5cGakGpdKUCrPg1kgxD6HpXC/QYAC5HPy2pK8dSui5ERQleLHI2O6POIftHutdlcpHRgV - 5DXeSfDip9aUAPZCSZpZ75VSzmXOgkwxRIJ3cEUzmvP8VVz+3rLM3rl79/1rH62srj39yudf/MrX - X37p5dmB4eGoFLPf2VkCp+UfviVBoLXqwyE1lBoeqD55bnRmLF5/tH/n3p317d3qwcbdD2/vbLWq - Yxcvv/hCadjk3qH1Cjcq5YBCysJKPHD16Rei4UpZqbICJcK+G7CF646i3OJKFiFSULnLOJN14hma - cpQoFcwQKDaBg88ktT4zhkNdjB/701MycXliajouz2moeq02PT1z4dQ0i3jSnhQhE7tP7gA67qnB - REWBgfeSJK279+/dmnuwsZtcfu7Vl7701Ze+/NXBwaEGJOh1yiZY2u9VmmUKSQxUrBx5NqrerOWi - SYBr7+3u7ew7bzzKHuxF+MQbExGf5lpVzMoQaRGIEwYVb0oBoiAENkEYMzMy57IMXgjwXkrlSlyu - eSAKw5HhwScvTddihJyxHkppP+NDncXlZLycxELoVdADCByGpUqt4QRpmjqb5pvJejEsIAZrsBAr - 1spL6sUdH9bjDfapjddpHdy48dH9udtJr/P8cy+89vWvvfzyK5VanZQuZ3sVd7By68P43TULZueO - du3xmIKOgh0EQoDOB4nkvbfij9K9QkjK2izQ/OSlC2dHy1VqM48lkB5ErKtCV2E89GEUHkZQALwG - DOLazNjQM2fH3r9xd3t1/sHC/Uq9Mvd4595655mrL526eLVaCwIAWQvULYWolIxWVK3UxsbGz54Z - KZs0Y52ydqwjd0Dd7arJfGksdKWOgeuvkICKBO9Y1eR4vXJPH4KANHQ5KPtKvWJiah/sHR7u9Vrt - SrWerwcX8C5HQGp9p+udIIzKtVrFFDKz7HE0FTpqEp/oYdFx6amo7zQhaAwMDg8PK8LW+urK4iN3 - 9RzFupi65QwZ1nsH7dXVxzZNm7XK1MRYFBYBCc6jwN5CpPCPIiatOLGOQJFWcD34FAFBsRaG98h6 - rrPXPdzrJYkiE1aaOiyDkDmcUD7POWTC1C/7oaEilGhyYuy5S6cP3/5gb+3RwtwdOzW1+Hjj1sPH - Fy5cnD57sVqLScOgEIk6kmPjvkAbvBd2RDYI1MjYcKVm7Hq2tbnZ2U95OmACPFtnoVlrEov91v5B - +8BBarVGszEYh2DRxDoIQiKGZLlqkAYYSFNPLi2FupD6guQJn6eihBEROthbX1q8N3d3oFn97W99 - VtXHs7RzuL/js6RWqUyMjJ49+8TF554/Oz4QMBRyFSGAJSKqgJVIu9vtFsazUEWLnrwAQFwqQ0OI - B5rNmenpidHYpggUKpyqZDeSVhrUDrnaUlEERAqanKTpg7k7d25+vP545cWv/PqLX/mNX3v1hamy - G6ADl3Xb7XbqvOc3nZDPywDAiwW8UkrpQAie2EEVwL28fPXkPQdhSSsmyiYmBs6dmzk3UdW+2yPu - CesgiFxqsp4maplaS4e2r3vnxTvvHSi1Ps0yiM8zTe/ywhRMqpjpEBHlYeIIpf+3miv9u7b4JUS+ - aFkCfeu441/LKGyFiYWUE3HWwbtQw/Q1GPKhf2gixcrZTLwVsfkfr7QqVcqekKY96XVOTU9cunCu - 6ak0MmzZpkgzSRR8HBiGZDqQIMpA5Hogdh7OOogrQKrek+RVssB5eE9KKx0opTRTs1o+MztQdo2S - rxMJtM5EpHcwXA3AWTPjJBjoBsc45AJeehRgi79ZiItmNTz3paXhMhAjKgdxbao8UGqOVptDz1y9 - euPm3b/5wQ8fLTz8zve+f+HipZGJ2TAiOjF4owJPoCEM66xAwN4xe1WAJNjC6xyjK1CecidOYVYK - IQNgKtfi8clRVtgGClQAACAASURBVDg8PNje3vLOmk+1YPPdJd1u0ul0CG5ifKJRq4bGGEWq37mF - oJ8LHfUciIg0K0WOJWNkpGJwYXUnYBC3O73FlcetXqrDRnNoOIhKDIaXRqXSqFbUlu91DtutQ5fV - oYpL2AEhPMgl3dbh/r5NfaM6ODY2o03Jw4G7qJrJqcrpMyMLq7trm483t/cePlzb3rKleKg5ODY4 - OsLBwMXTU4vzj9fu3z7YfLwemPc//HC71a2PXxqZfSKu1hiOkFVLQaNa0sSBjuuN8SfOXZ5pUlUO - At/zTuCEdUg68iropY61LlAdrKBD6ICIUdhOgjmX8wa8A+uc9Xs0R1QCxZxnxZ4ojivVsBwq2+20 - 06wjKKceWgCIAmso8S4Vm2qPSEMVZJ1SoKulsBojy7rtbiuzSAhGQUMxCRUuQZLadGd/L3M2LpcH - h4ZNGKXwAWigWZ+dGR9qlldX9xbvz7X29ndWt+8/XIuqIwPjU5WhgWbWHR0dGG1UdlYerS4MtA/O - zz94uLm9Pzxxemj8TKMOLTm2Nw8b3rosTXvwjpmMBgjW5bgc8SKZ8zafq4on8XmF7j+dnZ/cgn+v - nn9o3f4djwAWkpIoDziBIihB4ZOYdfd3Hn907d3Nze2R8Su//pu/9+SVs+UKFFCSBnpBYKRWLQNs - PbvjayiPyznVXRi+rwQHCMTnRuUqCGNtQiJ2WSouPW7dCgNUKlcajTog7dbBwd5OoFQpishpKKUB - hJGmisADVRdy0kUny1UNyZjQhLEyoYAUsaGj1y9H8Coq8sjj2OqPlLpAJojiSt0EcM732m1FRbmb - s+cBB8Ue1OmlcWoFEkfo9b92EbUFznnxEmgVstJ5mswchFGzORiXy17Q7rScTcOwVlakfIh81gSJ - TeAYKLS6IXmwUzqfO/nclebo8gMjt4fASSSKAmmBck6stYBXDA303ZM94L331vnirvF9FInkqFsR - +JOaCQKIh1ImDMJSHEN8miQ2S4GAACf9Rj8cJPPiiY1HYD2JCMRlaWfh4d2NtUeB4edfeOnVX3v9 - lReGTIogs2RLULWR4bq1PWhoHUZxWRWaNwSwiJYj1C35E1/z+KGTFysK+V8Bur3u1s5mq4MkRuAA - bcDGwbe7rZ297bXNNaHBSqVSrdQCUmEQjY2NRuWot95dXVo93DlwrkYKgBPAwlqA02xr+6C1b5nC - odGx5mBdhxAGrAHTk2fHz02EOrWrj+5XBibjJjZbGJycGDvzVLXhS2H58qXTzdq7D3Z37t66XXeP - 15Z2lcLE+OTs9JhR+XiN4FEqxfVmbf1gN0ltt51Vh4M44BjaRJHOImQhCKUAPQULGIUgynXfKbMO - pIhVDmqTPrTzVygqBSC1OBFSeH0YcipsgxVHtTpdfSp+5vz4xtVTNdl6cPvBg8WlW0ub2z0ZKmkd - hLWKKrOLkgPfUt6mGTEXcxMPAg4723udXoosE3EwGiZv3dpUkAlZL2i1Wrs7e1kyKxoQDc+55ej6 - 5trW9kaadScH6gPNus69BUTgnIg475IkOTjYb7fqwzXkJYkmba3b3z+cm3+wd3gYl+KZ6al6tdLv - JeUccLB4LsrUHBXEjjSItVIMZzN4crkgXbXeiKs1e9jq9bo2TUqlSimgkBAgUmEdSeo6aSmgKIQH - dE5+07GwWPjMu6gcnq5UL80M46mphfMjK/duff/m6psf3vzN7c55i2rfsUH1V1/6BhjwVC7VyuUy - /H671+r1OoxaDr21OWMVFCgNEQQqHqycnh4YH2s8mt94tLgwNzf16MM7W2udemXswsWroxMjFsg8 - lPJABiSohWdOj5diXttZu3Xz+rMTg7o+AEcIFIlL08yYgPJ5tAgRxXGp0WgYrR/Mz29sbtrUaaPy - EMJFzBDfx+xxH36Tg66I2Fkc7O8/XJhfXVuK4soXXn31C69+4epTs5FK0/Ymu1QHqt6omdBAJJeV - 7RPx2QosWHIhl36bJh9+MVEeWplIQUg8g5iJiOO4HMUVImxvbu6sr3nkATVPtZFl2f5B6+DwsN3t - CciJWOePfQB+NZocdxyK1m2oTz1xamZ2AUbP3b5965Nw++XRubn7u4ft2fMvDU2erlSYLcIAOh/d - qSgqV0bHx+7debz6eHl3d7vc4DCsACRCIuLF5UKe3hN7KBXUB+phRfey7tLiysDG4bmZIREnkkIF - cOw9vIfKEUcOYPakrJeRkZHZ55/757//zVoAA2iPMjKyh2mnC+9zTh8DQkqEmKAYivptWTkZTAmk - PDSJZ/FKhAvAYX/w0+/EEHKpRr/f6ra63TAcLEVBGKB/3P42W7rfugVZ572Ambxz8Ef4/7ysFSuw - 3hOByTuX5LwY5+zCwqP78w+c4OrV515//ZtPXnyqRhpWIJkJpTk0qsNYaaM4Z8rCQymQrganJqvn - Tzdvrm8urSwuLK/aZOGja7e6HT82Mn7u8tPhQC4C3A0qerBerZca3Za2XcSmXIlVrKAsgpiAEpAB - psKxYbTy6aQmCDnnHViOOtaSLyhDGR0YD8kkdZJpzUYDAkXwkILiUCqPT05VKlW/7/b39pz1YRip - HM9OIAoZNZCCKEURF1d32uu15ubvL66sO1X5zCtfe+2r/+jS1YuKEGcSpm0ibg7Vo2qIkLwhCuAA - FejBwWYpCgKFHqhzsLe9tdtLkHpmld+V+XF2zmbeMZwibQqMP1g8xAt5UUfHRClYyh0FCETeKxSK - WESqVm/WmwNE6PY6adIpx4hCGBgTxCHEgqFNxDEYxNA63x9SrdaHRybA2N8/2Fh7bFOQQLwXCDGB - VKd9eNhq9dI0c5n37ldatUe9waP/1m4f3r17a2tro14rv/LKy1989ZUrV59znnqpNd04oGatOcIc - kICdy/u0cgJuezKrEHiC9Mme3nkrcP2VUEEYV6v11U3bbR8GRmkNBRNFoWYylHf7gEzYgoP+oII0 - OATzzMjgZ586tTh342Br8caND+PB4duLG90WD5557tTFq1EEnXi4DrjTrJqBRplps9tLur0kjMIo - UIq0UXCMUMpKp3At730YmozgbRFLi7sPJyXLjhdN4AiOAZCCihHRwNBArVnq7S1vb2/sbG0PVet0 - kt8F25Puzu7BxtahAJVqvdls5CJAIPbEQiQkQjn6tk8zPtnByD9XEX0EIo2BwZGRkSjUj5cf3b93 - J+m9ilgXiXT/elxaWb93776z2chAfWZy3Gj43J3RC5wDUmhtSTsR7yyDoALr4YkNQN6ztyjE4wki - aefgYOvxxupip9OtBuVSfSgoxXk5TX0fTojA236wgwMXby3gybHRly5fuPXRe4frSzc//qDbaS8s - PV7d7bw09cTUmQuByfNvaEIhF8bI2xPHJYY4wAahnpgarzVr2fLu6vLK3vaOYIwB8WytN4EC+wzu - 8frj9Z0tIRoeHh0eHNUaZDVYsdJMxCDFlBPqBHDOsnfEQb/OAZAngT7PU0Tcwf7uw0cLd+/drZ/9 - zOe/9qXxs1c6rcPV5WXr/eD4xKnzF2dOTzTiYpSn81fvPSAVY2rEBsi8S/q+AflcxQMWXjHXm80g - orTtDg4OnbVxBKugGaEKtKpAEOhSxFEGKAtFIHE27T2av7e8ME/efv7zn//y668/dare8F34XZBr - 7u7XmrczTwExSOUhllwGdlEYlspV0kgdepmVfH7GfVS36GqlEUWBs3vOHirOSiWDLFMqjHXoAGMD - pQMQYhMKoQ14b8F51kCktBWyzolYQiACEcekmOFc4VOoVWGjcsSnP3p+5bARjvswQuz6qFv+NOq2 - gPi7HFugQEq89zYz6jiNz4fjrELNGt5DPJEvRKsNx+VyWCqJ+IP9bYYNDXEGbaCN1tAwJZ2Xc5kV - Ikek+gzUzPksyxQQMBSJs1a8JkUQD+8hgAmiUrlcLneSXtI59Cm0QTmMTBwIKPVe8vyDQCrUOiiM - xHKF5eM84igcEIhcrtFEyFvYDLDkGHkNFUDIROFoXBsdm3j6yaeevfLw8fLiW2//8p33Plha276S - 2CAyOYCjoPcKvDCTyTlNNp+4wSjRfavflCjyHiwQUQILnbAWgMgXE8xKtTQ1MxEEentvf2V5Kel2 - j7uxBCiGwHWSx+sb+wd7WtOZU7ODg81QIYDWOYApf5HChadI/7fnguPeJkln/3Bvu9OpBZVjCI0I - 9g4O780/OOx0w8b06PhUHAdEAPHIwMDIYJPmN3d3NrY3NzBbz9sJWe7EC4e0t7O1/nj1sc18oz4y - MXFaG7ichhBjcqp84dLkG+s3N7bX5x8+unN3aXfbDw7N1gdGK426MaUnT0/fH7jz0+tLW8uPOMH1 - Tz7p+ebM+GxjfCoog3DI7Bu10lCzahT3eq7dFq0pChALGQAUgQLJICqAViZURVQmKdwpSHkv3mbs - HVPftcJ78UIsRPmiQvLpH0ERAwpExKZebVSiitjdjfW1g4Ndh7L18JJf2WRdurt9cNhtdygNjBHy - 5KEgpUA3KvHQgNnMkr29nd19BHVECsxaUd8wOk33Dw/WNzecqIFmc2B4OIwD60WYuRyPjzRnJ0Zu - r+6sLS+uLC6vL6wsr+7NTM8OTc4YUy4bHh0bODM1ur+6ufJwfnVp8dHCYrtLMxefbI5MlkpFqAXn - /WnnvLVZKt4xkVLIlR28CIsXgXXeCRNx3i0p6IIC6RcfJ3bg37vuLf9//5K/v09xxyullCrYpR45 - v1LgXK/TXV9bt85V6824UlUGVpBmcFmGNNnd3m61WshdUOSIHMVH7EvAs7hjTR8ipTWY2QT15kCl - 3giCoNftZL2O7xO5IYIsqw4Onjl7tlmrHmxs3L5xY3tnu+eyQsNB8v5cjiUlKxCDUgWhRkQ6VMZZ - 30rSgzTLrM25n5LT7qwt7qHicx7NpvvcOAFAUanSGBjRAVqt9trqSpYcTfa4gBNoZqN1EHoiQPRR - 8Zb/CQ5wYr0ACJQKFRW4Xea4HJ06Ozs43Mxs+uD+w73tw1CT97BZkiUdsAPbzKbdrs08CPC2D6Ag - B+VgSGmlCOLzBlwOwSIuUPlH668ExpMGM7Mw+bxIDkgHWkMrMAuRsFImUMwQW2CkSRXwGhLJCRn5 - xB1EOtBxqVarDQ0NEnzrcL+1v2dTESBJYCXXF4PzPrPW5taeWodBqBlJt7O0sLC6stztdsuVclyp - CMAaZDS0gdIEyrvMnU5ne2srTXr9aMUCAygCWBznIkHFd8TxW/z0zwWUkwweLSz88Affv3//4cEh - qJAqVwrB5urmo8Wl9YOtykh9cnq8XgpCoByXn7j0VGVopNXu3L5xfe/xClJ4B/EeEAXt4XcPWjfv - zC8sb2WCmVNTE1MDpdx3OyNkbnx4cHKoGirM3b31zrvvvPfhh63ERvWhxvCgDiMVx9NTY6PDVZ/t - XXvvrffe/Wjh4d7gwMzkxMzwcON455MbGqnNnBkOS9ja2nw4vwoXM8IMWSdtO2fBQN8RzFrYtFgp - L5JZcbl6DkNr9KmgdBT+5FOrlD8OSIEERA6hRUlAR9YTk2ONJ2ZHZqfHS5Vahuiwi9QZoByGURg4 - ZBs7mw831lf29jsKAUF52KTbuXX7zrXrt3b220lqGQg0Cpa4TazLrHeZw8LCwkcffri347IMABDE - AHfane3dnXa3Y4xuNGqNWqVkECpAaZhIl2uZc4sLc/N3rq8s7Xkg9eycECTrttY31m/cvrN12ClX - 66dmZwdrlRNDCWJRyhn2fYw4CQiOIESBMYYVeYRGKVJplk1MjY/PjKmA7s3de/RgoRISH6ksswab - FBDp62kI4LzYLLM+hSITaGO0Isl6xDJcq5w/fWp8bJzDOIGyUvip9AvT3NtcfO5D4Xyp0ajWa/C2 - tbO1v7sjhcQ2OQGgCtlWMFghCE6fOXv18qVI2+V7H/78jR++/e6HOx01efrSxORsvQoGIoU+PokR - NwZHxwca5fbmwhvf+/O1pQWxgMk5okyslNbEnKWZeNFal8ulU7Ozw8PD169/fPvWrbX1tSxzzom1 - edECbVSSpt1ekjuTACKwxMJEJOQy3251tra2Or1OpVo+f+F8s9HQCgAHcUVHMWzW7nY63Q6szSsu - pQBWxIp1CBMqE5TjXAAQDBitdRhBaXhKMuudzXqd1uG+c5li4igeGB5tDI2aAI9XVpYX5luHIIEy - AYi9da1WZ3Nr+9btO3fu3EmyNPeMkRMHQk78eBRXBORBYA0Tqebg9OToqenBztbi9WvXfvTTd+4s - bJKpXLn67NBgPTIoB4gABjkEqQuDqHz67DRzZ3Fx7tq199bXN/NYTQTFKgoCQyyQtGedAwfhwMhg - dajqvP3g/Q/mb88rIKLAaAJcljmbAfkNlnk4Cw9hzToQgXifS3IrQOfgOG2CSk1r05fVUaSMBxHE - kM9BVOIFVMjh5NrsOVEDYBbRYj9Fcuz3bTkvTrxHN9trJb0kq9UqjVqpFOeWR7/S9Tr6GQMszCoI - WGug6CBTf8wIpWFCsEmtA8S7tHWwZ7OMAcXcbndara4xYa1Wr9dqTOR8PmvldpLutztWxFqbJV1v - c6xeLuaOqFp69vKl01OjvYOtGx+8+4u333n/k3mqjEydPjczPVIxACQDQcfVWn12aiykdHN1+fqH - H21t9CCFmnNRFOYSzx4RITJgApzrpRkKaVZ45/JbEKyhDCkNhpAH+ZyQniU5GdwLBEwwhuM4CMKs - 1bn90cePl5Y7nZ4AmUeSwXnkoBqXpGnaSz1AIPZZlqxvbGztHibOVBpj9fpArBB4hJRRIL671+7u - ZWITuER818PBRHE0NFBvVsJmCcPVAN393Y31zc3dVrcANRIK+Zr9/f2dnR2lFRs4kTRz1gIClzlY - ByDN4FxBaIFHmlrxPlBUjsI8aTBhNDAw3Bgc1gFWVpbn7t3e27XewRgwcn6xAigvzSAgLsbqjebA - 1MxpE6pHjx794s2f7WzuwcNo5Z2IE+/kzr25T27derz1OMl6J9P5ogfwt7KALEu3t7fStBvH4ejo - cLkcO2d7vS4TB5UadNjL0Gp3nbXsHfv+7+//ox8H5MT/Al68iM/n5Hmhr8NoYGjk/MVLpVK8vbk2 - P3enfdgJwsA56XR6rXb3yIIPikQKTUYQQ4cgPT46/MqLV06PN1p7mz/76Rs/eePNG3fuQ8VT55+e - PXdBCFoDgYI2oyNjY6Oj4tLV5QeLC/PiBVoTI029tYAIBSGU9iKKERCCI2Gv4ny7PuqWjo4nAwGo - gMz6vCWGqenxM6fHNdzK4tKDucXMoj/8AiF1/mBteenGJ/c+urnsdW1weGJsdCA4RkbkLGJfKKQJ - +KjWPBEO5KSrofONwaHJqamhZnNtZfHm9evtg0PnfH6d5PqdB+vbH92488EHH2mmqbGhmalAMbzY - HBuOXrq7vXPYaqXOas1hFORBMwxUmBOglYY2xxmP4oPD1sra2qOlJSIZGR4caNajsJgQH5lGEPW1 - gfrgVYvcD82MjQ6//NxTk814f3P57Z/9+Be/ePPegwUJq1Pnnp4+cx592h0DCp4h0h8hFqGQQflV - p4PRsclqY9A6v7Awv766mPZyIm/R3rDOHRy0bt6+u7j0OIrL0zMzIyODaQIAXihJMoC0YoaQeO+R - 9rwxOi6VcuqM+CMm3bFmnXNZq9Xe2t3d3U+TJA2j8NTszOdfeeWf/M5v/97v/s5Xv/LahfMT1Rge - yNL+iQB566yQicqiDDOXo0CLeMAKpG+tY4jK5fL45HSlOdhL7c1bt9ZWV0SgdQH4hQkgnKQZEUJC - ZGAYcLbXaT1eXd7Z2mCSoYHGULMeGCRphiQDm3aSHnZ61nmjdWwUXG5gGIBUrTk4NDYZROh2u/u7 - 22KPRiMKKtSlxtj4dLPRtGnn7u2PHj2aAzL0j2CSIMsEKhQraebzbrvKBT+IlNJRXAqi2BitGAzH - 5BWDSbxz7VZrb3dvf2/fWe8tnPUn84ajwNH/S44RQwBAHuxJ+WLU6flXIpcXZJl1IqyVCYkgNoOD - F+SiVeLhrECYibViJq8VhSGUBjRTHE3NnhocGjrc21l+eH9zfa1UQv599w/baeaKT8pahHvdFC4X - lWZrvXM2MCrSpOFt0rM2g4jPBxpBgLjUGBgaGhne3d1+9GDu0cOH3mUm0Cg09ziMivTM9lLvfI5/ - dBlsZhXjmNtxHBHYQxV1p7h+DWtzgipIgQ1I5UijeqV0embq7OxUo1E/aLW7qbU+J7Lm+hEg8Uxg - xcIKpKEDrw1rE0dxQAoWWWK9d2DOTas8kHln4UHkMuszCOCtC+J4bHy8Xq91O4e3b17f2lhPXCLo - K1gpDeseb26/f+3a1tZmpRI/9dT50eHBMBdVOH79fX3/ExcVAUmvt7e9tbL48Mb1a/MP11s9pIUv - IVzSe7yx9eHt+1sdV67Vz56absQwAMLy2MwT45PTNusuzt9+MH83F7t1DkknJZ9659Kd1vW51Ru3 - 7ovH2EB9aqwe6P4UO9CNqYmLl59sNkp7jx++/bM3Pvrwk4OePvfkC9X6MCvF8KenRk+PN5G05m7d - /eiDW4uP1gZHRs4/fb45Am3gRUPH1Uqt0agFRm9tbNy/c2999TDtQReQNIEgs872PWqYoBWcd7AO - DomVNMtclipyOl+SfNDLKq9N82mZAk7A7AjQYVweGR6PdGl3e+f6Rx8tLT60uWGPUrk18ePFtfff - +2B5bXUvO+i6XpolYi2DgjBqDgzOTE87m6yvLszP3et1rFa5u2qeKavO9u7co+WHS8useGx0qF4p - lSKEXNCMS6X42atXpsZGWltbH7z91oc3rm9022PnL4zOnGNUSqjPjE88d/W8Uu0HD+69+Yv3Fpd3 - 4qjy/DNXRpoodLFZQRSswBUDNk052BhKIQhgNHHOLCNF2igdQDxJwQHqw/k/nUj/rTjz//vnH1C3 - f+dT0I74RIc/x0ERjImjuFwqA529g/25+fuNoeb4hCHC7vbuxtwnf/4X3/7w2tyxVS0KRBuoCMoQ - UeJyTR8gLxQIImBl4hKbKLV2c2Ntc21lZ+tpVaUk7Wm734hZVWujY2PPPv107/37D+fufe9vvrvf - ffHyuaeHy4NaGXixSbJ7uLm6tbrbjiqD5y+eiTRgBHCUpjYVBEFARHCeNRfofZLia/aT2Fy0Oke2 - Sh+HFISlUqVhBZtb2w/m5w52DzuNKovtSbvEvqLyoSXiSlkZY23W6nURqcLx3gMgKMM6UMzknaQ9 - lxahvVqvvvS5z9y/c/vGL6796Idv2HAgAp+ZHa2GDMOQ1Cadrba9tbAppcFT05O1WBWgBpfAd6FL - OKq2SQFKwCS5Q4Q/crMksIdx0CBiEkV9UC1cMYon5PKg1ov3jsX1oVj5EJ0l71rkOLoi6ciBNjqO - w62t3Y31x2urK5WhalCtH+zv1sulsCTwyFvaXpzzVrxlEkVstKrXapVSSXzy6NGj2ZWVS2fPGgYy - l27v3Hz7uz/5/g/bLbSyVqJ7JRVTUQ4j70GLKAgInuBORq+jf6OT+cDRLEKwubXZeu89U/2P6dbL - 33jlxbGqdt32+trC9779Nz9/84ZX8sSVc09duVQOAKEoiILxqXOXr84sJB+vr3/w5k/PN+iLz1+I - 6gpK92wyv7Tw4K0Pfvyd7688WhscHX/pcy8+cW6SC9p7ABPpSnl2fOjsqdLS1tri4TXTPAzKkxOn - ztYGQWxggvHxkfGxhrmzdOfWR6U0axCPjp4fHp6o1SIFCJz3jhWeuDDz7GfO/fyDN2/cuCHfeYOC - ypWnx6bGjDKcAyi7bZlf2nq4vzMyMVZqVFBScI5IRVEZUNY6a1OSo/oBrj9TkP7GFzlSv3OQFJ3d - peW1n99bKZ9++pknzgxGEA/b2z/YXlpbmVtfW2Y1FlWGokpJ4ASlUozTp8Yejj1cebB27YN3SmdG - PvfKixGn3b3Nzft33/ib77//k5/v7Le8qikml+ViGx4arMgTMou5ufsHP/iBcPzai0+/cHF6oKoP - 9w7u3b377b/8qw+u3TWheeHF5568dF4DqsgHdAKT2Mwe7vz4e3+h2ovJ1z//1Nnx0YE6bDp36/rb - b731/kfXO1ZmJ6eeOHNmsJYnCS5v3ZJX2gcsmiQf1vh8FOApV0r1YvO1MiaKnrx8aenW7b9575dv - //KttN6sVgdnp2abNXaABbynrpW5W7eSjGenZgdrVUW0u7d34+HDzW736cuXR5v1SqiZYQ/215Ye - rTx61Ov1KpPNoFzhXOZJ8mNFDq4/nS+6V/Wh0ebAIMR1drcPd7dFThXqzqSORkskHkIQnpk9/eyV - vb/8yQfr96+9ubXcXt44OzB64cnnqo1mqNGfJ2UenjlE0Igq7sVnL68cfvjw1vs/+/H3B6Cff/4K - Gw9FQRC2253llZWPr18/d+7C6TNnGo3m+fPnnr36zM2bN999952hwcFvvv766OhoFEXOQ7y0W627 - d++22+0oii4//VStVs5BBSBNpAybcqk8ODSoA72xtfHee++euXh1eqyulLgkW11auPbeL3753jtr - a+sUDjHIZnABhMWBHSkL5bw4Z5XPTsgeEjIPUkEQBloHyhvujyVYVWqNscmZJ87N3t5Ob1//+Dvf - /s5rL10JpwYNycrSyicf3/j5T3728cfXO70ekcqlIT6VF/0tpAwK/CgDGiqEttOTo1984dL3fvSz - h/fv/fu/SOZWWxNnzl64eHGgUQ4VAgAWDiIqENaDI6Off/Uz925+/NHtT7773b8SA6OikaHR0Bgw - rLeP1xdXH+1sb3SeOH35ydOjU6enzz15burmzXt37gU/ffvJybGnZ4dLVaUQKKU6Xezs737w0bth - Wb/2f7P3Zs+RXfmd3+8sd819X5CZSGQiASR2oAooVLH2IovFJtnNbnZLamtszYyt0SgsPfjBMQ4/ - 2JbDdoTnaSyHx56RRz2tafXCpUk297VYZC2sfUOhsO/7DuR+7z3n+OEmqtgth/4B6T4hoiJQyHtv - nvM7v9/3Wf2bzwAAIABJREFU+/ke6VQJMzniYOeLCnqgPcEAlsUoZyDJtq8TYzsPjTABIDjmFmb2 - tizq54n6hQEkjiQQjAhOOKsjdg7WfnHQIePMhKphVI1CxbK48LhdHreu63DAuoXfva2AABADzBFG - mHAhTNMkGBH0ZEWqh/gwREzGDaMmCcvlVGWKMAAlVNN0SdZqNWtpaXlhfj4eiSOqMMsqbG9c/Orr - Dz54b2VtXUs22EmnACBs3DwQUOTOfEv6/o64cuP2lUtaZX1/q3xsoCfdkve4gAFgEAIUwHooGj3/ - 7Il3ty/OzY2/+dprZ8wKPdKXjDptqCJgvL+7u7y+Mre43ZBqbU67OAGMCSFUIGLPV8hB2w8AAxcV - o8ZBYAKEgOAmYqAQjAQDxBBGIDB4PU1t+WwmO7L4cPTugyvJpE9Terq7NZebSIAQCNMy9nYnZuf3 - iQMF4o2NCQ0xjMHldEmqs2TuTs6uti+spKJBh8ygVqhsLV+8ePHzz29s7W85XV4LEwsDAyCUqrrS - l89t7rEKDt6bWJ8eG3n33Q+GTj3b254NehCrVrY21ibHHy/MziAkvfTSK6FwSCDgAglECaYUU2q3 - W59wRDAGRJkASrAEICwLCUEAAxDd60+ms739PSMr1Qd3b/3mrTePHelqb014fIotnAeBNza3i8t8 - Y3M3lAtFMx4MyOXyxBKpeKJxcab48P7dy1994cIDva0Jy2Qra4tjYyOffvTxja/v1GctNjwOPb3j - B6/yQXMSAaHY4dQQhmJxf2F+bntrM5lMS1Sq1ozt1blbX37y1dUb1ZpFOKeC/xbrFtlffPRkmmMT - LTnnjFkcOKaY2HhwDiBJwVC0r//Qg7nqo8WF137xc2vv7NmjfX6/h0qyjIBx2NkvFNY3Z+YX1Gg8 - 3NZMqV1KUUBY8ro7WhuTEc/UyuLtGzdJoKEgvFKmtSHb6gvrlgBAdiIcTjVm2/NGJDg8O/X4s09E - 1C/3dGZDsQZKCMdgMWaWi1vLs0u7hhZodESaVLc9IUQHH4EfzLx/p2QSdRA4wkAl4DzbnO7Ipz+/ - Kj+4+4D7vwj6kx3pdNxFAPH93fXppQdffPbFjZsPqtzTf+REvqPX60EKrt93DljUtRC8jviz/5On - fXUk7CEz4vV/Ekj2+pOpVEtL8/7jxemJ8d+8/c65c6e6W1olxnmptLo8c/Gzd2/ffUSpPDQw0NHa - 7HKAggEjVK1VRu/cHrl1c3hyJtXS0TEwNDhwWEKoUiqsrm/evHUXEWmgtzviczjVugRwv7i3srTw - 1eeffPnph7v7xfYjA6dOHAsHPBQDs0AiyNavMgYcOEYEOBMAwtYzCBkAg2mput6abmhJBJdXVkce - 3FveKuxzRfdFo035cEMK6qIpACEwOrCoHBCTCQJiDwIEJpozk2tLNeW0G8tTE+PXLl9M+eiRzua4 - R6USKZfLk3PT929du3z1+sb2fmNTtrOzoykdoRRAIAEEHUATCQIMgiDABFMCCNfDn7+VrMQ5F4AF - AkIJ1nTN4/V5/Prc4uJrr79x8fqjUMDrdugYI4YIx8QXCDamGnPNzQGvg2hAAGMiW4iWTFaxBBeg - EqQjJgOlyG5321hl7nK7unr7Hl7LXZm6c/nKFRRu9bodzc1JTYGaAEoQt/jW3u7UyrSluON+V9Lv - 1omQCXY7dIemIM4W52aW5udi3kaJSqImikurH37y+SefXyyVq37LJNyU6od5BBaXVIfm9jGAjfWN - uemp/e2hoh9xy7CsopNyUFytbV2tLYuXHkzdunE5pBlhh5VranT4FMaAYLBM2C3sLy7MlQA5oxF3 - 0OdSBIBgjBmmZZiWxRgHDojbqiSMyM7O9sT45IcfflwqlWOx+Msvfy8eb1A0GX77Er/749MjFKA6 - yl/Uv5gM/46yzB5IYMIQttU/BAlhATogumJEMJbBEjbUWHALwKqHEHAASe7q7Wub374389UXn3zo - Ydh14ZWoO0AcRNFUgXGxZO7v7C7OL5jA2zrzsirZfyCikkQlwUywakgwRSY2gAhhBJwD40BotqXt - +QsvzL3+yfjIgzde+zn6zjk5n/b5fYJQbuuni4X1pbkHjydb+o6GGjOaBJgC4eRJONWB9NYuJuwW - NgYADJwIC3NJWEZld2d0enFmeb2nbyAejeiqyk2jWCisLS2tLM5VSqVgKKI5XIhItjoZCxDMREgA - ke29mGIKVC2bvFypEUCSEFgAxQRjUs/D4mBYlsk5q9csgIXAgDCmiu4Kh0lbW8v8mrG4MPfFp58I - YhztPSzrPlUgsGrz09NXr37z3gcfbGxV4+nc0aMD8WjkYAcRwpbV2AWVbXqwHytj3OKKJIHgWxur - 7733TrG0e/bcmVwmGfZICi89uH3j68vX7oxMWbK7sSnb19Hq10EwCxhONnfmOyyv+9781KOvv5Sz - yUh3R1s06tKo4LXiytrS7atXLl5/vLJR7ew43teeawiDJgEBe5RBiD8YSSUcKl2cH79StbYmFg81 - tvcNnPQHY4RQArwh7E2EnTJYow9HEGU72+XeeCzTkpJsKxVSACzV4YzGon293bdmzYmRxx/+5l3p - bN7VG3GqACCAc4Twzu7u5s7exuZ2JBLO5dLYRjkKBJhiQjDiCkGUgDg4ACFCQAguRD1ygh8kehDg - IBBgWXOkG7OpZJNLn52bnrp142pXKnSkIycTVtjZXFh4fPnyR5++f2tlfZVhjagEkO3DA1C0RCp9 - /OSJqfdvzE+P/uJnfy1+8JJ8qCvkd2MkatVKYX35qw8//fK9jzb3K91D+VMnjoV8RCWAACzLoIJR - TWtrbY3feHTt3sTVSxcLW8UyN/yZJn+kEYFTAiPiC3V1NF2/9MnM3OKnX+DVtWKixd/b0Rb01AE1 - GCQQBDiRsCITmQIQEBiE4MDQgeYOwN43LYEtzgUILNiT+vmJIvtpOf3bEIV/CNc/tm7/3osfRCUf - nKawfQxDGIjkcXtaW1pndqZX19cvX7nCgDW35Ii1vz93b+bepa++vDQ7b1A5iRDAU9WnnUNgF/w2 - mZvBwSso7DEkJrKqO70+RVHX19ceP7x3I+SM+pzUKnt03teRllQtEAg9MzC4s27cHLn18acfrZfX - dzd2s5G0j7qYKO1X1pfXp6eW98vgyXbiXKZTASAMwBQWExYmsq4TQjDndZEEtdeR+jAZPZ3YATzd - bgUAaA6nLxhRVH15Z/fx8MP7d27tbgUxKvs9StzvVgJuxCyBkKQoiBImWK1aklUVgXyQ4koAU0Ql - jDEwA6yaqM96QXPp/Yd6b39z9eu7Iw/uP2RSEBm1wUP5oFfBmFlmuVLZW921bg/Pe2OtbtXhTgQo - BiGAcwNDDZAMAKjeySAAdh4uoghJCCNRZ3kiwExQgSQB2AZf2DnlgjNx8BgEwgITiwsuuJ3pdFBl - E4QQxiCAccE440RgUZ+uYUVRY9Ho4sLWytLinVs3C0bJ7/Xu7ey2ZDOelAeDQJhQSgGAC4txC4Bj - QKokZ9LpZDIpTxZGHj+W4td9TsWvcFTeKiyPfvb2OyPXbiIEhGBKsSwThAGEbcYmQkicY4QQQRyE - BfUZwJOq9GApe/Lq2TfBVptwUS4Vr1z5Wjb2XdRsDLnM0vLs2M0P3/loeGI3nEp1D/V2duc1CoKB - TCTw+tsPH+lbYaMf3Xp085sP2a5unUyGHEiT92qleyPDI19ev3HtdpQ4O3v7Dg/2u3ySAGAmAKcg - q4CVVCzYmc9NXR6ZW56Cdd51qieRadY0AEsCkIiuJhsCHqd4fH9CrckkkG7t6QqFYrpEMTABFggO - BJqyscKR1uRHgYfzm5e+uI5k1/5OY3u7RyGMVpyioBYK7NHCzNja0tnnzia0JtCdIAQgLMkqwlQI - wZmJgOH6/E9gAHHgYxYHZ92DkxwDMKGyMzOz/tobH/vzO+Wj5YRH01nZKi1uLD54eO/a+tpiLN8X - S2Y1BwisC9BlFTrbm5ZyY7en9+7cuVH1eahEdVIrbi3MPrx/6/bd+cVVJKsSUSjG3ATBAEkMCCIE - AUKWgFKpODc7++H775tbS9ZOd0PQsbk1f//e1Q8++mhlB6eajwwNDeZbslQAERYIAESrNseFioXx - 4a+sHV7d2T56KJcIUbN0/dJnX3/91fT8YnOupb2rO92YdOt2LcU54iAQBYkwCTF7u+R2xi9Dgglm - mpYwGTCbgyJRTWtpb5nvaYteCk9PTxU+v6hpnv7uvnikgaAaiH1m7FRKhYd3HwkLaWfOuWmKSHJh - d+fK1at3Z2Y39vcysXBQV13C3J8dn7h+ZXp8nDjT2dY2tz9IlTpqH+qPgBMQdk6sHULoi8ZC4TAg - KGytb2+sWQwsO2nqYKO30QpIYAAcisbzLfuJoGN4emp4ZpaaekvToVzHYYfTI9WRpgKgJgAAKyB5 - Jc145sihtZ2dt0dHrl/+Uq5hIZjqIFQBhMXq+trY2Ni1a99cuCBCoZDH481mskcGB2/fvjM/N/fO - O+94vJ5sJut2uwHAMIztne17d+8KAYlEQ3Mu60Y6YCFMCyEMmBAiezzeZCoZDAdnh5e//PKLdK4b - WyWHxI3S9vjYvY8/evvR6Ei5qmmaSgCYCZwDB8EEGAwsIAKQaVTBqhEAzu3QewEWF4hIikIIkglS - JUoQEgIQR1h3JdPZoaPHli/dn58ef/uN12h1Z6+1UcZofGz87q07ly9+ZVVqwWBobX+XEIIJ+btV - 0N/t3vI6xVQGDA3RwMnD+Ud3vxmbmPl0e51jnA8msrlmr1uTEBAOwrIshBmSBAFfIDQw0H3nm8zM - 8sTNG98oLje3UHNTs8vhRMQoVXbGJx/NTKyZVVmXw+25OI6G8j1t/bOHpr6++/D2g489WqmvORPV - ddkvLOfOFp9fnL14+dNEY+TU4TwgYEAEogJACFZPxQHAAIwxYIxKCBDGABhjYMgSIABVKuXy2vL4 - yAysEo+1pSHTxIqgWjwSCKsWIMqRZBjFva2tmfHRAIs4jR2dVwSmAlFfMOz1OHVdEZZl1IzdvVKh - YgpEAkG/162rBL61LP8OMwEJwByIAFw/B3Nux5Ic9N24YBwBsupQBROB5XaodiuWEBwKRyLRODx4 - OD42fvXKFU3R3bJuFaqrS3OffXnp8jfXd/aLKoAi4TpwABBgGYCARLNNjY3xacyq08P3sFXSAUdz - vanmNrkuJBQcFAFWKBI9f+7E3P3J2W+Wv/j0U+ZygFlqzyVlbHDOMcErK6szM6sjj2dPnzIbo0ep - KrAkUSxZ9sYoBLYtrbZGkYmqYQIGQhHGQjATOEgyCMEFZ3U6p9vdkPP39vSNzRS+uXHr+tVrRFjF - SiUQCqu6QwILitultbm7jx7xQCTY3hWK+7wyU2SaSCTD0QTD23eHJ4Nehy7KETcWle2NubGP3n/r - 9sNFEMLEyCLY1phhQkAmQ/2dTm+8wF3F8le3xpbfe++j7ZpS2N1ujLiN4vri/MytG9fWV5aD4ejJ - 02d9oZBAgAjFWMZYkgilCCM7cMaGTSIMQIRAlFDKBDOqyL4DAkB3JtPZk2fObn52c3xp7jdvv1Ha - W9rf7og2+DipmaiCLHl9qrgxU9rbLw0oh6OZbgTI4XDF4qnO7r6J3eGHc9Off/qxwvag3AtGYWzi - 8dVrX9+5eXt3vRAJRStFy05lFeJbUWFP2LSo3iRQVDmRaPD5PPNLKw8f3veHIoCoqrl29krzow/f - f/3X86OjVHZihKlgmAMiT1rAB3vlwfzYbjMwiwvBBQhMkEC8zpGgkj8YOnRo4PrjjdGle5989J4G - ZckqNTYmqMNhEcwttr++sTY7NzI21nbkaKi5GeiBe4MTcCieWCCbCF5/hB5OLlkbZXeqp63naKQx - q7uAcQAb6SEQjaW6Okhfz8i14bVvrn7l0dHu5uHmfJ6oKkMIavuVvbWFyeHF7WquZygju6OuMICw - gQnYfjCCHyBpno5sbDoKYCwIFQCYm42ZZF93S2s2dXd5dfPzK+FQerd7LRsPEihsbI09Gr38/tsf - LC+wULzj+NlX8519ep3/YwJCvE5F5YCY/bX+dpzcgejXnssfwC8BgdOdSCSODBxa2TEWFmfffust - JBgrlhWAys7O1MSdN976zeb6amO669yZM535nEpABsCAKtXq/Xv33n/r7c+u3Og9eux7knLoUB8l - uFoprSwuvPPWrys1s7r/YmM87HdpCBMg0sb27vDDBx+++87jh/fdPt/Ro0NnTj3j82DbF04pAAIG - wLmtv7XdetiW/woEFlAQhMqSM+BqT8eGH09Mjmws7lSUcKYh2x1Ktbj9dWIMsnkLdhTFtyx5B8Ge - CAQCWXUlm1rbu7PNS1cfb96+cUXnBWt3KJcIqQ51a2/n9oN7Vy99/nh00u90dA8Mtne0x+P2gBBx - gREidbK8Pci1HUEIAIAJgQEBqm+NdjQFACCMEcZujyfWkEimGkdvz117/wPquh30uQNeN2emyTgT - EI7Gunr6njl5qq21pTEWCLlVJCkCS8Uaq3IEABQsFUwFKLVrqboPWhDdkcp35Lv7Io/WbkxOii+/ - 1GRpb3fQ4dApK7lkYZW2Fjd2b49MOIKxwa72sJbVXaqiyulUMhGLPZhcHH5wTwmlZHHEjatsb3Vh - fubTLy7dvj/MhQBuIVaTMWAADohzpOguty8k686Njc3he3eaMs3rHtWBalGnlI14XT5nOtPW3b3U - en/0wejYV1/tu6XysSODwViOUy8gzSgVdtfXJibGkK7kD/cpDgkrGoDgnFuc1QzTZFwIgYAhIYQA - hNHe7vbDB/d/8tf/YWd7r6Oru6ur1+8PORyy+PYB5HfLCfEtpYQQXDABDA5algedmt86xVAJMGVA - mEAAQBC3w3ZtBAdCGBOZGZZgAmMEYAlucFurggEkuaO7t39p5+Ldxw/u3JBKRlhxZxNNrqCHS2AI - q7xfXF1anRgd192OTK7Z4axv1YhQQgniDKwa4qYiU4kQAA4EA7OAMaA4nc2dP69dejBzfWz5o/d/ - E1CYsdPRkEwITJgAbhrFva25ibHLN+780Bv1Rhs1iVAMtm7qyY34lvQfW3YijhBYMAIcAwhm7e/t - 3rh586Mvr23sVfLNWZ/badWq25ubs1PTE49HEED/oUP+UARLiImD9DBmHbjZEAOgQECSawwqNRO4 - IJwTARJBGIhdHwgOFmdMCI4B6vkJgO1lWdN9Ae3w4f75ler8pfmvv7xYMQukYiV9ETfWrNr2vXtf - fX3l0vWb90OJnp7ejr6+Fl/w4Bv+W/KY+q+s65A444wpkqLKlFeNibERKlNDiNWufDqkOfj+5x++ - f+ny9dn1vXzP4Y7u3pZGFwcQhgkcy8mWjm7o6rhxe3z15o2rXo9zY2ujs6XBpVq1wurM9MMPP/j0 - 8egq1ZuOP3O8vysT9oGKgdg0BYzB4ws2xIN+56O5ieGFLbQvye2Rtu4jbl+YAAZhRgKuRNjp1uj8 - 1GyNC8NAsXg82RQ7+DwYhASKHo/HTp08vmlN3pjY/OzDjxzWgmy0hHwKgG5akgB5ZX1jdn5pa3un - v78v15xGdlSOAIEpIUSmmCJ+cPa0IWmYWxYDIJjachrE6+slQwCAqKR646n21q7mzMLFsaU7t65H - HYTWTrip2FqfHRm+cef25dHROUnzOYgTZEBEEDuQUZJjicZTp05dn9hauD3zwbtvhlxUVHaTyTjG - qFjYX5mdfPfdD4ev33IHfINHjpx8ZsjntrcVwS0LEANFzmSaGsJhXKs9unvHAjkQTbgbUy5/AxIy - BTno8rXmGhwuNjG1UrhRNpkUCkTaso1+ByAAZhcNXAKgFEkSIlgAEgwEq6d6CDhAWAJgwgRiNjQB - +Ldr56etW/GEbvYPq3f7j63bv+fCQFQAatvSJQF1AipGICQwse5t+L0//Ocr1ddH3v/qtb/9q/ff - fU1xOBErq8a2B5eamrI5FcY3LIVYWJj1atr20hCJEgoYwDKYUQN7ixLAGSMYgawg4u3s7uuc2nrv - 63tv/uoXX7z/pk5EMhI4NtjeEPmnDXGv1+s9depkrUorULo/8WB4+tF7v3zbS12qRZkoWaJsQsmS - PAOnXopmujkcdKQYw4QKSmuWhTGWyZOh5kHdaGd7PamoMIAA0xSYCkoAAAWCkZa27ubWzqVbc9eu - XB6ZnJckpqj8lZfPf+fsibi/X1JVTGm5WlE1hVLicTsNsCzBCcMSBkAUGDBOQCDELZWApgK2TUOE - usKhCy++YCmBX73zxf2794bvXnXqSJEMhCwhaghME7lN7Dv9/I9OHD2CBAgBpmWqlNbNbQjsOhwI - WFxUqzWCsUNVNEUCbpnMFEQAEzULCSwDwpxZplEVzJKAgBD1whFjTCUiq3Z7nWIAxuoyTQYcgMoU - Yc6YYRhVqqnIjrbHNBaLv/jid7a3Stfu3frLf/NviENRVVXTtH/xx/9V8gfPuZ2UcWKYFkIcoxoI - AwnOLMCYDhweWp+d+Prh1qOR4asTf/n6z/4qqBPFKpHSeoNTeH3BFqez4s5tkth+ZY9bNc6ZHZHA - OK0ZHAGSCHCrKrgF9VdMcOAISP2E9QSJyZnFOWNCCGjPd3Q/++Pbj+Zu3PjmyifveGROrYJV3Njb - KQdTzScuvPjM6aFsroEAcMYEA0Skrv6hC5ZvZls8mFr96L23rn/xjooNRsHEolArKyWIevznTn/n - 2I9faUhEDAACYFYrYGEgBLASDie6unu/HF6G7S1gtVQqlkqEEQChBJADAOWymVxm8sGNh2BoqsPR - 098fiUQJYASMgqCSBdzEbr29o+tf/umf/eKDGx9fG3/j9Z+//96e7tiXEJNqLlpzAmimQh3RQHd3 - m9XYAAiAUsahWKoirCiKJlGCEUd261Y8MYD+bpcbAABjkCSGcbFUnh4d//TK+Ac//Ssd12ReImIP - WTtmueL0hn/vh98/drJXAZCAYFAB854jgyvzm+/dvjkzM/noZ6vv/fpXElQJVBSzcqqvp+N7Lz6e - 2745VyKkJlFBMQIgwCmAgrBGCBwZPNLQc/bi19+89sbP3v1VSUUmAtMyy6sbO10Dz3739/+L7q68 - 13PgskIIEBaYOlzOdKSx7djzrFr45c9/8fG7b7oop2bRLGxbXATDkee+8+L5770S8Lmf7G9cIABQ - ZYUKxAyjXuAKBIRaiBgmZ5aBhaVIABw4cMDEGQ4fO3Xyz5Hy12+8d3ty4v/5P//SoeoORVVVXDX2 - TFYQnBNQejr7BwdPWBwAI43C2tzY5+/8+psvP5CxRAApnEuVIikVShYcPjv0/H/++y0JosPBQRDV - B8sYRD0dlwuwWCCRTDYuORVpZ3lhcXa6WDKwR5bs3tATlShGgGQQDGSX3+PryDVtbm7Pbe0hogUS - qbaeLpcHS9heJywMAoMMggCWZYc43NdnWDW2V7l57dFP/uN/eO2NXwbDHiqLYnG/WC45nc62trws - K5RShCAcDg0ODpqW9atf/erK1Wv/81/8hdPp1HVdUZRisVQulz0e97lnn23OtWiaZs+XED7QvgG4 - nK5EY+PhwSMr+zdvPpj41//6f/c5JJUwwqsIVSkxA6EI8UfGlgVBvM5xQcRiolg1BFFUTeeWiVjN - NjgJzi3BKcKCSAC4Vq0KC2RKMALGBJgmltVcW+erP/LO7KNPb4xeu/zV+P3rThmI4Ea1Fg6Gj588 - GQuEN5fW/tObrxFKJEk6EMP8/10HL5DddsRIAiSCAW9XWyrmU8eR2CuxeLo5mc6lU0mXPSdAgAgh - CCECDIAQ6vG5z79wTqjKa2+9//mnn33+yWdup9vjchNJrG8ucVGLhZt++P0/jMb8gAGqLJvv+QM9 - soEcXz2e+duf/uStv6kEdeR1RWpVUipAsVzMd7X0HOpwuD3ASljWVYcLTGTWatUyOFwHcdcYI5AA - YfHkQ5hWuWYiQpcW5odvz1+5+o0X9h3GpgqmiSSnL/xnf/rHF4baw05JIHl9fePK9Myd0fkAqanV - LZVXBJGJrP2TP/pn55893d6aowiVDGtuYWW7UJEUramp0edxfFtpWz+XfcsTIQALIBxjw2KAiKpr - jFmcMdtKa9N5kACBZFl1UEoxcM4MwS0AGQA6O7o2hpZuP3j4aPjRg8nx137xGmEIm4gg5vNrTc0t - 1dlFTZURt+zWrWFZKiGAZMCa5g2lYg25RGxquWQZNe52JvJd8VSjZYAsAwYwgRDQZS9KtDtefPl0 - UcdvfHnl/Xfe+vLjt7wuKqyyyRgA4hwQqA7N19yUr1X7dE2177DJLYEptbsk9kzadsARKsuSJNXz - 4+ugZoyYaZXKRZeDgCQDVk6dPs2Qr1yqLC7N/exnY2++/RaVZESpijitFUhlv8qtvvMXTqZSllFB - MnW63P19fQ8md67fn3304OrUgy/e+BsSckvIKNT2NwKhUCQaR2HXrlCxYdqUQwIyEEfjwIlkO6wX - xFpRFMSVq/fvzy7Nv/+apGJLApNZtXJh73B/b0dHp9PtAgICkKxohCiCIwwYiydPVgCyEYbABMII - YcG5adiwJm5xDMgfT7z48nf3kcf8+u7I4+GZyfs//xuQVBDUZNgQJoGSFtSSJ0+dqRkVw2BUFljR - Qw2p737/1VXLNfLmF99cuTR656uf6gTzas2oMGGePXMucTpR2a59fekbwCDJdaknBsB1S7utNagX - e26Pe/DI4MTUw8WVjRs3b9y880DVXIrmqtUYMcpRrzMcT0nYvy5UZFat6oHFvd5fEIxzO5XsAMUg - OOMIY0QwE8yoVQ0TFBUBIsjt6+7r/35J4Wrs9Tff+eD9965d/FRRJEawhQkhGGo1bJreQCDQlFFs - zp0dnY0JcAyEdHV2ts1u3Jm+alYMl8Nx9OhAMCwTAIJtjgEGpIMWbGnz/ckfO+kvP7z81ZfvvPPm - Z5+8J+m6PTmgoiqJqqgVPNH0n2Q6ZYolDCCwQBgRLEsSxTVuWULUk7vrH5MxLES9EAbBgWFh6uHQ - 4YGIKy9xAAAgAElEQVSjf/RPBX/v0qXHC3/17/7t6xp2UoZ4gbEdi20bNdHacuLYsRePnz6ZSscw - gGFaMrIkgbkALrhADIAJZnHTwlRCAjizU84AAAgQgjG2HcsIAyaAIRKLv/r97++U4KOP90YeDs9O - T/1HXVMxglq1Vt0qFrZ6uhoHnn3+9MkT4fQB+AoEwYhSiWDKGKxtbGzv7dpdGFmiHqejWty/dev2 - 1MhDwS0sOCCk6k6Li62tLaNWjcUaT5977vz553rzWZUCgad/IQKgFBF79EkpIGLrFmwGoSTrYJQA - eEdrc258/tbYZqlaifoD585fCMUSdk+cIsA2f82Or8AEbJgcJgf3HgPDwDAQ7fCRk/8ZD1Xe+PTu - 9Nrbv/7lVx+94VURkeRSzShUyqxWyTUlzxwfOvfij1KpZD1hkiABqFI1MEIUI8Esy6gyU1AZPV13 - MRICmZYhYQRY2KnGCAQITl3uRCqd7+y5v8JnKrs9A0OpSEAjfHVhdndvp1QpTj++Mzry8MMPPn71 - 9/7gxQunTwz1SEBNojCsEcVDaYXXyqi2B6DZvW3OOGBBMAChoLtOnj2/S8MbP317fPTRxNjjn/7E - iTEivCJDDbOyAQQU55GTZzszKc4swAhkZWjoyNrC7MWbD65fvXx9ePKNv/VhowC1fbNWybU0t7S1 - F2BWlwgxS5QBIiAAYVkLRBrSuXxrW8e1sdXPP/zNjevfaIhFvI5XX3j2e+dO9gS6wIkPHz78Tzj6 - +Ruvz409+Ou//n9f/8Xfyo4o1SLFMrdqFTANZpn9zwx2HOqVQNhtAllSZFkWSGBbagACMOB61Sgw - AkWWOeelYrG4XzBqxu9sd0+uv6O9Bc5ZtVqrWdwSqP4yCOsAVQIIgHFAgmOC7eQnRXMYCAvLfBpT - xu1uJeHMAgCCESWIMaNUKjnclFIEspLINJ89SwpC+eWb79+9eeMvRqYdROcKMjEXEgILzIrhc/uG - jg2WikW3WwMFASImB8aYIlEZ21qrbxVHGAORAHNwezNZ5dXvv0Iv3rhx5dJ/+ulPfvML0B2OqmmZ - XIDgWHCZAFEcz+8XKcH26UMIjhG2rbaWKTC28eUYBLKDSYUQwC2COMYgMLU4Wl9fv/XNlQf37sp1 - hL1gFjdNq1AsD50486f/8l80NSUkG/dlPxWikANeE6730QlSNFlRQBQRqyL7NAkKCLBMIBgkRUJU - AqwiZILgSJioPhJSVJ2eOXOmyhzrO6vjMxO/fv31rz/6TOWSyihB1VJlhfFdX8Dze7//o/Mv/Tjg - A4rrOxGx/XNPcmcO8gLqvGDOEYDL7c2ksj3Hz6+srvz7f/dvXRr1SqYTlfe21qqgBOOpC9/9wblz - 51QABCBjDFgFzd+S7/qz//pP//3P3rxy7cav33r9w48/8KqWi1ZRbbdcK+wUqs2tDeeOvXz+/LPp - ZlCwvZuZ9V3R6Y8n5e6Oztml7YnVZZWGNY/PGwjJsoKgBtzyBDwNiUg8Hl1Y2SxXuMfti0ci0bDH - /jowAMIAY9UTST333At78KAgHg0/HvnpT66+86uiQrkQCmcyB4kDUlS9t7+/va2VWYIQAYAsgWuW - AECaIlu1slFhSCWE2o4uxDhnnCNC7a3gCcqVAmFcAAPQnT1Hj71oylM/f2dscvxvJkc++tXPFG5Y - rGCY+x1dmT/8oz+qFpSR+4uPph7bxAwOgAUBf6S3L/DyS1VDvvbpZ1+89drffvKb123LoMG4qJVY - Ybsxlnzhez88de5sJuVUACgABU5kCTgHSQnFE4mGeNjvXtmpqD5nPNvoCocUXaMCKAen5o5E/P6A - jils7xYamzpSyYaQV9UlsBdbBkCRDERnFjUNDpxzs8pMA6On6wUCwIRQWQEqA8aaolAkLG6HxtTH - 0RjAHvkgQE93qX8w1z+2bv+eywaOSAAChEGFRbgAogigCMlA3bIn0dTqeeX7ZiCenF3e2C5WKibS - 1Ejc15mNBTo6O+e3So/m17v7OpLxIEa87uWX1Egscejw4Zf3rKFTJ9pyWQxgmQwBkzA2rZokLJCk - vkODRerzpfKzG8VKYZdwoz3X1NHRoWg6YIJVNZVKnj1zyhf33Ry5M70yW1jfQ2UmG5jIvkDE7fKp - qjea7z/R0tJMAJgFEgOgUks+X6uUmnp7m9KN9Ekqy2+/9HYXpP4zBkwQQpwxJphBJLUhmXn5u68G - GueHp3d3Sgxhw+vTOto7GhqShEogcCKVvPDSd2TJ2drbKmMqgBGEMAZmAgEALLXmu5971htJl9ua - UzIFxjgmAhMCAjK53POSX/Umxme3Njfm9ndXjOou41VJAr/P5Y9kXYF0Z9/xSDBAMXBmZwXUR1i2 - C872CVNZCQZDgwMDLl8mnopFI2FCEAACLOkuf0tb1/kLgY2aN5NO6arMLUFB1JOIDFPVnZlc23df - +YEeb4uGgrpCkADBBEJSMtV44YXnZc3T3tehawoSggkuIQICeby+oaGhUtGKNyUWNlctCrrTEYpE - EqkkVVQQhqJ60umm7778Aonn21rSmiohxAlVaCA2NHT6j0T4wXJ5eLW6u7biU3DIEUl68oeaG4KS - tViAHSmygQIlo5huTEgEceDAseLwZbNtZ07XlspSLpt2OTXGGQYuEAGEhJ2GIb71bDEghBHCAMjn - 9Xd2dCSae7cWxhZG75U2Fojp8arpRDyeau/PD55sak4oKggBFAEiEgBxBpP9h9z/jHrujc3MT45u - Ls9b5YKFOdEkp9ed9DXkE61DnYPJnjyosgCQADSZgKAgDOBEDyUGh05839Cal/crJHTq1FBzE0EA - pgWSRUChbW355581Ja4owt2a6hw6PhSORBEAAybAAKgAsgBh2RMaOnrS1BuiLdOLG6s7u1PF4jw3 - a4rldpFQJJxyx4KBVLwt1+xy6jbwRHM4k43Zk6fPrdWc7e1tHpeE4ACXjgCB3R7B4ltvf/1LwQG7 - fNlcy+9/73uT88W9veX93SXT2MHIHfS3JRqCTZmBo8efScZBR7YNXwMsfPHEoWdP/wmhj6bm59d3 - isWCJEEo6O3KpQY7mgMqNM6sxpYqUjTv9yGKASwMoHAmW5bCOCSSyZOnh8Lx4NrM6NbC5N76iqZo - wUDI64+09x87/MyJaNQpUagf8AQCi3EAWVH9Ad/AwKGQx5EIe9YWpotbK1Dbj7RkovGGVLpp6Jnj - zbmcKoNhh06AcLg8uWzuwrPPuWOdrc1ZVVWAWcAsoDSWyJw8fbahlXQe6ddVoE+Ug5iE44kz55zC - HewZm1taXN7d2jJKFYEsn191+NKRaMLjiWQz7ZHGnOpwAzYDbu2l00e9Tr64vrm8UdwpMkXS/Zoe - crlDkXj3qVO9+bRDApkfgITrs4f6dN5u+wGVqcfr9fujfj/f39taXSkU9yWnhxKJIIo4FwgEwgZn - 1BIEU5Dc8VjiO8+dSzRE5xZriESPnj6bysZVHYAD4wxEDVMOSBGgAGAQVZ/bN9CZdxK9LdMxO7O9 - XyiUKnsIscZ0o+7QY7FYZ0dHWz7vcrkZY5TQSDh0dOgIpbS7u3t9fWNvb88wDJfbRamkqGo8Hu/v - 729sTGGCLWZRclCzMAAQRJJD4ehzFy64Iy1N+anVjaJZ2lcQiwRcDQ2BpkxMkulKwXF3Yr85k9QU - IBhAYEXVG5Lpw0ef4d7G9v7eeMhvI1wJYCwISDIYkqrpzz17btekHS1Zt9uFMcJUAhDYE2hr9/7o - VSveMj05Ob63ucJqJU2Rkw2Jlmyup6PLozq2VtbLwPL5DlVV4aCT8VtHr2/tEnZ9xYFgkICAx+1o - aYq++PzxWKZll7tCieahQ10Bn1tGnJnYDmFHAKjOUkZASaYl+5ykunyRmfmVtdW1vd19WZIVjTam - U8GQr6mxeWhwIBrzATAgMvjjrXrw1R/w1NTM4vTE3toyqpYoUWXJpWsel8ed78p39XQClsGquAOh - I0ef2UZub1OXpgK3wARBJUQowVwCIBbjlsCUABDJ4wsODh2r+lvjGxxJmm7uaMa2jCwLSarL6w8E - qKSCjLp6By7IqdiqWZNccnUbFdbcsuBYrjIIRcKaqtkWylKp8vDR2NrWvtPlPtTfFw37fufgisS3 - bybigDlgRXMcHjziw87VSiWRiGuKfSwGDBwTClxyeYNt+c4XLJ8ebY9FQrJEGOMcoXhD4vjJUxZC - o/NT8xsre3sFCWSP7m2IhZtbkl6/Ojwxa8iRUKbFpQIFIFgCRMEiYEmg+vq7+v7LH+PJpULBMmWv - Nz8w5A9HFAKUA8JIgM7BAomBGx0aamcBZ7AlO7q8sbm5VK3sWqYCiOpOVzAYCQfiAU+kt7tDUxXG - GWKcU0xwPabsoMBAwBHIeigcPXr0aEOh1prOhEMBhIRVrVIFE0JkRQEMICxgIhaPHz+mEyJNTI0v - LC1sbm0Wy2WTMYdMIs6GmFvzBP2ZgcFsa06zh0tUCoQCZ08NEsU5Mja9tDC3t7XhcWoBZ2PIq+fz - HdwZWSqKnYpoa2l2AWgCgEvANVACWJGiPuns+dPuWLSp9d7i+nZhb9+qGX6PN+T3eD2uvp7O3kOH - XR6XQIAIicbiR4+d4IHW5kyz2+HETx4mCCAEHJ5onJ44fnxD+I4cPhTw+sBuciACDnc6q194QQ02 - tt1/+GBjbWF/d6Nc2zehRlUU8seCekMq3HJ44EgmkyYYGYahIAEOd2t71yuvOPRoy/jscmFzySrt - OBXiD/mTjYljQ8e8qm9nacfjCYayaUye9ju+JVt7+tXFihKPx0+ePKno7tn55cXljb39ssPpdXkC - DQFvR3MTZcZiES9XpdbWjEOxSSMADCQJEEIYH2CgATHBBAdZVg4fPuyS/aruTjc1yvKByYwqkkvv - 7e3hStDn8++urZR2d7a3t0qmIQjx+nweXfW7nKl0+lB/rybV1xUuANsaWEXr7O69YKjClahqwVBL - X/dAXygAAGAIMIWQQAbkACIrPnKo31M0oS0bX1ldXVtb3ykUAGNBsEOGeMjjc8qBeCbf1up1ORkD - k5mYyqFQ5OSJ45sFI9eU9Ho8gnGTWYhKQGxeIAUhBLc4NgSYgAVIiiOWPn3GxQPp1omFpbWVvfXF - 6v4G5orTEQn49Xi8sTV/pC0/1JiJ6Q4QADKhiDMQ2OXydHV3v/LKy55AtKe7U5UkYosMDszhcJAt - YRkmxQQwBlkBZEmqms00vfzC8/FYeHJmZmllubi1QSzT79ID/q6AX+/oyGZ7ziXTjVivP2sODGPc - kms5c/qs6g9FmnOdPT02kViTlUQs8gc/fKU737K5vbO2sbmzt1+p1jRVc7hc7V09sXgincl2dLS3 - tuS86lNd8NMXqD59ok81ggI4AgYgBFIAgSJ3d7Y/XyXcESkrAV+2v+3QYX+wLhIRTNiAw6f+VoQQ - CECsrh7lDIRku2j88ewzz4RMLdQ7s7Y2P7W2MMPKe4CloKy7vcFYJNjd3tbV3prJdysumQMwDtwy - qCTHYvHjx0/kSuJQf1844KdIcIvbCb2YYCE4gE2TtLHZgITgSAAXOyur4w8eDT+ecPrDL/Y+f+z0 - C+mgR2OV/c2lanXfskqLKyvX701/ff3xlxeveH3uvsEeGSOkOL3h1JGjZ2NFo6u3IxZQpfrNQZRQ - BOzg6Ed9qaZTZ4KGHhue215eXl5bX6tWqphVNEn43ao3GAnEEm3dfc2ZJoeu2TtwLJE8e/ZcDevj - KzsLu9VSqShht0vPhALeQ/29qkJTD8ckX7ypLaMdBHYJpEiaO9GUe+UHP0qOL44u7W7vVwgS8Ui4 - ubXDH4oCkoCgeEP8xHGZqnh2rH1tfmp9ZbVYlTl2Kqrk0rVoOBwM+tq62lKJuFNT7AhUWVaamjI/ - /OGrjkBje0dKkigIw9b7+H3ejvb8yy+/tLmxE4nGmpqaHLrOrHpH5Qmu7revuttNCA4IKZra3dsn - DB5v7ezq7gr6PAd+xwOfoQBRMxGRIrHEuWfPcz3V2NHn1oEzMBiTCRacMc4plXMtLS++9B1Nc3V1 - dygqBTteGkugu7PNzS9JDl33zj6er+7Wdjf2dquFChguv8fj8DpVZ2OisbM77/G4CcF2MlIgGO3q - 6n7pO6Xmnv6GaJjaHk4BILhNzwdkgaz7Ao4Tx5/RAg0d2cTm4kxhc6VQLJqMIyppmh6LRCKhoDcY - yeVaFIps+Q150r20aYUIgDOo1QCQLxQ5d/5CpiSrqR6PW+MCLKDuQHhocKBcLO7s7m3v7u/ul0xL - qJrLHwwnU+n+vr7ufM7pdKgUqC3WQsAQZqhOOqYH1tNsW+dzz2uJFbO7PavKYNQOMloJCA5EQrmW - fKXyQqajls00OVRsmkKmCJAKVIpEXM8cG5RU8nhidHphdmNpDVWFzKmmCH8wF4k54qnWgaMvNDXH - 0EEf4VvC2wOV/rdDRghWCBVMEFkORGPHzpyplfb9Pufu+hIvbSui3N7a4k80N7R0Dhw/3ZhKYQGy - bZmiGnDq9mlHBg/XBO7q7phbXl/d2LT2VnRWCDn8us+v+3zZ9s6WrpPNbc2618bIcwBDADBBKHU6 - PeqJo8+4HP6FvgLiwYH+M8GImxAQVgmBsDN4v/+jV1dW92sGlQKBwf6+kFsTByMrC6iMZND9jY3O - 5876vNHcvYeZtZXRwu5srVLknErU4fUFff5gOBLN59tbW1sIAmYaRADVXR09/btSsGnHyjWlNIWY - FgCzsD14IxSRunRagK16Bcw4ICCC2pllwWz2uOQuqf77U6ubS3O1vS3KDNVJGnPxzr62rtzA/qqR - DCylc4l4PEokwkFgLIHikik5fvSI4g42N8a31td2tja3dgoWUEl3RwLehoAr3xQfOPpMQ67ZQe2p - CZAn/W4sgUMaPDL4zwu1+fU94Q6EW9rjTWlFAVEDxEElWiQSfPb505F4plSR4/HcoUMDAZ+kSCA4 - mGBz/AkgJRpNHxkE5MoNHurzetyMAbLZUwDMsgSgcDT2zKkzzqa9RENcUyRbr1QPsXjqDLSD2X6L - rfIP4ULi7+Sr/uNlX3U7h73CiiLwIjAA4mZEr7d+LAZGuVorbG6t3Lr3YHJhc6toBoKx1mymvTkX - jUYX1tfGZ2fDkVAi7IsH3VhYIBgwo7JXGFvcuj+11NZ1qLEh4nMQs2pgwXRNqRT3MTcUhwqc7e4Z - d8cXhqcWN1aXCbd6u9p7ujKxsEuRLRA6CB2YVLOq4wvTw9Oj82PTpY19aiDNKbfkm+KpiCeSdEfT - VJNVAFwFnXGgaGF0ZGtjtSR4Q77NEW9QMNYB6EERyZA9HQOb/2VL7BEAF8xiNWaUNYkBNgs7+4+m - Nu6PrkwvbmDK4g2h558/kw6HZKgBE1uLhcmRRUCKO+FIdSYZMAl0CYBXgIoypruFlcnpJWtqyWrt - OpXNKpwbslyhgMAwACEQ+uaOObu0tzA/PjvzeG9vxTQqDoecbkrm2g6H4i0ur0/XwDIBC5CJJeEa - QAWEDMjJbXWBYMDLwJS79+eW1w2H15FpDYVCmgxlIipQ2F5b2p7fpSUcam5LOxWQhKFKnBAMwGvl - Qq24W9nbWlpbt/SwI97iD/s9GKRaScKwsbzzcHiSKo5gQyjTnq5xSxKmTkDUKmBJCDtWZpYfTY7d - ffyQEeQO+NLZTK41lwl7iFmA8s5SEW7ObtRcsUzQ1Rzx+LAJVhWQBeVCsQyP1kvfTC6PP3zooigT - Cx/OpfubG4FVllZ2V0xtC3tAhpZcLOCWqVXUBYdKubxXuz+3uWmpudbmoIu4FAsjhogMiAqb+/DU - OSkAKvOPrn/96a//j//r/w53nv3xn/+v2a4BUdyYGb4+PXwHqrVkKHT40OFoS5sWDFYAJA6KsBQ7 - sohZIACoZGI6vbw6PTo6fPdueW/XBKY41GRTqq2psy3d4dUVwwkF4AWoeID6hAxlBKIIfBvYJpji - 3sL6bMEsg7ex9Zg3QkMYlCrzWKugy0alOr+2PjoypxFfLJDO5puEA2oYLF7VcUGDIoAAQwFTBeoq - V8z5ncL04tzs/PDS0li1VNaQN+Rs6Mj3xTJJf0PY4ZQ0AZJhAliAqsCKt2/e3LKcoebDnog/rIIT - ADgDIjiACQjbgW8cRL1fyBFUmFGghAvTub5SXZwvzC6PTS883i2vAsZNmUxXT093/hCxJEkAsvmp - uGZDiQzGqiXzytfXJ8amt7Z3FU3N5nLPnzvq1S1RXp9YWJ8vYNOZ6OvKuQXoJgDh1z546+3Xf/b6 - e+/+4I//9Md//t9ImrIxPzk3cm9mbNypBzKNba35nnAq7Qo6BAaJA2FAkYDaJmzO/o//3X/7ycNl - FO/8k3/1vxzpba/t7dy88uX85Aiv7rdmU82trc2trU6Pj2pOBlATIDFDgyJihbVtdOX2rOzO5FKe - VFRXcUFYZSzD/src6Gy5aAU8kd7mZpApyLhEgEG1BlyA7DAMmF7dHh15PDcxvrWyWqiWXGF/PJtq - 7z7sDySdbndABZcAMEtg7oEoWrsr1x88uj2+PrVhqK5ILNSQakg3Z5siMa/LTZhlKBxkwIARp6IM - wgSmgVABAWcABoiqqBmffXzzf/of/nJ7x+x4pudf/W//vT8ZDMi6BoAswYFjSgzTIGZVowj+P/be - pMeybEsT+tZauznN7a/1vZm7R//yZfMyk0qyhAAJCZjxE5jCAAmJGRPEAIFqggRIDJBASAxqiJiU - hCoRSAVVmfnyZbwXjYdHeER4Gx4R3pib2b33nL3XYrDv9Yh4WeQPIPMMPORys2sW5+yz91rf+hpS - 9NfPv3nw9OmDxy98x/t753905wLBwRS5vyb03puTVhFSBqeld9fgS2j1/JvlwyeLR4+ffPzJr3Pu - 33rr9tHx0cHBwd7eLrFYzquu896Lc6aaVS8vX392795ndz/75tk30+l0d29/f/9gd3d3PBlXdVwt - l04sBoYBSawrBjXXiAtY9+zb5a/vPvmrD++9+OZJsPzeWxfvvX/r3Z/dvnz18t6T/sMvXt9+/4/e - OcVAEEzJVsiLjz785b0nL/cuPpge3t4eSQACzCHDsl69evpyce/RdzcaJoP69unRbFgxEtINbAWp - bzr+7PHzDz/88P69T2+uXo4Gg3/wx//KnYvbo7pNN6vL7158/vjB9OJofHrQNG0LqlE0mBvqhG3M - oQWrYmMKFahYj3yF5fMvP757/7J+Sfv17Ph0p7514G21hJFzoZAvS8CnsIpcgRY5h1XHDx599+X9 - +5/d/Syl1Azak5Pj84vzo8PD6D3BJClIkA3OlpwfXb389tHTh/e+fPH02fXVzfbO7snp2a3bdyaz - mY/itUd32T9//Pnj72781M1OT4+neWWSlsMmlox3EC+7nJTaQGTXuPr20YPH917gwbKCq+r0Kvav - Imsmj1CdHR+eTauqslcPHnzxih939ZJruvkuv3qyNYqZ46vr1enFnbP9na3ZCJru/fUv//v/7r/5 - sz/7p37r5D/9r/7b0/d/72CKphjNv6kq3lyce+Qe2aX04vPHL+4/eXJ19cGf/qvN3pQBs+yQAnXI - 1/bdo88ePH/yWtz07P33L8jg0FfMAsYqXV+//s3nH//63sefffZFEwYHO8cffPDu2a39Zug++fyr - Z1eS4+777x9tRVRAtCX6F+hfwntc8uOny6+/vX7JPk9mx3dODhoMAUlIDGUQtNZr9FdIHVJ8cal/ - effrz+599Ojx/a6/8aHZ2t6789Z7t05vTwezyaCNNVYpQXpzXtn1QASqYgiIhLQA+g7yT3/54fcJ - R9u77x6fznzsltdV7ckDlIAetupX8G5qubpe5AePH3zx1f1P73767LvvbpbLYQzvnBy8f358fHEa - 93e1GfVYDbCssYJmmLu+Sb/55PMPf/3pp3e/qOv24uTw5+++/dadtxYW7z34btFhOh6/dTEPBFHD - 6jn6SziHQQuWy1cvP/rk019/9PFXXz29ucmHh+e3Ls7u3Do73N8dTmclttItXvTfP3r54O4Xr6g+ - /ll1fPtwhAqo0LEuoSssL2+u6f/66PFrv/3OWxe7Ez8KcGnBlmAJCODm+ev+8/v3P7/30cMHX3z/ - 4tkyLeph9e7bP7s4fOd0787u7oQrdITVzcshZ/EGUOrDw5fd//0Xv/r6s09ePXs8G7dvv/f27/3i - 9+ezeX/ZP/3y6cvnr8N8fPqzd6xCBQRAoBmakAEECJkgE2gFXqxePH367Ysn33z/0cefff3w6XA0 - O7t46/07t94+O71++fzTx6++Wcrk+N33bjnO4A45d3XlnStLuGBeqU/XZH1w7ce/vPvim6tYDc7v - 3Jluj8wyuwXkGmCj4eXKffPsxbNHjx5/+dXdz+6+urmhEI6OD4/2do/3d0/PTpvxhB2V/cRZz/21 - 6AJY4fXlN0+uP7z/vY72wu5Je7JXDTAGQsoTWlaFtpozmMGuu1l+//zywcOnv/rw4y8fPvAxQHg6 - iO+/fevkYHu6e9ROdlQi58ypC6SLnv7FL39znWh3PrlzfjioeNl3LgRyzpGwAYpsC3VLo2WAw8ph - 5eGaLtkXLy8/vvvx55/89bNH9x3y7vb87PjkvQ9+Z2f/rJ0My4SuZAt7zZKuifjeV9/+9d2Hg/H8 - 9HD31ukcmzRvIphAORsyZdVlit7DJdAKaQmr4UarFT+/vPzy4cNfffjrr+992l+/vjjcv3Pn9OLW - 8d7eLE7PO5FrgBSRwbiixbJ78frp5/c/ffho+86d6dnZ4dZWZdkX7KZbff/N04/ufn73/sMHT797 - /vJlcG5ne/ud93/29gc/3zncjYyKwNYV4jJIgOLksI7CSRvxM4okphDQEgb5W+i3eP3d5ZPXv7z/ - bT84sNm5370zOcAeYwBUXc9QdgxNAL2RFgCWLVmvyObYQwyWoD3grxIevHj96Mt7f/Uv/tmrb58m - dePp3unZO+++fefW2clwUGXBirAEXI86Xfn+KsP/n7/85FX27737zs6kHQRKqc8A2HkvZgqoJzJ0 - UvoAACAASURBVKP1jwDIKQnl1cf//M/+j//tH/8P/9P/cvSLf/vf+ff/kz/51/7h2QhtBrob8BK8 - ePHNN//zP/6z//wf/Y+vlvLv/nv/1j/6r/+LqrJ21fnXl5/+8pcvVI/efbfd3RvEiITAJYQ2CyXk - FbprUIaMktafPXl19+7dX/3qr1++esXWDWt3erR7fvutw9PzydbupHbOEvIKuYNlrJb5pv/zT774 - 688e3Pvyq+FoeHB09N47d26fH1PufvXRJxoGw+3Di1uHFUMAD3hdYPHyxcvLzx48++TLJ/e+ehSq - 5uj47E//+A9PZyPvFNrBc2JcLq9ePH345Mt7H/7qw0dPFzdd5cL48ODgg/ffu3VxPtsZuYoc54AV - uht0q8ev8PHXlx0P9yb83q3tSNcwgniAL5+/+vM//6ur68VwOPnFL/64aRtVOL8e2xWDFfvhDCzw - V0mrS2RKkGdfP/rm4ZOvvnn27h/94fzkxAyR4AHKEALU+sUrh5vrV0/+6jd3qTme7L93cDxjg6bF - qPHQTvtVcNXj+88+/+RhXbfbp1uHt/cTlp56ofJeugx5+u2L7x69+Obr7z779P6j75/eWLd3fHi8 - f3ywe3h+fL69O4kVYOp0BaT06vuvv3396y8eTfbPbp0cjttYCZjINDMzsUITrAdFper7m/zi2ZMv - P/vowb2Pv/r6gRLHejCdTt9//4PT07Pp1k5sBuRIe6schA2GXpHBXiAAUp+vX4ozLC/v3r3/TWr7 - 8fH5neNJRMxd4/Ly1fffPX3w8MGDz79+ev/hs+vOJvPds9vv/uEv/vBge+K0c44hrvTyPdAriBFQ - buMKugS6119/8dnT7umVP3r7jy6OuL9BRZ33wTlownLx8tvv7j///uvXK3f+9u/H4X6k1Shm4iXI - wYKZ7zQ/fPL4N598/Jf/z1/qUoP6uqG33z16653DizsfwG31imUPFyG8jiV06NfqAuO1ry4B2qF/ - ibt/+R/8h//RP3+aJr/zr//H/9l/ebI9efzFJ59++Bcvnj5Ad3379u3T937v9P2fuwo14LpccwYR - zJATiOB913WPn19+9PnXH3762csvP/Y3z9/am+7dfnf34p3J0fl4OideiwNYryK/YvgEBYSzPX34 - +PL7l5dXYbEaz3fef+8dQOH4OTmFWXrx/Zf3v3n5IqsO4tZ0fudAJm2PXEMiEBTV+q4yuL5e2L0v - 79/7/NdffXX3+YvvNHFTD8/Obp2dnR+fnMxn87qOQtQtrwISKD/58v7n3948vcbPfvEn+1sOq8x5 - 5USqGH8LgyJCVvhcrJ6K4YyCtE/h+8v88WeP7n384TcPvvKWxvvjP/k3/8HO6c4A4xf3X776+uq7 - xauL33+7PZgOoBFL5GWxdbq6Wn7zzbMv7395/4v7n9z7OkkznB++89atd28fnx9ujcdjZe4MudNI - faAeSMWYEc4tv3v59PGzh99fXlUj2T08PjveJTQ3iBmIgHt49/O/+OZbXSzbQbt/sDc/Oz0A0AEL - AwQjW5K9XHz50RfPum+Xcf/W7+7uTct2EQWekJaLfP199/r7l8++fnTNbuu8OXx7fyZVGRvmHKQ4 - QNE6VZh/ey70//vr71m3f+v1Q/aTgRKYYbn4yDAAFoSa+2vTHtbDekCdc1XVxGqwWPQwDNsq94vV - IqSuDo6QNS/7m0VHoNl4hNytbq57PwjOCTsYWETIl3BpNetWi5vXrxZXl8O2Dt7HEIWdpay5F04g - LyLDuplWg+exXsmNUl7l9OL19XjZz30IxFKmEoxsEM3GTCxIKsSB4H4yTv8x+5YAqGbN5nxJvmZf - 16AE46YZt80iOCHtHUtT1wTKpn1SThmEuq3NBGTX11e+DaEYmARwElvlVU9EMh4GL8k0+rCpDn2E - EZTrxjvXd/31zc3r5bIztZQYFp0LdQwxgIHgwQYhpzlZ4q5PodJSchMZcr66uvLejQY+Vl6Y1sFi - KffLdLPKq057WfVLcEDlnXAumpJYNXm1yBA1ySmn1ZIUxCASpGRETdtkYrXcdVkCe3hDIh/BHsbe - CxMW11c3qSPHIQTxHuTgKiTpu9Wwrclr7m+0rxEIEpABqX2wlJ5bXgQP78h5ER+ysZhXcgZl6p0T - oby2IkzAUi8vb+qqnoeRsMEyzJxzRqTYWNJtLrNMlhVGVHzAmYWDhwqzqpglxaKzm5WuVn1Uc0zC - ppqViJPlm16McyRqJEZUtRMmYclZu2WXuwyQqyJiqe5NsDIkqCA7pIxQAYPcr4xEpPcw0ZXLThje - CaiBQRNRJs8MTj2WnWkZOrqCUBQWplTQIRBCsKZWs8vV8tXNzevcq5ec1Ug4xtDWngurw3tks2V/ - efmKXaxj6xw7wSb/0wrjlgoc9RPDBAPEhQZIpG4+Hb94+jqtrlK/SKmHhC7Jqg99jyCgDOuMIsEC - SHtNmSU0zixpt0BambqU0mKx4rxCtzKRpq372i+utXFrAb/3MdZtiFXXd1evX02rOcFAcMH7EJ2v - YtWGEITR9egVAFxRVwmEtE/94vqmB3sPclQheWE0Awot+6qqGyB3XSchOIJ3gazS1VVOOpsMqSKy - TjWQdyQNUpfRhkiBxfLl61eD2cTWkQm+QQIyE5njzNY5zk6USZ1zIL9Y9kpCgmWHlsFM8A49ac6p - 61OfUkqrVb/o+k7RDCdVJWRWO3Il6Wyj8C1/lsx5MIMDVeJ8kJzy9WVe3lRVzLBOc81i2ZJmBsS5 - KC1MYRnUiYvigjgKnrr+9YtXw/kEwcFJZHOEpEogCEFcBb0pL5YPzgeAUghelYk5Z+1TSik7V9K2 - N/xs5mLO5JyY6Wq5vLq62tZc1VXd1N57IsSqIiRDNlWGUMFw2UEZ7MSHpm2ILaUOOWtWzdDeul69 - d8eHO9FjtUDbQNVS119dXZr40XhCpv3iaukaEjhXzFGYq2Y0CtW3l30Hgq2Wi1XkOgrEI2dkiyHU - wZElcUzMq667vrnp+r6e1atkVRVn06mIpL53P+JF0Js/f9R4bf6JCIxstlz2r1+bkHOOlISL+BfO - uxLRYwC/yVk3u1n2xipkMUaBak4isuq6vusAOHEi0vc9zAJQhmrI2Tk3jHXXDr4P8QWwWq1ySk7c - cDhwTjRjsVzWXthXRpJSRt8RED05djDNWc1MHHvvGTDtKWVkNbB3VHvpNDHMOecdCGLCLELiwVC4 - vltdX3ZJupCXzjEzuyrOm2HTNiKMnJE6U1TNEFJlRc4dtN+QyH771mHdvFIGk9Fi1Tkftre3CZYz - RCC02fe63GX2oa4qVuirlzeTYQxBLCtUi4k6Fz/31GdOWVXNupRdgo+hSS57tg4aAELXa0BAHBf7 - uyB9YARPWrmbRX9Nvg0IJc07mS+Kx9RBlwBVlR9V3EQxUwVnw3LV9ykTUdVUPgCMEFw27dfB8Zb6 - TourABGypa6/TMs6VqNAnsBm4qRuG0M2yyXxCxR8cIAjRi0SY3BMpFY5j2DQlE0TM7wvDmgElBww - 9KuUE1I/rGTYVDHWYG8cKDZZXL9cLV5/TxKiRa+F8UQILUrTTAyYExrWIVKi3Htxmi2nFEIQEdvw - 0FkkZROJw0FdNQO3ebyKXMR86PT6uh+Pxim7Z08eT6sDDp4lYNkj9SAgRO/Q1iF4EabgPQnqqnHi - iThb7hJcAgmij0IJlKDIOWvuGFnIYLpadgAPBmNAVv3NcrVkxz56lvJL/PDarhPU3qxCAsChagaD - XF8uxPmsenOzWK6WKesqq7Kv6noawqAWApDBDO+CrE1vTVXVlNmcC1D0q75LqdcslherRb0axLhh - V/Up8UqIZpPB8yd0c3N99fq6Sym6kPvsRJpBU9WVMHI2FhIQEYsPKGubI7scQnPTK2uatQAQgMrJ - D47lqQclRAuNDLvaeTFD6nt2rNluFnqzXEqIo9G4GOZ5FnFVf311eXntvWuk+FhmYtfUtTFnaJ+6 - 8vYRkyNZC0YzkAxI7CRIWl49T6sbATmJ3g98NXZhIMJsSBlJkYAqgFmI/fL6uk99jBI8CwEZjlDs - JVcdUurIqfMkLOI3MjISGGs25hy9NFWM3gfvncgy9atuuep7AxsHIqaS9bvBQ7z3vm2rWBsoq3Yp - LfsuFu2MGZwEITL13oe6rbqsqVczIzbxidAv4VwK0q/R5Y0zRkkBMIhuMAVkEEMYBogHKKJ3YC8+ - hlAt+j4QdrY2RKoezGCWzWeW/qp8CoRgTrKWMTiQFZ111rmqcugtr2LgEB1nH7z3zjsJaqKKXBgn - gujhOUBdt+ibul51fHN12ddCPgbvklEydH0WBjMDmrue8pJjtW7vxDXNcDCcZkhvpOzSm22aCaJA - R1ilbrG4fB3rnRDrFYFBlXhkGY+2NS+75XVcvsw0JhVzXoFutYyeHBFyUfmSc44tp26ZuqVjRBdB - mtWyKjMTtNgsFB82LDsYpKqFoGmxuLlqhgOJdTueKTmgH7QDCnXtkW66VAcSKAD28HVVZ0391fNv - Xz57sn1wMhgMjCQb+TV8BgKClxC8cy6GKkbt1dV1Hauopb5kspTYWxEswjpTFUHXL26WSGkSPUp3 - ZH3q+p6YhIWI+r6DNd7/NtP2X8q+ZWaoaZ+6pMZ+MBr3XXd99Sr4KvpYMDoQiCjECpYl1HU96IW1 - 7yzBeVAIxGD2jkh77fo+a5+077rlzc2Vq2COAdKk2TLIxk2zqG4WNzdXV1eL5cIiJ+2NrGmbuqlj - WG90WY1SenW1vFksp+NxWwXLSbMzERaxN7HeJeyQDKyB0VShqWJVVd57CTHECOIS41i3w6ymvTkG - E5BT3yfylQhUwcVXqhkgL5AsGRGTY+QeCPAhwJaxbqazra+/frjq+j6lnJFyTqnv+k5VnfdrijGR - ZhjwEzJiue99XiZT1RhYbIVctzU4h/IF7CGJl6seVA8GjakKpboKRGlNulQFkhMKwo4ImgmUNF9d - d8uuz0bifAaIUMX1T6efPHFas2PeFEEpadebYtXnlzfdd6+ujraG29PmWeOunSxW3Cl32VKyAhME - YeR+zUTlsIk+TITEnJ0oCMtV//q6a1+vpp1ZErLNFrZuKghgB2dg1eQ4kHG36kCq6FedbwLIOVBG - 6ntyvh3i6tp6MJP1SZADpCAnxMg9yZIAQ+yj5GGt0TOxF9em1C+7tFgsV906GEAKc8N5ZEW/UpIY - 4picI2ODDyJoePOemGrKWcQxUS57bokqMwAZfeqQ+5yrGEj7xfXr1WrBjoWdKfedrbQzsnZcu2mM - MZBaZlNlRnktLYi2USrPnskLk/MiIRtX7bAZT41hBjFEzwKGMeCQFKoAdzkvuiVIvSNhSgvkAF8s - eww3N6sQ66rKNwsjzqZdv7p2jtnVsTjaZ0EP9sNQLfPVsl8tcpqGCiJrnVYITnO9uuIMZnHj0ahq - ZPPxG1lPYZWBFMQ/lhf/3bj+Hrr9W68fSIuEYqgBBoo9SzFn5BDjZDZ9+523J3tnlysZjrYOt/cm - wyERzSZjVwuxDmMAUFQz4mM9GO24No63q8G4qQLMmIv/jXoXyIojM1VVdXZy7OvhzdVFHcPB/m5d - t8KOYKWxBBllmg3Hbx+dzeLw6uImZyNHw0k73Z6Mx9PKOTaYggUqLIrp9tagigvLw8HAEckbCdRv - c6+LiItYQGRqWU1FGBnaK0nc3tp5/92wt3/EUSbz8aAdqiJnOPJNyzt7xBJ4QN77wreBFldPR64e - jnf2vRtvxeG0FQERKSgrpBjRMFcVdndborPtrWG3WsLgnMznW7s7BzHID0pAAoCcGVo5R0RshtQv - vRgx+yC7O9vjcUUBTQVFMhjYhXo4244YhgVN2gbBQYiQ8zoVSVys2tFkTr7u3JCH4+gK75jUuGkH - J2fH2ci3sTD2Fdb3KTCDGX0eDQe3Ls4p8EpTGA0OTo7qQZNBQh7VcMTNUaNXPo6ZopeNlMhDEGve - 3dn+IFQnu/OGeWs43J/PpR0gpflOxeZGEs2bd5TRBxEow7ejkWDkl7Gt2yr6zJJ+VBb/CDFQ6ywJ - qYGYHbMYkHNixmwyiXfePhm1y2XyPm4fnjbDkdDatd4YCQgi4mpkFrYMbUfx+ORA0u/nRZ8tQ2g8 - n25v77sKK6BTMGeHxFnXsTPioQlopK729jho7jAYTergIIATADWgMWBrso0Lb+yrekghF7xQ1oMS - RibkAAsAnJPxyJ2fzQeDdy9u7VoWr+OB2zo6OJtOp0GQFUWHBWbysWpGB8enNzLm8TD4DQ5VTDbI - frL6S5m4rjBdyoqUXRX3dscd3do7nSzytXE9muxv756KeBbAOs2ZesfOmRM1NTIndH5+Mqnrrs8U - qvFsu27q4IRD3vJj6vzSjevIYV1QpK5frroVO+edj94P27Y6OhoFujg+ExqMhruzra268lzu2I9L - YDJH2WCd8Yqc85iOmt996+xgZ0YhNqPJ9nwY64qQMhWYo9iPepZ2OMD5aa1uOKjgXNnfIuDqdm9v - P133zJVvahVRRa8dHDcwp2nFnmbT5u07J3vTweL19SKrG0/8eFoNJm3TxgBXRiGl4JAQhrNbd97z - 8/5i4RBGdTWcjLZGIyGgX3UhMkg2YvI3LzetHehL8g/LbDb7g5//7J+9+vPl68vvnn0zHzoMawCO - 2chlMyImAorNIcV2ON8C3FjMz6Spm+pNc8tEpNn6Tp2HFKNvCkAEpGrc9o6wo+l8bEbTyaRp2+Gw - ZZai+vfel826QP/B+/29PSY6PDqIsZrNt+ZbW957NUvJileAgdSMzdYzCLXUJ/IUqubg8OAP/oBu - zk85paOd7e3dOXGom9GWi/WklhrRl9Uv4kJVtds7h80UCK2vY7W2brScMpsSuaoOB/t7i0TRS9s0 - RKxJGQBJsZudjQfvvnV7b2e+XC2F6HDvYDAYOO+4rnmkKzaMW27qN0lZP6iS/ubx8MMRyeRCaAZb - eweYTto0d03bVrAMEBPzmrdr2ORDGEvVwREJs0zHw7OTk+lk2iX1XuZbs+l05EQUTEYMBQPIMJBR - JfVsNKWL893Z5OZmNRxNt7Z2Y6yEoQZyDkxSNbOtHa+VtS0DjuFBTDAiIwYVe2kQEYjh42y+ZeOq - zc0qW2WLGp1nyySZZTwcuCBgG0+2jwLilus5BrsJdl0FIDQqta8a5xlkSP3r11cPHj1VDrPZvG2b - GFjeQGi/fcIWnxZSEDk3ns1jGKfopar0hy8AwJAQ2/EWj3znVjIMnp0QF5M8JRBXVX14eMgR+/sH - npvhYL67tz0YVq7Ks9m0GlbK4zbAFR09O6ACO6jC5XYgh74ZxdgNh9T4MlkAgQHJJCWrJHj0K5DV - jZwdbYX4ztbePDM7V4XY7GzvjadTcdJnMOACCExWKn0wsWblUju5wITK2/Hh0Y1RZAle1ogokxET - FJlgDHaq2q+jREa3zs5Gbbvquj71qV9tzcd7O/NmMhZ2yDkweRLAKTlhayMf7GyrVaPZsXGYToez - 3W2pYs18dDjx4kdNvR7dQeFKG5MsdcbmxR/s7tLPfuf48E5vla+ns/FwNp1551NKPZOyC843g2Gr - +2LDNBxn9yYGvYRAM0I9GDaHddVqY9pH7wkowbYbEAJVcLvb09xfbM1Gfe56yy74+Xx3OtwetSPx - 60qTyK/PKc3MPGzrt26dHUyGy9d3LKf948Oqbpi4aQd7B/umoGEjP63o6ScvsW3WIZGvRmN3QAEc - j47PwWG2tbu1s+1iTcQzqioV35AzFHPTgr5ZyRPKBgKDoQRjFtnd2x8P1fk4GA3Fsa3H3Q5MTOQZ - rgp7u9vo39ne3s1ELlbNoJlO2tF4yEIEc0xkRmRUSkEjmEMcjOfDc5kvqKbRsCYUI6a1HNiY1YED - eAnrQTlW/uDg4OfZHZ0c+8orNDra2ZrM5lshxgzWEuNAxD7UtR0dHSpcFcV7ZwYiohLWW2ICyAhE - ZSGbA3OZ+4nDdOTfvX18OBt1NysiqQej0XTWjucuCFPn2YzCetplADnnw2w2RWx9bMZVbWljAElg - ARurKUBExMTQDCicQCKRK7SLILKztfXBe+8f78y761ezYT3fns+2tkM1KAdMWYTlBooI+bA137ow - VPv7Mp6I84Qy6VFobqt4dHjYTPeOOixXneW+iWG2uz8YjcFgX0K90jo4YsOv3QjX10uSCcxGRD0A - IBVWLhxi287bczd9jSYNdoKUXazw7/hNcWqb6c56I4QSORCte1IyMJiyOJ4Mq5Oj3UH8/dXN6z75 - GKeT6cF8aztEX4aH7s3snQBxsXKHhwdj9U0VYnAEJRImKibQtE7sM2YmE+QMESJYyg8fP/347ucZ - frq1s3Nw4CMMQAIsgxKoC4Gayrex6knMsFITEBzHerS3ezSghTbkKvYCYmeKlFXEUeER+ApQsIBp - Nh7euTgfNHXK2QmEbTRqpvP5aDx0win13pVoQQE7gCDh5OSYQrV3dFwNZ6Od/dn2XlUxkuzt7ZLz - IdaVp2Jo3hsE7Fysajs+OmKS4+OTdro7PzhtmsE6WoQdSAk5iAwHw/2DI+/qs9dYdjHW08FoNJ5N - 29HIOQiJWFrPgFwcDHhr27dUTSt1zgFpXa0xN217fn7ed+pDjDGYWVaSH6U8b4rrnw5/17sUscho - MvGuGWuqJkPvnXNchraaoYa1ZbCFajA8Oj3vaSTVJG6SAMyymsHAxNPZjG8F730Ye+cZXCL1WJlY - lQkuyNZ0dnF2Nh7OX6cbqzkO6/FgOh/PQvQpQ/vkxBw7Eq3a4ZyrgYlvhm0VvXNEVCKTTI3MqIDs - JEQUPWHQHB0etJH3Dw7YBeejC/Vse2803WIHKKHY0CED6oSVsBYkwYhKfe9RNzv7BzUN+mZrY1lm - fd8LcTMcH56c+8H89HbXG8V6OJltT0cDKdWtFgeK8kzwxrUA5b8kcGEw3doPGKc4GFW+cMO1QA4w - dOQw29ppB6NMUlXROxV2uTeBgzCQiZQU40F7+/w8UqAsyAKsdg9GWztDsJhmmIj88Iw3Ke8/RXHL - ilC1pDFWofaITU8Sgptsjf27F8f7u4tOJ1t7053dOlIoFQUTTGBlKMmgDFLnaDioj492Yx27411c - X81iHMx2JruHTdN6wqb2VGbO4GwZWVmZlEaDqUPla1MeV0PvPJhsvdeJd814stP6utfecRViCKIk - G0gaACRAfDmAnO925nV367Qdz/pkOWUmmozH89lsPBp552AoZG2Qh+loMs+Vtdm1lS+lFv/ktAZU - iZV441eczbqOmOAE7NlIWMT5k6N9Zz+/vjiNntvpYGu2Xfs6aJSJU29L66sYmInBCsdYJ7QHz7Nx - m48Ph1V7cHy7d0NutibTcTscdVqKQPOwdd7dmijtjInYxcFge3+37a2PI223YoDfNAyqAIXpdC9G - mU4lxvGgDeJArEzqwVq8PMz7wWwuQJMHk2H0EIEaskHKjhJCOxwJHQStw3SCuNk61l2UbSI9f2CV - /J0Cb/8euv3bro0Zz8b2r7BC7EfQLRNiHFZb725tXeS41IrYRSACIFTSjNAAEGROPYjADixNaOqJ - 3yYqU+yc9E3SJDtXtGgA6rq9c2tycQso7UUGa0dsAFgkgzLMC40Gg1HVnh+dG3NmNoaygSBgMlBG - NsBDgcw03N7CbA4ChPrfZtkCAP1oKspcnKHUTM0UkJSt7y0Gv7U1mG4dsmejtWCKUk8Qcr4euMq3 - FBwiOkoLW+aUmESZRBjcRu+3pzUoAFBGhharLDYmc3Amgt29wc7uWMjDxAwpgQnksOg62AIolTGZ - kapjCj4AhKRdnxbMzgnHtt6uBRnK6Bg9lgojiWjDuIkDi0sCMwLWAW5r7g6xxHrg/GDLGddLUGnx - AAZjMKwGs3H5tXsgQzWrpux94afkMBqczoanb11A0DM6oAMScoCgGs8G7IEFpIJVZesxAA7MEHd4 - UE+Pjx3Ig0NxsUiKbM3INQ4LRqdIulBNwgHCqGITx5Xw0hf7GOeslAGlOfsBk1SzZLkY3xIxk5hZ - ygnAfCxno7fx9m30dtVpaILzhV/RZ5gBCeZZyJduHoauCWF0dHC6e+iTmBA5NlgyNsGyR5dz4ByQ - xYACu3BA10Ma1H5/OJ0BCU0G5wIjEsARlsm78bQZT7eUkNkS5QwAjlEyyhmJkP1aiCg0ClKd7Nw6 - 2QPEEMgG1Hkna4xXNZvZmsBS1bFyu9N5x+0NoG8WuAHreOcf3vcNaFX6CdflnlJ20Sa7k8lujZqU - VdFmUDK4dW7hghlJodmzwMgZZYPduXPL3TqHjyCvQM69lwSu5nC0pBvUgwrRgF6R0qpbLlYrEDnv - qioO2nY4HMb9PQL1nfQrFytHDgp4XjsfWeHEsIklkKnziYP3mIUwe++2cq1tdZ3h1LxPpKl4FOb1 - /7iHHw6DDCaS147vPYhhHszVYLA7wjKZOQrOGClr6ns476DcZ4nRZuNmNr5AOocSXNWzuwQur62u - EQnRlXbMoAYXMNk+muwfybCnpgf6hJwRA1KH1HXmqvLq/Wi75ZI7XJBBBRS0s7P7b/zDP/3q1/e+ - ffn8k48/+mBndDCaA4X1w6q6foRKUAZHN5zNh+3EIlybN5ucvaEOZc0pOwatT38PVAB87beadr49 - AzxIqHg2oGQWAwRHa9kwzAiIMR4MB/v7e2rGIgCyoetSzllVRRhivO7hNytNbdWZMIdY79XznZ19 - ZyZ9JmaQwrp2ECNVQ2AtPypjBKmcd81oODPplEhcmUJrzjmpmjlm58P+3raWVC4gZ/T9ypGJCNiZ - 2WTYjN5/J9vG7F9VQCBw9LUNZoFt3GT/21XBv7Qk2rxEBmLECnEyHM8am0+T9IaItWZ4LYwspUZa - gAEJLrQrVdME0GwyGo8mxp5FmGHr72DhckAKbAXJMEeKimI1lK3RUEjBHuasPNESGRIjdIWq2d4d - 1Bo6V5dZK1MBmNlI3lCHiQkiiHVTjxo3nGP9a1dkQFJIAqsm0R7IPNs52G52mXuCMzh0jssUGwAA - IABJREFUQJcpJvhFUp87EOly+f33zz+5+7mrBqfnF7PZtKmE/78LSttEBzPJdGcXE4YnkC0IsJK/ - YCCGryFu2LqW6iWcKrwYw4gZTBCQ8/uHh/vH24AAobw0RpqxnM+rCRoDy0ZhIxJgAmTA4HM9CPV2 - O6vkNVD8DZDXOHso2jRmeG/sKBOcbB/Otw+272RCrIXWzRkZ0hIpKZmKd0QspTYHsYhlBZXPiRxC - SxyHsw5kOQUjK9UFUGhmUEJiOE6aV9x78uPxYD4c3L51VvrSru84lPxQs5QsqXMCEUBJIokCGMdm - PDu+eLvJa7WGCVuMMmx3mQAN0IRc4C4PZqitkhFr9H66vTPd2n6PaqBeZSYgCDR3C819wXtY3GiC - qtqR8TXV1+5NP6rrEjE2ddUcSDUmqNaBNnwZF8GMrCB4z/P5ZDSszC58FXrVbOYk0ptNosD2JBvr - bxXnxjGMRiOP22xYXl3Bi/cRgB/5pm2hMIcl/eiAW7+nP/5bgRwIEnxbb7eT7YNjQMzEaB2e40Kc - N9Qkw2a2ugnVKcsSZa7GxCn3BHIu7B0fQ384VFXLjxU4FhIGSPhgb3d3vuu8FHOhPiPlrHlFZAR1 - 5NRy+YikICUmj1iFODieemMsGdcGoxKq02cozHsDMyAVqIf23ld7Bwfbe8dqxo6IQMimPUOx5rCv - jxTn42gcx9NRUdykXg1ZrQAxJWav3E5CJmQBCSSYd8QAuslApu/dBiLUwdAZuvKEuQcWwgiI2ECc - IHGx2q6H0x0ygBOo28yHBewh5syUCl5KQCooikCYxEEZql5kZ3u2tTNzeFu0pyIBZAaoHOjFKZ7F - 1r7i4trZ1tvzrTQeL4gDoLpEyiBDzlxXZ6cnx3HSeY8yxzKsFEnQA8FBwMh54+ho64KoiGE2MAwX - 3Y9pApdHkjMEHqFF3e5tN3vcvoY8T5svFmyiwC0bYOVR0g8zXgJY1v2HeJBz1ENsOqrH7dFbF6dQ - TTmoVkTCghKWxwRZDygUphDHPh62k1XBc9VIO5gSy2YgXE4tYnGgjK4DORLqkz54+OQ3H3+WwMPJ - fLK1LUUtmDNyB3SQTtE5xiBWHVXiQmLqAANC5bC1XbkecdlTKiF+qyXMrKojlw4pNNAMCMxm42Y6 - Pn/nrfP1yfWjGKJeVbtOVYp5OiRAFM7vnJzsnJz8HvyCpGM4ggfEXAyeAGEi5xOwAvoEJ3DsqeLD - k5PDk2OQz+QWQEqb7YUd0BvUgUbD4bCKp8cX2dqUa/aAFAUUHOCMSWmN3bhqOIi7ka2RQSGi6+Z8 - Y2nawdnFuEDomjSraTYu1NTN1kAbTsSbCmJdfhPBudF0azRhOGSyhIQCM9s6i4gMTAQWrgd7p1NY - m40UGyzekNWQTVwYb9fjrRkMcMi86tEpOMMTO6EEyxCaTKaDd6bio0ZkhyXUEnKnjjglTWnF0ZF3 - xLGdzOsJKTljYcDMyLTAxGX8sDanBpPBC/umag8Pjg52CvjO4sjXidYvUuEVsgKaYUrOM5BL0WMo - k1OIQzvcqkYTGSwdacnbMev6Pgj7enB8cfvolhdfgWRdXxlY1++oqimMRdyPEFMzA4jYgVDPdw5n - sVMPoeIutoE4TLFiR9u7B6nTZd+RF3YALCUjCBd02DqojgbtaDh66/ytdQvFAPfAjYLUtNhMvmGY - /OgE+hvVkCrMgo++Io0tQl3V2AntTnvLqOm4zvITAgGVF2ZzqwBAMwuNhk0YNqcnJzVYSho8eDOD - wppBT5nAGWwpW6fenJfQDqdtuzXZcglkDkGAlKBa9nzXtKNKxnNBRp/NGGpUECBDNngWhifkALqB - Ww2m8a3h+dFF64PnN6bzBhiYimIlixSsn4bzGFWWKuTXUbq2OaLX37hp49+8Rcuur4InEohIFgOz - yOnxztnRjqbMDBLKlBUmcOw5VVm6ZRV92WoNTglcbomn4NrDenB4fAZueycrQjL0Kyz7LMyuhGZq - Xp/rxmBn5IgojoZxUIN8orYDMuAzrHwhw4W2qcJ42gAR5kCpgCUEJWPSMvmNPJjNhnGyE0ycCtYP - TWHr4Hrxg6EfNkM/XKFa/ng5reFk3dzav1ugbbn+Hrr9267NMQ8i2TTRQgQpmutS4IEAB2JxMcAR - QB1yn8Q5M+TCmAKLFJ9FK7pYCLngARBBHBPB1FJOXhjEcAFrbfuGIr6eyQigUEqmmaBCgQQkay6B - EDOUQKDSLft1lV0ctbVfrQYSYEBOYCfY0Mzph/0CP0JvNWe1zELCJOIAEheYHItneNnwqMrE2zvv - SkaGEFUMgmXtcifRO+9LWHbOWbSUwgoPZPTaJ+rNkYjrOy3kZFgCOpgHOTVoxpsJXgzeyAiaVQni - 2HnPbyARYVRVECaQlbLTUnk4mxKhYBpKYDCjiEpAgPPrShCAkSnIWFWzshIJ4L3/MahtBiscRnG+ - aggEZXiGEUytT+bYggMhw5JqNhKIGTE0kIV1sNrmUDPA1DkfwQySdf+TOSuM1itAQdZHJ4Alza43 - JAfHptAE9lDTMrY1wDY1crlY2JFnMjDnbDkrEwUvrvgrKaACQV3BpHx7VvSFIZeQXc8BHgZkXeZF - rsyDnXMFdwVAxFKCIz2kCNBRBVdU4QYFYr2OKILSWlgq9Gb3UbM+kWUQEBxLGZCWAzIV8A8YwDnk - 4i9RKpFSURYIPAtBPEiRFQp4J6JmqkRlPOuwedQF1dr0VeVX+ptXSVYgx568lpm9miIDXOyg17p8 - EyVhEu8tlh/giRhEmlxpKdIKjhTcdSuOIuR65RDrEpGpGZJXoFxVPtZh2S2Xy2W37LpVn+tgcAQw - O/Gu1CpqShsZUi4xfSJ9v+r6RM5JCMKwLhET+6AAC9YBfaUGwDrTVQqh2WCWSz4Cr3k7DCHLyArv - SSmpdsTqWFxdFa1TlAApbYJBBLTuPAIwrMkzpNTvAFjg68IEALvSvqmCShNe9k5fGW/IZWt0FGsl - PhX0lozEQJPJ5Pd+93f+1+nwky8++t//yT/ZfevsZ4dnfa+cyIS8X8uyi991wX7BwiK2Xohr3LY0 - yc55x349sVVLKRmxuPJwjRhZFSplP6bSaWfVnFXViZAIiEQK+Z5KW4jNXi3OORQpIXJOfUrBudK2 - wQAXGjdWB4NTWEZmNecESsi2XCVfR7j1Qn1T+cGQ1MgxkwQhbP7VMcOH9ZBGy3jmDc8CIKay0wPd - amVEIdZElFFCCIUMucuclAiD4aALfANkrAObNyPFH007flKGb2hiymBfeBDeg4FgcAb86Bcx9ERd - QclJQYlVKZM6IRGnWJtXmWW1HuYdu5xLpN7KuRW5AasPBpADGagH2FRypqQQV4S2pimxgkOsqCp0 - /dwnSkvnXS6BwEKqZqYiRm+MxNY83LJuzdSUFSDVbIrS8MD4/2Xv/Z5u247qsDG651z7+869V7oI - GUkYkBFISPwwFMaBBHCVnVTylH/J+V9Slec8JFV5zUsqVB5ScVKFscGA7AKSYMAYxD3fXrN75KF7 - rr2PZMhrimjXueee78dee635o2f36NGjmVBg6Uy9H1NyCRjDJgew/vSP//j3f//b3/43f/Dr/+S/ - +E9+9de/9EN/Z07ksy3+wDevfz06t/UCco5qxkyXFCudxNLb25u/3sYEHIiMiGGjF3lCa6XdbUxw - SlwCXAQHveb+IicQqFpsUBjtnVQGa8C8j7aWqIOgVNDo79wNqrJQv425WKUbMIMT4wCnGY0GVvtE - SQpF+nCASp2rCl/cDpsgzAbaKJ1xl2nYgB8AYOYVKXLz/LJLL47bka7oCqYBJVZCSHfzF0RgnUjC - /RgIQwhLlaoIs0KjgEz4bF1/EOYvtxsY1bUIKcje1goewwSn+TiooFYNYd2nH4fjvsGgREjJAJIw - ZoCOo3IxuGAKZgo6zQLD53DQAY6Kkuo6RC7ESmhNx0BSgB9mPsiVWcTKl9fXNGZWThkA9P58P8F3 - sw9lCG0MnpKT/RmFk7O7RbGcB4K1E+iO10H1kANChgCRdC9uEAiNceyMLrFRUZTCCa2lGpTQgtkx - h6p1PJHAdLh7yQxZuWspEG7m44AMCMiQXlGyEe8MdyRxF1YqTRN2uVET4wYdxTWDGGuZ06gVSaYh - aERRhmFG67eqUCODKKYyzjjPdbfhNt04jBNFoXVPA6D19hny/e320XVcVThAgkmsgJQ84dPqpNl4 - Zam6OmGzvdFz4YRomuMgUkpKGKOKYk/kSBkEt0GmIQkX2LdOyCIRKq8DO5MCAFgLNo7Xl2AlPvH2 - /j7jtNfbJpS9+BhIvN11m5yG4XiwmIBd7fzgyWIbKdvQLbSQQbuRmBPu7xAn5MBACohh/snEauVl - gERqRUpVINOFAHtRWlXO1WFHVRlQDFqz492mtdktY1mp4QRgSW6PunJBtTRJUIpIeMDezjRiuN3s - 6pVUfZN8Hi8/8OkP/uAXv/yb/+oP/ugP/uDbv/0vf+gLv2AfAbM1CJDz93/3D//l7/zuH/3J//XN - X/ipn/yJH//BW+MHn93xmiruonGlFv1mE6UPv5RMDHdlrhXgaXaYww0rEZlnBN3G8DrNebuxRwEY - Wy9ACcCGWUKBtwQcZhzzQC5T+dXuD+e/+5VAgikCIYyBhvqEWOuO+zx8cGAaMCycCRJn4v3CPOBC - nOcoswfCzIa/m/ZZqTkrvajjMEVEpFdNCw2Uu5l/mDZ6PgMfXz39cSvzvM7zrs+UPOa7yTFnYzUR - IS1j2DyUGctXYMxS1DXzQXfAuthLQK6wYiaMBTeYQYwABbPxMisMrJCCww43Fwi7He+kda5lgJvR - qui+trBAs15tTglURtS9ubkPK/W8PQVt2JewTrwMEDjPN8Z9MuGjdsAAKGZI63QH3DGcZDUxk8FL - lMyGOM86TUphg3USp2BwiF51Enwa+5QkiYbA+fZ2O5zDDrMoTemAoendBmC4QJu3lznLNzHwOG46 - sd7OMbP8j6rlpU1FdaoDUJokd7Nxibc8/fkeFLcmyYf58RffefuzP7/bd/5yTD+KTuV12jwykecK - ZM4it+1DN3O9rb86XrwQir202pVEMhOLmdNsgPBTb8bjNm24rLweGGhjEkTUXDmRDhHyt7TzXC/T - x8DYrbESthALQXg/egJxAifmsDFvmKUtUfSa6gKHPiMTICJzLZvHLGxkW9lKrrnBCJqN2Q4psiTd - bby+yJ3mKBcy877e2zyO6dZ9vOAY1bMvJNzs9eUj2yhSJTkyYLaDBHdooiqsBAZuB9x81EZSIKIj - aBKWNAk6z7d1fz8GbMTh3l0niTMBwsfRX2coBSZNMDKJVqY02AEaMA0egSJpuD08TwibgtvV7r2Q - DCZ2UInelvoPWJq/5a/vQ7d/7Yt7oYOABvgKeGLqkVFaYECQfNGSXrZvMA3vgWEYUmG8zIRlgcCj - +I8ZnTAkS4dV7c0AaoCGlJSZoLuRKOlaYJDihpBw+UDXgVV5vMhh1k8BoUhh3apTqAQSWJWsV4z+ - QYherrwSbKvOJjN48eihB/SlRDE7QcEJSplKDFRiL6z2oA5w7jxUWDkLcMFppYNTp0U7IFtvlag8 - JRY9jCbusM6gRCyAixbu1ne/x6bLMVF4lBsG1A6iseUXC74Ryqt3GiAT4GQ5ukV/qVCWzn15EGa0 - jLAIcCATGblO2rHzZIR5il48J+uSsAekkV1FzOaobYNk1jVgBqByAiQUQGZmmsnL26lZtf3Mj1vb - 80iillOkViRhRlYEJkHvQQADPhBEpM54b0NGF+iohECvB7dJhNXKeFS495rxx2fOgQER1sRUiIiA - s4pCaqF7Lb4eXgJC5incR3EpcodXE3G0auSV5sdwHOqWIGnK2kdCEzEARex6Vv9AypbYGEo33/jA - 7ldQUDc56Rg9EUwHW/BtgCakQSxHbcCa9gHF4LIqYlSuDMeguU8XPeHJ4Zcj07V44UM+EbkykzDn - NMxyumDmo8YvQ29jTmhk7EPNTGT51RGRAbL5OGtBAwCW5Hs3lwXJ7OpFa1xVQNkaWVVdVmijiDg7 - qN+Y+oYKliLZ/nCbkhe2IAMToXAaxiuaWmhAWq4jgqiil0Ef8LkIaw4O9DBnbPCQqAjy9fXdj/zI - D3/rp7/+W//uD3/zn/2zP/z2v/7Ot37u09s7eoHlKCpD1xbRMmfCiEydpAjPBOgg1oKXR1Abjmk2 - 0yYMYgJRRQZPlrXuzMx02cXrhIh173Jbs7I5V6pphSTQJ0uEMgOZcHLc2IS6IJJWjh4BjnEjD11J - uy4nLWs2CgJo3q8UEUaSDmOGItPcTQBKMwQ2Rm0+Is2NEhTkELDO5WM4QS8DWTBwb7Irhn/aGvpg - nzxOHatYPYGwdDqvrE5V6EKwhC3MDqpceBESc633mOnGzWQI8KQt4uiPsCEi/W65WCQwAzzBN4Dk - QcKrMFCZKK4EQIdUfWdpcOsV3BXF9eotWCFWgH55s2AHPmbe36vI3KwxGvT74xqL9+9/+1/8i9/+ - 7d+Zx+vXvvHNb/70z7y+u9U4fDCAj7i1BRO2tQfQT1eLWapkHECHHT6y1LmdeNA+1O8i6X1WZ8rV - aiNl+7OzGU/ZkR0q19MHMg4q6KbhF53FgLWWoHkD5o4VANIaJt8la9i6JsSKdMKIWKusJskkJMJn - 7R2X1dvZXCUSTgrwvQsMTGOWXHYZyr4tY0onctIBq7NNgZUax2EUeJTwSz8yAUtiCavlaMuY1q7I - QC3OdukmsiT12tXyKztJWossyGmQYaXgOyq9aIPVg8XWUiaPA2QDmARhhQ3sLV1eTfXZ4NPiMLiT - ac4nWyxFnkYjHRJ8WNXcJixhWQSvZqB/9za91l45TJX8qNGrvb5Te1eagVJhbD6qeFt717S/GpFS - kiqTeFWv1CfsnSVQVGi9kVYBZ3dUH3BCndol2rgKgZ0LrJSCFQbitVoVQpIJlmBYR3AKmg3YUMsc - FOGqHDqv7UzAkElEFql/e3S9dFmA4DBqFBtNkTJMyCCdjGUaKANT1T1dWFDcz3oGYAKwaimAnaBp - uqEyUtn0CqDe2Fs3lAxV6gPGLKaYdEDIAE2yrJBegWrqRtsuOlgBPs7+kU24wyewVYtK7wrsINwn - QCemsySDqsOVUGeSBuurXfFe/hrtcvMMqq41tt3ttGF4RdTcOYDBJryMK7CpDACNjf8ninFAB5ze - /sg+9pnnMhc68CH4YPNd++U6s1ojuHgRV61khVAGGjqMqkV8JlZpySHvC5lf/erXfvlXfu1//63f - +93f+q3/9r/5r//X3/iNH/n09sUjj/irePv3n/3Fv/1Xv//t/+P3/vTv/PgP//J/9iv/4B/8/OcA - AUPIA6s5yOar+dRyEFgSRSMFTyM9DUaFgnRrF67qw4CUyOLa74czR0RXHTpR+j9C7tw0WMCiFIvO - CXOTKxXq2pvO2IsduLR3pCw/nABRLieNVC+o9hngtM2Cq63C/UVhML7JP9aaNxWoxaIZNfjg3D6s - Er/Lk1BCIViEAJqBxiHCemWSvc3ZMiz5UD1qYiUyqzZU42o2T4Da9XOe7U1OYGGdQG5+AU6dIhzm - vM5rRnEX+xAwbgtXh796sthyf0QgWGmpXnbsDFnNq1Pb1yielbkVilZLk3WSmMFH0wxAZeVf4QKR - c3jaXJg5ppVzkDULcCjNkm1adowj5ILC4GkjSbhzTjkJxTqNk/UWgkDEgqehxMdYNR+ptSKmuQza - vzpke2Ka6qsOPUvrmHth7Xn4nuzh40iyyfEim8IdWnPW6q+DTwOt5IBWXCEARJZWDwxG8zHV53AD - NjtlCQDGnKazrbstDXAYaBYoCKaylo7HeQqVORKnaBh+Qik4aVktdh47p7NYsw6GATjoDpytTls8 - aABZUPzlUIgOehHaRKv6FH6Xz82ykNu+UzzmIifIJciHMRG0FFxESkw4jeFCLEM6BgDJE+VN5Dbe - 3TU4y3sDNxrYVjNDyOrrC3T7JdCKGgufHKRPU9rplxVRJW19JoJi+dpPOwJxl1uVDHhXeEnGUsvq - eCclr300Rmt5bCkJbl+hgJD2PtmL6UO352/56/vQ7f/LqyySMBOubVMBAAG8lXO4MO5hsmoZBLPA - OGFhqKzONtFK2DCfHJXMWDTCHERmUjnGgCRphbyaNCkYCzD4sYECo02jWAs/L/ZhL/oEU4hERh61 - I4VUoGQFVLS3cn7wOBMvc//0MjPAMtfTYLS52RGnlAqk+chELJiq1B9AknQblIMZeacZ7Fb3CQIM - cA1jwAtIoVvjd8CF3KpS6J1hQsRyrTHHoAuW2uTMgLCGL/fRn8DNV7X2i3b+aGTpraBVfhAdWkXl - bm1gDq2ktZTkFX2ntOI+vGRBm08jMEIzkmMnMaVNhyrvySovlCoGsKxr3QEiMs2NZlTZXggFCDqO - Uj0WiEEYGFB0dLZdasd0FMq8H/hDLH8v4nKJzcfHH3/y7vXFmQYosN5Q1RSYhQ/G2/n2Mg+HCeaw - MbwlrYy3eVs4eXlf4t4iHcY7ESfcBnwAHVO2/CiANNuZgvZk+n8DCqxAxAne6dMLt15E9Tp7LMAd - ig5noQfRqQ/2Mb9rT5RSpPwpjPoAbG5qwxPr9vHjrKQjG2qGBonZqlSZ2DqQaTgx1BJiYEKxnCeO - AvszmWZhtOOYCUYF/uW2BIjqVLTGwdvr/OiTd6+vr3Mcx3hxQDBlgGaOlUidqRMy5ciojzQQr+/e - ffK5z82PPwYUUUljQoqTWaXL7YqRnQNAhDrvKrvmJpUrYpQaUgXAWonY6wrbG4FByFhrTTtABpBL - EIdjGEwrcyUtzGijADcHZprFG3VvrqHGtM8tYukB3aKvX0vYtioNCeIgPvV/+B/94u/95b/9737j - f/rzP/6Tv/x3//6LP/yRXxmPhEJS0ghYwBIwWcQafje+LJEYJKITGDUHJzjMD5b0NFZipeS7CwWv - hQHQnM1P3liScp0naDbGd4nlJ3Cu5W6zFCgViBOZ4AQ8gUQETq94VIEkbI7b2BFpJk5oAI5EAnYw - 2zst1EG5zu5TRQYYqfL8U4gImrlz2wufc0Irc8GZybXOw5yDHBvi29ugKgD7wa+ihst0PzbRxVod - IZ4KIdg07/bMU0jd3YIIDEEnVgI4gDR8toSZRWvOwMplvoaH0Soq4QBoibV0jgDPwgQCdgcmyGIo - iEgqIsZwwCVGZMrhmGaYXjFuzY6V6iLUoT5KoCusaBXSRqgwbMCEpcL4KMyBMopACCj6Z2bg/ft/ - 8+1v/8mf/OlPfuNbP/Wtn/vRH/vqsGczXcPR3uk2mU+wfC21DBRVz6BISCwkwn0Mu5+RscYYZd+3 - WCQkcDhGwakR6RyXkUtgWUwnu+LZ9mZBk4ci74Ru0Fon8DJKkU2gsEm/LwEsnCPPFvlhW97GJasa - lACwYrGqZCOMhsOzonyzZsNXui6k6qZCCHSbbY4TFkThahKZm0NOREkejohY0BjeZyodqZUyHcZL - CRVYYAXCTc09E6cBBlnJ8ERqLbKWUwKVixs1bXX5HURfO1od+QSQWYRHDGzmR/HeBmRxnvKDB7oE - KKugpjbWglYfMR2870Nhr083mgZUIIpARZxr3W+3G6BuecReuSs0Iu3weeDtsk3NKP8QMKl/+Hza - uZXX5cVUq2fKeNP5JoH+jnTbIGFfIxFLqSTTRxsLPSUqVJTHTm1EnKf7pDsqexUldFA30Uid0UyJ - 6gLsDtqSKk/FfX4PpWybJD4kedaCDTdYjYoR7lbugPsmRlVvJljn5Wrj5IYWyqMxjuGOojZm7g2T - yRNx+t3ox9gkgyJz+/XINX8HnF43fRnJrnuNzDOXO45eNu3+A0CGsnpsKyEELbATqxEhWzZUOsII - IMoy0uHZ6ripFTg5AxgYsx+3vBDg9ThwAmmdHZKj2CXzsT6qI9taZzoK9n3Ey7RrJ3QaXAt5AqBC - 6bUnjC+90MjONFauDaAaK6ANNrUqIUX9stf0UQ8kE0qsM2aKx9z38Fhhe4FX6s6azkYHrbNKvn28 - TEkFBVxhTkR6BG43LJ7nyogf//GfHP/kP/+f/5f/7Td+83f/x//hv//sjE8/Hl/6wruPpu5/9u/+ - /f/5Rz6Oz33tm7/8j3/tH/+X/+kv/ty3XgFbSAcOnOBQyWuYm60np2oYSV8VXNTtrzMjYcPo5nB6 - 75MMlhhEsXt2WHc/z+Olusylm9/4oJZm9XMEMhbRCiW1lry1TrsYb7DVgjq3YWNoEshUnJqThRwC - j9ZzYMFQT6ahN18CW5apbRbN0EmXzFQwk5Tb+MAlej78dghURTBCnhHCOAaGj9nNlKztjQDBx3jw - RWjunXGrTFJkSOKwnbzvnFSZoz5nK3F1vgcWeAOhxNKd0wDXJvzV7RUHvCw3uxjTjK3dH3lB1Uha - p34rTQdBUpRaPCJT5riWn2DD623IFLIad5F1Io/eslnZ8CqOFBE0S87axFYqUHGHqqkdjfME1uVy - CMgFvSEX7Gas7mHTjzJEuda6ucHdvE+DFWEe2Gipd1iXseDDgaqTWZVp7bmWChAEUATT4VNg157g - Mc0PH/rxEgCYYbx+8vkf/Px3Dn7y0W0agSzllEwQY6OL08xLRy2VZxKy6ZjzMAXO57NOwCP90aal - vzI7ACu+Lgo+UEXxPe2R94GEDDYFAzEGz9TS/YaBoKmk++0gI4O8ULQBzobnBVULR282V8YqpbVN - +zAOAz0j1vkGEjr88F3RWWitdtkKQENmOrIsCeCRpsHp05le+VAE1ehLtKDCCQmaO6W59VKsMxGp - WKpCDjbIgBQyQnHvKfR5VeNKLHFPsxtvN4fZmTjf4LfK61UiWPCQe+7M91XelNAZGAPjctDkyirb - zQ6YkUpnwohxIM/QAzF4WlHNk6LtMOV7ltff7tf3odu/6VXOdK0JF0OILZvi20DDfHKa+9rqAe21 - NjUmbPggfAwkE7ayaTUs+kY5d5UzzEpRsHqcl8/nww2W2snz/gAYUrnyLkvfbPaF6t3AAAAgAElE - QVTC71CsO/PROHAK0KhO3Wg/lQ22sXbjB+Sgy8ZuvUmlCBSJPoEIMMOVHD6G1bk4HKNjSYNa/+Q2 - jwAEDnNhRQZjS24jgXvKFxi0KvFIRZ4nsKAQ1jhuVnUABgjm8HFzNgNjRZ73MD+MmLeCdroGkM+P - IViDEcWfrINuc+7KWlj5jYgUQKfRrRJBw2kPb4EGY9fZIhCZMNmoXgTtSLqPAfdU3rVOG7P8BkKC - CwplLhuVD6/kt5yCbNBaaA1Uly2nItzMzMgMLTGO8WKadUtYSKQ5/wNH41PYKSiR79599NUf++qv - /9qvj698/eUYbhhV0f7WvzwEDd7Gq2rxXVl1A4hQFG8tM40lz++tryEgw+DDMKx1LxInPK0A3fbW - g6VdVbB3dxvOzoQrMG6HH0EPYEkQXq2hig0fAyHECsugeBg5hlXJEVid3tfb7Zhmftzsev4VuWjp - O7ysmPEqz2sH90M/g65AYpEpC9MgZ+dn0bsfAGFdL0LYAKtyvDScqeOYdaa1+0gBLEEKBV4LHod+ - 4Ae++FPf/Nl/8p31rZ/+1scfv1jnR85SqJcq7eC3eSNYgHkFgwh86+//wj/6QvzlD/39H/3KF+dA - fHY6DW6NPgC0i6jRuZqN26LKgmAAEhSdl3QVEgY7xg1MYUUs47Hblgjm8zbAIZjRbl1L0AYANhd9 - PS/K7uuIy7VFrqWTPju23gnUaxbUjs5mNWUC+Wv/6Fff/eiXv/TNr//8N3/m9XYDS2UYGTndaFxn - TFrh3WDlbqdhARg+IBpwDGMZsnwPnhivwMDOmqiY5g8wuZeJMqF86Ahse3oUuc7syYb2+hpj0HbO - oNz2YfAhYQkLmQgzsASva16FcyEsfKZjuRmyi00BrADWeZhoAn2OcQ2YO9xGlRY+YSg4l0TeSh1F - CWCtU5yvr68PLnoIJc8yyFIFaE6m+hz48MVr26QIg7lzAhlsVRGBJegxvU6lBWRCJ9JjjbwhYRPz - OMIQFc84jnHQQCwp3793A4bBnMIw8y59qHJC1C7rcW7+nHlkGkC3iuCUWHEfcYd5lBY8MK6owqwR - FBaRGcpYucBCNnzDMQUmgkKuBO82V4WFjurSQ//o3c/+zE/Pz39J777w9Z//hx9/8hGBEysj3Wdv - 18bcrwDjUsLYuwZWGUzUqq/y9P2QdDOy+Y9uSG9sblQSKbSipDoKtEkosTxhMnIDvuhAaCVMcmpL - iaOqHLM6DgkD4jyGMUABZ8bbW7y4V3J0sj+/88SNMtqc0wFI3ukKsAsQEAASnmCKEN1UqRbIaSz0 - SlQDHDYUiDNFA2EORWaafPog6UCuZfcTY5Jj2JOiEXHZTFnSLRvQGwKEmTgQVDrpNMBP8I5YmStx - 4KpHSaBRJD6fFo1+jtsxEKVWtQMuRuGqsE3YfiQMr50TgTzRzW2MZhGZ4TY+jD9U2YE+Xt39xSet - FPAT8Jze2F8y16Nvd0NkFa09gJLrPgghzrUizJ3upMk6DKr7HcAcu9bDthdcHWXOmHOYYc4hGLjA - 0fnmmu62VFTVoRTKo1Qs6obK7VXsJQQXWsC2PqLgVitEqJZxJJxgAmfQq3dpEBJWRo/AGDfxVvu9 - HphAZhZxPZWQDBpmZu67PLVv9Zo/Y6wFLXi1ZXDVMdzYcWXt2GT1rIqnfq8n7mcKGjYcVc4idTMD - 7P2t2/TB0bKXiaU4JTcbZmPMmQkJK07nOSi4V/vFwaLGpsNoV/qlBreB7gAIGyMhROBM3A1gDg/g - Ln2E8tYMdMnWCjLMrSmbyohw9xdjTiMtHgywXYBPNMItQIm8I+7w8jKgCn9o5u+YCwoCVFiysBF1 - NviRAqg9QxptaGNykSukwVm/61WkKMuVMNoWqqurkJuNlUDqccsPL0IgfIwz4i0d1g33cuWLGY4b - YBjz5gMp3ObXv/Gtf/pP/6t//vt/+M+//Ue/86//zXf+6s/A890xPuLxid+++tWvffGnfvKL3/j6 - F77yw+9u8IWZWNWFAeeKc6wEBmxgG3p3Y7VSWgJ4VK8CZwNmSIhRojRQdaJ43qtG0G2+vAMTcd7X - +3G8Drd63CWEAMOscvEIxCoBPplVBVXN+PBmv8XCfek4aGbOQWQZq0LinLwKq94HfOU0w7nBIzNk - 3vOO4Qaea3nXSibAh2NNHMfc2c8ns/OBEXz8uzoESAM2nirgMjMlI46CkutKKyIRx9iAMgvkDB/u - cBVa24dcaeSmYFeOBkCDVhJW9xk5bARSWAmnHJAizehjVhHISuX5Ngh2E6tytx6CgSB8ziFCJxTt - uVbbkFiRyNEizmtB625xn0edu+YleNjPrHW/TyeHwcxkljjvSU8fHhGLkeaXP8mSDGqRUQEdyFPI - lFWUxcoXysDAut/fz8NudtyOG5IIxGqdvjknMASaWUgrcjqnTZ/e8mPFtVnrfHubLx9VcVndvoj3 - 9zN53uaRuah0v9Ux8NeDaurpeP34l37lV/3P3j77yk98/OIncIcfPByAzioqfAMCPFQKhlZdEeoU - CWTYtV06gVcBKXb+v3jGkfCuv7R15kBiAAhF3AP2cgPMh+kMhkC8v+fdbY6qMR6Ae3Xmi85BuQAL - mQNiJtKBalhX1VG9b5xmfnSWuxx0ETQRPvyd3QBmHWwJCsPL1SgMvJsPSBlhtFGnV9IrY2o06EzJ - bLDqxO71QUW5f3t7O4/xAg4ItSJs1H8T5u4vUgvHsWI0h0zaeRzs9k6R4Oik2n3F28Lr9BsBF/gG - HETxA4JM0JPKtzUw6EQRGczHS9uXBAJR6YqSFWsZJ4cFO8vnhA3f0nofrp0C39qn/T50+/3X45XA - EoI4KobLdLDqjJNY8KEBFby26oTGRe93L1LKYdzVeEXzYnMMmukqQNriBwSlLGdGAHfpPMtPY0dW - KlqR5FGVTptnv/vMFupWJLITKm1qFyHluST09i8HH9L3rP7GWJTC1UJNElKKQriK4U5dPPYGX3J7 - UsxCXLQr4oobuQJHXTADtkgSxcvLASTukTGsMsROmCgAWZR+wmHKGZnuNJi5aFkwK2HQUC46W+Sm - FQiATYpJ0HL7jh8U7RS1n9uX39Wo3KyZ6HjjioIuAKfrQ/IysptKocoIMYE7ALQ+smujQkopOQin - UJCwCX2xKLC3xOcNxeQ1UqWkcDnL3mmATbnutK9fE/n4Pz/9gU9/6pvfenn56O2Tr3z8Iz/8ettP - 31X0QNxzJugLMnDC7FQybdg6xCRlIzxVwE5IkAoM0aCohRiPCNF8MSbCsJE5kXDXw7UF0DryDHBB - 3jEYQIxqQ/TwxMuBixMG5wEOqTiLApgqPl2SzIyC79SV5oAZn9zLPTTarty1DtCUMxC0oCFPt0C+ - QYIdFcPkVWgpNEWxEtcJN6MM0RqykGEhWQyBnjManRhMJpAO2Je/9NV/+EvjSz/69S/+vb/3uc+9 - km8CgCRGyqM8EBssPBc5uDP18+UXf+XXPvrOuz/9+Ks/+qWXwwE/KrpjNVIzCFwo8dXLOBTv1Tu4 - hsODhPkDzKYATaAaIkZEYKqWIIRu8+qWAEr1TBtZ41jAuZf9ARwJX4CViLHDuIxhzkptX4aLwF4o - AnLTbErXoSHcH/rCz350s08//7mvfOXj13frlBnN4FtCxdyKMVt7TwRtZGeUe6Y2rnl2sTGyxMI4 - iijuBUFYuQdsqHLbh7141Mtyg22IDDS5uaMDdz7BddyC2iyYlHTrEqRWyMF1eYkIw0l4nmbp3BvL - aCzVVXBrSjKiBNGgBJVCofNs/KdT7GkIoxtYSnQtfMwLK+xA/XGiPP997RI9EKGuTUsg4cOSsXAH - jLhd7zMG8Fb05jceH9lArQ+HH/4GA+BsTRi1T2Luqro7YQiv5LNeim/p+YcRYbnslZPcJWAV/2/e - 9h7exyDvt0pAWLX6kEhTKmw5RuMB+1MKlXlMUo8cAfzE17726d/9SX7yQ+PzX6AVghc7jLg+7zJn - j7HEZd/2yXTRfgFTJAQON6aU57pPt16KDd5gwxko2loA0YRuWDWGKO3VzZzLciSaU5omIcrdX1bt - TSuNc/GUAeGAIwaBWWkoAiW6VPGJyqEoi1crMxOZCUvrDHiDQHXnIpTZXDuYmDANLAIGS85mQtYQ - hQywEkWlo6R3mW5mVkHU5mOt4iG3aI5ZgguHwQyegHDzZKFqLW/AiXwTU55gRHqpgSsR2bEKeubU - q4XN3OYTSNR7gQZyDjdrJtK1rfNikbXvl6VNKLMyy7rm81rU/WYWtatvoLriXGvSYFsRSF0YImkP - 9+PV9gOgMECS3tICff9AgxHL28JYIRGqWaqa5Mta0AQPPS79sKxgwSWWgVhe/UMfGxXY7dTUCgq0 - yuTKsIoavXFfaw/I6rtxgidMxDLOlOU+x6zvChAio7ph9YdJ1tRXmXmq1Jsek4dtMCqtDzlopN0z - AHOngVO9pHa7bVzz6sINFoaoTgqS6V4kX8CQzQ/qPMyq4l2YmZlA9rFp1iVxnetFgopFlNEvPCqq - rrfMQ7ERi2RvptW9DfmQDkDvOGP1byXcl0EYVJoWsj8PlOcble5HwE8ALXzAa/UU7ACtptzWCkEa - UIw/NXwyXOX85IC8wxgHOzGcgBKM5SVkpB0WUbCkSrbIQLgPCEg3uOBIFRAKWJUvpy791i1qto09 - ci9MMyQyVcr0AmhGeCs5FiAxgJh498nXfubnvvyjP/71b/7pH/zx//3nf/UX7+Ntzts7/+ij+bmv - fOXvfvzlT18+f6v2oWVNTM0hsxIhMYfNfDLq7UnVSSAoFhV7WYYeqQPWJIceXYnOhLXclyHdTYZE - VnGV93FBJrx7UudCBOwgHWZZ632rtHWKxbpuWkXALknR6zYuq+Kw5NNzdEhBUycurIDOKy6qu0lI - VUbUdRn7x/sfG7C7vgFCigj4YAv9iMWkqO2VJf1ZK9mKKkFlkQElCDJWoAZpOypst+f6ExV0nqsh - on0v3n5ifagaWGM7QyraBc06FP1us3pVK7WI0rOZC4E099BmKVdW7+HktksZF/pdZUSoTU93CAaz - qtQANAWljCUpb4C1bQOrXGvfnWC+LRWhNGVi2WX1vKmXj7Ht4rc6qUW2+TJUyf82J+SwvRNtIrfk - Ao00Ae2fIqgnhP0yIs+vYgd99Omv/Oo/+vLin3/ui1/83IuAE6S/ep6oHoN+gEeCkoDo6qFaYmCx - GNjk/kq0CohCIXb+oNKDTxSnYjcToMjlguDCCKS1to/T+CiRhEdtZcs+luAVtKqwUiPzXfX2Q8K3 - oE6fSs9PrTbRYjstlQ6ovEUt+0xR0fIuFAg5K/J0QqIGV2moRJjSWOlmI5HeajQG3IS49JiKds4r - zBrgFGdRKtqDKpkiBrsqyCBeKaIqGggFMNwGawZL+JcB3JxYPBeWgW6jVFMAFd0KGmVFEojd3h4K - tP7G3rV8Uo6o/Ccv3+tpDKVraPXBz/5/8fo+dPvXvgTchYWS2SpZGZ+Gsw2DVTKHJUWE6mmAJSzT - MCt09oBt064LFhRqi3I725XFNEDtJ6OhOFNerMZapFUuxfZYCR/9A5UfByOLuABHuTmzSgaCSKzz - XMZ3t1uXDEib5tmP/Lz+C62tGKmeIlORmANOIi/a6sUM2pcwgAlsVTeiTB2tlQogAAkuQ/XQsSwJ - FZwQ3G9NdSitX4UgmjkGhFxcS3aDO81Hdvq58B2XEpIoKQyzhmxTllGiSsO3RsLFbwLKvy3cRUKE - YKoaW9XkF4uI0CbSGEizq8FIOejtnSRgmJhVORWxnOOwLY5YYgI6Q2HDHyhxMlS9qRAZlYE3v9Jf - 2/IBlyHGwDATIhUhOX2TQbeLsWfXYK+f/sBHn7z+0De+ifH5v8RH9ypPyK5ehID7+5OIeXsDZqm6 - 3Vd45JhhmmZIMIdTsKwGMZKTPih6pf0NaQDgEG0BQAzJsxgf1hjqB3Y2gBMuILTewt/1Bcrbz/0I - ZasSUMAGxnQChtVmPIjTzIaRGud5RnKYRfXkIMyxXfvrvw8hFexGF3srqIp36+zUHdkUZBGnIMck - GM2WA3BmrEjZFKDEixNIrJWaSe7SyBCiukcfg1jA3WD++qWvfv3LX/26Ca7PECfetMksqSMCYzQI - m0hHbgFiw8vHX/ml//gr44t/bB/fS5ToAGIAYGgk02p8R7l9tRkbr0x/LCRrj/mCg4xAji7dUVXh - L2KyaAdhCskYJCO8jmcJnGG8A/WHwA2YAmIBARNshPlnHEa/lbyKHhCIXVTPbm5dMq51k4ZhuN+P - z33yC7/4izLcE5/d7+M4psONxazwObAVzsqtNCt9KmM+4bYFcHWzPcVa0lFMwcDIjLa3ufXUyu/e - SZe9yYRtmlXKs46Wg9tu9MNEVnZLgrASmHAaMIRza2X2nZkBbsQiTsjPe978HTpvjWkDWsgdGpEQ - ViRkPqAUtBL0OVEVy8amYWaW1ufY2oMZgYQPb9EBLweyahQ2CPNsRPbrCry4wYtcBYTlwp1ww+2q - 90TeYZ8l5x23E4cfN9xRIipGrGtYiU4k2jDyeGkblnDhFb19r7PmaJvFytqhy6LN0Kq3AHfaPh20 - qy51Q6+bK8gdcFqNAs09MtdaNvwDv7sOCyuS20WJhBmx1u3LX/7h41O4/UVJ8+Q5D0xWwk5PUeTj - 9cG3ao1VQ5F94gNYkYBNwmChdT/fnIft2nO0uLNgKDWHuqeIWFpzzEF298ZCyHdAW8mdQFrRc8+E - iJnwcINwFPRWKRQjZLTj1pnZgHXuoUNvgiW+GxElTgEUzRoBRUt4gmyJs1q0kmRmNG5+K4nCjG51 - o1uvZmWYG2kZqdLzPJzmvBlIBQq4gCfsDi3Zq3Gik6AMHIBbKenmYFykOIQV0pdzVOo5AoF0953F - +mADXHHxDhg+8JmKHewoetaO0YCLKFn/ZhdzVcEmOUZbuGxzIV21Dxf0oY1hmIMOPaJKGjiJrAQ/ - 9D3L7LueAaD7oI+qS7iADuxa6BVxsLA5awaBRHfu0oDHJYXIZBGjL11NoB4MwFpr5MJxVFnDTu3v - YUBPRVSn1BrYKJ+tQ9+8cJ5Sv89E3nEADHrqLB8D0U2E912tRSbdzaoxw/JKVUkllNdA3gPnAJsj - 6bCJMMHkuOe5LF5xG6DB8549/gZYAqcyqQlZ9Zl/MyxhZDLvNIClQpVbgxcI4QTGDcSc7SBnyuzC - zOwC4hM4z/No/e7qexNgmG111IAukRUyY+VImGEM5LhyW0X7ryumlf8BD0PeS3jYzM2I80S8wQAe - J6CrtOHJ3zQIOqGzwZHKAdVetwdU4aXIjASTEtktCbUjFWUiwoeRnoGobMhQJ9uQWWqxPrAAbbpC - gpalm0M4kEpK3ihT66211Ka2q1fRlhvHdp+HAXKcrbUBbAc4gGnvvvDpN37w02/89E8s6D0E3gDk - gpdGCzCQRwsuVRJrEWHmu+fPQ6DzerUTL6xzTS3QYQ6BSt+iFeyAJ6p7FYhV4NKsUR3eCaV73oMv - H19CJYGtsMDAyqrsoY2qY8CeRFZb2YFEaUgknYA3xleg5XZCBnBM4kSPT5sJm+aBJOA2rp25t7uk - VBaQVCIV9jQQG37Rk8kRQWTqvtawi0tS9sFAKzmUlr9m5ag6Ii6e9TYnHUunMsWSRd3P8lh4EbD7 - aqGQh4OjnbHur6v917bGMHDOA1ggtcndz1Gz9tHd1nR7ZcUlGRynmp87y38DEGdn368bM9A5j6Oe - oyQ+S5tPxW3hnNRkpJYwAA8ghZANQ7cC74x+eZujxokQtBhvNN18VBlNic6A4JZMqax1DSOQHacl - entyZzHd+eJYJRynlQhwDPisPt0VFwPKhm7/ZkzNHB9//sd+6Zd/7OXlzw1/CVQeCLQXEvmGPKvx - pcqvqN5qeuQCyXIpBh8TGLD18E4gAIPWarb1Lj+Ai2iao7PdiNT0o1piDHscytEeqxwB7ponH8Bd - +KuEGT6C7caFqmzR9vwEZSHMe8Vs7XwltBa7dKK0sgC0hKaVbmYXZ5vLtKTAcmDyDTgAi+VIjIHN - CuDEEiA48oXEcQCEciWGd9Yguiymom7EiSMx2hFfKKEFTFWLACGJMeqIV64we7kdlTMjdCI/AwPO - YR5YJ+6G4biNo6w3kYH7AmaVrwWwsIggLFMlEGU7m2ssn6YjrCil8qcl80F65j/s6/ztf30fuv2b - XnMn1wFs57YjSPSpXZQrlREsMqu1KSnzrQ/iGzX3jgDNOynEizrTDUBQHZVp225wyJ/9XrNNSwBg - SG4agACicFoARDpiC70YiON280uwl1ZdqHA94z5b699ktcq6Dn/zIrtuqLN+y683cQcbfFzSCIDl - gRgwqvlBw10FnHCCAwbIx/SOTPqGSLrbE+MLY8B9bD7Bdf73F1ajim7CuGeGbFF7d0eZyGIwblNR - jBletz9KE7RcwCqEL3CjvW/UsbwZJOWu2qYhOEqzAnBogMP9uG73iaszNm6uCr4dlWy27hhUzUX3 - b3d9L1i/WX5OH47m9N0Uo/ka7eQAEJwVLDpQjR275HgQNq+FJdzGy7TPurLOIWCMMaoEaCde+0ZE - uNFLcw3X4uZW4erQuPQFtDkg7RicgSBu9dZUK9zZ5Dym+XW+1gde/Jx+gpfjsULUyGxxb1umn5jD - 1U3W99HdE1PnJtoTYT2MLg9uT1J3knODc4ABO9rHUcV4e4tdQCM0TWZeimdj3gBBDh+GY/+Wdiha - MDbBAZt7hku9OQ2cqBafIFzsBsu16hzTuPu3OeETljB/V7Frb/QyXwQLoUQzhWosrpp/7gVZ9Lym - yD8dkwQwgdPMb7Oq9B87rboJE+bODUN0uZJ/+AcABvs8JsH5SHg8PI7+ayB8X66xjccO0J4ZZVDA - 7eVYiXOl+wdMqA4prPmAvVvNeC0sI2zAqnjVfE7tZ2s6FFre4EPiyF7Z7XJr3z9IjNFEpzIqF2Px - 8e5tOYchejZoGPag3vRtW6dT3Dj8ZTNYnw+jbtNUGRGMuWvGnFATcsrQdotsoJDdTUwAAZ/7NOCl - kWbbPunarY8ttD+9HPT20RMwlEyIwWZTvTs/tTfJdBzEsEpMPRgSZSmJMnZKogq9FRE0Z0FSsFZ2 - rVnpJBA+OGke48O64oPX3ypcF4+n3mZNKSPq2Oh0/zCAw/yRlrsc7p5zK50QbIVrI3AcxZgTwYkD - MMyB0x5dQDqoebpK9WK/Tsoa9xbYMO9cis+xF6CGmd9uvoWIbVzvuuxw7/Lpx4Dsuu5TB8nrUYrq - 4BhGYGhXx+/726Zi7JzxI8li/dRCt+zYnghtDMuN9tiAscp7rz30bFusVJrrHhPXDNt1B4+5GllJ - hWrhvWzfCnso62as9zo3qsRNL9mqPWwjdMXrqB14dL8SaJSMANDKitsRGLCod9BhVWhcq3kf5nXv - G0x4RI7P2wbcvTy4U6aPeH9vPdrzSHEvj85VfLDa7fof+wqjjEIpnj+PuW3MHvvQvACZbQCK/jmq - Y19ZGHhlQNBnj1+7SIDovmmZ18PuxTMIjfmC5MOC2OPzCGyNmH07fPyCC5MPgbKmVNb54LeuEWZW - u7OrW2BTlIg5D1aMDdZhtTlu/SAX1/iaGADd4kiG7mmPYx6GtXev29j7tZ9UO0vxmBQjvCDj3jHC - 8MtfxTC8cu/UHU0Yl8TMuXkYvXhSLdLAfiuti9xRa9Ph9qByTx/CZhCmdBKzvQIC7YZfd2IA5ibw - JiC4bbnGpzl9em0ctH5WvzxAM+sWZ4+VKUC+SWXl9mRFP15VjGY8DjAA+SMI+a4PRK8OXv/kBzLs - AN0e2X2rbH+fvy31IQPs2FDdB+eYO24vwNFj4gMULpdcGIZjuwiVemAfXMuqkWZbq7IQBvPyQJ0P - 43Ot/vI8xzx21quOBT580ksM51os+4DikzGE2e02wpvm/MEZR8fwfS6h+n/uVGW/nzuYAw6D0CqV - j9G37wrj7NlM1EPisSivaartxUHv9bmVNa87v/5818vM563qQvuk3IYRZGFGT8dGm8kn4+v0PUJ0 - c8MWuwGBkZ0XhgFjAC8H8ryWcSZCy0bWGbLilDDH0W+2ip7qAQk4d9h9PZu1VbpGkO0c1S+lApHd - ugORiAy3qnxp2T5VFLZh4l60hG0me33WsBbmLxXj3GexP4AtPU0VcBXp1qC6Dz5522zB/6fNZcCt - ElwDKkeswgff0HnfWwg2wAHa2GrGBqjTkuqZY+Ih5PO9U1+RcnWfMbCi015+3kv6kUHuMbcdbz6Z - c+DY2f/aT/uRbJ+L+/Zt/20XDwPFzuh4gAVY75utux8brSAJ283gegXYRlwvKLnf6/txrQ4gcPvK - uMIEGjjmdSBeVncOt6bu9PZr41Dw+cC9qS1wn4jS6ChmynigMdgurghijMdCMVjuUMoM46VrCHaa - o0bWWJ4QnigvtDmOvE68MrWznI66ss/HNF5zbjhuG4OGGSaGsIonUbd3mZ0exDIwNtz8A6ONKqR7 - xDLXcfW09K/t8Pz6YPl9zy//Nd/7/+rr+9DtX/u6To/ekduFekLerDd5wZtbB2uf7+q9uoUOeuNf - Z4pxo2zlUG9Oey3uvRZ1ebO6vO2mOdTNibGwBrzKfev72U58EKtz53UVc+eWoNzmw5/YIRenYm/V - Z+vu/KDk3Lb3+HA9tvkpm8jr+rZvv/UK61+8ofqIlFtc0OhVoNinD7hTum2AnzIw10+3w/v0mZeE - EAG44QBoGNhBnz2b8z7I9XDDrlF4dmoIIISFnv22x7ygwWsEe13owDpqAgRwLuv5cHTyuR4hiseF - DpIcWYiT+koNQbEz9YQhjz0CdRmOrcHTqUUSY6O3sx/62K757NOIDwwFDJg77IDb5f0NwGjI0bek - rpooGmP5s6qDxUXS94IAHHI0C6mPOgUsZRLYZVcSZHtIDBwuvOyYLfZCu8AGEHC/YiLo8urUAECj - aY+q3D3Iffr21+3l19jtY+ixgG/1VQvootKwGwPj41R+svMC0mkNGZDAhLpM5sEAACAASURBVKId - 3cYgA0g+Avcn78AAS1QrBLhhtHy1mgp4nSrNJSeAhOEKsF+LKUekgbYb7AiOcISQVoNcl9EGMDpo - KYAsNz3wAXI9dh5HZ9FxRVOF0tLILWTbe2f0VbcT1vdZv0BrT3WjCHuGBJRKQGEIAVe18ypVGBAp - WD1136XV85asS9xTsjkuM0FUH/NaJnxsZFzTa70ujFBHDg/37oP5xTZ8z3+uqSewy8ufLOazYdi7 - maCebFj5q/X9h/NHQqhBmp3930dNzwj3zbMhtqcorv5fK42P1AwcvG2some54yW2V6n94AZ0UdLl - ij52h12j9Ph+3RRs4Oiaeb9+4wA+NvgNPioh9CR4cICF4MjEzLZ0pewFmLkXxafgWu/RiX3X37UR - rwHX474KzuJ1Kj+Nsm+8cD9bW35enjivy10AATzh5SxvmutOTZGL/Xyzf1S31bAhalSxoHDaAahK - WXntj+xUC704OdtMqCpX2jBfdm3zyICjxkO9qhy48fInepjy8fQ9LqOFRdtRTkEL0B7CspQQfAtr - NB6yHZ3dVAWVt+kt05vTy++YH0SITyNrGOjCol2qAa+zUg+8twldfcRbx5yP5F4xh2usS7fbq4pa - +w6xLWhPr7cXwQ++dRRMuZvffLC89tlBr5UzemX547Q/ej74GOEHTPb8okNHX5Vty7g/Bde6fQAf - V5J508z3Lz224Z55g+a18+wpiLv+0tNk4BGSWQGRl8WGbQvj1x31jQDYG6LrM5qMSGz3dju5sytM - t/ZFXST2J3qvVcRlnKsrDYDQJKfzbXsCVvF1DuiGatNJlC199g4KCuM+vdHQ1RWlX09eGGfhwRcO - ZYX0XZM6NkREbGj8aSK3v89r5nxfpfyxD+eubqTOtAU4xIGqrUCSvl0Zo0og31last3nrsoKqv5L - T2he9ufTURpuBlqlXEaV9gPAggWoLfhfg+Vg1RCcgFoAEYPAdUD/P+y9+a9vyXEf9qnqc773vnkz - 3ERSpMRFVCiZohxZsqRQoiDYgh0JCmBAiBDA/1SQn/JrACNAIDgJosV2EslWrM2WuFjiIlEc7uRw - FnJmHt927/2e01WVH6p6O99z73uPMwxlZBoz9917lj7d1bV3VTXFsbQTNyRIjUMgTtms4iAoxdlE - iXw3wCAES5ji0NrkOy05ph5JYuR+3YE0GyOuROVHIRX7vuqHheSsYkIwACbC3CU+lE+lCE4skIQ7 - 3Cvjgc3QBNHq2QAQVTFKljbBkMqJdalyE6sI2akLDCD5WYh1D8aFMAqDahINiEj2zgVa5IQfIV3e - DzBR9XFy9Qh3OxQBo8I7ieJIx+GbVaRYL/u6ljAd0KGQ9T9dJrvz29rKj24Za+aVlW9E8dK22xrH - lCVCZagoKMEDMbpDvxu/HxYMMoAVBytpPVEybCJICiESLGciW0Fm8HQ0imStQmnRitbWbdMEthdv - f9GtiBWpaIOGKD7gOQ1ZVBPNsBk6I6IrtKiGCESQWAlrPLZoe3DlnnrLvANPAwrKXYPvWM6d6GYQ - 1b0Vd1O4nGfPqDRFIgHW5Gmm5OGWMwF+yiI3l62PpOwrBjIHqFruVRl+bW73sWCi2PMu0ScujAG4 - SehjK34YiqNIPfuGJo7NxQ6PqbDlTuajoKBV27+Y+65UsLtu/amiCadCARaCkCICvNI2Dhwfa7o5 - yoeHsDZDIcyGq0QIpamT5nG9hgWRIQ7T7kV+7dlD5gXk52YSW0LJgVCkEpbTsdDohutAuc4zJugD - TAD5xLpsRaayHIXZHAp5xRm+s1dMripHPFZKlATwkmHmsPqpQrsIpjr32JrsEYeAEqkQxmUDcH2g - GWj922VtRq42Po/xa39H2xuu22sbhc/RNYq22ZsGXGgbwmj/UjMeyQCVklc9V62WAc8mihMELaEr - ixh6tAkgsNgHV4DA5K7DQ+XzGZJxTJhBDI1NuxyiT6DihmsnL7XIy6o7OF9g6zC7zI3bXz3XQSEy - QzlhsvN3WuVQsUNZXL6+6URhg+GACPAEiopSta4NaTVm1dNoXCjctR4YjFTszhhnbJJ36hI1NlGn - VTxz1j01Ki4WZRqritRJcveWWTPYE4yQ55KMBJpX4BI4B2756hTsMXAGPOH9ELesM9AL81KvVgZh - PUII7OGZdcCOM2u1OrkkpIfaNoenz8qOtas3plGYgEDguYRzlRmCopocCoQ5HLKtZ9+eLZuuZJMv - uzT/DcwznNTIOEWmpJqyMXiqga6uCPsRt9JzWAIIQkYuyXW47L8GjhWzv9qWvRgui4UgZau6Z2gc - Bii47OMLiBWzYvYaBLCjn9ZbtaISrBbFAalpmgl0aG5XPxSgKIUlN73MgSFUC/OmcoAs4HoIWVSe - L5AEvBoKCZKBJiTOYAUmLAkCnBE4jtpZE7JCKFatSOh+aQkWjCgwbKsRAhU04CpZDW11KWii08Aq - M6tS37U3KoKnECBqiFyCwFY3nxJSLtFzQjhjL+cgYVwVlXEiTIkAzetVVj1PT4UBBuqck83w7Cbl - GDEF3xs1vK30bghl3X8dfPpnrbsR61+8W23BO5j4IzxwmyibZeWpuFjnNQ2GaP/tGqNgoKgATIU/ - xvYfR1xzCbqNTaFmZkUtMe++goasmgSEwq8rAEKxTVW0hLFjni5NByocdWruMw9ld4cNe4aI572a - wDxYklssTlmDCOzFdhE2Gl7ByOLLOn2W0vhG95vVtfSiaeXspNhYogRMXpuzBvSVPaeoNi1azBUD - 2Ol/Jg8EWxHHQ4QfMgqqmLt1Zi3mW+FvngdfTbxxtgTrgFFWw0s9WYcSWgxn8pmzcd2Scwi5yoEm - ySw+DR82EQcjK5xdS4hRcO9hEECMrG9UVy7Yp4By8XdHHadSXACD78I5LEBFNljk/65+6lX4rONc - msL2PXiIGggCV5teYeA4Z6OFyw/IRBHN6vzLKq9L7f4huhyZQVeVo3hTUCJze2Lv9JpQdRp1VUTk - WP5Ir2mUWX+v2mn5aRWXrUYfoeu4uBYJsIG5UYkk4xoDGoMzoJMGBJCnWMK9A+E0L16YKRxVACCg - pFT9Ei7EzT3h5lNMCvLaPP69lBLnshudQAdKkANUXAAYxKK0Ergj8AFydSmJK9oBfvSvlk2VEi6m - BTMKl+Zego2stsrQglxUt0bLWOKsB0JE6wlTRgbkgANZBFuspjQxiNULixkmMq9GjGnSvEIlKuUS - CvFwhbNPKioFK0L9nj1UAWcAJINXP+6FkBPKllObSORze2oRG+aAQCYcwzJv2JlAgFY3d7BW/zwD - 7jtwGKxIGeqQTVDYFIF8BCR3M9cqoN64Q7UR5mUNakXOts5BM4XS2l4CjR784q2HCoA417msIVWN - phKJEMRDEMRCULoWF9WpS73QItLiVbZIVqgmTa8qufpUGHrV84N31E3YSreb6cf+ETZ8tbIo68Zv - HYzaLmwH2RHIBtTYjProNIwhgaqnDMMaFI5DldukknEeHu5yq1BOsSZq980ovdY1UVy2dHKj/jSN - rQicuy+2OJYkqnyUMCwhFzpnyJIhmpQ4EVI5dbMopnV0VvEnvsXNBiy5gkQAZ3BEl4NScos8G0hJ - o7pxwRYjkzgJrqTNa3PdKkwjOrfWnmj4uZU3pzpOWyImMEyj2BezEFZgIiQshCvglnmEjyH2Qok1 - YQUSU8YRy9GyYTqf+aytUzcgG671GjJt17YfMU3gFYxypBpmPyfTicMTU0qQmbM7DsK4AhbgNo10 - UBAs9lh30KM+SGUBSwWPqWbpF9mYum6L7l4Mj4oVOG9yJ8YW8XiEMfS8GwJVOd2tkAHj8xQ7m/5E - 0cOtS4GCRuEBQAUL+5IqHL1XkLsUio1f1ypsooHnWZngyACAqu+gRdG123NTjkpEjNUHKtvdIgyV - 7ZmKzFQsdOuuxzLukHscLdxWw7aPdaZQoMJWqSoj6mUpt8t/h9sbrtsbmhVPjqNbEOUeGgHYXK2u - jWqBYEPC5fZJf1WIRjQG9y91/p6ipMAUYp2A7SS2FS4UeZvsOn/D8Uav5ZXG7gzYhBnU5/Za5/i1 - Mqk+ciSuaPkIVfTrvzj+YpsHtiAabmoPbVSWFA8NfbQ/+vlY4bw9S62kXZiyuacLbbobqVXHxgC5 - kleKs1hnchJagCOVMnPafxkoG7nd931VYVIt1SYim4mlnY1aHnAzpIZH1gelD2tGv8ndJAU1pjrC - r160iBYDhWnPcaCHAWusNjEbkZgoVYPcwr6unw0UNS7mUIc8nTewDca6BehvbOVgT2khSnyEcXuA - cYM/EXpJ6aC11KsFPcJ0XykjDaRSgiu+FKGS9ZEgZKeKiOZGN3uCcOh2dUrmVQgz2LwshDXsKQXy - A6coDKRNI3QMpQfi9kkrIOtZWsdBTt+hfpn2HhiY2gDzqjuhRlP4XTHh5Ugp9NsscbqNb/OoynSY - JrRTd0bmUzsfxrHZrLp+vONLI46M3Z7oDwBtv+B0uUEgdBrG3pjcAVcMMBvHcSJFCgmY78cMiE1l - SLUH7lwAraudMRSFzZq5uZkkdZ6OKjvRsylU7cgAJCBDj+sxzYkxSZZEDE6H+RClVpzjkRFTj4Pb - 0Y2AH3/dRdK9C31vpcMSENYwtIuCLwMpqdl22kM3sHItUkPJitMqjpyMY1IKE9y81/WMLeQ30KAm - nsoKdEmHZek2pmn9UqxecTsqAC9oWQ0wbfKgg8Smncr1cdj1tntauf5lnQoRHRgAhcqaE84im7Q8 - orAFV4JMmGYceqWFhg+GkBupoNozXJ8ZRnfST0+73cWtg7rMvF+ccfK9mhEM77oeqKzLxhc0oNtm - nFY+VINlN4gTl4a5WMG9Knapicb63A7HrPxj0zyM3seyhyKx0LUuJcDuQw7Xlus+pXff/vGEndqj - 1ZXt+FmnBXTT20wdDYYWTv7t+G5ulc9Fl9T+jJlYG1vcc31rLmuZGHNiF9lZdPLzf5zNEHNKnEo0 - pZX1jIM024TrFwGqAU9ARB8STFVBoippopreWwZKp7/WYIGSwRUfq5Esde+s4LJxHHRAfn6U96Mg - AXOcNmcN2AZU1l5ZzinId1Ztn5+fSMMTZA/Oi3J+eaQdDSxiZxjOIiK421/voV6orFR2KovRdgkq - aVe6rby5dFAsgbrAtjvzm2HTZR06WXQ/29NRz3aHIXS8iKuPtVJlR7tbm3CvdaJ/O5FOKg6kWumE - 9ibbtR3mGxeG+VD1VTerhBDJIQZRZAW8/DrNZDmvCyalBI6s87Zge1OrQ9USLwK3ejzIVoGcZVbQ - xIoVWBPmidI0T60L9dj/0a9JDWxUqgFRkzpbnrMDmb1LTfEoVCdhE3purkZfvndsioSrZb06zLfA - EygdmOeUbPK5tpyqYTW4rC2fjKJNaRg42YZcxy15rmgRAKtODM9P8tTVYSN2C5QRLhtw0eY2AdVc - p608KPb1CeF1jxSadyQ+ESgDKW1GabsKQB2nnVzaYqLWwLGurG732i7vtBNtZkuzjxCCVF/ZonAV - H9Ud3BFoxZ7qfeiBdc03N6tXIT1Eke09fH03O0rRje8+bnsE1F5re8N1e3Pbgn/DNa9ZHRrxgVqc - DA1ITuHiLbZuZeHBp31/hzt+YSfEjsZQtmPqZPaWEptOEVVW44Z1JAAD66YDf2OH1G3ovFdhdsAz - svxxZCfNaP/y6Qv1o0Z7VsI1XylaxHbYIzPalavbTrfMy05GyegCBrrNO+oDGOJW2WrrIdk+TjQg - RjxbtfITzYerZChjijBbWOp3KFwEjBheRlfxglCMqbS3zj2Imr4MAjEpTSUzDvCT8MyuUwabfLqO - D1b47SmL18nycZ2oq8fUHrAK5o4uQwh1uUjjSy24r+GNDy0qM/BUk/Q3RGCYuogJQ0vcRDy9QWkr - oy/V70fGQqjfpX5sNzS6TnPYzHL42OsjoEpfFsAm2gSoxmc4xUE9JW5tLC05txl0S/g4X7eT362f - 6/bx666MX98+UGl5y6Co3bUBqo0qR+PmxtEEEGyHcDYPn67fgJWErTZ13Umuw7XIFdgZ6A6vMH+e - idmK69Pn2hKsqD7aD/v1wbzHaE5FkWFPhqKHFlKrbHzDK1A8KXtxnNSYQIc9VDugIYqw+5qhovyj - GxXPQHt30+NNLxd7irdo+ciPYm+tb3q7l/LdUVixwVc3NNW8iGE/NPQib3Ay0jDdYSCjTN38fD3b - HqU/3nsnygMNrom6nI/UdOjkynUXGsBKv9ewwO0LNWKG6sWBCiIhkuBJMyhCDi3m9bTLm77ZOGGP - lhVVRlzdx1wuc6z8foTkE+DCZhVovEhtsWJmXCAUAKiCn5TZyEgtsl/R0hMGE3znY0WMWP8tLjnn - RMngW8bMIy/tBrk7Z6rLeuqIOMWfQSklINgfoabYty6xqXD4OK0H6y5ldQh0Q7fX0Xu5/ggbYvNC - d+Tl5rMn39kh7PG5bRc9dtt+V3uDciZZftbW2Tq67Q/VoLSudkEh1TLq4o8aSPako1Pea5u/u1+p - F1PXqBiPbm2WHGdclP204lAspdzAALgGbxP8OEGGIPa5KxqXFNWOPZwgloHWoGhrbNAAYxOiBCTj - M5ompIQp0vJq/bA4hS5YYagXpaBrCk8zbTW5ncXfgdrN4qF7akODZcOGalVATkhEEZAdwQPt4Aga - /7t5aEXRrfuJoVWOao2D0Fqf7W5FF0t9FeTTWe18/DEaDWCz7vqj+itscmcQuyO7tpPdq9sN6QFW - RB7rb11M+vDYtV6XxwLP9YhkJRrNB9AIhYCIDIuRsw0KjHVRruOy3zyO6//cEmZlfUQbBvV4dPF3 - ur3hur2hXSNkmyy6+V1UfWxAqc6vtiUmKrkjhdqaY8I5He0ppjsj6/7dS64sklh9975LzKzXrd82 - 7lh7jdKZ0KUknYznkeJ3h4/QFtjN07HTG53+seMsebxWVY/RtLWTX+KfvcHsWdQnOkoraQigmDRW - 9RZuM/GY3BIcsBkY6uOngos2rtuigsYKF5gKICV1mbdgjrq7dSQTUIrzA8XBLQYhd7HtEEJRwLzD - uUGOuJ7rB4OpaurjAh5pje7LnpMsE4v/ucxs52UrAMLO2rU3ikM8/ixZHXtIMNiu5XlDbIeiBPmG - LaNdBYI4hbBkMxN1aYXlqDpy2mvwiTqdW2j3ONIO8+0otf63hdr1jGXzIFCKzb0OrS57cdO3kqTV - OQsvh3a4VU4C9OR6SnVOlCLwgSrbeoLR7XAQbICxLwu6iyd6xPbvNrK4P+Blby6dvq+7nx551nhn - WP3tOGo25+nQB67VwvUo1rzzC+w1K0PaW4Brhsvgs+kQnyY2tx0d3T1prjg/+wFT3+f3qBlAJSyk - +1Yl9ZJL3iZ2sjnXZttx+Oa/2ooXNJE9Ooi7XkYGU//d53L9m9uXdh8a/ho/PPCHR0D9Cdalkqvz - AGqXA4lrAommcuy1FwstW980Y5pAqYP9ych3JnidIvb6NcKJo+NJ3sWI8qeE97iK1g5b2SHO0/tb - aqv3qOBzeaCVxKWigZTNt9QV+uiooP3FGNgKNzLb5+F08rO2UGvbX23mZQumtXrEWEnrHjj0E7Xr - Xqhu0n6DtZbZsDbRKG7LAIgTsQFKNfa89yQU2GEXBAZYq1FSy7wRFDiUsrSnwz1Z4u11g9UNqMpH - NpDqktmHURP6YkAD707Xf/ra1vNMG6+Xn85Jbu7gMb9LhYpveNi2H6tDGTBw8+/ph66/ufvsTVy2 - SKd6jklRGuv71iO7a6lxN9kOYP1awm5I8JY97LeToQ6osOcu+y6aM5LZtZ8u2yzsZ7ZQT8iLOnvU - L4F4Oku3gKxIEvEQWooaHkbkzYPnhAxYgakcO+r9KYA0JVehJptmTVQS6DM0zniOsaa+LyVYOZ4u - 3YQVj4UqA4aMuSzdIzSgFMeZnWfTXA/GcaipKcOPHFhLNiUXraedHb1tgxCTkhZYA8PKBtMwFOvu - 7smvuuuzB4a956+5sDPW+tBr1yy/G1ly0rarVqBUBGrcT9ZJ3f41A9G+sv9kg6jN2vJYS/LsariV - VhU1bBxKRfK2Ib12MO2PmvadM/sP/+fT3nDdPrJdv5w9U9x/qj3RB1heL8K3Sb4jvdrwZOFZBJxW - crz2I4TBNOryT6MIt8vvqIfbRHiheyv+EbWuRNHjCe5NeyRTfVKVjp/8lf5bJ0x2Ry1yts5bAAc3 - 2oF6J1gIRcQ1k6YIMG6CyD/Dxa1X/C89142l7qJuY5HI30ylFuQo5wwD6+zmtrMUe1rW+HuTr7S5 - 3P9doKoAsIrJRCnq5COBmRk6puw2wFFJFBqcGxshZtUqquMpUOyxYQ9X+8G3f6gn1aKxM3pSvkaR - KiDn/mJLGgGhJOIgAgyr/tJqP3bz6qpDxIuNKbSNjjH4ekgdqj3yKeQ2CF/f25lbfHWjzBNq6dPX - 3Hy0ZaHbIR9D3TcAxHlZFHY4n2o53nXNpnJ+OMBgpsS8vz5PNpib75+yhp4+TzhJu2AnL/YP1QVv - tFV6rdtk/ZKNKvEwkp074zMO1e1Qx3dOCYgGljaOvuvE+rUboDWwQyueBlvXnFJKnJgTvEivmaqZ - ahyOOQ5yZ6f+tavZ17TmdNkfwJDk0LHxkrlMJz5TcuNsj6GB4nSIgWjHAZy4EU6l18mqt0csuih0 - dQK9bol2BEP999FE9nhkaPHDtqy1fasVqJimRPWUKwYU66J6JjTZitXDmerZN+N467c2428B7d+H - tksae0+ccpzHHvO1i7j35wk7GSl291Gva4lCKfV6Az4Nr4d87ERhvUD1earlb5v4Ge6WIXThkaPl - OJCEDXK4m0Sx7bQ6Ul+/1lOXehkEipNoC4snz1M2KsewQWCmMglYk5fz6wM2toRvA3CJwFyKNJSZ - C7IsxEoTpb6U885QT/U+av8NKHjKAPYjFwig7tz5uNRYJO+Lk0e1jZBD9ye1L3c6xQ7y7uPzyGxp - 6HIAUM9i6/bSDq09ynmzobVxYDvlL3fe39y3cQ7Dc+TGHdd0ho74qnEXgQSNhfpb3Ytbftp9ZeQX - p/xkj8W/Ds3aT6pngWsqyf+GFFEwTLYam8R5GiBRHBVMepipFgDdjreD8pZIIkSkF16u1dCqGeBz - ThMlaBRHELKs6ocScj3TLyx6QzmolCL01sphbt89rOiUF27vjzl5bFiPcrXqU0/7MRh+RKcB0FbQ - abevJ2yDmjpif6Fbo97uQf3NOI4B6S7vyq0nb33Zwn5Qj0nH3IH89cJw2gCAezOTAKoVwBvZjUj5 - GFN4vNZL2Ygw6yI8RgvH+v8qz3myPIvHa/sdhoKwvdaTwwmrPKmT8XeyveG6ffy2L5ivaYNqcfrU - vrp+Ete9925n8DV9bbAOqSfXLrpnO7RuMMNXDLWCjG3vWilWaye3bhz1o5t1KiqfysfSa32suRKs - sYJTrfQ60XI6wuvHXMR200V7fminwN10R0D4IZnqaVzoYkMUZNyxY6tvWdPCm8FOQBeR7W6SoroQ - b13L/hBV8Fn0lPzNTqeOTwIU51l1V/tSqZGlaujPZKMBNKPh3HCllfRFOOe4S7PopYyX09zU/tyh - DQvTsYw8oO0AE+6OrKHtezpc6B5pf2++f6pabMC0GWkp6VvALiBxC3evSISGL5QInSFEfguIHM+O - dxQXf9l8HkJe/JkYDVWwnGo3bSKBOLQBRIf9tVk9POr1aGX50E26DkE75pbMrVkzMotTuEA0VNbf - 0uQTDaNf1ZNSVaew2+0DOwy+UWlBxs7WAKHLLTod/7UKz408a2D8fad+j7rr+322wgv1DtX5dfkg - m2Yo04tB7PPgwrBgFKm8BPOlrMFoJarVTM2IW7xY//N72py9bC4OaQ2gnkv4zlkAnoZdhKGXrh7I - Xt8b0roeDW+6tvOIAWh8dWS51P+LLR7uhS2Mom+vstu1Y+mRqiHMeK3/jp+ugrnGIaU6YPWSN+6W - spOBnjKvjtU8XlL069a+ex3SefjJaL9bCth/74lpa4s9owJ2cve0W672nreyFzjgIu1ianna+r8r - vfaQ8ni7yCWKCK9ObfFWzPVrnRyvhdn4BzMAKvUKCgG6FgWFdAcGuoOMCURirDvuzSIx+/1pAtBq - t6oBisTlpOWkBhCl/ZlcJ8pp8BI8AgZ1UBvmRdRHEgxbUlstaJceb5a1+xdPAmF7VnVNfxh4Q8Ck - Dfz6z5WPjQZcL+H7h6/99B7mDer95vbIqa/ptfc2W6ORcgBsWQDrVWLrVHQAMIOVkwJLQM/N4NwI - rz31Hde6JJ+Y1Lx7rUWifKwTiKGAMEiKteVaBoA4sgyzGCmBiA0wE4u9QV+O7nS+ov5QZBHWkTL0 - duE8UlkWQJ4yZw3eKDs3rQzQZBY1W0NwhcFS1tSz0fk1+rtGxNrwExqOcCZ4rHF3ckpMPsJpAiJ9 - sVtqtsd1qne7WFXc+h+qoteZriUmt1hDdfhWwd4Z09RefER7FIdxPTx3cVZ7eaUDQE/ViTaW1yI2 - ymijtwp76rO7AvakoD6cDnvr+lpH042q+xBHOXTqV7SOvlKOI1YVtGO5u9c2nh7vBmk4dEybJ4cb - 24f/rrc3XLeP0yplPqlHoL2yEeenz5XfTqRbXN//dOGaxXdDw/VB4PQ3re/g1EoaCNDGeyUgtz10 - OqxHmkN7QJTCMSdgHs57GN6xLtvCgDTsNdkG3DcMZGfgO1+jbvHj3xCuFXSjjtJcG8UKqYKNsYm6 - dbuleEaouHLLNweBQVWH66r8tWnXIVr0Y3F3O/rydxyfhkQKk266M1Bs4dIYSpY7FJ4B8kMpRnSt - nxkha1AFGImmFFUCJINJKXnUba0LUaFXCcdwOsdoTbc8uVc1AyWY1Y3tNqAqZcZ2gpexvq1+HLUb - G3wZKFyre6TTUNz0rqrYyQioJp5wdesXlApsj6TOGBJ61OrJv8VtkmPNGGuzoY62+DdohwN0HT+L - uvW6tGZeDOywKuWF7qazcwJgarIoOPFhnlNJQU2R3f4aWsflgxWOKW59jAAAIABJREFUKs/N+mFv - cDX4bslhny9ZqVJCY1YvOkvqMTXCrb6y9wqhMFnqtspps9LxoA4YvjeZUVEyDB/e9d42ymDiw3ym - YiZmCeELNVBKzkFVRFQSTRQslfpervnjdWsnks4ASzBDF4LUWKykOL236cw16J7Chqu1P7FhI84o - SqD9wHM2oMYoyG8c/5bg60ji5uhy7fq/FpG2SBLs8fG8t8OhWXVoQTLUUWAdOMFEs6rN0xz0Ud6e - ziZLkiEHHBgJYCv7is5pdSzF1IlF7B5l+L1pNgDqCRr1gCI83pJf01EZwOmkT3+5oVXqbpbqpkca - 5knDq9svVLDUXne47NDt+ECBibNr28Pm6reV0C2s50lUGOwm+8dO/nwtOGLl6/NAjaQAMaCWNZMX - IqME5mnFChiyHGjalcwVk+taBKtgQA2y5rxO52eU+JBm8QznvHLUq+zUrdrZ/gRPr9Pu2o6Su1Zd - o6pYcL3FWip7DW9dh9uPCfqm3lYcbz1aGe1pTx3y2uYGlUGeQqAfnJXiPrEe/Yz2e9+pZeTLOEiz - 2sO+jBtp7FrO0O750x7ozcNpa7A4bgtRzXZUUwxQWAZNQDot3mRtdt0SFAV4BIYVqdNlbb4m5tvB - yaxUJAh1uZSGm6jzW6cZ0AQ9wjKI1SaeMREASFaBTrPzmM5vCwNlN4/KYAW4Ag7AHKvOClpBqMbZ - zKSA5ooh5MEGKUVorQhm0oRe82cbXOrFC30teT4prE4xZcgUggEQzODp4Fc9STKMiMTllO4E2juZ - 89Fj7JVbxzpPR+h3xK3kQHAfdVtEhRGhlBXmcTKbqW1H8xgcxtFnLa7RvSoQ8ah2/6H4Irg9TJue - bx7CzrA3Xy6QcZFBaaxANBBaZHhwqmB7XfWbDkUp4rc6Gu74gwfeNFt4dN2S88rvamhbMO51s9/x - NZ8rq/WfSXvDdfv47bvTuZu+3pOjnVDzIOXao12ulFUPrPWPUXHNBPZSd6eS9c7QHFOp1urbqsrW - 9ze+CzQ15aSdMrqdj/c9Da/W/25ovV72OKR2+szOOl7Ln3feHeJfwvMxCJedV0IFNNREbw2fe6Qp - 8WkEwgnvd8XKGR/3lwlxEil5pEWUWujT8cs06yn1FclavmHjXltxgc4rXlF6qzueqPPuFzAwkFcc - OZ9NeSpRF2amYkRkltc8zdTipaIUlQttK2PsYes1dADMLsrKUcf9Y6GJEpL1J5jXsVWPipfr3Rl9 - D4D4yBinUBt1wKhoOXjE4t8uoaQqKyWYsCgBBIC5lEVuqoxriNbDmmijePU0S8WSiMc6Ed7Fb5Xl - pKafbQDVA7UpOIn6v19r26xdD9sgzQ6sClkYauCrJdM0zQxWQLOZ8ZSuNwUea7SdDudGDvWAe4xZ - GAJqNrJf6nDjtNUgmNHYCczayIZHaKjXXN900sZDzb1V6YLb3ohT8clXbG9k1Ty7aXhNwJUOjJar - Zcnr7WeeBoEUJpKIkFw/rJm1Fom7pYLoNaLkdWqdQhwiPJBRQUqAIW0sB4IyJAJzqNnAGzZSdd/R - W9AWu2PFe+EMjWAeIQFrfWKMKzXkPwc6bGVYB9WdPNiRX5ZR997bPkh0e7Fft8rnx+mNf0x8ABO5 - V1YAtRrAtB6PF3K8dfu2G3IFdHF6Rg1iQrsVtHkqV76X7btA1Q1XbJde2zB6uUS7Xzml6vHGzqhu - /KL/e7IXsOVvA8bVnzsI2SGfU4EVq3Bn96Cl+sj12uAuZ74WCt9Vi0+csHh4dC2pgoEMMVtIhdME - hgqo29XvhlXda3UCORsRTwyYmGZAYQJVA69GmdM8zT1pjEDYnSPtWxDXybF2XYszuQQTtnFrOV/j - 2q/udrzz5I4YLDzTTp+7gdZ3ClJbaF0RclE0vJ5watOiKvQZbIYOHvtZhFvev027rLS6E3j7Xbei - SdqYGQ54XA7VW903KwXFi8AwsfJrdf5S/BwEtKGc70BI2nj169Ss/W9tHyZm6QumBslAwmQGzbAF - nKZpWgmiyNkSz9NkhZ+MQCMBTZ16LI2fxEy4G0ogQl5wfPDwrU/NPBsMlrPwXKrcguCJfQpoWePu - IEfvapOD/toA5JMZrxNsGkW8ABmWH17a8XD+VILAsulEnByeOtawar9qh7PjJzacxOr1VBH8REYD - 5FFEezmI1GoplA9coyI9Wau84pEwr0ShuLHajg3TfmS31DOa7dMeamXMxC1KpUy+/Om46hg55r++ - fq2uUHgeOjW1DgoWy+f/Wa/HepkRK0GHT/xxnMCmX2vavfro9v+VLvja2xuu2+9VC7RuSOZepAgH - Ia5GnRZ9LhG6DQsCYAoBlJFgk0sfIrUaY1vySpv7piggnZ9mGxrZ6evRxvx66i9w90B8qvCCXa1r - n92cPEPjL9130+Bh2yEkqqeTlJrcPLyy/9Z1A8GGyHfubcdKNZSgPt/ZCtyeGrppq+AKS9t6KqCu - QqwbxlZvtljzXpKNtop3an4shdmJx8V7MBhxcM+2ok0MavHb+J+Jywbr0BsPAKT+j17rg0Lz+urL - X/n23fX8TfPb3v3Ot08zgQ2mag4wrlBG1R3dpdrFpvUH5VbXrRexKgru8HVP8nKSSTVqMVXashr6 - sS3Gt9OsG0db/h37gLqnbaS0Tewi926VwKkGSzJMzUygETUaXrX4vp0NhN5uSo+SkJWyNrDo/Btl - VZ2HtbG+Po07tS8mWGikTSuLJl0SBCavvHr3k3/zxdtveed73vHW9/7g22aSJ9UCaLOErVnhML0C - uNE7T/pqL9fXC2027OywdYs+nffW2XsH45OJ7Q/i9GpBSLTvDhNpRBdPmoDYCgug4V59M+LKT0uR - dJ/zX7pdlRNA+ZAu7t3/whe++vD+8XDr7Mc//KHz27cSYGrBFsyMnIEaKlxKAbgtE/9et6DYYqP2 - +S4FWbgzcbvlaLi97zHc4dTdCTN0+uCGG9w03M1D1ICJwTIk57on749jpQiG2ZBG7WRvYPuivP3C - fc3u7o4BnuEeR5AFWKJyKACYPf/N57/8tW+cP3X7h97z3h9+z7vVo27NS9Nsj9xhoFiYuxkb1wz2 - +9GqDjkwn5u41rVtxCzrI2MewdmuITArZFAEtFbFpAc4wXemrWoZ1nVZJSr1wn0jNTtGSTW2dBBy - KGMJXciw0Xn78KjynUZFjx/z98TMxgYC6QDeZuvSncItIvLKN1946fL+8Sy97T0/9I7bTyfe4QAo - lFA9Y64Mx2abAaZMOjGwHu/fv/fCK3cvbbr11ne+94feek1aSoHptpgrbVTQAoaKNWabeVnTbLrS - /t1puxFxmPCE7HsLAzu555x1J/C/8t4dNzTBSj0wCrgWxNCi5zSWaLvwa6jVUcQuf653Tny5lcp3 - ZAP2b21P593lvEV76O9VwmsXOr1rfz/LOnVh6KrwAev2fSnO1m0POpC1U3KenJxOhlSJmYDw5XX9 - Ff7pK2jwMn/tti+Zksm3X/zO3Tv3lmV5zw+/521vv90oq/XHQJykykBJkJoj3qbhxyEOcIYq1i9+ - /uuvfOuBrJcf/tAH3vnON4FZhEyRUhBLVxqN6pi5BNlyW6Jr5r938ZRWO2jRHry7WBQnTxI8+M43 - X33xr7780uHt7/+JH/vA0wfMKfjD6Tc6tLRCCP2mABUjfU9zIdSLvN258C9y9wfK0lBLQOzR2Uq1 - nCF551pY7TUuK8BBNteSJBVrkkt4/j5/osD/eu1mhc0KPz9V5q2wpWBKBHg1eN2KB2vPd3+ffuyx - 2wkTi8sEmzZuhiZio6Di4IPqBH2K1bdr+d71w96FZM9RmqZRO94jjdfAfr6v7Q3X7ZO2E/waNZ3+ - WtW8Q0IAzawjEEGh5tXLYYS0rfBMZhBFbmVCGJ5c1X+RQDyG3bU7wd1KVN/wGlWuOe7ou8csMsp4 - y6upE7qbrbdHs6X+SRp/6XRECiXEtkMuv6VOZtPpzJ7k+5vfr+cU1D/cQLcrWrvuajrqVmTWd1vQ - cxFdVnfwN071zhLgDoDhn+31LQ1uqfGFuGUxJqgXRChYkrpRAS68+z1npuJWHiZAWnbXucO6OlQi - WJQIyMevf/WL/+4//uXF4c0/9BM/98u/+FNvfQoTs+RsIGKeDocCLkIH1eJNbqld1kaYfWo2rkKp - n0WlPhdAB+vKKzS5UsF0Dd7YyV+nV/o/rEK0U1HIZavB2CvdEoFSfy5ZeTgD6KvCWTmtoIvN61Ax - Hm5nnnTJ1uN8eHsYSMWFQXUid++ecLdx0l0g4cmHvvtWaYGASNyjtl3cW0vHq6tZj+mpA47Ls88+ - +9//D//jD3/wJ//JR3/2N3/9n8y3Uv/WY7bdR60NaiShx5sLit+2v3TD0+W3/r+K6r2EocY5eiuE - hn+uUbK0BC5zYGigwMYW1VJ5LWmvIHaj7Cy0dp7JKP1sfKMNxXaetBdeeOFf/E//4nilP/JjP/oD - 7/rBd56fpakRppqBQYHYBa4aEZd1++P1V8Fu6rHOOwAZVET1lhmaZ6XDA9v+dkJJI1vZ97SUd3rt - tH+1Cu2e8w/SK/Z+t4/4ayeqTHfPr47lYseTT3fGs3m/R/oKuUF36X4hAlsp860CJsKESLTUbIrP - fvozv/ev/iAb/9e//mv/7X/3z8onBKY1w7fjC04+6rJjvPU9wKKd9ljY2pP36Qv0eIoWdr5kiJgy - qtWfdhjHZpi2wVBDV6IeQBxwNIq1Ish6D1jUDEKrlVjpmXos7cddHSPX54JZcep3NNBwvfcmFNa+ - VceuhdfNsLihbQUn2KFE2+8Rgw7pQLLi4YNP/eXHPvW1r18ezn/p137tbX/v6VsHwgqognnTbR/k - 5NBLE3kWNpsiEZiP9+5+8dnP/fHHPrWk2x/4yX/47nd/ZGqq62Z4W/O+wPokGWxnoqfub6siqvFF - qwih7maLK4/NvncetM29E5k7fH5LNI6BpaTNllP3VUeL63mYU5srhchuz+/zZBs+9KjslG0bHjhl - AEYDCMbnes9upz1sZ7zPVgxbRXvov4CvRt06qieg33eqke9SGQUGiDwZ9z1ZafTaUhlrXSljEBjM - NQpfMBGg6/HiM3/1yc/97Zcur/I//ae//qa3fnBOXSmwRve+p74y1uLgO1DJGu90sOSl//Ka/83v - /d7nP/PVN73l9q1bv/Gmt33o/PyAUpHUNKx5G20t/0zk8txYif26ex0cN4u82dWoTHoDewXJyy99 - 40//4nP/8+/84fv//i89/c9/84Pve9fTt+d4lCzyFHc0FwvJu3Xddr9syMIACrMsIjUqCkfIbVg0 - RUx3DpQ2HWumc/WR7roLH91oLOPrUz4NkEev/pU/T2Yc7/uG4mkVyNPmHbaf7Wr0XNV463WpTQjF - LpT3P3bjaMbeNoOsor8iwwl/MIKm3iU/MJH+jJ2RF+x+9WTYds003UO1H6zeSKOSWJcM9MQ86PvX - 3nDdPqLt7OGq5jWnlIhZRZiTOzBVTFU5pVKpDmKmqilR1bFIDEeqoaXZspISSKEEZeVqSZghJzEg - Q83yITNsDmurhiW6PDQjIoBElYzJnTkGNSUXViBVU4OZpUREZOqvwAObGFHMMJikEUwtK8iIiYjC - Ocjug0pqCvLnpBgA5GMWVZQShRuyyRmATVPsfLKTqxmF+5cBzssqsp6dHcDo8sc71T3sdXKn3+QH - 8CpEJE2JCKqWmEx1Xdd5mjgxQFA3HtjPLOc0hSODGICZK9RMBLVYOy75TuwBIWahORvMDFDivgrB - wGVVQaoMQarsulbHBUxdi1B4cYOaGW9Qol6d89M8EwFEpf6UwGAyYy7RuyrU+dAVX/viFz7xyU/+ - 4R/94X/x4R/7xX/8Sx/+8E8+M9/mlTCFtpSRxZBBM00MkI6WkpmaRmKMCHPy/Us5ZjU7nM0+EQXB - D0QGYAIlP0SoBrfGP24d5+M3vvalP/iD33/hcvq5X+Vf/IWfUgUnS1MyIgNMlRsSURX7Q0A1WZTl - Ndx78fk7X//CN1944dYPfuAdP/r33/yOt94qROePEgDJuLr/0vMvfO65V559/s5P/MwvfOB97/2B - Z1I2sEpChmVwLISp5WWZEnOaHCEVUaGXDLquEwETVJENCZjIYArVcJ9SF6xWK1J0WItwkvAKZFnO - OSWPYy6oU/WdViTBKz8nwGTVTFNK3SIV+icCVCAkKexiJsAUYgrNKZk/RWVobjqJZGYQkylAZARR - A1NysaeWJU+eq27qtiA4wcxAj7l93DczW9c1pZRSAqAiapZS8nGJRgEPNUymkRMEgNIqGaCUZvXR - AynRRARkHC9effXOF77y9fvpzR/6wPtUtEJuXVdVPRwOZmZmiVmDFafuRCkzQFWJiGsxpuClPm0r - Ut0Myn5yvTVHRFE4y2eDHs2gBChUTCZip4DqOzeYmZrkhLknug7rC2CpnM1Uqs8xYKowZSZIVs+p - rejADJ6s0OC6KhEdJmf0apLJMcQsSmABEIVlpMlHYKZJV9iKaQ4EVIBwcKRRzUpEZOvlyy9+87d+ - 619+/cU7t9/27n/2G7/59z74I8881es/1RihiuI+tzUDpvPMBQ/XxLi6uPzql796/+6R5lkFTpWO - KgCIfY/I+bGSGMRgjLnVsDY4R1c1JaaUksVJm4Urm6kq0Lo9RdGcMwHEnEbeHjSgieESTxBgVoJa - Nd6KQip5EcV0mGtCRFM0RQRAKptJKglKxCqZwUhdsoMooODJP7+K8sQRh2NGsEQ0VvYcNVWDwQv/ - mcGWnJmmoGjA1ArLZWg9HdFgEDEQEyVmqEANzI2tqyrYmKGWzYh5iqUlmJqZJkctq4eVew0fFVUC - MzOYq0dHyxqZKsgScV7FjKaJA7xEamSg0Ba0JIlYNr0iEiSjNL38rW9/9q8+d+v2W+68cm8VpITZ - FMeLZ//6s3/453/1x5/4zC/9yq9+5Od/7qd+4oPm0IRCM4iVkhCsbF6qWl6XaZocSTYohHLphis5 - ZyKqOGaqNNiApiKcUuU2gFHRF7XGWBfLNBbValKK33A8UhBzQhYQnDcDCHXFLBauz2aJLqjl4hhQ - ljZ5pelpYljVjBp1mcHIqpQTleO6psO5ErDaGWVGBieoCU1gqkHp7YAjS4CBWaml6zMAFa9psWYh - QuJJfecOkZrm0y0cMY4UV4EBaQ4DN8uSpuq3YgMsIwHsKo8IsCLBdF3zcZrOAtotPJIGf3C3vKoK - ELtQVRPJKU1ELCLMTEQ5Z+aUmEHIq4jqYZ77Re/M1CJPVY2YYE3fJiaYHK8evPrSJz72J//+05/H - 2979gZ/+6E/9GDIwk6LjY8c185RmJ4m8qoGnOVRZVyEYzARTZFkuHn7p85/717/3u+fveP96/vZf - /uWPgC1x5ilpXlRBidmNAqMIWitY5lOITMEGEXc7eU65ItQOExgrs5a4g+QbgOpl6MPNrwCbAguU - NU+Y2Fw6OXZRM51EiZjZkdnMLEX4MdRQIdc07+Y4UCj1JcLiuuacjw8uj7/9O//nxz/x6fOnnvq1 - X//Vj/ziz5vm8wOfTwwQRJCTHzRpBIFuk/plha5C4hyqrK6B9Ld/63/504997uV7+t/8xj//6Ef+ - wdvf8tRhUtopcxA2G1SdXmDm8q6OFAwRAYGYtXzA2WVwDy5CxcxU/aRWteCmhXdB1Di0cqhCFXNy - fDPkLOmABAHYIKpTmFooAiZ0YFlBrJMfIbAKKONwJgApEoBsmcxmX14XcIuJEZ/52XvGrC3kzkSu - vvblL/7Fn/3Jv//TT3zgx3/6Zz/yK7/yj35OBKaLmU7TDLBZqQiXSAVmSN3JeipQU2YyqMGYWVRU - 9ZCmvvKfhZPYeSpblnW5OBxusxeZFQDg+QC9gq4T4fOf/U+//2//w51763ve/+Ef/9AH3/R0pd36 - 4dp3JlwZJpWJvdxodWs316Yp1uPV8rWvfPnZz33+7T/49surxdIhg4g5WQRzeFtVhDWFoaPJBaTv - JiR1PGQoVC8ePpym6fzWLRS5UKQBVA3FHqPCuAjFuG5bWhbOc1ILzQLHo55joim0qsQC5JwvHzy4 - +/w3n6dnnnv++Zfe96534PbBNTZGUSANZlhXENl8oDIegrumTV27nnh22Kl1efUDQYRJ6TThE5As - BHBKvTwyU7GVmTxHR9XEJFtOc0pu5tcq5nGMt2vbYKbtN7smapLzPE0+wSkl8AQzlQxkTq1grIoZ - KKXqN6MspGrTROSEJcpMzKSqYCrpnOQAISARqaM1wNyHoxYigYkpUQIgqkTMzkcMapnrU6LqQjkl - LQcQOWsJp2URPDFyVVUjZuqyqqqXQ1WZKeZApKo55yklTn3IltUfPi2FmR/1R/XrooRwarvEPh7B - hFtn3oPC9g7oBtQkC6dEiUAQMTVLiUP/YYiYSJ7nicNzpQQjItFMDknvm5JpVjCnqBktilTKRuQs - UD3MU2ESRX1Wcys4AAjjcS/SzFQEnWr3fW9vuG5vaq42jKo6ioDt/+t0tPKrGlRN1Cj5wcfGAJvA - C3yYZ5iREgOs4CkQB4Ann5gZZSJB5wx2ZDOYqTtSYUYlqNMAI2MCgUxVJNM8EZGrPhY0nAwQi3No - zWCqZK5kMCy8ugZWQvK9nPA6kYgqkRIbEZsSCQMwcp+PGdTZJJEIg4i6CnaEkskWcsQoheUZ2pky - jFRm12yZFCRmGaQlJZwNE5Td8jDXWDRkmCvwgIDMMDl3cObGRbMjqKm2j8LCY21EgJqFmdXbZYCY - kRn7efYwgMhYzDQvaTqA2eGJYqWGveLmipZl6zNBRLIJT7MPK2dJBEpc5CtRVUw9caNY/2pQUoVy - JISSf9TTR90XAtOvf/Wrf/rHf/Q7v/3bP/X8zzz99re8933vf+rNt8iIVlMiSsX/jrQKZpEDlLzA - qRe6Igg4YwKgpGQ2qzHJpEcLrxQpcWYS4hlIplRiJB0LhyMOijH18N6rX/rCs1/6Dt7+4V+SVquA - TN1X06vh7TdqRoShiHwyvPTi85/+xH/8T3/5V+/9yV/42dvvOH/zW2+dF9JwM5NAUMjyza9/5WMf - /5s/+ssvLNMzT9++/ZZn3hFSxQ14U8kizKVSUSfzjKzUyjewujXsYlhFoKn5p2JUVNI+/Rjnjc4u - AksAJg2Hb4dkCmNDvEUKkGqsrtcGMVYF2MLH70SpICq1qg1V7yaPwDZ3gk5k7JLJPygmUSwCZKZq - 1uokGBmQcwY0/MoqUWtPYLJSIqOkFmkCGwXoumbm3wnfmdOa/8mhlgTIVWEwqsdlRDmnCJIIekhp - YoZlOV5cXFzcv1yne8c79x6KuKLuM7fwxBHVz8VISriJqylxnUhFUFwwdUhWtC4YlIwsotWrOdUQ - s/jSnQ2y73IZCbS6ZDkWgbaYUa3k2C42VTGbmCFuJidYyUFFU/qDDyLYAxX3JGsMg5jIgDVnUkn+ - mmngOJXuTKFigNIUu+eyyrJ8Z718aGeHswPNNCf1HAzH8cuLi29+4+t/8H//X3/9pW/+wA9/8Gf+ - q4++/30/fPupGQYyYQhBULzhPnk3UV0sFmNDoAJTyfni4cM7r7x6987Vd169q6A49qBLLykYojBn - geTeVAOJby0JUkmWVFVHrfDIu4fMQva4OYH+itmAh2aiyr6TBwDGELPsEfoaXjW3QYzirMZiYGhX - y7yohWZ1yatENAKZZAvkFLitAZiYhRJJ7jSR7FsbRX2X7OEmq2hiKg7cgtJBcQ23zExNTdVjTw2A - etUxUjNQRlRiAohMAQsnk6c1O1/p+/OtLjbxYjeqcCVbDaaW2P3nSlTGUyQ0yMw9hyA1U1Eijrwg - I6gJsqkZQUyJlUiBtGYxSWeHuWG+AZQzriYyYoPYwwcPXn7xzjNvni8erFlADNMsF/c//9ef+nf/ - 9vf/jz/4Mz1707vf9a7/0l23ztainEIMDeGJUhFNbNXzY2bupGPmMhXr4NwA48RX71Ze12BX3TgG - 370COQOvJrg5AwSIidwOJCJmL5JlBDOVELNmgIo4OP2LgazV/eIiTFXJt3Y7R1LZSaZQ8Crz8Gyc - otiaouwwtv3INa+i2TBVNk1mQIYZbKrUKgYYkgpsDRZX/EFe6qhsOilMxLKDpXKnWi4FcOevOUIZ - GAShbpCmZEoRYU1aogKyIhnSrCBTNcvZTOOD/dKEuuKs36CkWflAYEcJ31xOVtRo94lU2YHYizP2 - bTFVK0utUoJLPW0AU1CUrbFOcZR9YVOy5Pzgueee/dtn/3Z+F751Z71c8NQM6BqaAMf2rBlCiJtw - RHQFCNytxuH7Eej66rdf+vSnPv22H9EP/PTdrDBfLtV6OpApg9hKlkPfTN1VqwQwETG5T4Y4VYSu - yh7VrSpALNRK1DS9EvSciTLS5Ll9KM4D3wKprnoRZnP/hYW8MNrY09tmHU50dOd/qKzrcnl58fGP - f+J/+9//1eH8/D3vfc9P//Q/mGfAoKZQWy9svYQaTU/N81OHDLUUPmdWPUhsR9kGTOuaj3c/8Rd/ - /ru/9/889/LyQz/6kx/68fe/9U3nHeMDQGrqaMBIpormje9nUNLO/PQLkO9hEyV3yxpYRF3dL8E3 - fWGC4C+mxRbznXJFkXUgM4iolXlYcbW7suvapqqCPc9OVSy239xijE2jSOgzOHlI4aAEifjj0r0Y - yLeRoMtyfO4bX/vz//gn/+u//N1/+AuvPPWW9370oz83uzPPTCSErDsATZ3LUQ2QqJKoLm9x7Juo - Tm4nUqCc28yCZJohygrkFXYo5gmBEjjBclJ5+bkv/+1nP/PSd/Tbrz60YAdm6oAuS26AZtACXqHI - S764eLAc5fYzbz473OKJWgYHDMim68X9u3de/raZLMcMO6iUWrmj6SDGSvCDp4Ld+e4/1AC1wiAQ - WuwqAhCnRBXJXD0yMHk935WJUtsJtKIuRk0J52QGNcxxJLXFfhggsDXL5dXx4u7du0/ffXB5saiG - E0BNCUqUYRyIQX6C9WzmJjQRT446EdrCk4iaBxw4xpmQb9TqfZG6AAAgAElEQVRY0UPd7eZgFqg6 - 6DlkC0ItNghAplAyItOi3HHsNXSKtaqpWezOkaiFyo9qpHdUXEBEMBhHbjQIlkC5HB8tBhMzgBnn - sMljOCy0qUrqFs+zApP6SXehndeJ9l83gKDq6aeB2O7UCZKPh1VWM+EpcjDN3SZFpPr+rheHyqop - IvgK1hBxyL2yF2UwWFaXquYAI7LOLHKnUbhKvDHXEnxOFmSk5IHA1ieHBhMjWeEh6ppAnhPZaSE+ - Di0cO8i65s+MrNGJSpSZqGrWbkV6l2HRQ8Uul6sHF8c0n02H88PZWZxl4/u1ZiKSuG16qCtcTF0M - N8ycR7p6FVoaija49Qh+P9ojc2H+f91cJ1NfRSBQjng6zJQSCDWAAgAnmqayNYzgiyVj3FbTJWeY - wvdr1TcPJ8ZBkQxzGHUrsCjEjw/jFSaYGDOmVN1IKprzqqaKrFBmZmNVY2Zi18AA1ZxFjcQoixos - MaaUfHfd44WcCWledb1yew5qOYsowEgTI03hSmIQbFnWqyUfxQwswLospqKSZV1gaip5WXxv/Hi8 - yuvqtoQoRGHAlDB7CFh4jsChCmpe1+WYlyMS4fxsVoHqCmTQYrhUXCquDAtBRZBXyIqJkbgULCUc - 5olJCMdEmaDMfDg7E9Xj8bjm1ckvZ7HYTgnXteRsZsTMic00ryso0sujEXLOalI5JJDAs4rdv39/ - zSuKkbBK0YIAThR7wCrIAo8HLCEea16vrq5U1LnH5dVyXBWA+VZ8H/6RyHhac/BPlVUkKyy5UDaD - +XRS2XNTqLz00gvf+NpXCfbqq3e+8KUv3713X01poqurh8tyxcCEA1NSwr3L/ODiQo6XkCvoFfQK - tgBmPK+gDFJOV8LLusIyZqMDwRbki7werzKu3FnuFhfBowJTOWxNw4tpYMP54dYhEUHB2aasJWDU - 7Hg8HteViKvPtir5QAcKl6xEicCMF194/uN/8Wf/5l//9sc+/ucvvvTtZW3Pi+hxzWqGREj0ykvP - /81nP/Onf/YfPv3Xn3v+xW/5BmFKDAJIoXJ5dbWsaqCz87M0TWHDSlbJXAJqp8OslJaciWhiMGFZ - liwKj3aJDd5iMBAZcdaI4KbCDtblqAbGfDY/lbicXasCWXS9UlVCIsxmJNlEBDBMACsST9PZmi2v - ApiHV5jRmlWywpAYnFLoozDAmJGmNM1nxAcDr6vIKqpKDJF1XY9pSsRspiJZJJtaYiJDXvXhw4d5 - XaY5ebxxXo8gMtWLi0tRAZmIiYSn4DG5qAGHwwHAuizLugKYpklF3GHqojA2VcwPkhDXsKY0pzQV - UU5ENM8TJgZEjheq9qa3vZPO37waSxbkBZLz8cjM8zwvy+JpEKpKgEdiikheVxGHRpHBZuu6ruva - +WKo+FLJ1R0RFXGfoIc1lae4qqlaLXoDMVLiKWfJoiCoQtQts0Sc/ASaHr+ruSFZjsfjshwBiCCL - 67QJ4CwKIp4SAKTE8xzRvImRGDARUS+yI5hmmiYYcHl5tSwLTRNis6vTijx6Yl0kZzVl70fXV1/5 - 9he/9KW/ffYL37n74Op4zHlVyUSUJk6JLx4++NYLz9+78+rdu3fv3ru/iilIFGuWnNe8rppXSA51 - ygyAiK5Zl2ycMM1swJrXNa9pTsfj5cP79yHGygTehh+H1e+cXlUFjvEGHBfJ6yrrsq5ZRM040TRN - AC4uLnLOVhiRqQJw+Zhz9tX3FV/W9erqyr388zz7pnrOObuNAgDG0Jngop0nUJqaKaxCnv9NWDOW - LADSNM+Hszp6yeLOWYDAU0rJPbImkpiz6OXlFRETJzNTzSrZ1IgZlFTs4uJiOS7z7IEFsiwLAKhe - XjwUEYBENIt4eGB1XhtAlAikKpJzbHjANAvUmMCJ1ezquBgTkke/GRFNKSVOBEDiGK/q3CQGJw/a - UMdvgHyLGp0BmfN6PB4JqCfLgSlNE0+JPBoFJGJXV0ffe1LBxMxKx4srZprnZOb2kijk6urq8uIq - 0ksNmqFmRllxNF6AZV0emtpZeor1YOpbyJB1uXxw9ytf/PwLzz9nRHfu3rt7/2ExWgx58QheKhwe - 7uBQS+7xL01Vj8djzrmyMvfP9r9XX23OuSYWiIiIUE3V8R9MHjSnIsfjlTiNVFpkEpV1ze67UMXV - 5dWaMwiiqmowy+uyLotITokBW5aFiTwQRBVZTLKLoDp+OR6PIrmzTlGivlwEtthnLin5LsIl4+rq - 6DTARJyYmMzs4cXF8bicnZ25mjTPoJRACs0o4SouxI8L1uMRyxUsl8huiGGpIt4MBM3LcrycEk0p - mYIZnEATNMI9jUzYdCaCgxzgCWkGAZoB1XmamMx9FwpSUJqRMy6uLs0EzMx0eXEpYoezM4cEV+0R - YdSG32Bd796/k/OK0FC0YKya2eFwULUskqaIipjniTyQCkgpzYdDrLdhWddllaxFBzQ/sgKAEFbV - vK7r8ZiXRUSgYkz61C0CLpbleMx89wHdvwAlyHq8vHiwrkdVIabDYfaEP68egpJ65h65RXBxdaUe - y8x0NjPJ+uDevYvLZZHEE6YDUkoQ4cTTYeKJzUzChgdTBGs7XYhgOcrxuC7HZV2Prn2oK/TESMnM - SpFj4tQK7zcNrHrJxbmpLaKKQ6JDxTdmYo/EcNqqMXtqIDBTSiyq7nGkTZm2TaMau16wUB3HRU0A - W9f13r37z33jm6++ciev+fatp6aU8no8Xl7c/c53Xnrhxa995Wsvf/vldV2ziOf2X65yebXouoCA - KU3zjO5c+8uLh88/99x37rx8cXGxrOvd+w/uPXhYNuncHamAruuyHK+W46IqwSp9JmmoCRtE2qhV - vQ6vQybNvOR8eTwu6yqqReUDEZi5Mp8sOS+rg9QsVDU/vTWv+XhcmCOImQ3MSCmF5wQEI1UVMeff - U/InCWpIM1I6HhcnH4nER3KzdTUxF82HuWwMMTNEcVzdHynLcvXqq9+6e+fl41EePHx47/7lg4di - hmmepsOc13VZjjBjJiZSEVfQ1jWLBAPjhDQxMyVOzKyqnHiaJ9FcJGBoSzPA4Ax6cLXmrNP5OXKW - 46IrmGCMK1FNExKTHvXi7tWD+8vFgnT7cB7e1UBCCzVPDGs+rusVdCHI1eWDr33ly5/85Ce+/a2X - j6sQIxty23uyw8zP3L51PqfLhxeymirlHOZNXuv2kaddHi6Pvpc3MRgQ2BEkiAIr7NG6zzz99K1b - 52p2cXFxXJYQWwZxDzOTS+qc88OHD02VUskHKtIrPHwMIhLLghXA2YF9f85FuckCuRK9MstZZEpn - T99+U+JDRU+DAQI6gi6JlsPB5pl8xzyvuixQIQInmuZ0mHiG0XLE1aUAidzIWC9FL0GXYhdqK4Cc - 1cw82UkkXx0X5pmnyVCiSiTn5UpzntMEIxH1NMFpms7mQzipycthEJhF5Hg8rm7aEa1rzh7JVTzW - fZsSnx0OHnY6uXatjmyJpwlQYDVcCi6MrkBHIKuKZKwrGJgTSCMbb5pSIhAWRiaIma5Zcs7unwGQ - szBxSlMJaDXAslth7m8ysKuC5oLLfaUKXUUyADVyU4KD3iOGL6xvwprzqq7bM8xkXT3SbZ6mxOF9 - JYaZHY/HZV1ElBOLiltAvut8OBw4samoShYXVVeuCFHxXDLAiZEMcjRdASROBFZRApuq5SPOGAe2 - vLgOXPWhFh0s2XI2lTRNxOy+V2aeJkaXzpoSzfO85nxcliwS+ZqSCWVHL+JeBNDv3LnzqU9/6tln - v/DSt75d96dWcaN4WtfFQiPSvC7Hq6uQna4EEIhIVHPOy7q6+kcUlsXxeNTHN32/l+2NqNubmm8z - V+3atBYvp1Lby29As1Bi55WiEDVK5NYSAICJ0pQ4tCEiEJgoi+REtbINCJidU/uFxDhIyWOEs7EM - Yp6mOfa4fTtAEf4FKFQJnIgO80xERuA0qaykmhJnFTXmxCIwwhmDmcKXLM6sJhfTUCQTWAYMxAqa - 5pl4EoYBiSaaDwwv2+OBjK7FWUp8djgQbzQSLKuZ5MSUmJlJszBH2OA0caJZNNzXauqciKKyi6Zy - nD25qlO0NwKMIIpjPs4HYShAYmImidM0HZTZ7XYQhbbipsWaVaUkPiiMU3A6ZIWqpSn4U5omz//L - +UjGE8+gNKf56dtPpylKPbrjUYuexLrOLMQE5cAWomVVk3x2mKfDgZKxhyuDzs5vGZAFZY9UIUpE - SMkIC5AVDCSylLjkT0ewkzug3emegMTARD//8z/79K3zX/7H/+iZd73j/T/x429/5w9O8wTF+a1D - ZsqSNU2+J3h+a7qlT892BT2WyGFA0zGvOJ898GiamTHheIGkSJP7oN1DEmmPkdWmIgKenY+qQnJO - kyc2CY4XVxf3rv5f9t6sSZIkORP7VM3MPSLyPirv+6zMyrq7q6fnwAyEC4AQrAiXS5AUvvCVQv4n - CkX4TiHIwcwAi+mZ7unps7quvO/7vu+IcDcz5YN5ZBW4WOCFlH2BP7S0VFVmRpqbmap++n2fFm/A - 9WwKpColdCgX3pfK76Hbu9hQOX2CwG8QXWWgWBRbm4hzlpS6M7pjIqW0KGQbR2xaur69ub4tFsFa - mcgDqYfxVmd2UxTHUaq4guB57714H/JgEJJEnHNxTnulCJSmZc2klIqjiIlw57VJ4q0DBKyFuMJb - y0A9RYAiQyZ1zjMZVkFqT96BAQrRD4HSzEFwG9JoL7AOmkmrSEeBWM0kQgwJjY+sTxm+2Iln7xlg - Ci4cnFrAi9ZGAR4+TRIiMlEm1WcmrZXz4rxj1kwgxVX5qiDBkbRM4rQxICKt83lObQrxbHLvX0vl - 5/8zTyi3w5ISkc9ExOJFQonASodcnxns1d0tIOw9KGMDVcqx21K54IoUOcPee3d6fllT74V0FlCZ - dKS9UgCCYYK1ljOfBGJmQnAlySiBzBzyJaM1iJgpQGNKq7JNwIhNLjvBKjAOJU0d03uWZqhhK3UQ - gvuC856YGKxNRJ4rzgphLB9BBM6KD/pxAuBtUixd5QuGdawUR0SAAUEZQCixCWvRUEqxd+KSFC6N - FEMrQFAuJdZF+SqwDj0JV6FFh3cUxbnssIYejNbWWks61pBymW0JxijFntna1JC9Pj/5+quv/+Hb - yYMS//t//9+8mBhuam8kZB1+AE0NDc+ePP5f/uf/aeO4yLVtw6Nj+YImhiJFXpG3QRefNa+98wDY - cCB1hnfrHRGxIkDyubi6qqBIsbhgC3FnjnB39iUbZBSWkmEFzkOEWSnFpEh7DgeaOAOh7nrjTOSR - OWMEaDJ815CKhf8J2yBJksrBZ2GqcNKEUGYCEGW0Lg/xXmtkdHBBFrY1BNq6VCl4SJp6YlYEozho - xAXkvBfvtFaGvFdEgNYRsSaVqW60UuKdc5ayu1Tl8oUsT02tiMtFoT/MhXzeeZ8kic7sJyAVKsR7 - YgmyS11x5bIgiPU2JW2YSEGxUEDxJHVWCSs28BkrmyoUzgrTAiHXScu3xjAUe3EimlhJ0DYygaC1 - VmEDBG11xhnytze3zCqXLwiIlY5yLALnoRneehZfiOPUpVZIR+yk7CllmDgXc5QL/KLg3QItDKFs - /a31Ag8FA6e9JeugI2ija+pr/u1f/tm9gYmfbp6PPv300fj9LFEKrQ5X9q5cFEJsNGATiRSU1iQM - EV9BXZkojmMCnHMBpWXmYHqBTKb3npartbbWMpE2RinlvU+TRCtFd1BT2D0gZo7jiDKYWCoGL7FS - KjhuEUCMKM6xYgCKOTDiTWXbFW9vwBzFORCS1CWJjaJYqQpHiaBUdmTj2CgiEZ8Wy0oxGQ1mBy8i - hjLrrtBTYnWnUMp2SkZOobvIDBAV8vlAcSlbeEaUlUzvc1hU/m1koEnBIVw+Ih6xyaA9VOpnmxJJ - nBm7SMYak6wrrBkRi+ZABbIk5CFOsvyYs+X0FZwrxF0dPoOJoZ2xtmyUg1L5fJWIt4kljkOEcS7A - j2DW3iXWliNFynBNTZXS2bwHpXSIYMzKOXdzfauN1joLNyF7CZdS0Ppk8Q4SyvggGAdX2OrEgIU4 - KLBoL6y0YWJGwDt8LoJWKRsVF+qrGzpyBVxeuQblcvkYrKgyDsM5Ie8r9iehK0hCquzgGfl8TqOM - 9BalkoLkjMrncibKC8eJqwhqJMh6BFCKldwZmIUcMIA8ADMio0QUwTN7kJAiBeVTS8ykVIB7PHsn - znhSd4MzSGeDLr1jIngPm0AbaMOccxnXU+CceG+9gJgUK6XEi/dijKn0jCos40pLgCr9hwrBpfK5 - g0dEsLG6o81TCN4grWKYgsdf/dVf9fSMecHPf/GTutq6IFyAZhHz2Tdf/Opv/uH6pvQX/9V/+V// - D39tnYMXZlJGRczsbeAohO3uncCTJuTz+Y721v/ur//6/tOfH91GH/30z3v7+rQxIiURMZwdoUhr - qAyIBpFA0nKilSKt3h+67I6o/K7isrIHwqy8R2qhTQSAOVPHK4Xb21vvfXV1FRGJeGsdg5QxxJkA - OkMfBUpBGaNUHkpRcLKoMC3e06i9E4LRlFoIwaiM3welw2UeR9oBPshcKj0pApgUwSKYjSYeISpW - kDIBFKhQyD2cGNfu3w3ef97W93R44qeFgmKGS9OkXNLaGG3uVOTM7JwXCQE6451mlwYJEbxIOSlr - rY3WSmsWDQ9btt6AjPKwABNUlK+KQ3XDUKSURuLhIJ7IEbECIs4bqcrFibmXenN5hbgWmkVYe3D4 - /IrBCsxMpEG2eHX18ruXn//+q/nFjf/2v/8fP3rxs76BFlJ3ikPrkdo0LRWLNklzNflQ5OiK14XW - LA7kBFFwJOEojoJnghP/AWDpAWFSCPRnCSks5/N5AVmX8dDD8ULl4lVKFwpV3ru0XDZhd8HDWjCj - oqQFkyIWJM5ZIRXyWK0hHMiwpA2UJgA29aVS6isOq5UX7r1PhTyRYmgv3qbCHIWcKmhatL4LHIiM - 8lpBYK0VSbVhVkJwxJWWAWflVAgBzBpQIRAE/xJmxCYrahRzaHFDnCZopqC0ukMcwkJFsa6AudDa - 4I4weofnIKM0ZxeFvI9i4hGYOeEGceKEHEOx4oqDIQUOB1WACCZ4IE1Spa3KpBBExErTHaU99CSI - gr2UIyLx4n0gclbM3LKFyOpiL4A4Jq9ZOGAUxIB3NgykUdY5YVYEZ1NDRIpJacfswPCOARXKBGcF - 5KF9RuEXCOI4DgcNgFIaLCFJRgZ2ZTAtQwmz1qFOFpumjowjEgGz0+SgXMXSgsiTEihfmUmgLISd - CIshQGW/0V3wDioaDrd0WDNmss55CxVl17h1AZBHFEXOuUCJpcC5y/RoHmkCcdDR8dHRl3/8+n/9 - 3/73icfPP/3Zzzt7ujICTiAiZshY9v61UsyKlQpCnyT1mklrYqWy2tB7AKErz8xR9L7j+J/3+Vfo - 9p9/skS08h8RkQzhz2QVmQWLiBcPJg63WkCRwrcIwKGG0sEBTFIQB/ZOqFQ/IHkEbUkWuglQFUwH - CDx8JWCRgIY4KDFQQSUlJHjvOiJEpFmlHk5BB11eyL6lgv0hyIQCmUi9Lyo0KpaiyE5YZuTMSulw - vnxWzqmgLiD24qx40aE692CiTPHwgbdkhXQfaK3k4SoUEAAg9oo4tBBBBDICAjRg4OGJmZhCrZSJ - C7OLmCik7gKviHMCFqGg5WRFxMZZ6wUQ4Ttqp8h7x8oAi7MwKWKy4VqQu1ePCrDos6TEIzDumBUB - XsRX3Ooy2UKWFgsQmDzZt/LinfdaSKlg5efgUk9aabZhskSGJnnAwTkvkdM6ZXilPKDgFcGhYnsm - Dt5CSMg4pQXkIMEpsXegt/Ve09ijCa6pyTc35/I5ggdZRKQ9rPOcXcdgBaMIEvk0pWA6rBQ8lHhl - oVSlR8tsiXRGhgm2MYqBKBizZk52H7ok3G3luxPgxCfWpkQKOpdJ5byAoZRyzBVlYZalV8rPu2JC - 7hL4kM4zi1FZQ5pVptzMtnDWQg4Qi5W0ZJOSTVMozdrg/Wf0SBOwVlFVKMS9SMWMI5j6Ze/dA1Yg - DCUMJ0psiMFCikBCmcKUwzqAU8+OMwvpkCcEHZXS7NJE21QbTdAiKjOqCBCJOC1lhJyKFEiJhwg5 - YvLC3plAjEMgVhqQyhpLyHLn4OpA4gALAiQvBOvBnkKHgrxYl2qjWGWCJg8ws4cnl0kj4WGMZnYQ - J+KIBKGIBbNSkDQUqOLh5E6dhn/04v/jhxAgPA5kj4qS+sOvpYy9CoAQKMkZqC+BFcbEQpQKUmEv - wYzOs/gkKQOktSFWkOCAqIhYwoJngAuDIF7SNGXOwDsRcQHVhYhUrBsC9iUSfoL3sEHnSKRISSgr - g5oRrD5wPsjqCuegiImdSLChortOHEGEsml/EpSAgUmiAmPPe4hoQBNxpXbO8hnvmYSElFRoA+K9 - J+Hg5CZenBNnK8zNkLkiU5c5GK11RuVwofgMEv0MHqfw63H2Y529vbpYX1v5/uXL7Wv56MWPHgx2 - ETO8Fe+F2HtE+Xx7d9df/cVfHJXNra5rbGtQJluE0HUH+7DBQlbuvFdMpDKpR6i+Am4tNiGIZs1C - LEzyAev2Q7wrZJriqVLDh/KDM8CfmBH6WiII/NmQYH2obwpkSaVU6CV8KHqqqKFDEcEArMBVDGvY - pyAB5YLcRLIq2GeSZPEQ470Jx8GBgWBnJ5SxrEV86oRJxxbMSEg8xCph7z1Y62DLJSDxigTkQx5p - Ec6jygp4sQqilIZ4D9FKVdi17IM8/n3MCv76FNILImIRIg+BAjyRy7IYYjLBXx0Q6y1EKRW9P80V - e4jwB945Zg/xJC4zdPde7qoXAhF77xgVIqh48XI3IFq8+GAUwExMmtlVCHsQB7GkNYVOcSBfCxHB - aM2enKuQ1ClEFiJSQfJBxESKRJEoIk0c6H2CfDTw8EF978Ohc1/T1t9QE/n3OKVAnAQ2PkKDzJvM - sJTF+Tv2PTFromDBIR8Ya2T7rCKjyww6mK21PrsQKLAys+3lMg6Ucy7g2krr7KrxwU/GBwEQVZhB - ALT5gF8od6UOEUjEczB0E3jvbZpGccSK7lSqiuG9UMbqAr2XewtATgheMmg2uO38v0SABOZgfesq - 82jFe++8D2WwlUyRlRmbeArGLplBfGZuA/IKyJSSQXof2JkecB7WexawCjHbwTvxGnSn9s8MPjik - aj6QapEGWRgA3NmTeIgF9J3la+qgGUqxs+JF2JMyOW+ttaJVuI3fLywRA0Z8IuyIvY5IMoF+eGXi - vTPGhIPGxIHud1flZjGuErSEQmkpShkbEsa7lXU+G9MUWkyUzd8L8A3EW1sSKQvEkeaoVufgUrAm - iiqdIvHv/bRCJPZ32y2zTVIE8Z68hVhxiYLEUSRCVlRWuKDS/kcwS1VElYqa/tHFG/6pClMixfs0 - YdZgTU4yOCKTC38AfDgBa6g7XmrlZYa5stAOlIbMXjwjDTkvMXO4kj2JeHEB9yBf6faFnqh88KlC - 15wpY3AFyFmoknt/kBqFjaJZ5aFefPxifOxjYt3UVJuPFVOIU5602tpY//yz311el/rHBlNrxTAR - hUuDmTJfeM8Z5InKjWu0jmp+8umLgYfmpJyva+9urMk4B5TFKACkWOFuwhAxKYh1DqTvkKP3GynE - /cpMgawVQM6zdRIZYs5EPMhaHSElyZIMiGelA+4ZSpUKKBIuLSbW3nuB18z8/koMJn4u2PvCuaBy - CH0JISZiiAtXoIhwxUY1tPkE4dIWymqBkA9VSsXgPUJeKerp7mquiUceSFw/YGpaKZNZexGnVMyK - K9VZxSj0A2jyzjgwywYywFKyi1SEQmYlQOVgMIymmClBOcksR+iO8sweDmLhk4h9ZIzmnPMmzWyC - Muexys8QUELh5IpOShcry3OvX/9xZm77xad/OjKW3tUglBWCzlqXps57FelIK9HsjLpDTiEOXkhl - X5LozDc/mCUZIFzfqXASjIMqRTrApLVxckdJqqyPBGKYMCGKTFr2WaIqUjE5IYAQdgVVFr5SdHoE - vxkELhCxFXhmBdLeE0JtFMhV4myagpi4crcLCZyIJ1aqYjp6hyCAwKqS3oelJ4KIhydoQYZUe3Fs - y8QAIqXi0PYNtHMWTxQoMByYF0xMcIH9BFEZ3ziLzORDea40MipxZpuends79DYL3pVmd8UsNQtA - AicgzyDtXQzWxGGB4DwRPKmKSWHlLWTOrMKEWACBlgr+iYovEpEScRUkKdPoSfgcXlgpBDupSuoF - gMQDFuSZVOrgFTOzZ1uBWMFCikV8SrBCOeLIMhIBC2kixQSbQByRJuJAiqvwnCrhM+hmCZUzl+FE - AjjrlGIKbWHvvbXiCURh1IdAwDahMoN0iNce2bsK0K0kgCKVjczhMDRWAlHQZcOYwlXuXSZm5DAz - RCqF7fvXpZhA2jvrg3QsTOKBVF6zB/ztzfXa6spnn31WcujqH6qUDxXDBECFUjFsC6XYB5laZjRn - nQegdKaSVlr5isCKmRRrqVzi+E8//9zf/X/0/Ct0+y8/cnf+iMVa8UKhkYKKO0tAQESyxJ05cCUE - cII09ZrFaAXn4FK4MrQOPK5IKQYqVTTKaTkSR9CIIpFslpiEFEsF2yMiNj71pbToyJqc1jry1lPK - joUNhcjMlSNYTlKnjIqglA6W+BVRE7QiEbFJwloRK+8cQGGoibfOezGRCYcxhAumzIeKAOcE4ohD - D1BAvly8JaXjfFUQYDvnU+eUNvl87s76L444DLwJF6xSCsGumwjOenFsIps6a20ulw8tZe8NACak - ScpE2ihiCTwX8Y6ZlDIIcuEoriSzCGSEYPvABG10mqTOuTgyd7gtKVakUDmTEGYWsIZ4pfjO1NwD - 1jtNVpMYo+E0HMPDWntdvC5UFziqMKbxvmQyygBlpA6lElQME6buMGDCuzZhFXxinWOV9xwGBQGV - vgBsUiylVF3nFFQU9ppDhrjekQtSWGdBXunK0FTHJMAtvdoAACAASURBVIh1jmu68nmbK7jIOMB5 - x0gBAEqRei9pdPCMhMgprQgm6GRJFzgM8RLvnTIamnU+72HDvSfEXsiVoRW0grgUbKGUqvCgwitQ - RmckBUWITT5WRitx2lMEhrMApYiViSIHJLZ8xym5wzqBDyFBAcSYzB+P4BV7o6AUgdQdBOCs9aJJ - kfNeOQuxLDaEZE8cBDmaoVnDC4o3CUFHdeG7W2sjJUqzVNBxgOJIsSAVeMAoaI7gixBrE+9VbIyq - jIFwUFkfIk19WXFeZ3VFmAOUJSnwkJKUSVQOnAPr4KugEWxUMjJKWMJUkAq0AXlyaRKbrEwplUpe - YmWqjL6blJV5HStWij37MsiDYi8KuINIA1IXRGCOAG+tE68jrZgVaWdRLsHZck1NHFaftQ6iLVgX - ZuFFkRHFVlAqwwkiA9Yfenv+049STERpmiiltdZBZw0giswdRyxEVmfhAjiV9WRYAV6ctVYZk3pd - THwcxwrVcGcQp0gK+Xy+UMjl85GJ4W5gExTiuwQkUz8BAJxzF5cXuTiura0lIEmSpFzOF/JMdGeZ - RErFURQOfi6XT70PAhnNKp9TSZKI94Vc3jsv4kCaKGvbBber1KYMrbXWrMNv5kRU5dMwE5MJVwVx - pr0AWZ8kTKipra/MzaFyqeQEherqQJ6NTWYZkSZppFUUR4CScsmVyyqOEEexiWxiyROMKlsPbbRG - YpGkkLRcW4gQAhZn0cYY4wAv0HH0nqoKKK39bZKUikF7lzpJrPNOEHRfYMdabKrJQqv6jvY6rr1k - XCVIUpgo7DXKfor3cA7KMBNX7PiYYT1YYJRiiLikVCyST5NSGR7BMAGVkTYflOmVW9v7yuQygtLQ - 5MWlcBGy1Q5MHALlcrnwxsvlchRFWmdEuQClhSkSaZqGsuVutNSHHXUHJA5awRCCZSTYgk1G7VMx - kEKSoKuET8tlcGyUASP2SAgcGQWPrCAul0qOouoYHO6QEmzZi0rFi1FaU6DXk03zGiCndZwIbhKk - pXJ9VRxqEKUCSCbeptZ7ARkTkdIiKCep816bKOCn5m4cGSQgd/ChOCNQNlQpFFQa2mVKZjjxWXuo - Ao5LAk9Q+dCWgLXlKCJWpHMRfApvvVdQuGMheA+bWoZolZVnIuKdV6ygVFVNTZrYYrEU5QpMKpT0 - d8U5yMF5YyLN2opVYYQmVDhWtsSRUUYjiuBUcBxWIeU3UcSkxELFxpiciVBMbMGXjLIwqrG5wTfW - lbRW6m5DCbwDRBmdN6aclZdZ2UXIhlKFhlNlX9F76+QP+Nrhr0QkTRLPHAw3slrYewAmigDxqS2X - SnE+x8TOhliJEBi8eO9Fa0VsnPdwImGogBcQRZEOYZoEIo58Ki5V2pCJqqqrQq+IlNJKmUgHUFkx - iqWU4PO5GCG/EWFmKI7yOUDAymVwjWSynUySWXmFISUjgmYWuCRlJlIGIJva2+JtvlBlIqMIsUHW - 9bTCQlBaUp8i1cqocLdJCIEGAIxWwqXUeoqUAgPO4TZJavIhhJSRptay91pHihSYoEN94isNa84q - 4Dt5FoIVLxsggUs9DHTWBSmVbvOGc5E2RnvrbOq0UQQTclzvyWekKvgwd5RjndNiy84VrQWpiFWO - GUnZBk40kzJGV1dXh/3y4biSINZRWWJFSjGRD6Wvc1J2Ykw2m0jSlNmSythGDFVOvBeOwq8q7uL8 - KEmukzQpXt5el8UzausUiQkEK++cEw82WpFWEXwZzkE8TARWipTJgGGUy6WcOMQmPS65pGSUurkt - lRLJxyHLEGgNV7ZJklpEsWaOgkk0c4D3sg2RJnAptIZRgLib66s4ykf5agoaaRHSrEL4ZmZ4OIvU - OkUSBhiGOhkplCDi4OhTsqqowR4xPLODMkbpzOcTIAZ7vry+inNxnI9T60lxJWXIeuHheGZZIsF5 - oWAeHLJgeh84QHdfFA6UaW2tv3cvy9W9BzNsknhbjHJVhlWkjObUpa5YLBWq6kHBqRGpEyreRiwg - A6URWo9U4WtAVG1te3WtTqpQQMhgNQW8u1Lke3Gps84zG22IFEf56P0W+vDDBiw0Oz8ClyZJIiQO - cRiIJD54CFgm0UYX8gXmyhcStNZEHGzolDZacyg3w/3mvHfW2jTRxuRyeUUZZiQEZ32a2jjOiXe3 - t5dVVVVKKWeTIEMJFat4Z9Oy1kYF2Z1D8MeWjHLiWRygYDRShoP1IAOj4D2sTZQt53Nx1NZS31Kf - qMYri9siTB6RMVoziOGctY5Z3x0lEdjUJzYFKF+IOENvyTnnxedyOQI8fJKUDSRSsYmNaKRwPquY - IECapri6MoVqaIiDCj5n0A6JS0rq+oIlJcjl1a1QFMdQChAXLsgKXck6d0PWGiYiFudub86du4xj - VsoAeR/cEhSYfKaE90KklcpFURwZinQKEudYPOtK6i7hHqaSh4NXIK05F7xWXRpGWzjvvOKYlQlN - agGc9yDW+v02rwR8FEsJwatczhgTRl7A2lDwhvTovaQRosGGNTy8RZpBXE6rFGydK1tbNlrnonwc - 5QMSIQKtlU3s9c1NdU2d5hiVgBlHulgsi7hCPhfu1RBMADAFzbBoRayN95SmiYgjJmO0gLyEY5ja - 8kUcadZaGyQphLMrGiRwwTBdZTKnjIEhDIh1RNl4KwBBIHdXwlkfhv4xK1JcyQFCq4EAkHM2C5xh - qgc5QEGBAOcDkYuY8xntS+CdTdPERKRUGjzUCKS1Ce2zOMrwbwK8lbJzRKw1qayXAUVhBiOUUoFv - wZpKpVKaOgHl8wVSnDprfYCRFAfoIKC3nm/LnnI6Vqg4OZBiRWEqggFs2aWEOLKA9TBQDFHivU0Z - DpFhYp0xTt6XbeIRDIIUczaTTcLVRt65m5ubfC6OcjkQ0jSxqc3lq6Eq0ixiC1u0t4ZZG1DQO7hA - MicoseVbQWziWoDhQQ6G4IFympjIxiHSO4ET74W1CfbcWr+3kyAgJG8+o/2BtU6TMjPFlf43xIep - xYCEMXGAJElaTm2wOnEOcF7DRyRKK1gL7xBF4UXdFkukYh3HJuKkbG+LpaqqfLDXM8YoVsLsnAu4 - kfcehA9HGf/Hz/v7/P+351+h23/hCe+PKhk5UUWrz1mv+fby8vjkdGdnd3F5eWNzW4h6+vpGx8Z6 - +/tr6xqMIaNZExRCJ0XA2FxemF5Ym5xbLjqKCtVNLe1dXd0D3e3DvZ3EwToTaWotSEc6AmDd2eXJ - wfba6vru4tJ6KZHapuoXP/6oo6fNadlZ3bs+uU21HXwwlG8sxGAllF7d7p+evZtb8lFueLCnu62p - Nq8RDBYAD5SLNwe729NTU83N99o7unq6u1Xo4dtUERQDaXJ0crKzu7e+ubm9u3tyekpMPb09o2Oj - /QMD+eqacNJJLFkbR+bo+HRrasoL6hsaO7t7nLWbm1tTM7NbW9tpmjY3N4+N3R/o7+9oawUkeK94 - ZyEuODaKsxdXVxvbW6urmyvL6zfX5cjkhwZHx8Ymhga7mMMISQAiSICEtZwcH62urE1Pzx0enFiL - lnvt7W3dHd3dHT3d9U0N2mTDl7UCKx2gTzgHcQgtdAhYnZ4c7+7unZye3mtt6+zsLiXJ7PzC27eT - t6Xi0MjIx5+8aGpuZCOltJQzulRMTg8vlxbXVtaXN7c3VKzqGps7+wd6B4ba23vq6mLvw0BDp8iB - CFEEYXt1tbi5Ob+xsb65fXR81tPb/3xi9MFgb5Xy55fl76eXTV3r2FDfvbpCQQvSsi1e7mysvF3a - zncMN/RNDHdGSgBvwTokUN46Vb5Ndrdezy1e52rjjr6xh6OAiNgcUfHq4nhnf25ptb6rv2N4vLom - R2FYuEu3Ng5W1vcbmtruNbfU1tatrq/PLs/Pryw0tTTdHxl59vRJVRznANgEguLZ2dLS0uz83MbB - 3o1Nqxrr2zu7hkfv9/T0NtfWk3gtQWgBiJc0AXBbKp+eX65v7Sytrm1vrWl2A/1dL8b6BpqqyafV - 1VX+HKUUqQMbQAipDbxZpQ3BfojYZEnJ+yvwfcx1TpxNXFp0Ds5ZmwFnAVLJxltn9GxxmlyY5+eE - guVkxnuEII4iMsUkWT+43F5fWp6bPj3cTcql2tra3p6evr7B3v6B2toGykUOSAFflIvdlfOtheOr - UnvvcHNnX1NTHQDvwc4yO39xsrZ/vrx/YfNNg73dbY3VtTkKYTz8HjeX54c7i4tLqx29w+09ww3N - bZ7gCEy4uro8P1zbWF9dWtnc3DlKnGnpGOwdejg8NNTenK8vGEhZnC+Vi4uLyweHN+XUPBh71NlW - l88RyEEyPqAGwH5rZW5t+/rgxI3c/6i/+17MYHZEMEZtbG+trawsLy2dnZ5anzbfuzcwNDDY/6Cj - fSCOSEyczR4SB5LdjbWF+eX6+ua2tu6W9tbZudn5pYWFle2qmtahkae/+NlEJTi9h9j/ySdJykdH - Rzs7O5eXly0tLR0dHc3NzQEMCXK887OLvb3Dra2d6qp8X193U1NDLp8jgCCKRLE/Ptpb2T2dWdkZ - HLk/cS9qKpQhzihS8DdXlxdnZ15wfXI+v7bxambu8OJKaz06OjIyMtLT05PP50NSUlNdw4Q7gTMR - 7e3urq+tzc/PnZycpKmN47i3r3dkePj+gwnWEZhNlIcE3xMcHR3v7e6cHB+Nj411dnSenZ1vbm6u - rKzMzs1prVvb2iYePurt629sbkpd5smotRLAhrmN753lOdAKk9ur45Oj1ZWVKC48evjMOVxcXe7s - 7U1OTW3v7QhheHR0ZORBb99goSoXKWgdEQUCBYgpLZY311Z2tjbXt3Y2tnbBpqax+V5HT1f/UG/f - QE1tVRSDdMwK4h2S8s358dHu5vLm/vDjHxWauss3F3Ovv95emjo+Px959Lx//HFvx73NucmZ77+Y - mZ48Ozu7LsVv3k1F6fXadL3ytqN3uLv/fn1NNRTj9mZhamb7VidVbYMPxqrqAKCcOE9ppH16dbW3 - v7eysrK3f3h4enV6Waxvauvs7h0eHWtv72ioy4c9Q0TGaA3Kx7FCoE7whzaC76MwAEArBeeQJkjJ - bx1Mba2ltYV8d3tvV+/Vxc3e+vbM9NTW9qYX19HR3tfX193d3dHRcYfG3mFqRGStvby42N7eXt/Y - WF1dvbq6IqLu7u7h4eHBgYGahmbKxUFc6Kz1V6cL028OriMUuoYfvGhuDow/pcXDJkiKe3v7375b - rWrsbO+6NzjSI2AWRCFTcDYtXS3Nz6zvn1Y1dXQMjA601sI5wDJrBd4+OFrb2JhfWDg8OHLFi86m - 2uGett77jxq6hqkqjlXMClmjjvzp3u7bd+9q6xvutbZ19fSuLK8sLC3PLy7n8vmevoGf/cmfFPK5 - TFofGI5iNWXutBDApqdHp/sHl7u7p0trG0cXh2Vf6hvqHRkZGh0dLORyWmk4hxQnB6eLCyu3t+WG - e02Pnj0kA2JorbIR8iQnm+urO2dHV7ajf6y3uysyxhiQx+1tcWtj7fj4cKC/v7m5MYqi/f39hYWF - +fmFm5tiVXVNR2f3w8dP2zq6ClVR6rJ5voZcenWysbV7cHatq+qHx0Y5krOr49WllYWZpdP905pC - Y1/veH/veGdPa6HB6UgJlEXq4VXgxAe3FevLKYzRRgysw8Xp0v7em91yc/9Ed/u9tjrl7wBaJnH2 - /Ka4eXJbujxVSBdnprY31kvFm4a62o7OzpHR0Z6e7qbm5pAEiveiFAHO2qvr6729vY319aXl5cvL - S2bu7u4eGRkZHR3N5/NaKWct3bH4Baw4zsWZLJEVK3bOFW+uD/YPNjc3F5eWTk5PkyStqa0bHBwc - Gh7t7x+IojhrY2ZuEzg/Oz052Lk8P22+19Lb1392cTkzN//y1Wvr/PDwyJ/8/OfEHFGkGErxzfXN - 7u7O3OzM5tra6clRpFVDfX1fb8/42FhbTx9Fmkn9U7m/994yAaQASW5vT05OlpeXc/l8T09PvlAo - lsuHR0eT09N7e/vFsuvpH+7tGxrq626qyeVNBCtgZTirrgP6d3t1dbC9/vrVq+Pzy4vr4uHZZWtX - /9D4owcTEw01+Zw2nkjBwaU3V5ebmxubm2dxofFeT1fXUFvYydn4kSS9urpaW988ukqtqRm+/7ir - Kc6SAu/hU6Sl/eOLN3PfI25oaa4dGuhQRkKnSkRui6XDte2FheXFpeWbYsnEcWNTy4MHj/r6Bltb - agE4D++cVhJmWc+8my2WfEtLW2tra7lcnp+fn52dKZVKQ0NDT5486erucs5W7hO3vrG+trq6u7t7 - cLB/c3PbfK95YGBgaGioo7NbF6ozo6PAyYpCve0ujo83do+X1nfnFlZdybU1tT0eG+1to5pIG00m - iuLaesdROYU3qNDBAjxaYbln+wNghsjN+fn68eXU6vbsxt7V7nJXNT8dbH0ydj8GcpGOTESFatK5 - 6zJiBRBDLJg0K1bq8upqb/9ieXl5fWP18Hg/Scp9fX0DA4MD/UPNTe01VXEwrPXO3d5eL84vnhyd - 19U3dvX0tPd0VTIzIVCxeHN7eLC6sHJDhZr2/s7+vqYaEBDaPCDvT8/nD29/WDtt6B4ZactXN0Wg - 5PbsYnZu1TlVV18/PDp6fXO9ubX1dmry6Pgosba1vW1weHhoaLCuvj4y2dQyyhCjbB3ej+riCl3V - V2KGR2V8sQc572lhYWFn+xJQwyO9Pd1N8P7q4mJ/d2V7fevVd9+fHZ8mDosLi7/69a+j+hqrY4mr - Pvn44/6afBTHkDAvTggsAmuhA8Dq3enayuKh3b2J+yZ+1N9hDOGOhmxvbo+OT+YXlzbWNw+PT4S4 - rb1zYHDo/th4bX29MdknZSAT7wQwItBX4ZPbm/3D8+X1PZ1vePBgolR2OzvbP7z87uz0pK6u+qPn - z/r6ehsa6nxqleI0SU5PT1dX11eWV1ZW15hVXX1jd3f34NBwb19fVVWBmcVEiojE+eSWtYZHqZys - bWwuLa8uLS+XSqWmhtr7w4MPxu83NjU75394+e6mVB4cGmlqvlddiLX2cOnx8cnc1KKznL/XMPB4 - nGJEQZEnwdxPX61vTK0fnCS6dejxg/Fq77xiMkanxcvDrZW51cumno9qWoeaGyBAmpRtUlpcXL64 - uMzl8oNDI4r14uLy5OTU6el5W1v7848+GhoazvQSgHVufWN9eWVpY2P9+OQ4SUqt91qHekdGBkbb - 2tp1tXFamHSY21RKS3nn4kIBguOt7R9ml19Oz14VT5paah49HHzU09LZVKUokBpYKLrztwqP82GS - QVlpUYqKN9c7a2uT02+WFmbPT49vrtMffnhVShtnl7uhyg3Nhd6ehq6O2ipDuVzExN76NEmLN9fn - F8dJ4r//dnJ1effmJmlt6ejtHRge66trieICMcizOGtv01KOC5qhFG7K6cnR3vrm6sri+ub6rrNo - aWsfHBrqHxhqaW2rrau2DqE7dmdPqJQi3InInUvKWnMw9j4/PDzcO93fPlld2Vg/Wbvhq9aB1vs9 - z0e6nrbXthTqIRqiAgsoBVulyNowMoAB7O1dLcy/XluZubzYF/DQ0P2h4bGRkVFjDIA0TZRSzAph - PLgXEA72D65vrguFwsLCwuLCwv7BgdaqtrampaXl/v3RoaEhFZp4BA+cnB5vr0+enh7XNwz0DTzL - VxWi/F3958EC728vL7a3zza39lXej0wM1DYUqnSBmeBQur3e2d1d39rc2t7e2d133tfU1Y+NPxgY - HGprbycSw1nAC6PfVEbqFnVnFRMcV3x6dnG8d3S0s3MwP7d0cnxBUOPjEyMjo319vfk8a6WNYcUO - SAUJK7m5uVpbWZ+dXVhf37Yp6uuaWls6O7t7uvv6WjruBQKZAGliFZPSilmVS8XLy/PNjY3V1dXV - 1VVm7unt++STTxubm8uJXdvYvC0Wq2tqR++PRUZpWCB1Z4cre1evFrbrOwYH+jo62xpjlnD9k4fY - xBVPN5cn57cvazrGGrofdrVy5jjhrVIE71Eubh/srO0cbWxu7e7t3lzfMNP42NjIyMhAf78KJr0K - UmH47O3t7u/vX15e9Pf1dnV1QWRxaWlmenptfZtVobGpc3h0qG/4XmtbFccRy/t8IjQSfLl8WTxZ - 2ZhbWdleWd5yqW6o7RgaeDQ+/rSlK6dN8PykiugKrHh7c2NxeXlyeubq5paVudfS2t7Z3d3d1dXd - XVVVpRRSB2YoAivNgIDEeUIQPruzzc2Xr9+u7x5NT09Dqb2Dg5evXv0f/+dv8nGcy8U1+XhkoK/z - XhMQWCwezl1fnG3v7k3Nzm/uHpycXwnQ090xOjI4ODjQ2NiQz+dFxAXSJGWKK7qjKvxnff4Vuv2X - H/mQss0VxREA526ur2dmZ6emZ1bX1mfn5tc2Nlnr3v7+B2trzz9+8WDiYW9PBzM0BK7sk5vDo5P1 - zc2Xr16/m5yenV+4vimaOHevpW1oeOjhw0flT37U3t5eX1ermTyIxRnhUvH28GB/empybnZ2ZmZx - amrWObrX0Xh2dXz/4XBbfefu6sHOysFlelXX1tBWF7GQhrq6ulxbXfv9559Lrsq7F3VVUU2u1omA - tQDi3M3F2erC9N/+8m86+0Yef/Tj1u6BPINdGS4BE4rF7Z2917PLr2eX5pdWNjfXT0+O8jkz2Nu5 - vjD85NnT/vFHbX0j0GAoJSCmk+Oj7779tlgqd/f2mihaXdt4+27yj19/vbyymiZJW1vbxy9evPj4 - o4+fP2tqbKjK5yAE78Q7aGWLt3tHB+/mpmcW5+ZnV2dmlq7OS1FUNTb68OmT/WdPH42NDTc21UQR - WDnnS8Xi1dbm2uzc5OtX72aml/Z3j25vbMu9rp6uwf7h4ccfPRt9ON7X3+4E8FAqDGzWFREAAAJB - nCeR/f29N29ez83NP3j4EIKFpaUv//jVH7/65qZU/PQnP6lranhS/SgX5VKbnJ8cba7tz75bmp6a - X15b2tnfcnDVjY29Q8Mj4w8eTjx7+uRFdVWkNLz3ilzQ+Z1vbk+vLH/z7u27xYXFlY3dw5P+oftb - qw/2H40MdzTfUOHXf/d3Dd2j9XU1tVVxrFhsen1xtjQ7+av/8FXTyMcjtqa3czjMoYBktDQS8cXb - nc3V3/6H31zlGtoefdIzPKJyQeSBs4vTuYXZX//dZyPPf/xpY2eczyESIIVP1laWf/vbr7u6B/r7 - u1taGr/8+usvX759NbPQ2tHz53+WDA4/UaQVp1y62FldmJ+bf/P2zeT0zPLu6WXKuYaWjq7uBxN7 - z54/f3x/sKelMZNHKg/x1vrrm9uVla3puYXpucXpufm1tUVF6dj9gZPnD54Ndm5vrRHECxKHNAUZ - 3Ol8CCAoytjnGT77j09gps27q1YCHxqCMLviToitM/UPMoGOOA6q/4xPwhVfBU/eQdz5+dnM5srr - +fX5qbfzU6+P9raScvleU1Nvb/fg4MjY+IPR++Nt/WNRXSFRsKm7OD+dfPX9q9nlB89/8vhTXd9Y - hyBzEkDc6cHOD99Pfju/I3Vd1x8/iyaGau7VsmKuQLdbG5tvX37/x6+/+fl/8Zc1Da11Dc2ktLO4 - uDxfnn2zOP1ycXFhdn5lfWsv8bq9e2Tw/tr90dHnj0Yejw/UVRtmlMul1dWVd5Nr+4e33plYD3d3 - NQJORDtP0HDikVy/fv3tNz+s7x9Trqq7r+segLR0c3pxsba5+W56amZ6emV56ejgoJSU7rU0D48O - T4xvP3n06UD/RFurASovQfz6+upvfvPrru7++6MPB8vF3/3us6+++2ZqdrX5Xv+f/Gn69PFEfTV0 - hH/+8V7SNL24uPjhhx/m5ubu37//6Y9/3NTUFEA0ACLuaH/vzfffv37ztq6p9aMf//Th49rWHGux - ihxggWR3Ze7rV4ufvVr8s7/Kt+a6mnIMgiEhW5Li5eXZyeLyyvHK9DevXv3h22/3Tk4jYx49fPj0 - 6dOnT58+fPSorrZWKc7lcs5Zm6bamIuLi62trZnpqXdv305OTp6cntjU5vO53p7eh48enp5dDozc - b2xp05p1Jbk7PDx4+/bNuzdvvEu9S+fn5qampyYnJ9+8eau06e7u2dzcev7ik/GJicamZqWN3Jnh - kAOy1vj70hPu6up8dXXh8y9+X8g3NDW2Hx6crW9uzM7Pvn77en1rvWxLDx8/fPrsx0+ffDI6+rCr - o94oiEPqrBK3u7WxtDA3NzO9vrq8vrmzubtvhQu1jZ39Q33DY6P3x54+e9be0VlXAAPw4r07OT6c - fPvm7z//+t9GdXXt6dLc1He///XS5LcHxye/+MvzT6ENjXz33Tff/vbvF9Z3Tk7LJal7827yfGtp - sgoG8tGnv9C5uupcf8yQ6+tvv/pq7rDELcNN3UMt9QaAF1zfXJcujxdn383NzS8szO/uH+4fnR+e - Xjfca+/uHRh/+OjR4yfj9wf7ejoMQzG00ahQW0j+U2PKMg1kBSUAPDZWV3/1m7+tGexpuRq1qVud - XZp9M/XDy+9X11a8uJ6e7gcPHjx79uwnP/lJc1NTvlC480MQkYuLi/39/dnZ2fn5+YWFhbW1tfPz - cxHp6uoaHx9/+PBhz8Bw58CDlu4GAN6l6fXZD9/8YXrj1lf3/bu6zuq6zpxBZprkEn9+tDS3+sv/ - 6+9rWwcePX/U1tthYtaA86JANikfHx68fvndq/mNnvtPqKa1r6VWiUf5+ubmcmH7+PXCxtT0zOLi - 0t7+fnJx2N1UPd7fPvhoc/ijn7eNPu9riwQInAF4f7i79fe//mV7Z/fgyCiAP3755Rd//Obd1HRt - feNHLz65P/6wvb09F2XmoiRAZfp88AK7Pj+bfPV6cnZtfnFndXN7/2z/Or0euj/w5MnDk7Pnz588 - zje1wQPe72xv/+ZXvy4Wk5Hx+yPjY7HSWmcCeIiDT9dXlr78bnpx6/Sn/0aaGhpra2u0YogkSToz - M/vm9Q8//vRHXV2dRuu379798PLl6zdvLy6vfKW3uwAAIABJREFU6uobBodGd/eOHj97Pv5gwkQ5 - ZQjwYHtzdTg78+bd3FahrrO2vmXvaHtxbX52+t3Um7fHu3t1Nc0jQ08ePvjkyfNHg+Od9zprGFEY - W0eigoMN4J131iKKAU9Iy7dH+2/frP/y+7VP/tzUFOLWuob3uyhNDg4vv5nbml47vDo5iNlPv/1h - fWU5QLedXV0TDyeePnv24MGDrq6uO5ptkiRnZ2fT09PT09MzMzPLy8vn5+cAhoaGHj9+fH5+/vTp - 08bGxrDP3nMuiLjiua8UX19f7+7tzc7ObG9tr22sLyws7O0flErlhsamoeHhiYlHzz/6aGBgqKW1 - VVWYvkI4OT6ZfPduaXFucGiYlXo3Nf2HL//4+R++TJ3/8U9+2t3b29vbZ4zxQgcHB6srSzPTU2/f - vF5dXjo9OY61bmyo7+vt2Xnx4uHzT/qGx3M1tZGu3PR3TMagvKYsNBeLV3u7W7/7/W9ra2s/+dGn - 3svx6enq2sZX33yztr5xc1O8Pzo+Pv5w9+HExx9/1N3ZSSQmyChdqoFS6Xbv8HBlZWVycurzL744 - O7+4vr09PDru7O4Zn5jaXf94aHi4u6evs+NeTY5YxNlkfW31d5+95Kh24sXHde1/ma9CxCAIxJdu - r/e2t774/PcrO6e65l6uuqmtbjDKrlOBd7fXV2urO7/85T/UtQw+ezrc398KEgsrqdtc21hYWFlZ - Wp+amptfmLu+vYryUXNz+8rq6uPHHz159Li7syufY/EgLQ5JsXj73fffHh3fjI9NdHWdXVycf/HF - F998+83tzc3jx49rampa21qZyTl3cXG+tLT49u3b2dmZnd2d7e3ty8vLtrbW0dH7jx4+fPzsec/Q - /ebWlsBJFBYiSW+KJ8f77ybfvZ1deDe/9G563t7azuaOtSePno01jQ9W394UiRW0th90s0XEw5Ei - ptA8qSCDBDh7eXwys7T83czSV+/m365sXW0v9TearZHOg41Hg11dxwd7zqZKR6TixGbz5OEdtJRu - b/YOTufmNmdn12fn5haWZvcPd0Skr7d3aHjk/sj4o4lnI8MP2lrqogjOWWvT+fn577/5obGp5Wd/ - +ovWrk5S5ERSSSPmy4uz1fmZzz/7/MIVusc+/kVde2NNLBDyCbwF+6Oj7Tdvtv7mi+mnP+OmqG+g - qQG+dHy098Xvf+d81NnZabTZ2Np89eb1y1c/bGxv3ZaK3b29E48fPXv27OnTp21tbYV87O98Evju - MGdJZOW4/SO+k4hQNpDOe3EzMzPffjOnlM7Ff9Hb3STO7+3uvH75/Q/fvpx8O32TXguixYXF9G// - FlW5MpmorqnlXkvHaH9VpLPZmy5MfagQasXDpovzs19O762c0Z/VtHc0DRVyAMSmafn6dnF2fnJ6 - ZnJqZnV9/eDoxAnaO7vHHjy4uLkdm5jo7m6rHD9k3lveVbBngbjS7fXezs4f/vBVVN3c0HRve2tz - cvLdF5//7uhwv621JbjcNtTXee/Pz892d3amJqdmZmbm5xdWVlaJVV19Q29P39j4g0ePHz969Kix - udnkNOtIuRK5MiQ5OjqbX9l4+frtu+nZ+cXli4vz9ua6T55OnO5v9g4MNTa1fP7576+KqcrVcL42 - l4tj5uT2amdj4ze/+jUk6hofaR3uL8T5bAphBt26laXlv//sm4NbevFvouHhjxURs9Lg86urmcl3 - //dv3z78EcY+amttroaHeO+cffPm9fr6RlPTvTR1zslnn/3+q6++Pjo66evvr6mt7e7tVSZXLKXn - F2dr66uTU++mpiaXlpcOD/dL5VJPV/do/+jEyMSjx0+6RgYaOls9gn2vZVd2aZIWk/XF1e9mlv/w - aurLH15dXe93dNVtrt4/eTDy4v7A4clZ6oRIwyvv4LOpogLcWcFpIiVyfXy8+/KHzz777IuV5fXj - g+uba7x993b7sNT0rklFNHR/8Kc/ftDY0F9tWDEZFg3x1u4fHr6Zmtnc3Pnis68Xplcuzq77egdG - h8fGN0Yf/Wisd7yzKsoTKMwMAQCL87Pr+bXJqaVXC8vzi7PLayubaeJa29qHR0YfTEw8evx0fGKi - UKg2xnjKOKRBOJXZywjEe+usVub26nJleWlpcWl9ZWd362hhbmXlaOmGr9qHWh/0bz7o33sx8adj - D3trm0NjwoPc/8Pee0U3lqR3nl/EdbAkAHqC3iddMpPJ9N5nVpqqyqyqtuqW1C1p1NrRaFq7Orun - tfu22nmYnTMyuzLd6pbalOvOqvSWTJqk9waGIEjQE4QjPHBdxD6ArJJ0ZqR5Wr0oHnhIPoA8F4h7 - I774/r8fIBWAEEJEUQ4FwzPTzu2NhbHhbpttJBrZ4jhtXV3L/v3b0Ui6urrKZM6mFFgWYZwJjYjR - aMTr9c7Oznq9Xp1OZ5uzz83Z1tY2eF4wmSxFRcVtbQcOtAXLK2ryi8uyLRwhEI7uuJccYyPDefnN - x+WsuoZWXg97uJQMFFLxebf7+8Zn5xbMhdr8EpPGAHpWAIKDm74Fz+LE9JTD5VpcWlpd38AMa7Hk - Ol3u1v1tLS2t1dXV2SYTsxev36sE0L1ZB7swIknciUZGp6bmXPNLS2u2uYWgP8oywsLCWtt+X0dH - sqGhOj9fw2JMUUY0kNjcXF1YmB8fn7TNznuW1pNxOTsrr7iosrqmbv+hg63t+/MLC3S6TA4KAQCh - NJlIrCx75mZnnQ67zWaz2+0ajaaufl80lqiurkEMu7y6tryyml9QVFlVgxFSQWKQGA16HY7lx8+H - y5qOUkQtOUZGgAx5ExFQpXRsZ9s2PfBs0F3YEGo9XVhcUIAQqACMqjKIqpGdpTXv6KxryumZX1hY - X19PJZMarWZ+3tXS0nzwwIGa6urCwgIus9ShFCjd2tycnJpc9niOHztGCFlaWpqenJyYnLDZnAhp - CgpKmw+0Hj558MDRVovFxPOaL+tkCNRYYnFjYWp+3L5gd9oX7HPzqgy52YVN9bb15aXGg401LTWc - nkUYMvbqnUh0dXVtcnpmcnp61u4I7UQJoLz8worKqvqGhoMH22vr6oqKclWVAMUsmyGzwR5TAQMi - IMvLnqWHDx9sBiLu1U2EUCgUmp6ZkRWi02rNpuzS4sJsvbY415xBNKTj8Y2NDZd70eZ0zcw5FlfW - vf4dQkltTWVra2NtTVV9fX1NTY3ZbOb53TRbpvUcvgiy/quOfyvd/o8P+k++T6eSCwsLH3/88YOH - jxKJJMPxGq1O0OnGxsb7h4aP2uwffOWr5WW3AACIDHIyFQt39/T82V//eHFlncVQai1Op+L+7c0l - 59zM2MDQUO3YtO3mu3cPHzxgzuJZnuUoApB2Nhb7+4d/+JOfzi8u7wTDqkw4hltcpQOjfY376w41 - H8vVF8yNOhc23Geun8urLBBlhUNcLBpZXl7uHxikWkNBnrmhuiTfolVVYHiOAEMUORLyux3Tzx4/ - sNa1pXnLiXMXNSwAUKAKqGrMu/Lk/sOHr0cGbZ64qLCYGLS8TivMTU0MdT4sLi299Y3vfuW7/x4E - TsCI5TiSjHm3NiYnxlZW18srKimFH//k78cmJuKJpKISSuni4qLL5bLPzUTDodMnT9ZUVwElaNce - SLe3trr7u//sr/5iYXVJTIGWNwPVqHJkYX7j8cPesrKiH/zgj44cPZibqxM0qiTHvd7Vv/yr/9rd - 82pjLVpqLWaQNhaN+7bCtulFQd/f4XBcunHNWvoe0N2Gx4xUkagEIwSYyfTbIkrTyeTa6urYyHBn - 1+t4PKYRhD/987+wO5zJVBqxbE5+3szMTFV1ZU62DmM0Mjr05H7nkwedCHhOg3UGTUJKbgYCkzab - 8OpVx6ET/+53tC1NrYZ8jipEUWQsEpJUnj5+8ovP703OOwKppEQY4PUb/pGJgdef6NGdy6eajl7s - ev26Yr904tgRUSmkGgaAxmNhl2368cP7hQcSqZz6C2dqdXs+isx6lcVMOpVYX1549fxJWJPXIPIX - rr+lEzQcQgTUUCTonLc9efY0ApqqtpOW3DwDVlgkAaOueNxPHj4uK6+urCy05OgePH5s8wRSad7j - DlpyK969wwocQpCmMf+P/+a/PHv2dHMzZckVUFYpMBbP2s6sc/Ppy5GOwzPvXjvxO996BxhZkRSW - 44BlVFVd8Xg+u/fg3v1Hm75AUlSAioSklldcs/0vj9SV4uh2eIeqJIsiVszYHXcPixH9cqW9W5v9 - 73XdqipRKBYYJPCcwDM4k59EiFJQCcgKYIaogCSKMEtZooIiUVUCSoFhMMtjlss8rgklWFXUndDE - 5MJffvhwcHrBv7kiYFXPIY0gBEMBz9Lis2fPs7PNt269c/XuN5qOHCEM8IjJNhq31z2P7n827VoR - OWNTa1PmM8ywDBDiXfO8fP6sc8oDuTWSKFpzDMXZAqPVfpFFnRyfeHT/0eDwcFVNU8cxVZbSnEaf - SMQnJsZ+9eFPel89JarC8DpWo0cM4150T8zNS5L89luXfuvbXzvU1pSVraGU+Hze8fGJ8ckloyE/ - z8SXlpoBqVQlksywGEQpHQ95X754+KzTwWiqzl/+Bs8DxuAP+PuGBv/qR3/r9ixJ6XRhfkEqmYjE - Itu+rYmpsUfazmNHLn7w3q/funWazZAJMQJFXVlZ7ux6VVhYtuheLbWX/+KTX9hdDlHhheWAzmhd - OL/UUFustWj+hfsmJRnf+vT09MOHD9va2vLy8w+0tWW6XxmGoaq6tb4y0NPZ9bo3q6giyehzyuuy - zUaeKFpWASSDmpgbH3z+bOT55Ep526mzrRWg1UKKxVRRU1EjQ2KRnY8++mSq//XE7HRKkQlGGKHF - xcXu7u62trYf/OAHNbU1As/r9TqiqpIsA4DD4bj/+eevXr3cWF8nlBj0Bo1GI8tSd0/3wMCbB4+e - /Ps/+J9PnLmg1+t1Wo5jAQFsb/umpqZePH9qNGo3N1Y+/vjjRbc7GAzJCqEA7sXFNwMDJ0+fvfX2 - O7duv5OXp9ntBIfddhQEu7xZlKGhEjkSDS4s2F6/fslzprKS+t6ekdGJ0Xn3vCinFSoSrKxuLA0O - TTQ3jX7zm7997co5UxYihMqiKKXi9z//7Oc//fvN9VUMRKPP0hqyk6K85vXPuZaUl90ms+V7v/d7 - 5y9cbKwtZTEgjBmWDQWDszOTDx8+KKxpJbqVn/3kh1HvkhIJSQSsbrfZWqnloKurq/fpaIpAGCPg - 2GW7c0WN6OUIQ0lCgtyi8qLcXD2rSSfiI4ODYyuRrOroiUs3KqwFPAOCwHhXA/3dz3/647+Zm7MR - AmaLCVhdPK0GwnGbc+H+oydHjh67+dbl3/z1b2QZeAAEDIaUJKZFIBTTDDTtS4Lwl5VuAAJEUSQe - EMPxQNGye/GjX/xi39kT+ZGA0zH/4JPP3HPziizKsoQQ9XiWZmZm5ufnEUJHjx4tKysjhGTsUhzH - LS4uvnjx4qOPPtrc3JQlyWA0YoxVRV1eXn7z5k1WVlZbx7Fb73/75gc3NSwAJUoqZpscfj60HGGK - 6g+eLSkvzjXvLd6IHNham5oY+fyze9rcymB858TFM6Y8E4NAEtMcZsRkfHnB1dPV+WbGfUWfX5MU - SaaLJeSbml3605980j+7GNwJW61WVSVSJJL0rXimhpOPXjaenjp/9ze//dVLLICQEYnJsn9ro6fz - ZW5B0cLCwvLy6r37j4fHJ0WFClq9CuyZcwsarT4/P0uRgcPAspgBDKoMqgygpqJhz4Lz/r1f9g3O - LG+Ei8oqVY6kRHFkbNzmsL0Z6Pvf/uiPcjssHK8Hltne8n5+73NVpdFk4tbddzlNNuIBYDeXA1Jq - 0eXs6uwcnHZn5Vce7ugwm7IZDERFAGh+fv7Rw0exaDTHYopGo11dXT6fTyU0lRYJRVPTtucvui5d - ufbb/+57dQ0NJp3AAgNUice2Xc6Z3u5pTVZFVV3zzz7+u97B17IYI1KSymlVcjsd7smJWbfnxI27 - 147ld/AchwEYjKjCIMQwDCZUJpTssiVVBZLx7c310dGRX93ryy5vbqippGDGaA/bEQmPjkz/p//n - 5/bVQHzHx1EpW8cbtFqdht/a2nIvLna97jpw8MDly1e+9a1v5eXlYYYBgEgkYrfZf/SjH42Njfl8 - voKCAoxxOp0eGBiYmZkZGBj44z/+Y4vFwjCMLMsEINORBCqRRFFRFYZlBK12eXn58ePHf/7nfy5K - Ii/wxqwsRSWKSlLeLdeC+9nzF42NzV/96tdu3347Kzub3UuR+/3+kZHhp08eNje3Kqryd3//s+nZ - uUgsznKCwWjs6uq8/fY7ZotZkuW+N31PHj/sevVSlWWOwUaDTuXYaGRnYnz0TV9v+7Ezv/E7v1+z - b3+uZZcMg8ge8gJRnCFcUhWILIrJQND38sUzs8VsNBqmZ23TM7NzNodKqSyrsiRvrq5PjgznFhT/ - wf/yv+oshblmTpEBy2mGKsChmG/92YNHj192D0/OhaMxo0Gv02kkWZq3TdumRu59/LOOk+eu3Hz3 - rbeuaooNAoP1Ok3A7+vq7IwmSSidaupozyvK02sBMRhUmohG3AvzTx4+GHMsGwsq6ls6miqrBX4P - 18Pg8E5wbs726OHTlo6LVZWFaTGl02FQIB5J3H/w4O9/8qF3MwDAa3Qsr8EkIW1ubvYPDFZXN1w4 - d+k3vv2b9bVWhucBiWkxFgj6+/p6V1eCybjY29vn8XjevOkTRZFlGa/X236ovaW1xWI2i6LocDj+ - 5E/+T7vDHotFLWYzoVRR5KWlpYUF1+vXr06cPnfjna9cuHYtE+VnqMRisrGx3tvdfe/evWmXK5RK - pSWVVZnAhs82Ptpj5c4crlz2LEuKNREJS5Sg3ZZMlDElMBgDoopKKSBKVIQAKKWJ+NjoyP1nzx91 - D3mCMcrqjby8uLiyZZ9+8eDJ8YNN8WAwFAjqcyWKWE4ASoEoKhAJI1hdW7l37/HjJz0224qiKAoR - eS2TX5A3a7MNDg2zjHDuzOWb19+5eeOa2SwQInMcs7622tnZyTCCwZR97NQpluEUoqTlNKvjA4Ht - sfGhx48fBNLautV4feuJypIiBqlUERmQAaONtaXhoYnP7/VwOc1H9hUjhEBJbnvXX3e+ZBhjaXl5 - OBweGBzoG+gPR6OiIhOgLrd7aGSkuqb6d7/3uydPniwvK/3ysGGv+Xh3fZ9Za+wVcndHRlqA9jA8 - CBwO+4sXL1mG39/aCKRNkWWHzfb0yeO5iZngZgoDowBZci+5tjwijykWNAXWY0eONuRmW4osmQS4 - QgBhDuNMdAxAUUFK2+ZmOjunbVtyWfPxtn3lJg2HEE6nUu55149/+KMnz56rFDEcj1heoXRzO2B3 - LdgX3O9/9SsFxbcygcK9FDuhqsIARZn+UqKmk3Hv1sab/jeEyy60lt3//N7E+PhOKCCLKV9BntGg - 37evvra2GiHksDueP3/2y08/jUajCGGNRsswbDwen3c6X716WVFR9Qff/377kaMF1kIWIxYxgIHG - Y2PDgz/82UejU7ZgJI55rSim15ZcttH+Z3mmlgPtF6++9erlS8Lpmg+dMBWmTAatoEGpeHTJ7Xr0 - 8CHPZR2UU+fefksA7ZfPbEJAkubtziePnvrSrLag7sqVjiwDZhAmKolEdmamp+798ldhOY+3tDQ1 - NPEc8ILAsWCzzQ0Pj1itZd6t7WAofP/zh9FYjBBYXVs71HG4ta2ttKw0tBMaGR354Y/+ZmHBFY2G - BY0AiBKiOOyO2bGZJ+yjc+cvvPWV9y9ar6WBclTWIqrVcNGdsHPa9mf/5c97Jmw7MpNGiGUTabd3 - wT7aky0c2le3uuCR5CyWFYgKYhpkDDxLKUcp+qKnVYMAUung4qK9t+fhw/uzigyqCiKAx7PoWvES - hDmN5njkZE11gSgWK8CIosIzSMezLEKOeVf/3NCDzx8md1JKUgWCA1vbtsnpT++pv/Z7v3bDcKOu - pkYDPMsiJABLQAyLY4MDP//8Z49eP5BUMUtvMulNRFWdTufY+Lig0V26fOXXvvXtg4cOWbTZqvrl - DorZ43NlDqpZhgGMFhYW/tP/9SdzM7N+b5hBWo1gBIOC9eBw2GcGPaz0/P0b6x984+bhk81aI1GJ - zLCEEEmS0wzDJBJJu93xyw+HlhdmtjZcshSmVEEA88610RHnm77h73z3N48fO2KyGGRZVBSF51lC - xdU198cff9Tb27vgWlBUwmAOYxYBy7LCTihmm51/3fmmsNB69fqNt26/e+xUs6JCKp3yB7Zev36h - M3hkNa+gqMFckDmbIxRUBCrIac/i4q8+uedybzR3VL39lSsqkWRZRCnU39f/6PnT7jd94XhUUSnL - 8Zbc3ODOzocfffzk+cuOjo4//P7363U6nhf+6eYkM8t2j3YgshOcts399Q//cnB8NJFQtIJZpzFr - NcLLl72jI/a5ufnvfOdb+fl1lAKhRJJS0Vjw009/fu+zT5Y9/hyzKduYl0zEfVth59zyQP/YyMTk - 0dPHbr/7dnVVpUHPCgKDAFRJXfasPH70+KMPf+71bsVicVVReJ5fXFp+9vzFseMnamrrjSbT02cv - SsvK3//gK6pKFFVksBgJel1OZ2d3X0NKX2TNa2yu4njEqsBinqqgpFMh38bMxJsnj4dKNxSu+Mix - QwUIgGQUB6qy5HJ+dO/RizejzlVfWpQ4jsvOMhqNxtevX3d2viopKfkPv//7165dFQSBxQgwIoQG - g8Hp6amnT57IshQIBv7v//yf19fXFVmSZRUou+BaGBzpn3Wduhl/++q1K8YcnhCCM34LRVnzOD9/ - fP8v/u5vQvEoqKAVtKCCf8M3NzZx/9MPD5099Yf/xw8Kyqz5Rh3DITEZn52d/q9/+mdzNnsskbSW - lotiOhSOepZXJ6am8wsK7A7nu+/eKSw8/0UTB97FelOMWQQUqCLFE54ld/frru2deDStUsomU+nl - peXlxWUAyMnLa9/f3Fhb3VBdbtBpVFlaXvb84hcfvu7pc7oWECtQhqeMgBl2fGJiaOgNg+Hs2bN3 - 7949d+58QUF+hiFEMhpzChijfx6Y8P/D+LfS7b8wMhS8Xa62qmK0h4yhZCcUGh4ecjgcDMYffPBB - dU2tJTcPsVwkFovE4xqtvqi4WFKBZwBRVU1FezqfPn/R41zaaGk/3tG2r72lnkpJIqdVKTU4NDTt - 3nrxuq+wtq2opNJgzGcQUFVU44GZ4a6u569dC+6CsvrLV5pbG5uMWm1KjXnW3QsrjrHRESwKUW8S - DFhWZMAgaASOMAhAUZREIkkJI8kyIGAZlNH1IACeYzU85kBOp+LxtBhXkIR29fbA0JBrfrTv9ctH - nwdFYX/H8RPnLuVk6zQMMWmR1z3jGO8ZHBkdfNOnK667dP1WVi4PCGOOJYoSCgQ2N9a3vN6tbZ9O - p33v7t26+gaO58PhiGvBNToy6rDbn2s15SXWqopSzDKIwUSSY35fT3fX548fLK+sNja3HD18tqKs - nmcNchriMWlibG5lxR2Lx9OiKGgsGCddLtfTpw9GhkcEnr9753rb/sO5lmJFYhQRL3u8b4aHlzye - zq7XNfV1tTXVeTkGurc/2e23Bdi10FIqCDyDkCSJyUS8t7dnZXWVqMqNt67vbzsgE5JbWFhZV6cz - 6MPRHe/60rNnT0dHp3Va3Tu339vXUq/R8yIRQ/H4ZiDw7FWXc37+w48+4r6hyc9pEhjMAIonEu7Z - +Ymxcc/iUm5u7qUTx5rbj4GQFRMhuTlvH3jhds5NLmysrq/n1IrheDItKxQ4jmU4DEgRU4mEpBKF - EfaKmCrIsooZggVAmGcwC6qSTkpIUlSEOU2GHiiBLCmSpIgqUdKilEzJgHCmsAiqpCpSOpFacLjW - VuezTFzDvrrjF9/Jyq+TQVdfW23QaxCA2+3uf/Hx2PgQL7B37p5taj1gsraIbF4wxa9tbI6NT25u - bfZ093Q0l7Y1FFvy8oBBspheW996/OTxQP9ALBo7ceLkvub9lZXFqpqMRv2JDdfyRH9wIxqLAtED - YoQv0j0ZxmGGWs7Cnl/ivxu/pxhjhmaq8ApRRIxBVuRYPBlPgB4BIWmCVRlhiSLEUUFMQiwiiylC - VACUFqW0KH2BkgVVGeh/c//l4PDwmMVae/rYoSMHWrK0LAIqplMb6+sOh6Ov983I8CCTXaQvrsup - MOsExAi8lkWgypvr616fPyVSjQZlCMGQTgW8G/MO27Y/KSmmKZvjyukOoloBVAAEhCii6F5YWlzc - 1OtyCgqKc3MtGCnhHa/N4fjow7+zT8+YzIVXLl8prazKMucQzMTT0vL65q/ufT5nm/3pzz/JMnyn - sbGCF4TiosKsrOxwJDbvcq+uVgBtAVAQRhwPFEMyldrYWPFtrwGQxsaW3JwiSiEWSUxPTfT19jgd - 9ur6hkMHD9bX1Wp5QSFSPBWfs8/YZ1cWFtyjI+NVldb6+hK9IYOBVikQoqrLHo93M5htt1VXV5++ - cLqssjmRZItLGq3WUq2W+2+/V/9gYIwFQbBYLAaDQZZlj8fj3drKQEgzEk9JEv3eTbd9LhIKxJF2 - 0uE+HhVrKGhZFkACOQWx4NrC3PraKqfP1uda9eY8wGkAYKjKg+JbW5wKb67p+LqKqt89e77QWggM - CgT8/f39i263e9E9ODSo1Wkb9+3DGCOWBUmanZvr7Ozs7Ow0GAy3b9/u6Dik1eoYliGK6nLNT01O - 9A+NvXzZyWqyr1y9iBkgFFRZjSfi8XhckqXXXZ22uVytlr9z552KygqB14R2oi734tPnLxcWFvp6 - 3zQ1t7Gc1mDU7LF2CQUCNKO/AZxJJGPKMJRhqCQlFt2bP/ybv8XIUFNd/9bNG/osHadFlJGevngy - Oe6emZnr7R3MtWSfPNGu0zCUZZOyzDBMWWnJ1csXSgoLjJZchteKCt1JpO3ulYHRabvT6XA66xsa - mxtK9+YaKLKUTiajEfH+gwc4u5gC3LypaHCsAAAgAElEQVR1s7WqSGs0gs6UXVje2Fjz3e/85tkD - NcPTztd274ZkPHP2bHtVQbVFwKpsrWyorGs1Go0AMpHlVDwej0ZxPJHxNFIASaZpUVRVpaW5uW1/ - 6759+/TGLMTpRZWNJESb0/X42UvPysr4xMTVy+eY0oJsHQdUBZbhOQ4DRpR+Qcj8J3eAzIKNZVmW - AigUEklQVb1WZ7PbxjY8Rn1WQ13tlbOXigsLMAORSNjjWRoYGJienqaEaDQak8mk0+kopalUKhQK - PXv27OHDh4FA4NSpU8ePH8/JycEYy7Ici8WGh4eHh4bn5uaMhb1Z1tqOgw1mHSMYtFWlRWZncHk1 - vOHdDoQiFrNJBtBQCgzaXFlcdrtSyUTM51tZ21hZ38J6rU4nYIwRoulE3D3v3N7cAELLKqqyzPmK - AoKcWpq39XUPzs87C4rKL1+/0dCwz2jM0ioRFNvadI73zi6vbGz1j042N9YdqMrXZ2EgKhAFEZlD - dHNt1bvtc8wv5OQX/8ZvfKeytl5SaHZOXlVNnc6QRWC372yvFpDpzqPezfXxkaHZqYlcS/65S+/U - NO3XmDRpSCflRCoZU1SxyFqMGIYqCqIsJUQWxXRaSSdTQDPQt4yXlAKiwGFEVVlKp1MpSVYoRZSC - ogJRVIZhFEX1+wMjw8M6nUar1V44f764uDjbbEGYXVldm5icnZ61TU1Nf/rJp1/9+jcamxs4XkVq - ipIEy6iJeHx+yfGX/+/fypA+ffJCR0ezjldBiSXj6e6uUfvcSndvl6kwy1RgrqmvNAg8BkR3yXKZ - UxEKCJRMpyKLxVQimUhIsizKsrJL9M0QeZU3vT1Png44nY7GjrM1ZRd5kGvLS4oK87U8L4up9Y2N - /oGBrW1vd3d3fV19+6F2q9VKCNnc3JyanrLb7fn5+deuXWtubjYYDIqipNOpWCyuqmpOTk7mj3wB - vaWKAoRwPMfSDPdIAUpzLJajR4+Ul5eXV5abLTkIM6pKJFnp7x8cGR2bd7lcLpfP59fpDAgxqprB - uFFCVBYjp9OeElMA9Nq1q03NrYhhzTm55ZWV2eZsn99ns809f/7MNe9qqG84dvRwbXWVXqtBQMI7 - oQXX/NDQ0OycraenD/Emo6GW53fPSTJ7UQQU4b35hwFlNOKguFzOTz79OJ5IFxRav/e97+XmFfCC - Vk6nBnp7nbY5z5K7q+cNYyq+cuGQAQHLskDVjXn7YG/nw199uhJKllbVf/XsufKSYlOWDinpeGh7 - ZcH+8OnzebtNZPT51hINW11RpGUE3mI2FRYUbNuW/H6/Pxg055sx4oBSUKTIzs7K0uJOKBiNhGPq - 5ta2NxKJ5uRl7dWeiWdpcXZmNhyOm0x5ZeUVGq1AUcrvDzx//PJ1d08kErt46WrzvgOlFQWYUyhO - R6Pp7u7BpaX1rq6uupp9HHOxutYMCDBWMUMpVbd9/r43/alUymzK/uY3v1VaatXr9YlEor39kEbQ - xhOJ7u6uZ8+euRfd9XV1rftbKysr9HodwzCqqszMTE9MTExMThhzi/NKa2pra7U6AKImExG73f7s - yXOHYyHLbDp7/VplXW2eIZeRmWW7Y2NxaHJyzOsFkZMZniMMyoBrATDDYIQzIJaMWGePMJ9KbW5s - vH7dNTYyEovFLl66XNFyqL7QwMe3pO0F/+rK/NT0psePEGh1eobTJJJg0gBmWaCc0z7d2f3i8/uf - xaJ0f2vb2fPnTBaDVs/xAhcMhpxO59PHL2xzNhbpykqK9++vycvX6nRao9Go0+q2fcHtbV8gGMwp - yMMcwyEOAwoGfba56VDI50/oqWvJ6w0mE0U6I0JcBjcorq64l5aWIEV0+rxsUx7GCFiKEUmnkj5f - YHNjc9mzbMnNuXvnTml5uaDTxlKJ0fHxObttY2NjZGS0oLDQai3BX6h19ozOmMWIQkYolWlQxnTv - 7pd51u7pXDFGqXQ6kUias7WKJKfTRMOxBw8cyNZD4LK/98X4m1cTgUSi7WTHqZsXGLNBYXWgyz7S - 0ZFtygJFAaDAMCzGClBCgIE91i2LgahpMR2JJmRF/SJLFPQH3vT0uhzzBq3+7Tt3rWXlOmOWAlhU - SVqRRVUpspYg5h8mSjL2LPSFjQKoSiQxnUzEYvEVn/cXH3/s93qra2qPHvma2WQ0ZRmyswxFhUXR - SDQQ8L969aqrs5PnuLeuXz948GBWVjbCTDotbft8b/r6nc75+/fvU5a/VHh9l40rJh2zk0ODb6an - pkzmgo4TZw8cOc5zLJfekXc2Zkb7t9ZWPvz5z1wLnuKqRpkixGk5ngeQWEQwpYokE1WURDlj0JaB - aDL9npgBjqeEJuLJaBKLopqx8VKgqqKoiiJL6XRaZVleEHQZDi9R5EQ8lk6l/D7fttc373SZTDln - zpzZt68xJzc3GosfO3EiKytLFMWpqalXr145nc6SEuvVa1caG/dpdRpCVSkluufmHZNzc7OzhuIi - S3V1aXV5rsBiSCvphMthe/Cre3a7U6szHjp6puHAgcJ8FpGAf3N+1eV0jI8Hfalogk2DyCBWKwDP - AUJYVYlMFbrbiAiUAs9r9jXUfuvbXzmw/+jwoNNu29jaSbQcPlHdcrC8sgYxfF5hcV2NWavnARIC - h6iaju/4In450pUyVRZdu3W3qqg032jmAc9NTc9MTI3ap6ampnPrykwF1lyjoAVGYECMxZbnnU8+ - /2RuZjrblHf99o3qskqzPovBTCQaW11bf9XZ5XK5fvrTn/KCZn/bgexsHdmzfRAVMFCezejaKMYY - FEWRZQT0zOnT1qLK4oIKjcaoaNNpLr607Z6f9L55PjfvXOjt7auoyy/WZvM8BqAsh3ieI4QsLS4j - VdIy0qH2jn1ffyc7C2OcjEWlly/7lxa3x8cnm5uHc3LMR48eZFlWJaoop8fHx7q6Xr54+ZwSUlFV - jjF7uONoU1OLhtcTgpMJaX1ta3BwZN650NPzJt9aWV7TZMlBlhxTaVkRy8P2tnd2znEpkihV+Ywz - jICK5VQsHFxfXbPNOrLN1oryivz8PI0OIsGdpdml16+6ZqdnCwoKb777Tv2+RswwGq0+mUpPTE6N - jI5NT8/cf/DwBqFHDrfBbiMFRhmXoypnrI4Z4OvG+lp/X9/SkqewqOTKlVtFBRVZxjwWaYOBsCxS - nU4wmbJlBTgOgFCv1/vs2f3e3t5YNHrp4okD+w9XlNcrIqNITNCfHBgcXd5a6+7uqdvXYDaZjPo8 - AJBEEgz4X79+3dfX593abm3d39zc3NDQwDBMOBJdWV1bWFwaGBxUCN3Y9BZZSyRZ1Wo1QsboQyRZ - EmPxVDytigqlGcQtw2TKNphltBxgkkgnxWRKSstshg0IwBBK5udmel+9ePXiGdJarly+fOL0GQYj - nuf1Ot3k1NT42NjIyPCrzk6DwXDt6hVW4BAglmEIUdOpVDQa7Xz1anFxsbi4+OKFCw31dbKiRnai - KyurT150Ohwu7uWbusZ2s9Zs4jEGFdLxyNbWq+ef9/Z0h3aSJy9eO7i/taqsjMoySSbEcKS3uxvx - EAztWErKWV5LiTg6Ovzo8ZPZmanS8oq2A4daDxxkeY2kEFFWZ2Zt0zOzo2NjObl5Vqu1oqLCqBcy - N3+ge0hjQEAprxEOHz70v//xD1a8wYGxmc8ePrdarU0Hj5w7d4HBSMMLlmxDY2MDx/NAyOzsTPer - l12dnaKsHjly5OiJM5b8Yk5rUAkJBb3uBeejh/fHxycYhikoKOB53mIxi5KEEGIYFu81UP/rjn8r - 3f7Lg8lAj4mqKDLP7hLOQVEjkcjkxIR3aysnJ+fWrVttBw+ac3JVAklJiiWS/mBQbzASCoRQRYzH - Qpv9PS+nZxZ4Q/7x82/fvHC4rcGqYyXAMtB0Ua4mer9/6LM343NrtbWbpdZ8VgNYSaejW66Z3qnx - fllhmg8c/+Duuyc7Dhl1kJBSrmX7/ae/erb2ym1bJAlcnG0lhABCHOIYBmEAohJFVaiqZmzaGDHA - YBUQALAYc5iwIFNCKWZkVithUAB4SgCpSy7bcO9L59xMacflk1dvvfu1twuygSWgpRD01MwWadc9 - Cx7P0pPnnQ0HT5SYi7SZ7hcEsizFYtGUKANm7tx57/yFi+0dHYKg8QcCExMTwYB/dHh4bHT06uVL - yUTSYNQDQrIkrq+tjo6MTIzNGPUFp47f+MpXvlZRUSVwrKpAMiHW1PYODRnyCrWCRmUYmkzKTvvy - 00c9OwGlo+PIV9//TuO+poK8QuAFoNhtd3J68tHnj2bmZvv6+rOzsvJyDbC7e6UsQl+WBSgFoLvK - FoQIUVeWl+PxxIWLl27eun32/IWUJBHMYEFgeWZj2TU6OjI6OppMyidOXLhz5+6Bjv2YowSr4VRq - 3efbDoVfd/Z3dnUd7jh+oLmSz0aAIBqNDA4OOux2WZaOdxx6+727py5dVxBEVYgtr3Yb6MCjD3vG - RtOyXqEgq4Rk2FYYAyVAJEIoZlhghd0dJ6VA1C/yjBgBgwhCFGXsAXuASAKqShUKBDOYUqSoFKFM - oIACqJhSqqi+YJDRMVat9fbJa8eOnykrryEUcQwWeBAT0aXFhV/efx7ZIU2Nh29/7bebW1pyCiso - 1sgUltd85fnCz37+4bzD/WZ4Ksuck11oZJCaSoXX19b7+/tXVlbMZvOVy1fOXri8r7GUECUY3Niy - jz9K+Kc2V8VtAJUAZjgOEPqyPPMF3OuLH7/4+kWSE/ZyChlMMaEqAEEIfD7f1NRUOM3maqiOxDis - yAjLwGhZYkpvcqEFl9MZCgYAQFGJkhGyAyiKIkciI0ODg4OD8YR0ev/+OzevXjh1zCBgVZbi0Yh3 - a3NkeNSz6Nn2bg0ODe07dqnB0lFkAU4rFORkFxXkeUJxnz8Y2gnn5ZkxD5QQJR4N+TY31lbSUjZN - pBbcnp2dsCxLQBjAKlUS0UhqbT0Y3BEqqmrz8suzjAZFTQT9Lsds55veBwZd8dGOK7fe/a3a+ups - C0cA0nLcs+rc9s4N9M687h4+c/paQWF+UaGmorLCarUymF1ZXltZWVHTSYZTgQWGAQUgFo05nfad - Hb/RYDl0qCMvr0hVIBwJT89MTU1NAoJDhw7dvfNudWWlKcuIOZxIxkZGh17oBu798uXszFxtjbXE - atYbjJDp+wCqqoo/EGaZFOKZt95568zFM/uajoTChFDekg3C/8ADBCHE87zZbC4qKsrNzQ2FQj6f - LxKJ5OTkMAyjqmo8Ftve2lhfdhMpHY8l7O61rZ1kSgEzz4JKIBVXQl7f2mIiESuubDMVV2pNBsAK - EIKIosXEG9jESV3xvoYjJ85evnKhoqqMInVtdc1oMH6e+szpdE5OTNbX17e2tCBACCFZksbGRocG - B1dXV+/cefft27cvXbqYyUTLkuR2L+j1uuGx6cmpqbyi8nPnz7MMxggIoapKCFFUVd3YXGdZuHjx - wtWrV9vb2zlO8AdCo2OT7qVl9+LKnM22urZWVFym02sIBoQoAyrNACD/AXonoztmGABQgoGdscj4 - +XO3z5w+d+X6JVNuFq8DBZIMTxPx52/65iYnZspK8g8eaNRrtSzLcixbVVnBXDh//uzpyrJSJtsM - FAMwsbQ6Om2PS9TmcC4vr2xsbu66EXa3tIQQVaUwOzuXU4VOHj70zjvXzh7aZzBblrd3EpSrKCus - r8w90lDC6J84QoPxKHfs+Inbpw621xSCqgCjo5hBBEBWgFKiKoSolH7ZJk8IEQShuKi44OJ5q9V6 - oP0QcDxgDUVCOC72D40sr29NTc8seTzrGxs5FkO2LjuT+c3E3fes2v+g6/bL+wMAIAYYhEim9IYx - 1mo18xvrsQhfVVF98uSpy6fOV5SXsRwOBvxztrlgMNjb29vT23vs+PHm5mar1Yoxjsdi4+PjAwMD - Lpervr7+2rVrd+/ezc7OBgBZlkVRLC4qTqdSb0YmJyenDYU91ZVltQYEGm5fbVWZY2fY7V5d39zc - 9tVUm/Y+3HTV4172LHEsk5akQCi0tLxqKS4q1Aksw4AqJ+JRp8MWC+9kZxdUVtdm52QrKpFiUZd9 - dmSoXxLFw637v/rVr5dXVprNOgsLTHTTM1XO5k3+9cOBWcd8/+Bwufmk1WgBIJBB8BASDgZkimUV - zl28dun6rea29lhKklSaZdJm1CUZ5Q+FPeshAADybW3NzUx5tzaPnzn43nvvVza06HKwyoIEJBwO - BAPegsIijDGVKSIEKGDMAFVoZvsHQAhlMq4lBMAgjCgGYBk248zJvE1qZo5QKsnykmfZWlRQ2lp6 - /a0bra2tObl5vKBxutzFJd2BUGRlbePlq87Dx06UVlQZzQiojCCNkarIStAfm55yXrp27tL181eu - HDdoJCA7yViSQUIwEJ1zLExOTVfuq7eWlesFIZOcpgQRorCYYEzhC4E4i4kqq6qCMEaYgV1pC6iS - TMM7Q4MDE+NjHMefPHXq/IkjHJXqKsuKC/I4BgFRV9fWsrKzP/3VL10u19DwUF5+XmFhIaU0w9nY - 3t5uamp6//33m5ubs7OyCKWyLAcCAb/fn5ebu7toZJiMwJBQmjmTwCwHQBRZNhoMdfX1gkZoam6q - rqkxGAyYZVVC02kpK9uUTKWePX+5ura2vrFhLSllOYbsvYkIAcMy235/Mp2+dOnKpavXzpw9p+6C - MRi9wWC3O16+fDkxOc4xzMVLN2/deKulaR9mMKhKZCe4uLAQDu/0DEz39fVbK5rqams59ktI4u5U - y+h1KQEMmKEYA4ORz7e9sxNp2X/g6NEjd+5+UFRs1Wi1cipVaM56TCX3ysbQ2KRQ3HD0+CGNFgSE - gKquucn+zqczExMlLYePXrr2/te/WVWSn60DTqVieGtxdjS2E3g+4hibmN43Ml6Sy1cU1ACLiwry - 9zU0OFxrO6HQ2tpaSYWVGjkACqoa2QnNOxzJeJwQoiQTXu+2z++ryssC2M26rq143K4FluEKC6yl - pWWCwMlyaHNr49nzZ54lT3Fxya2bbx8/eq600gxYBpSKxtNGo+XTXz583flmZHjMWlhVWdmOOYoY - yjDAMDgWiwX8O4WFhe0H27/+9a/X1dXpdFqfz5uTY2FZ1h8IDQ4O9vT0MBgfOXrknXfeLi8vy8oy - chynqsrgYBXLMb/4+N7k5HR57URRsdWi0ylE9Ae2nPOOsbEpSpmmpta7799t2t9abC5kJDw/NT3Q - yT/ctBE1rWDCsgywQAFUChnfLgZKdpvEMrlfBERVI+GV5eXxsTG/328tqbxx40brqYv7ioyapFfy - ulac9r8L+APrfpw5I6eIUNil7xM6NTXZ29tttzkPHTp9+fKVr379a7n52byGUVQpFotPT8+EgtGx - oenJycnh4ab8fH1hca1WqyksKCwtLd3a8gcCwc3NLaPZpBU0HOZkNeXb9rrmHZKYliVua9O/tRUM - hxO5WRpgEBAV0vHllcWtLS9vKSgoKLdYDBRSgCnLIFWRd4IhikCr0x47fuzC5UtNrS06o2EnGimr - qJBUpaenZ2pqqrGp8djx4xpGwF88cQAw7HILCaUIZTqx/gE+Af3jnlxKiEoooSzLUgqqooCGqayr - q6wugLQqhvHM8EIsKbftb/v1X/91LidbxVgEYAEMAJCO7b7anhTsywUqy7IsgyjIsgyA9oifanhn - Z2JsPOQPFBcW3bpxs76xSW8yq5gRVRJNJte2Ns25uWjvZTLZcASAGQxUzfy/GU5apuLp9/lHx8Zb - m5vOnDv73p13CgvyNBybiEc0AhveCU5PT42Ojqyvr506efLWrZvnz1/Q6w0AKJ0W/YEgUFhf3xge - Hi6vres4dTrXZABM1GR8cmx4amI8GAp2nL50+cbbZy5eMxhYjRiXAstPs/knr3p6BkaiaVJQQRQK - wPKYASCEQcCxDMeyFDAhlJIM32EPBYURAIsRphRUlRIKCH9xtSjKLMIQ8ILA8hqaQSwQVVFkVVUT - iYTfH7JYcktKyt5++/bRo8cKi4oDwZAxK4vl+HAkPDMzMzY2pqpkf9v+9967u7+tVavVKKpCZNk2 - Nt2lNf7k5x+OT00Xjk0aCwvzBB5RORXbWXQ5u193xxPp2kPt79x5r/3EibIiDilbvk37SN9AeHs7 - HF6UIgSoggG4TKk/YycjiKpAGGAAKAKeZwpLywvLzO37kzz7eSzaE5PVjo6j56/fPdhRqQAoFDCo - LAoAJDmGYpJQUt5QSiJhizWn8ebdD9rrKspzDADyyMtcIwo5FkfdS56s6dXDZzUGHc8zgBDZ8S8v - 2l5PDj1WWP3Bo2fufPDdhsoyA89oOTaZFldWVuOJZE9vX3dPz/ETp6wlpXpjWSZgigEoIRRRShkK - mV0/pqqalWU80NbW2tLa2txRWFIDGIBTUkxk0bswUuhangv7fYHp6ZlI+FJhqREAAxCMgONYhFBs - J7zO0ktnDl+5ePzi+cMWC8fgZHgnQYF9JHaOjY1NTo1UVBYdONDM8SxQJpVIjY5Mv+4aXF7ynjx5 - sqWlhWXYi5cuHe44IggaRSHxWGJtfYPjcTC0tbhkn5oebz54+ODBepPZVFFZWlCQ4/MlFheX/b6d - RNKsNQKzu7RU/L7t9bU1b2i7ofFwXW19dnYWRfGNgK+vt3d6ciqZSF65cO7d9z84fOSwogIgiMYS - 9Q2NKVF68eJFZ1dXeUXF/v2tAo93ZzAAIYQqCptpn6QEiLrt3RobnYzsSAePHfvaV79bXFxi0CFC - IJWEWDQSDPhzCjQEiEpoOp1eXdl+/Kh71RMqzm94+8Y3Og4dqSivQJwGgA37gkYT99Fn96cd8zOz - s6UlJUWFeTwLkiQFAsHh4ZH5eZdGqz1z5sz169cPtB8CBKFgyO1e+sVHH62/7rE5nCwvIMSoKsEY - AcMCQRhUoqqyQmQVq5AxdANCOHOrQBizDGVAohQQZggW1D3ttazIU5MTI0MDnsW1C7fbr92+9e6d - d8heoK2urt5g0M/MzExPTeXl5pw8cZzBWRzLZIC1mbDa6uqqIAhvvXX92tVr7YfaFVkK+n2zM1ML - y+6J+Y3xcbdnJVxTLJlytYCVdCKwsjIzOPjC41krKam5cvWDKxfOVJXnY1VB6bCaCObnoJUdVacz - spyJApNIhMfGRgf6+wlROzoOvXPn/X1NLTpDNsNyBKC7dwAQ/tW9zyYmJ2travPy8o0GIdMnDV8m - FQAAAc+X1Ne/V1O/tu5XGd1nD57l5ucdOXLku7/1dR4BASASaBhgqCpLqanJqc7OV8vLnsNHj197 - 69bl6zfyCktZDSurEPD752Ym1teWp6enBweHjh07VlBQYDKZFEXBmOE4ROk/2aD864x/K93+c4NS - Qnf1JpRSqioqxQzCGVkUSqdS7gV3Op2uqKwsLi4yGrOAgkpUnuMtFl6j02OWZVlQJCkR2t7w2G0z - o7KadfrCrePnbtc1allMACUBUgDJ4x0Nbr/6SadrxrlVMjzX2rhPyBc4VVRS/sCGLRwIVdUfO3Li - 4uFjhzQcAAWNoKmvr7+O3zLxeT8O/nRzwa/Iyh6ohgBgQomiyF/CXQEAKAYkEVUBzGOiiElVjAIB - zPFUYxARiAQ0soSV1JJz1jE1oufg+IkTV2+9rckGefdqQEFxYc6xQ9PjHd6++eHRSdfSWkOxxWxm - gagsgw16PUZQarVev3nz7bdv72tsYnkBY8ZiMR85cvjNm74Vz9Laysrm5obf79MKxQzLJOKxhfn5 - 1ZUVBNyF8zdPnrhRWVGXwZbzAnACe+HqoROnGw16A8eyopTY2tx22lfsc6vHjhw5f+bdU8evsQzs - Hn8jqaTUePvdSxOOucEJR+fr1y0tzXV1lQybWfPS3eRUJk3FYFCplEpSQniOA6A5FsvBgwe++Y1v - tLa1GQ16DdGJhMoUOI5bXV29/+BBKBQ8evDsH37/++UlNQzGspLGAtbr9SUlJSdPngwEYo8/f760 - 5FldX9ML+VpWDgT8L1++WF1dtZZZ796527x/P0agArAMlJSVvf/+e8b0ln0zFvKKrKDRG7NYQUAZ - CEsqIaXjlAJBSAYmDaAAAIMA8SzwGU2CLKaldBJRFQBkhcYSKYtJwwMwgBEDhKoqURHGDCOoBFSq - clTJLPgVSVEUqK1rvXb3zrHzV+srSgwCwqqIQQEkr3s311c9U/alA4cOt5++2X76pkYjACKIpHhE - S8343OH68TemrTXX0NhsZWNHzT7WKKB4IuHzbW9ubOi02ra2thPHT1RUlCIABrO5eXkFB/ab6TfM - NNa/PAyyolLEazKVZPIF1jKD8P1y3v2jSfiPpiShkE5LCCGNhqcUJsZtfa6/UFmzlsS0JMZSScaM - ghgOpFxlO0emUhI8FECTzwuCoNFk/kY8nvC6F+02eyQcbm8/fe7ChcNHjmg0GoQUludNFospy8iz - 3ILL9eLlqyWPZ3JmzlDR1pLD6XW6hprKw+0HVl6NeLe3PcurBmMWyzGyLEVCgR3/diwisVmcTHFg - a3snHEklk9k6AE4Wk1trq4FwWGT5sura40ZjAVCVFdTtTbvL0Z2MR8+dfvvO+79VUd2gM+wiPnhO - zMtRrl05GNsR+3pXZubWqqqsJdaaqqrKmpranJy87W3f8vJyMOjPMjJIawSNhgL4/L43b3pCoWhO - fk3b/gM5OYyiQiQadTrt6xvr7e0Hj5843tLSwmHMshgQaLWa5pZmKSW8fD60srwyNDh05nRHfr4e - MAWOUxQ5tBNKp+Tm5qZbd25fuHihuqGKZViLBVTyj7Yw//zAGGs0mgwR8nV3t8/nW9/Y0Gq1mT5c - v297a2Mt5I8JOjasqBvr26u+6E6cFOswKBLEd0KbK4mQVysYqg52mIuLGA6AMCBJRE7rOaTHak1F - 2X/8j99vO9BeXGxkWSCEycnJOXf+vN1un52ddS8ubm1uSZLE85yqKDvhcH//wJLHU1RcdPny5cOH - D7Pcbu8wJ/B1dXUdHR0dhzvsrjPiI90AACAASURBVBWb3bm6ulFclJ+dJQgaVq/XcxwXj8faD7Ze - u3b5zrvvWouLBZ5LpVMmU3Zj474jhw+HI4nQTnhnJyJJMssBUQGwipCSuVQoY4Dc66mhRFGUNCGS - wSBUljfcvfvB+YtnjCaBFUABURRTJ04eTac0szMb6+veOZszFoubs3mBYcwW88WLF4l8imMQ8Gxm - Ow4Y63R8Q0N9bW1tVpbR6/Vubm6mUgQxhGEIBor3SPsms6mj4/B/+IP/qaHUbMhiADPWEmsKaYCK - QFRCFKBEVVVJQWqGM6CowOBdLwwCQJhjMMtggRc0Wq0ky5IEOgEEgSkrLS226DQcsDwHPJcJo1EE - eoO2pLS0o6NjdX09lUp6vVt11aUAJsAYRFlMpymliP637gD/+HcUCCIEtFoW41gspihKU/PB3/7O - b585cqq8uDxzJpSTk3Ps2LGpqant7e2RkZH19fX19fX8/HytVhuORJ48ebKyslJWVva1r33t+PHj - JpMJY0wIYVmW5/mjx44CgrXt0Pymt6u7953bN5QCHauI9dUVleU+Ql0u9+LSyurJ43UMAGAAUVxc - cKwuL2ZlGdOiNpWWXO6lqqZGKDCxDANyKhIKzk1Pyel0aW1pcXGJMRuoCAGfb942bZ9zVB463XH4 - yIH2JkCAMx5ODV9ZW31Nk/+roYXRTV9X75sLHQ0tpdlACbAYiLwT9KeSpKSi8Oa7d65cvtzU3MIL - mBE0yt61+v/Ye88fS7P7TOz5/c55w833VtWtnKu7Oqfp6YnN4TSpSaKG0ipRWsAWDAmGoX/GMOD1 - wrbW3vVqV7KhXa3EJTkUIVKMo+FwYudQXTneujm84SR/uNVD2TC8Bgwb+2Hfr4UqFO59zznPeX5P - cDiR5DkHY6ygYUGvbTfru1ubgRSTE5ML8wv5PLOEAyR4pDxWKueFs7Bgz0NsnHWShWQhWTIx87Cb - 4RlhopXVKZHLZXPGuF5/4ABPwmrR6XaNsWEmk8bRjRde+KM//KPzF85XymVIH8SLi0vv/Gr2w48+ - 3T883tzc3NrabtSbs2OjYDCncdSx2oyNTL346q3f+s1vvPylK8UCfO4JeBk//5WvvhrHdmP7T/b2 - 9h4+Wn/9FjkIBxIEa51WSa5AQrIbtmSQACCZiaC19oPA931lETD6vd7+kyf37t6NougrX/nazVdv - Pn/jHBJdCKQQcM4RMDI6cusrt+7cu7u9vf3ZZ59dvnz5ypUrYRg26vX19XVjzPj4+NLSUhiG1jnn - nOd5k5OT1WoVw8szAB6WvGtfCmNMt9ct5PMsBQEzs7MTU5OXL1/MZLO+79thxziRlOL8+XMHhzd/ - 9v4Hx8fHT56sXblyLZ8fogJYa5ROu92258kzq6vvfv3dF158qVwpG+scGEJoY7d3tt57771+r//K - Sy9+4xu/Mz05yVIADoJLlcq1a1deuPH8o42jD3/x8XMvPv3SzX4+lztpPsVQwDKMSBxmPTrBFASe - Ugmcm5gY/8M//MMvv/7VickZFtIBnhBfff0112/+7OO7axvbuduPGwMUBDKUsOrd/+yjux+9HzLe - fuftX/sv/svx2YIvoQGnVBh6F04v/NF/9o2eeO9P/+bDv/vpB+cXy69dXxAS09NTL9648f0ffliv - 12/fub16YXVxJBMIB0KjfvzzD/6+1+0EvqfBe/t721vb10+d8iWGLMvRwcHR4eHE+NTk1FypVHGI - 2p3G9vbG3Tu3q5Xpt954+8UXX56eqjgLBw2hgtC78cLz9x88/fEPP3jw4NGjc2uv37oaSgMyjqyx - Ok3S/iD5lV954x/95j+6du25wGdjUS6PBEGYJHGj0djc3Gq3W6/efPXGjRvnzp4NQp+ZhwaLc+fO - Kq1+8KOfHRwcvv/3H3z51i09FiT91tr6w6dPn7aa3ddff/PNN99+9eZNJ52xSrJ//sK5kv9OUfT/ - 9F/9i41d0lZDOn4mL8KJHcFYJ+QwREMTUnV4ePj48aPt7a2pqenXfu3XXr356uhsMQAKhTwH89VK - 0fb75cC795e/qNcb/UFcKkECLtZRr/Pzn//8zu3PRyqFd9555913f2NqapQEACs9r1gqnTt37g/+ - 4A90/L/89Mcf/vBHPz53bu7atVPCDxYXFy9fvvLZZ/dqR8drT54uLC9nCyFAzWZze3trfX3HZwRB - 2IrSvb2jo6P68sykYAMVp53G+vqjTrd37bk3l1dWy2VorSUrwGilBNHE9NRv/9Zv3/rqVy9cvsiB - Z5zLZDK3bt26fe/O97///QcPH2xsbsZx7Pu+YAJgrWMid/LWwlgzLCL/ZeTOF7QoAbDGaaXAzFJK - larhRBkwYAIJSGe0TuJYSpnNZDKZMDkhTp7Nv77of7SWhaRf/oDALJiJyBg77LIf7gODfn97a4ss - JsbGK8VyJsxACkGQxPl8fvXsKv5PktsvnGd0MpAAkcfkSUFEwvMLxeLXf/033n7rjaXFSckgB09W - BNvNjaff+9739vb35ufnf+d3fue5557L5XPDMyAI/JmZ6eeeu7b2ZO3b3/3e2trTJ2tPcxfPFnzd - 77Q+fP+nTx4/LJfLt7761RdffjXMS+Eh5+VL3uTv/uZv5IqV9a3dtd2asTZRRlmygNVawHpSBJ6f - amGtU9oAEBAnWbfWwToCCZZDUaAxIIaAYCnDIBjKWK1xSukkgWDkWJRLZQKM1p4nb9589d13f/1r - X/tV3w+JeXJykph6/ejw8PDRo8f7+wcXLl549dWb159/3vdkksapSgqZ7Nkzq6bT/+a3v7O7d/Cz - n3987ebNhZFQxP1u4+hgd2dnZ2fl1OWXX7n55tuvixwkQ/pibmYi9/prU9XJf/Lf/c97P9hMEs9q - lcRILGRohJcJCJGB0mAJhiJ2SCxYMEQQCOv6vX47m81lMmUHJCkgEAjhwWOwUX2fk6wcBJBvvvOb - L//2f3XtxdFRD7AOaef5ywuqfu69H2Yf1RoPnjY1F4e1qFrrrae3733yN3H74Nqtd9/6nf98bul8 - voTAwaokCIKZ2dmbN28eHNZ2f/B3Ozs7u7u7o9VqLpfxBAgQPsNCay3IMfOwwvP06uof//Efe1J6 - sohn762EnJqcPHOGZmZnHx4dNBtNB8fEgIVTxmpi+EFQqIysrCz/7jd+75Xnz1fKsLZPRCOj5V/9 - 2htREt+9/8mjx3c+vz3fbL1dLlecQ68bf/7pg4f3NyYnFr/2zm+99fZbKk2r1Wrg55xzUnKpXMjl - T3359ZcbrYO/+LfffPjo/k/ff39pZXZlLjc7O7V65tTm1vrh4fHm5u7iwfhUkMv4hkEseWdnc2dn - h8Cnlk8vLy9bp5WLd3e3/+a977YazTMXz//+7/3jxbOnhzotB8rmcs9dv/7w8eP19Y1PP/303r37 - BweHkxPjYTisXRwmLBvp+Nn8B+1m89GDNcHFierq9NRcGMAC2iLM2SDjF0qVTCgEx0mSHh0dPXm0 - 9ckvHi4tLn7l9bdff+3d6tjIyYiC0lKZv/LGC/eePvz0/oMPPvhwdmbm9OlTI+WC0qbX621sbCql - Ll+69PqXX7948dJw/VfKlStXr6baeEF2Y3snTrUxllg8y3MwziTGKOfIkrQk7EmiChkDYQCjVdQz - aY8cPD9kmR3mpDun7KD/yScfba6vjY/l33nrrZuv3sQvvVg4vbr6auvVTz7++KOPPvr000+3t7cE - zw+rQZh5GCm7tLT0lVu3fvs3f2txaRGCJXsT02Owp168cXWvQxs1u73da56LVyYLsLrT3l978tH2 - 5p1icfq1d3/v+o1fmZisJDGyvpBZKUP/G994q4MJW1iMGd2urm/t3Lt756h2+NJLr9y6dev69eeG - BdHDvfXsuXNvvNF7/4MP9vcPfvLTn7744ovVsQoLWAsehl+e7PM09LbaRIEgBAPwpCek1AZSggHP - B1uoVEXd7mefffrZp5+OVCpvvfnmN373d3OlESuksfA8jI2NXbx48fd///c9z/vJT37y8ccfLy8v - nzlzhlkM/6xSioh87z/U8fL/8fMfRVfaf7wPEQBjjTHGOfi+T8PyPOfAnM/lFhbmwyDY3t56773v - 3LlzO1UpEw8XsCc9KYkBT8pup7H28HbUrReLxaXT5zPFDEkwM3QKHQNJfjRXrY75Qa7ejGrHHSED - YkSD7ub6g15jJ5vBxctXpuZOBRkQD1G2I9ipyeqlC+fHyqNZP+uJgMBuqJGHYSgmK6Uklko7e5KS - SszMBGcUk/HIEMGAEwRm2EKp0sHB3ubmRr0Rz8zNLiwujY4/G24zmAFB0pcT1bFisaiNq9XbrXbX - OTeUdVqlBcTc/Pwbb78zs7jgZ0IiEGwgaaSQmZ8cHR8bVdoa50F4YIbT3W5n7cnjZu044+XOrV6d - GF8REsIDBCyUcd1sVo+N5cIMs8fWme2tjcO9PXZiZnplYmJFcAAOYAWSFC4NczQ9O1Yq57XS6083 - ut2eBYyDdSD7BTHgTvJUmU5QoLUSfPbc2bfefnvp9KlCsQRiJvYkex4N+u3Dvb3tp5tZPzsxPjUy - Nu5lAghITxqjtEml5PHqaHVsDNYcHR8f1Q6Zbb/X3N/f29re98LS0qlzcwuLhWLx5DsAPIHx6sjq - yuK5c6v5YkGDolTZk//QeZLEcBDoyH4xXKGTfJchovOkkJLSNDHWkQyDTJbAGprgmBzDOqOttZYI - YkhWa6gYDvlMYawyef7CjdfeeLcyMS88lqxYROA+XOto+/721kY3tpOLl2dXr5kgVIIsCCqG6WcC - szxdGi9La5LH6zt7TZVY34F77cbhwX6n1x2pjl+8dLk6PpYJhnjaEoQMsytLyytLS2OjOXjSWWPM - ibrgpJhh+KUAcC5Vyn6huP1ibvgP2FtHID6xkglCoehNz86ePnvu4sVLly9dvnLl8pUrV65cuXLx - 4sWLFy9eujQ+PY1cbvgBsrNOOTig320/fni/Wa/lcvnnX7gxPTvvhVlHABgOVmsIGhkpXb1yfm66 - qtL4wf2HBwd1APD98anq8qmVTDZbP249fPiw2+s6gnNme3u7dljLhXjp+euLF87ApLv7+7tHdXgS - bKJe7dGDu412PyhMn7v88mh1Cgwg3V1/uP7gEx+YmZmdXz5vJdQQ+loIUsWMWZkfqVTy3Sjd3Ksf - NbqOpO+HC3MzL9+4lg346Gj/4dpaPzFSSnZQfezv7v3i4881YWZheXFlJZtDt5OsP77XONoOPLp0 - 6crUzIIfZnHi+iBmUcyXxicmKpXRKEm2trd7/b4dpmc561kjSZRHq2cuP/+Vt35tZm4x8IOTrHYH - gZMyA8AZZ5VzzxTiw3Z7h+Fk27nh3Hx6euL8udOBx4eHR4+frMepcc6lcf9wb7vTauRyuPnaaxcv - XYGyO/tHR7XjYSpov99/8uRJt9MtFotXLl8ZHR2e1AQpWAgGFqanL1+8ePb8+ZHxgvBOSIhM4M9M - VqerI4VcdhDFA2VZeEyU9Lu1w8Pd3T2QOHX6zPTMXL5QsMY+y1iGkLJYLM7MzIFEpxd1+wNtDBME - wM6wVeT0qdOr1198ZXx6NsjlSQrf93yPCxlvaWFmbGwEJAaJTrQZaujFF03z9Gwhn0ANMMOTxLCT - k5NvvPXO6bMXyiPBELdIQZnQn54YX5ybGS2V0kF0fHg0GESpNg4gwSIbesUipA8Sw1UBkGAq5bKl - TOALYYxLDDTYsnRgWCcF+dJJxtVr11750pcXV04XimWwAJEnpM8kBIPBcEYrZ83JB80MIUEMa/Wz - 3hyG9QUzswNLLySGtsMoHi+bzUs/A+GDCOzgnFEpOWRCv1odCUIPTMILtRPaOABgEpIJJISUUhpj - 7P+RsP1C1TvsMQcTjCajJNP4xMTquQvXX3hptDouvRMGm5g8T5xaWVxdWWTYZrN5VG9o66LBoF47 - fLr2JErU6OTcxavPT07NMjOGUiBmZhofGzm3urw4N5UJ/WarvbO332x34Wcnp2amp6YKucz+7tbW - 5mZkoYE0VunhYeP4OBP4r918eXlxzjp3/9FavTWwgEqifuPgYH937+AwKJQXV06XSpVQQKfJ1uba - 0cGOYFy+fGl5ecWXIPFMXMyEfGZiojpWGXHabm1sdtpdbezQDOlZHUpRGcktn7n0+pu/urByOsyE - RBD0hYP65DEWWg1tgYC2cKhUKvNzs86Zu3dvf/s7397f76TJielYgCV8S2TFsMrRkHNsSVi2xsZp - ouEcDQk9BgDhPKGZnLZWQwxlgESQRKEUEtoT4tTqmYvXbpy5eLlYqkAIWAtHvh9USqXTKwtzM6Nw - abvdancHFgQYJuVJEp6sTs3e+pW3T58+X8h/wcFYFm56avTU0nSpkOn1+js7x812kioQWDAD0MZo - Y4eKYwfAGhjlnCFACGGttdYJBgH9fm9z/Wm71chlMpcuXayOV8MA2ayUHk4Uu6AwzExPz5RLZWPs - 7s5uu9UeatbL5fLc7Kznyfv37n3rW9/a2tqM43hob2Zm3/el9IjFiSKEhZASLIT0spksCwEiIYUU - FPqyXCoGYQjhgSQRMTkmV8xnR8sFhgML+GFiKTUntxRBVsLAqpXl5a99/ddPn7tQKI+ABAspBUnY - QadRO9jb290uVcqLy8vViWkZZL5Q7EIwBf7o6Njo6JhKdbPVbjSbxp6QUVrDPhNknujNyDmTJPFA - Gzs5O3fzy7dOr54dHa1KKa11xkJIHh0tLs9WV5bmgmy2Fevtg3aSGJvGjd2d7a3Ndk8trMwurpwa - myw4xi+jisgh5184e2pxbtZjb3dr7/CgnqQWyuZyufn5menpahRHv/jok1a7Czg4lbZqh4f7+0eH - Zy5cvPHCS8VCqbZ3sLWxebJNDPrNjc29vb04SecXFiYnJ/N5Idm0Gwd7u1v9wWBkbGL1zPliqcIS - 1g09SxBMUxPViepo4HvH9Wa90bVOOAc4M2Tf86XKhYtXnr/xwtmzZ6VkIkhGNgykoMGg/eTx/fpx - LQgyFy9cmp2dDTIBn9w2WbDM5XIjlXKxUIiiaHNzp9XqGK0E24PdraOjQ2J/fvH0/MJSRmZ8SGYC - OQTexMTk9edfmJiY8XzfOm1til/OIYZfDTPxM8OShaDm8cHa2uPBIB6bmL5w8VK5VPaHQlQIyAC5 - wurq6sL8gucJz5NiCCstkqh3fFzbPThoR+nY1OzC0srM9Owwz905w3BMNp8PL5w/OzszIyA317dr - xw1lHZjGxkZOLc3ns2Gtdnzn/pPuQDHAOmkcbB8fHWiL51548dLlq3C0tbm9vbOj4UBIBoOtjc12 - vZUJwksXL1UqAEFIxknrMxeLI8uLp15++ZXFxQX2PDBIkO/JSjE3N1Gdm5m2Fsqy9EKArHNaG6KT - rm/r4ICh1nW4kQ+3vRPcSF/srMREPKysJebhzkHP5s/DQwDssQBIG8dCDhGqG2IcYigNpU9eZIcT - Dxc7OENGW2u1I0PeEMczkMuESwsLnuTNzY0f/OAHTx4/MbHSCo4gfQy5No2Tfl76JTj4ophz6LQw - zhkHTM7OvfO1d68999z09CQLEIMFPI90mrRqh2uPHhitJ6dnV1bPVCojJ3+JCCxYeqVSaXy86nmy - Pxgc1Ru9KEqSOO53m/Wac25qdmFqfmmkOuJ5ECeLlMLRkVPLS9efuzJSLjDDD0InxLOboCPAWhhN - 1mAIw07+f/JAAko5a6RgwYJJEsFoWKjhYMvZYTuFsyCWQ3BhjdXOOk+E5cLICzdeevHFlwrFoh8E - w3u0EIji1uMn9/YPdlmIy5evzM7O+dJjMBEzCyLO5bLV6milUk6U2tje63QHMNZ3pr67VTs61OCZ - pdPzy6cyOQwpfjgLKUdGRy9fvDgxOhb4PgtJLIjgezgZpRJIDhkiR9AAQYSg3DAxnV0PNjbWaCcs - ICWkAH1RBKiV1Uk2FPOzc1evvbR6flT4z143obkkZiaD+dlKGASDSLS7SPSJaGN/d/3Jg49MiunJ - 6unVC2F2eDcCCyGFCIJgbm5udHQ0Verg4LDRaA4LlE7OfQNrLTENgY1NU1gDKbKFgpfNwvefjeeM - hZUQuUymUioFnmeNFSwtyDrzbBRitNLTM3Nffu31laWVchFSgIjAJCQtLUwtz4/nQm62GofHdWUs - rE267fW1JweHDcP5U2evzy2emZycm56ey2Ry1jga/jaT7/Py0sz1q2crpbDRqj9ce9qLUwsKg+D6 - 1SsrS4vRoH/37p3NzU3pDReYNUny4N69p+vrGb84t7w8Pz8XMFSvdXx0tLWzJ7LF2cWV6bm5TBga - 64hpaGgTAqVSuVodF1J2u91a7VgbAwdtoI0lIs/3TzThzkGISqW0tLjkLD249+Tf/du/3dhsKQ0h - wayFiIPQsFRgLQM6Otrf2tjQqamOzs7Nng/8UeIM4CHVMAn5dnyyXBkpMNHRYa3b6XmeR4R2q775 - 9HHUa4+Ojly+9tzI5LQXhM9gK4jM0tLc6qnlYiaf8zIee86RPbk1GIZmJuEFBp4yGLIFBCdPdig9 - 3NQcwYAVCQcIII06e7tb6xsbUWpWTq/OLSyUKkU1TOGh4UWD87nM9NRkJpsZJMn+4VEUJYIYjsha - sprhzpw7+8qXvzwxNxdkMsOwUAhTyPPS0uTYyKgyMlFSaXLOgVT9eO/O57/odgaVcuXixevlcmVI - 6RARnIGOUPCL5YLnQUhEUfzo0YNm4ygM/OWV5ZHRqpAesTTOploBKBXyU5OThVyx1422NnfiRFkH - 42CGWv/hh2Of1QCxZOk5rVinnietQ2rZiRMWgQEmlwxaG+uPD2tHhv2VsxfnFleKlVHheWLIgDlI - gXIpd+XShfnZOaPs1uZu7agBCCE8YuHghBRCiv8r5e0Xnsr/P57/pLr9v3sIDFh7gsRJCHHi9LYG - RKVy6cUXXzisHd29d//b3/52lKTd/mBsfKJQrmTzBc8PhwBCsIh6vf2dLZ2klIuTQePBvcfqSJS5 - zdGBoF4YpNrqjc0Dkca6eZi2az4rZtGPBmvr282uCvOFxdUzherI0JEhLQiKbFzJeXPVajnMF7gQ - ct4oP7UBCQf0PDSE6xHBUEa7ULvAIgDAYEEgpwQ5ySDAktQUOMADhI47jcZeM96KsZAZ3z1u3v3k - aeoocElAacYOMqql6ps7x/1EkXSUdjvxoGeRFwSyJFIKbTgyOrN85TpKhQjskWGrgJgpni+Y8WLe - Ih/zhBJFLYW1/UG/vbe+kTY6+WBsbmauWKoYxjA5kWBI64AMZDZxoSHhYBpHG3HrgS+aqWlvHO78 - +OefhIKESWPVFRlrZaqN12p07UANdNwbDCLjhCQf8IcyT7aONNieMCmB9Jg9bX3w/PzCxRduZEZH - rPTgiGAFaYdEDWqDen1w2MuFhU4z+fmnd3PlShgYRqxUV8NByq2nT9qdY5BpDLqt7rEQUZIet7ud - Rp8qpeXK1LlcecoLAgcMgXVoI/hufKw0Ozvt3z9ICanRsFZaAViWgA9IgCCdkMMpqWWA7AkYtfCF - k9RXVpGEXyBv+IpCwobG5oz2VUrWWDgtkYKJwWQN+aEo+dniwuziuXNTWsLBYRgCSBpucHS0Xjs+ - AHlKi4PDo5/+/Ye+ZzJGyyTOkCNhDelm8ziO4+bhcbsbWa3ZJknn8Li+30vTzPjU3KmVMPQ8DJ1e - AEkgQKk6Vp4o5QqBIR+RNdASGMZcOXhkAMsgWGmtJeCE0/2CtNVD17cjtg7MvnOkrE4ZmJ6amX/p - 5szKtfFQFVzXR2KEVCxIRyP6sBjtffj+T3bvt7cPwETsDBt4AmmvsfP0YdRt5fOzK6fP5UfGjRhK - y5kdnDVMLhu400tjk6PEqlvb3hnU+haA53tT45MrpzO50W4tenz/4auvXrPwLcVrG9uHtWSiUn3z - hUubXfXPP+8+2dz4ZK999rlSDq7biu7du1fvHfvVyVNXl8vjJZCF4uZur74WeQk6zd7D9XtuK5fx - EUrHthHQIZvdg9pxq9GMde+wd9SOBgaBILU4VXn9+fn1298/qrn37zwuL5+tSOEpg6Pdg63dO2v9 - 5QvXJ1ZvlCfLQYDe0XZt82PbXBfaJNrd22jE6nNhBj6lBHYgY7G5dRxr3VfxcbvViyNlXCAd0riU - RlkRmpGF3OlX564+5wkAHQFlrE8OvgNbBxtDsmGOSUpwACesJevAsPSsAhQA9FS1dHZ5opQTR7X6 - Zw92nnuRR0oOcWNv/bNWp1Gcnrv25TfFZvvjR987Xr9/uDtqLpQ9xk47+dm9g/1BtjJRfWF1diaD - EACl1g8TmYtMZmx8eWb+9MjYqB8OwwHAQIasH7jZjK5kfGVlByXFHFjLg0a/cdhut/uajcisbe4Y - C9jUY0iyDuTAj56s9aJkoF1XodmLrFEBObJpoDuhbgcwY1Mzo8uXdH48BTzE0nOwSYl6c2W/mM8o - 4feMSAwBEAQGiZNQvpND5Rl1KyQ4YCGAYqVy/vnnc9PTJoQYwnRnM8xhJjtTLMwXc8n+vuo2u71O - z1SzxOwsbAormomp9ZO0e8BaI0m0UkbrztO7Iu6ZfDkWmUQiEJBOEkhwGqLjEU6vnlq5cC0sEODB - iCHmkICEBaznDBtNzjGYhcfCh/RgNZ5VBMClZGKGNg6xldbLsQBbSMTsCMgapfq9dmfQ6A26SeRM - IgBvp7Z3uL8WxcfCy6UyM6BiAl9Cgy2R084YIR2TttqeaJKfpcA8u4aeOEqtg0kDE3tAsVIdmV2d - WDw11LKIIavhLFu1MDlyeqbsk2m0GrvNbkpSxe1efbd+uJcgI6uns7MX/WIRzsIqgnAkHFw2xFyF - F8e8zzJuN+4dHDcbvbFqaQIjbnJie7aaqzWeHm4/adYNVYTp69rGYb2dlCqlW6+9oPzbn28e33u4 - sX0YxQlyaa93+Hh3b/Mg0sVzZ+fOXs1nMyUgSbp7u2u17oFlENuDvd2//yDQji3Lgmvm7HFOHR32 - pO22871OOtBpL4q1yAuCt440TwAAIABJREFUSnN6kPU8m5sNl16YvnorKAkjhtwrBJx2NOwmB8AW - wqoTboMYTBOzc5efu/bx7dtPt5/8m2/+r9r3zp05N1oZq4yPyFHPCFJwAiogJYRhaF+TTSQZNsyx - IEFg4/vwiRJQEso2S5USx6JgZN4BwkBYVeK0bFuhMONzy8Wly5lq1QGwibFDiwhCT5yaLZyaUI/v - Ndud41pPKYjASbYEJu2xXy2vXL1YGvMEwRk4hiOQ0yM5f34kO5qRu91k73DQ7sMYI70Bw8Ip63xt - w+EV2gKAgbM4sU6T1dZpO9wZol5vd2szHvQdZBz1Hj68F7XGPChhFVnrrBZEwxV2XGukcdrS7WgQ - OeNg3cz09NUrl+/c/nxra+Pf/eW/cVafO39+YmKiMjKaz+eDIDP0YzkL8wwyOoCE9DICwysxEayG - ScFeuxcf99J2q0VmwDq2Km7U64dP75u4a2WQhKMdUSgQstYJE4d2UECcc+ns9Ny1l7+SnzxtfGks - JIwHBRvb1u6gvt9rNx2WOpH++N4TZ520SYaNdGrITm3v7MZxYp2Jol631zZudijoNM4yESBORJ7O - AtqzCZxLKciOz5669FJpbFbKrLHQJ1yyRqjHK25xtvj5UT/Wae3owFUnpGi3aoc7rXhPFCZWruYm - JrMhrIFv4TswPAiBjBeOj4yVSqNOdA5ag+NBf+DLvPQzZmIyPz9XerC//eDRxnEjVkkCr9s6erJf - 26mnmL/yQjEz1qz9UO21dtc2W4OkUAyiNH64ubV71DTMS2dWxqZHMj6kG8THW7W9LWOpb/yDVu/D - zz/LBTlgIEVKFME6ybJzuEE2qbfaR700sQgtPGOFY2s9Pz++cPrCwqnT1ckxwJJjOPhMoFjHB7vb - 93vdprMyTtzaxkak+4AjJ8l55Jwz8e72ehr1kzhuttqDfkRKB8q0d/dajZYLs+XZ+Up1UoAZ9Ez3 - DJnNTs0v5sojUva0VZ6JBArDnjtYciwA7x9MuxVEGjf39nY2I4NgbH5qbiWUMgQk4OAR5cFUrk5X - Rsc8T8CD4AQGwsFFtePjvcPuoCnLp2bPFkZncxkiB7IAW4JhSjJhMjNVGC+XQhc0D7tHjcFxYiuB - rIwWz8yNTha9jXb344d7b7XtvLKZuDbY+LxT26eMf/6VX6k26KNH7+3uPHq6M97n6xqy3U4ffr6V - HOnRTOHS2bmRCoghmGCldr5DNszkxqqzyyurhUoZ5ByTIUgYMvFM1s6OlI/qg5RGIALp4BuljOUT - WmdIx4NPCG4MCz3cMyr0JGcCIAePWAyv8Ow59hSDmBgCkGBiktIxGTaWEycED8ErHMDOgAXIM47I - nRgQrAMTYBOogadjOHKUGXBRDU93h2ql9PIr12uH2w+fbHz3b/8msq7ej4qj1dLYeKFSYP+XfWp0 - QrgP5cTDwTkAC1JAbKG04OLEzPOvfGl2fq6QgTUnfL4guKirGrvNnfVEiYERT3eOO92B51JmVvAU - ecz85PHjZvPI2iRK40anFysbuaTbag86PSfD/NSCPzrjBxBAMBTyOYEgXx6pLC9OVT4hUOqxYWsA - D8Jpm6baaCOMy4B9FifYxlhikwE5uIQRs9NkPXZDuVPqMAAZY8iY0MFTFoYse8OBQWK0FtbP0ZiX - C04tX5xbOm0xpB8BZ8B9lW7t7n/W6R1pR9qKzafbnGoWUM5qWE+wUKq2tZ3oJImTxlEj7cQyUZ5W - g9phs9Ud+PnCytnK/IwTEICGTo3MyCL7ab7C077Lk20JYYQHHmaLewAUoACfADgBB/gQHpyF60ib - ZF3D49hQPGCTAB4PwScRwHCKPGUzzpsYqc7Pz8/MTMAbBtIQQUgE0i94pdF89iD1lBIJRAohIQhH - te7j9XY/Rqeb7G49PWw0AsSe6XtkQSJVZmtnt93qamXr9WavN/C8wDkYBwKsNXwSl2ec1dZq5pPB - j0vSeBB120m3PWi7ps4kfdfZf9iLmk2OI5hAWcSQvqOAiaCN0cpgYmzm8uXnKuXAY1gLx6QFS+hi - 1lsqBrMjlccH/drA7yc8oQded6Oxda/V68bZyZHTVzPVCZmhwApnLKwllnAnO+l4OX9mpjqW9Z/U - ++sH9XrsEiMzIn/lzKVP5us/NmuPH3y0uTl1ky44eCp1SaO3/mB9v1YvzU+PnF6YnBoTtp9pHA/q - zf1uEheKNUWf3X+UC8k60HAa4KCN21h/GkUDApI07fa6zloMPdRwRMxMIPtsMfPU9Owrr944av/t - 1sYv/uIv4n50fOnq85PV4kieSxnnh76Fp8DMutXea9buCzS1jQ5a7fc/uVPOSh9JmnbJs+RbZejw - oGESdBrdZBAJQQzdb+4dPP0cca0yd3ru3AVXGh8wpIEErEs1OiNjND1aGKUwa/yMDZSyyXArsQak - LKyVQrE0jskKCZKwLABhQMqJUPOo4icJcyo1ARkgjQ67tb2jRuc4DatB+fHOTvrpB9YIRsgIBIwz - /a2Nh71OXVkdER13B2lqYQWcDbTK2jhgMzk3O33psi6NpEyBUXAaNglFPD2eLZckIXZIHaWGUmlU - vdl7unYY9TCfH1+YW85kAAYxDCCMB52HlwcFwwVmVG1/50HcOyaYODGP1nYT+zF7MjXKah14Ppzb - 3DhMeyrtunYjGgxUYgAJJ1OwtgiElTAAfLCDdEAidZxLWnlobbjj8jF9sbsqD10ebO9u3G70+6Y0 - M3Lqeji2iGHK2Ik0TglSItCzk+VqpcI2ONrtHh8O0oSlDyJYWOITp4c7mXIPH/uMuhX/T72o/++e - /0Td/gceIpaSyVlrTZqmvpQgWKVY8Oho5etff7fRau7t73/++Wef37n7r//8z19+9UuvfOnLN154 - aXpuXhJrB6eVShOdxCbFk0e31/7sfxr8b3+VN52cblNct+iRjAxMp1/p1UMKoDu1pN/UpWwcR7t7 - tU7fcpArjIxyJkgsXAzpUuEnoQ8HEwKBERmbCVxu0HODiJF3QCztsdEtpRId+OwXDQLthCAAlmFZ - OkGWnAVAIoCXtcN30KQeCyXy23Hm6eeb76//18F//yeeL8jETsWeS7LQoU27/fQgydjigmeNJGdh - hTMClKVMnnOgoKXhEROQh/NMCtuF7YxQJ+8JiGLHjHSNr6RgEydpv31Uo74q5DPlQjnMghgKsDA+ - XMYTMBqWmUUCWCec6oh0K+7rH/z4W9//+I7nhK+tSFWse9pPlUxhvE49oTQIS8Vut3vcaWWrFV8A - hqEVWClhhGBjlTMmEIEEhRABhJCeDfwBUwAK3FALoZzpSNf3jZER1Q9af73/3W/+8BfaFz4Gnutl - PA0mZc1ApY0Bw9gYWrmIqZcL4kwui3AsEjMxyvCLYHYmdUqHUgqKoSK2KZFJjdKS/UzoMWOoX4S2 - 0soAgqWElEBqMFDGIxKCBWNodVCkewrkZxAUuxHGCwjgse0HxuacDYwSzjhBSiAFMYQfhJY8NRC+ - zMKw0vBCsIOOUykdWMH046SpdRIEuX//7b/9m+9/ywsTKaKckXnrycQYislXtVajmYQ2V4wSm6YJ - /Ej1jrudRgrn8qWwVNQmcUmPvUAMKQUEgA/DNkmzHuekcRaxhZaQQgDGsxo0xNpe4AsNsoBJwUMS - 1wHKwWkETL51YGZnnUqTyCgsLyx+9c13Lt54cTaHnIqkGVgprfSFSwrxvmg+1Up93nvwSbPm+37G - F3mJDIyLu63avk4SUWQvk5XZkpDQgHHwHGWCAGYgKRkpIJRtGzVEknLEKsXAI6qOTCwv54ujB7vp - 2oMn7dZh6gIY8+jJeqNlzyytvn713PrB5jf95LN7dwpnnn/z1wDjt+q9u3fvtOP2zOlg5sx0ruzB - dhBZHgSim1ft3p/9qz//l+/91HlZ1paVCWwvg1rGHinrtuMQYiKRiWZyBClzM9Xi82dGvplp3tur - fednH65+6Z0zc9ZPjnvrH+1tbEdJaWT17fmLr2ofIoBHDdPdCNNmbQf/w//4zwf/+kdFGedF5Om2 - 0cwUOCsGCrV2BC87weUoVam2gbTo9/ODXpb9bma8lZlvCliLkKzPOpQMJ8kCSGFTpLCZXApWgHQQ - FgBDa8MQniQwAF/K0XJmYaowVS1s1tsffvr0ra8lC+MZz3Tvf/Lj41Zt9vzl+YvX61gLfdq5/+nW - xRHgSkfFD/aOv/PBw4Mo/9zI5KW50ax0nou0jQZSDihoDTibhNp6JMjCOTPMgAJZJdNO2bbyEj0/ - 1+VyP0WelZ92kEZamd3d2kH9hx99/KnP1iZ9CSWcdiDhZxJj633TjTg/y4eNlkoTQgrb93U7o1sZ - hga3XHYEAJCByMABaYBeSUTsVF8jIl+TONHN8MnmekJin9CRDMfkSFgnnEuAAagvKCH4AJmUdCRs - JCTGAn95rDzYPvatajTq1XRuPO9DaehEK/lkv/7dj+7c+dlPkqP9/v5ut3bgWdVv1Hp9BPlqJLLG - hwI8ILTSqT6lNTIQHiPIn1xLwEPYIWEADWc8WJ+JHQicyeakHwICZDFUbTnAJDrppnEvSpxWFBkJ - QkZaVgO4LESoY/ngye3v/t1f3390u73f4Vh06r3D/tFRv9aM4sUzzx114p7LOxEACUyaqjhK40Sz - dsbCDH0e2sA3sAJgpmFeA8hqw3EMQXnSUKkyHIs8GHZ44R3Ko+BYYKIcThWlDxw3jnfrHeuF1K+b - fjPqtXV+JM5NN0w+NiiyhUlB0jAJOOhekNZH/SikOImon5rYhhBFZDA+MXV5de7vP7/XONhYe7Im - zq5yK/rkzpNmLx0dHX3pxsVWHO319M8+fHr38UHtSnNiXEdHj7e31yI/m199fvHS86GPnIZR/Vbr - oJt062386Z/+i/QvfmBFhvyscsLTR3mqZ00tVdnjuoSrFqojLlJxSnlfQCWZuOtZZ4JyOzvX8EVA - EA4hEkkWUGSFQ1YzMcFjCMkYMg7sAWZueeUWf3Vjd+s7f/fzH/zkez//9LPzCxdevvzKV954Y+bl - pdxsOYXzoCLEeUGSrK/IahGIsFQtRwFih6wAGQAeEPnckr7mbFaHZSOycIAGVBqqbsm0AjKRwbHL - dwEAkkGQ0cAxUShoqkzThbZnbb1xcNhONBBwSMb1B4PI6USYlk1TDI8BkBSGXNxp55yohigImwyS - elNbzrEgRtvEkbOpkDnHeWVErOBJQBA8IT3JRFZrZxw5MOA04n7v6GDf6XRrd+uf/Lf/jc79Wc7n - rMc27mutyA1zRYQg0Wy04jiqlMtGGZ0qDoJTS0uMW9ubGz/68Y/ef/+nd+/enp+fv3Dx0td//Tcu - XboyPjHpwMQ0LDa0FrAQDHagE27UnXhJdBQNup/c3/rhJ4/e/9lPkuYu+scu6XZbzW6r2RsgMVRT - wSA48SGbXlOqbpnTknSe9BLO9Vl6ABsE1npIgIHXP3aDlkntnXsP13Zqf/neD+NUeWk3tFFgY2b2 - PK8/iBo9E/etMdpa5WCG8w7pPcvDMAQ79MwmOR+VSgV+rkeFng2tyDkgTawMBAkAKdDPef2xsmBS - gySKBj1O8hAdF0d9Co+zM73SnMnkAkAK+A5sAEFDBY5OtXS2YgkRTMe2WsgWirmcGhkNF+ZLpUf5 - rZ1k76BVr9XKI92jnfuHx3s2nyktnjlVnqs/bj366O7Gw7VH62vz5xetNeu7B8etgZfJXb5+ZXx6 - lGCE6Sb1rcbBDnvBTz++/8ntxz6TR05CsU2ESyWZXCAG3WangYHgw07Sjlzec75xPqSFn1LOyCz5 - vvRJOoIdKiRTcJ9sIx0cWZ3s7R7903/6z7ys5iAlgEzINsPOBUKTG+wf11NvtCKEEEJog26vs7ff - 7XQpmwurE5lChUEwFmASbJW2xmkIxx4TCad9m0iAAaNi5djL5J5FEQ9nfxouoei4322lkCZbDfIV - KC0T5wjGk+xybDVE6Pm+58vExkb3yMJna9LG8fF+1yAtTOXnzlmvqBIEDHawsAQtKZUygRQ5T4TW - b6XR4XHvaa19Oj82XsmfXRg7NVV50qh//vTo0W7n/ISousPWo190j/ezI5Pzl18aaamFn92uHW08 - 2ZpsORUQ7R523v+7Twf7aXW1eHZlcmwMHsPBANLAt8gZk9XGs27Io5IlaMBzCVQvnzR8nZALYldK - 1VAN6XzBWmltPSHpRDZ34rcZXtv+AXU7FF0NBzkWZEGWHISGSBycQ8CS2UPgC/KgnNKpNuS8IDLI - CeQACUPCGUMizJOzxhphiciByZqUVR9JN3CpFB58r8/FxMIpwPHU7Mzv/f5v1+q7W4cHH336i0/u - PBj7i7988dXXXv7S61euXymNlYOs5/0DtS0B5BiWT5S4ZOFSILZkrJTKyxrpOziyYGuHBlACpBrI - fl23jw/bbrP987tPdmTS4rjpiBIKU5mVUrqopbr1Tm8wFw96cUwyiKLmwc5O1O8bCm2mkvgFA3gG - 0jhmCxkCrK2JB02PBqA06rWNTgkhyPaiTqvTNdbzvJIf5CysgzNwg15aIg8ew3eClE4iFVuTWFh4 - 0kkoB2MtG5sx1k+UTY0CYGANBnAccK7oTfiZjKCcMnBkQ2ZygI3gmsbupWqPRHLcbP3JP/uXfy6R - Ew7QFAYukHE8ECrlNGm0+x1RqpQiO1AiTmEiP0mUcR3yvdml/PQ4AIZJrOrGsLlCwXWQxmXdz0EL - phSszYlKGwQNJAAD3nAg6Dy4oTvD95HmqRMKyMDEEgOLAsM/GaMSgcOw4LiYuApM4JzxCQE9q7YD - ATqhxCC2OgmMmSoiKwDlmG1/YI+arqPw13/93r//4F5PaaEjz6WCnAMbS0rbXi+yxg0GsdYmEyJO - kCaayYSB5wlBsCqNyTk/eGbrZj7c2rl399FHH3569+6DrfoG8navudfb1vpYSlWYKS52uoO+FWXO - AAJOaaOYPeHnPT9LbgjzFWfJgLWOZK81Ls3z587Wk72eKx82BvMZZJM9mRwr5wbhmK3MqCCjoCRr - JoYhWG0BI5hhhU6CtJ8nrTXVB+lBTw0SKnuFufGZ6bGRUKqd7Qdb2+d6kc5mRNqNjjf2a7s1J+Xq - lWsjp+aKpQx0Pddr2yjtWr+70/zTv/rOe9/7dkmmxJwo7RyBWQgvitPeYDAYDKy1ghlERBCSCMJq - larU9ySsdVqTFMvLK9/4xm/uHu58/8c//MmPv/XzX3w0v3z19ZdvvHHz6gsXlkcXpxJQBBBSpVpQ - B07pDz/+2adPGwwvsFGgekr1NGstjXGi27b9LrIZDHqDOOrnAj/uHrb2Hoiknsmez4xNDIJix0DG - yDFEoEOpLQYh0nyKUGUDJbudgTeerwIgY0ycmCTVWgtPyBCGBFhCwSWQKTxn4CeunHLWBoEJnAM8 - pIFthKws+0/rauMnH/3o9u0wx54o6NizKiNdEsoIptWK4uPUrVTGjPQdJBTgXMbaPHRWOiO5xTID - 9oCABSyAhNEvZkw2MISUWIO1hU7TQX+QxpG02g9koVSqhMEJpQNYT2Qp9GEsID0amipbVje0au/v - N//qr7/1l99+37ExpFMTuzT1AOFsmgTNhpKiwi5oNDrtwWA8zBI5h1jDQWeEEfDgnKdhPWFD6IJq - c2KVcl0UB4B/ciC0ga6n9puHa4l1rjxnK0smM5oCTgGkWBhPGiBhO2DT94lDkW/U4sP9brNh/nf2 - 3qzJriw7D/vW2sMZ7pQ35wmZADIxA1UoVFUXq4tkk2oySNlsDqbClsI2ZYefFOFH/w8/+8F2SAqS - ijAdkiyJZpBis9nNYs9d3V0FFKoKQGLOeb7TOWfvtfxwbqKqLcl8od94AhEJxM2MvPfg7L3X+tY3 - dGdM4sZ+YQQ2qBtbRU1Ag9abdp0F8bcFP/5/XH8H3f4NlyhiCNbw2AuMxmguGGz83Nzsr/7qr0xM - Tj7aePzhR/cebjx5//33Hz1++r0f/PAXf+nv3Xzt9dXzi5YNVMtiRIqJVjZ1YSGZXp/PzJRTLk5K - nFbUN96wzlGcNtS9fGNpeiL3CbNh4zKyDSARY4UBhvMwgQgRiITgoQk5Lk01VG+bxtUGKKWjvjcV - McAJmSyqCzJ2BiEEQDRWMVQiEHCEC/UUN1blqOhHM/BTrZm5W9cuXDo/7zhCA6QiiVbEiUT1fbSo - tfTmrVszU5PEgKhGmIpsdEquYBdrh1ZikIJKoD9hR7kzQlk/NvvBViBvgkg1ODnRQclRJURRCFAC - giD1YRkEisqgsmA1sTwxcTdxmF3otFcuz7RnJ12WBx7JcGiGpQ+pyczINbndnJm8c+dO1m6NK90x - XxVKWiEQ1wIrJRUThKpIbEyWifdSq/IBQ+q5slpQWWo/TuUzjYurc6/fRDvN0DfFQdsHJS2qkTAP - uNOn1hvvfuXSlXlnw1Fv5+DosJTEuVkkk5G8ApYlsWJ0BBmCKsSyqgrrnVpbVkElQg1UooRCyjJA - YqRxwDCYElbEqIhwWoZYwVCr6w6UTgaV0Fj3SoIUlIO8RBKJ0BIoEAVRoELOcSN3rcSlprZGIhhj - EEtQgAmqwxDLsornzq9eWO8sn28Wo510ZLvUbiCNdNov9znVmK/Gxvk333qn2WiwOUXRGw5OK5WY - ZDbLjCGmAHgGqwLRgFNWG4qqCj0K/cSDHMqaEBbEIMBaBFUYMqb2KjNaJ7DVoyxCZAhV5ahvTYsD - GzUEUqRJ1ulOdSYwkaElmZUkEgcDi9TkJfi01Z5wLtEqhKrUqjQAwigW/WJwAgEzC7hUHgECOIK3 - rs68YFSQPsmpkcqqckVVQPCGW63JBbu2duVk6+mzRxsHB1v9QVoM7KMnL04G9s7t9YsLk15fXlqe - uHt68mD7ZK9CPB68fLHz6NFGY+LcuctLzZmUE0EZEdhU3hYNg+Ly2pXZt9+MNrGBXTC5FBPmuKUH - vWKwn0wVkyvrt95au3a5tqRvN5Mrq+0rK837x/s/+XRjY7fX6w8m5fRg46cvn70AT7eW355aucYp - GKXlU6/HaUSniUuv3bGr7803gy93k3BAmubJhEQ7EKqMNY3WysL0udUVYz2KExC6pA62QHZopnqE - BtdChEhxWA9moQIJEBWgOpPaQceiv9oqXFRUYVmbmVuYbl66uPTi+PDpy4PtvX7/1Prh0cHOU7bJ - 6rVb3XMXlw6L9aX53ubjFxufHvUP+v3jZwe9T7cGC4vXLl26tth0CZ8AlRhIniPviJuI3BHyICUU - UAN4BkAKDi3pm1gFNUNqRgaInBRWArHh9tTi/Mytq2vT7dxpkRl1WlZRSmVhH5OJHhoLl16/dO1G - u9MEKqDIqWpQaRUwrnLtHuCBFA6k0BIYtm1wjAATTKpsUYuS6n3n1ST28+aSWWEUrAo2mvgBYQgQ - kLI6y4gWJBkw4TiXkkJhDItxQSNOjx5975t//OMHf/1k/6P9gg52LrSymamZuczS4OioPNopo7Ct - TFYBAeOxhEqRmlGniSRL1I1fgtZ2DgIESAUJpNG8Ev1FLaowLMqE4pgTogIEQzFLbZo7SprkrAJS - DSCj04PhxqPjv/jmt7/30Tc/fvLDiamsk7QSce2ZLGmj2j3t6Ygcm6wdbFYALoSE1HlLlmENWTbW - 1GNrNoCMy6Iz8ScxGxiDcsjlADEou2DyAvBaU0JABBFBOZCiZ0LPE4w16jMxjFjJ6DSWw1HkoWmj - ybBADEAEOSIjUhiqGrZIpcdxCLFBUMKDclhZmF969871zx58dLq/+eizzxbPXdJedf/hi2jy2fmF - c0uz1y8vf/D45K/ff7S9c7q3s4tJt/n43r379wZwNL3anl32BhTgpEw84OFTrF+60F3+UqM7V8IV - ahPd72anme7qKDVVNzcLjanutUtXTZKhPAXQQKVVNRR/bCdHOYIZy1OAEloyWUdJJDsuHjVCAkCV - skhMvF9YXv6N3/yNufVr1+8++N53P9rb3Hx/6xtbG5sz984v/fLlK+9euuI6GSoY9VY7aR5OB+Wo - 6hdS5WwJQUAlPAX4kuJJWQ5Oh1xybpI8joX50csojz2jFSXZyHfqujkDQOQcJEKrsuGrlj3mCOtI - fSMAiGSIG40c3lXeIEtgxmZeBAZcnqVUxNzElmMDqsTD5ERK6GnsGdYka5HJyDgel7QKImusYQOB - ARkiBizDWZtnCUHazeTqa6+5mdWJRpo5shpq+4A6g02iMIxzNs/SN26/0chzY0ye56sr537nd377 - /IWVDz744KO7d3e2tw4OD7e2d27eeu32G2996Z135+ZnFKgiFLC18RHORNd18OPB3mcf/fBf/em3 - Pnh69PiEnEEna7Qy8TE78diTwUG/YJ9pY+qwwqmgIcFbTamyVd9JYDbqmqVBBNjBRAdRhFESei4W - jtGYml68cHXt+m0h05JBhwtfnYYYRdRYH22jRPrOL35l6dwCGwhijSeM3yTZMUmGgGrY7/cL4cI0 - o2tG8kSwlqMoWBwF0MjzIHGl9yitZRWqhihOikF/iGSUTp/4TmkMo+RQUWwgArZWthhqJLlPOrBD - GVLBQbKKUlhp5Hzt6rmVT3Z/9Ojh48dbWy+ztQm3u/V4/+Qwm56bOHfp0sK6vTM8+vDh6f7ek+fP - mhdn46D/gw8/PjgdtqcWLl5e70yljCGb0KDKkVZVbE0tXr14bn6600woITiJVqPTodGhxbDH88Pk - wtV3fz1rkXMJCimHJeDVNcWkwmbsnqo81jNTZXngXGlZm43OlWs3F863O9MmxqhFiip3YIuepYFJ - 0jKdyWfX5+fmU2stc9NaJu5XVeU8Wc/KWokgghWGA6g/KoOydz7NEy16owEoh7GsamXsFwMCVCKq - EVBw1Y9VKcaNOK/UeOYmi5BVpUKNEyuRImAtiyVnozNwKCWcDgYnA0Hgxql4sZkzQAWyMIQoheUR - 0JcysMTcpBlF9o3KpyVb6KDp5fbViz/c5h8clU82j06PeSbvHTz9uBj05lYvdRbWWu3Rlcvnf/C9 - 77zcefpsd6s71dgJu95EAAAgAElEQVQ7Gt6/+6zBUysrF9dWZ6lVr4uoYIF3vlUNUhEXzrwDAhAg - QAUqJ03ZcpbgRtKsIqQCqIK1lus8KUSpM75gGOas2K9rkBoNHfvGCqCwbKxxCg6CUgHAgDwYSlAi - IQvHxguDTE3HLTxiFWlYwSeZAZhCqCpwNM4zBEZh1UmIUSCuMA3YM/2HNdlE61d//aud6fmNJ9s/ - +uD+wycv/vzrX//4wcbl71x+9xfevXX71oVzC684Wp8LcuqAsrrLo0AGkTkYD5cQsyOBgRAiAFGP - mFOZUsjSRmN+5fbb70wnVSMel6Gqkokq6YiKLQ6TcJrm+eK1ty9fv5E1cy7YgBCVXOrbUyP2AfAE - RxG12xUzCKkno0PRIvXWECkEkLyZ5s1mUUWjFAUiUVExvPcNVAbVCAgqQ5HScm45YYAoKgoosXXO - tXzSVDIyZq5FUCDyLNbGRkJNRqpkX7lHgARmZPgoxP0y9FySXrvy2spUe7aZZKkL3owYZTUyoXRa - kUnLdDabWb+0erHlLIoYeieDYRHJn5AdoRYu9pWdzSciWUgPo1EzFonGcjQMYKpPEOUajKkAXz+t - irEWUiFiYjHgEgyYBMgsGBFATc4GAbEYEUwDrhuRqFSssPXHqaFby5xykvvMx5SAEazAmwgV6xpp - Pp/G/uL5S+deu2UbmdVAoZAQiJ2xCbMDGWP9+QsXL1++SgTnEMmqyJlxubIhVoCho9HzZ8+//53v - /eSDnzz8bONw/zhL8+npKUkjZzTUeDA81SObeu/SDI4j2NVLiU0UBGFVYsAwHJuKQgQZQ3DcREWj - UVXRULJKrMOIyoP+wYtRWVa+rc1p8amMbyFDTW1KJSBAPWuD1UkAbEm2pETgwFlnYm59deXq+tLD - FzvPnz589vz58vJ8OOp9+vHDve2DrDV55xffay3NsFEUJQZDVBEuQ2tuZqHxlRvnZtwIxFF07LcM - ltpfJcqXf/7nl5eXvfeikKjO0Jl/IYENWQcm22yurC797u/+/UvX5j95ePCDH29u7h7/yR9//fm9 - n3566+LPffXnF167ls7NO5goA632LKE13Z5euzI5sTCToMNVrPojKksTjcusNow0jXXvvvNWo5E5 - GxIODVumpmQjwbqRoWCQ+DqjMAKFwSCh2IQbjEAF0jRXhwCxiMRChsAEY4mt1uJhFqAADYBYRhrF - rFRfMZdcRcBhaKuTOOqVQSWbmprr3H79wtxcZtHQsqlVy+rA49jhcGjMEWdTl25euHK13e5CgaAu - hkRKo1UkGtpkWCOwtRsyArhKXXAmElWqlVJQBEGsKh0ONJQuBiNBMP5uEGKEs2QRIVRFNySqoKdV - cQQZZhmWllfbs1daE1nSsBEFypEJVUZgmgxlq5EtzV2Yvbh2OW3kAhAqoAAs1WAYIRguOXGx9Bqb - cZgC1qbRtQuMX7coQH0bD0b9vdPR6Di2hqZVch6pFgAb5googQIYIg4tUeZbSu00mUi8iRGjCsqF - M9GA9UwycbZ71wtbPyfn/P98/R10+zdcIlKWJSfOMrMZG83C2lpWRknyxht31i9dfv5i86/++jvf - ev/9jz/57MMPP/zgpx/tHxwJaGl50RsGGVFWwszs3NvvvL1648vrs5NzmbVhUKJXyqnPvaM5yKSE - RnOCut2m5ZLJeJcyO1VAIiEynHUgdWf+zFAiIg5RYlmmqfcegggl5sT7zDARRWg9dh673NQjgtr3 - SQWQGnwZ+x6HGETEJ355eemrX/3qV3/xbZLSsBoSksBVoBhEfR+tyk2dX784OQnCqL5RQYJoBGCY - zFi5TGCGMkBsnbGGoCpBJRLAMIbN2FZcJYRCfuapZ9QfGkZrwwCFqArUeVy9sn7tva/cXL85m3Za - 8CXKPkZVEhu+iT5yNBrT3XyhSwmo1tKqwtQmVyRQc2ZlpIqoUsVIxN57w1xrm1XqIFRHZKNIGYrZ - mfy1O6//yn/9j2y3mWOI4X7TBSUpqiGMHZpOn9ozSxfWp0vC4bBf9U9PRQprS+dqswYyIGM9Qqhj - gwUiUGMtqQkhSA2E1VWbUAiARtIKgAMsW5KoKhKN1RhCBJtmq7WvUhRDpldWUzyuDZip/hg6ts6K - EICst8Yaa40dl0ZEY7YvQExkVKExXr929au/duetd9b7/U3XpwlqT9imcP+g9zJpWm2eL9PlzsJ0 - IwXgVCBRUNsmMltrUVuLKKR2XyaKhCCxrIoolT0LtlYB6Vjhqqp1Eyn1T5mzURZqC3kD5hhDUNVE - iQwbBwKYmaiOfCRG/cSJQklBDOtMbYFZlaGsYghah2YKFEx1RRmCxKBweqa11xgJJLDD0hQhE7Y2 - seQKBQwkRTo74V6/svbio617T5/tbm7t7EzYUfZk59iYfPnqzXx6phOm169cvP/Dl/3tF6dbw+pw - 88XL7c3NnavrX7p2+XIjBdVEQeLIEBMZ9OZbb3zlH/4jTfIENhGTadG1gxafHPVODv3kqLPYmlle - auVj37Ysb88trV250X3+4cbzp9vPHu+vdyab4bMnz19sbZlOa2Vpfmlh0tW3AwQyAnQ65ud/4b3V - d/7B+kKO/sskHlnKm1lXxQ0EIyXKG5OtdHlx2tmIgcBathZQjYFiyTXQX+8iomfPjAF5oXGM8Cuj - NIzzHUmBsdstAd63J7qX1i998ODuxu7u7vaLnd0yGZ7uHvdda/rilcuT01MrC7Ovr53/9jcf7T1/ - sre9dTQ8frl/sH3ae/1LFy5evpymCTRCYerwXGM0S8vERyZSSTRqvQCo9pH1xCbEGGMgCI9PWmbD - zNyd6Fy7evVr//mvrZ9fyow0PTktixD7RRRyyKf63PDdpSsrvsWA9qBEbJiNKJjGnufjXquO5lAY - 69kYAkwdB4bPx30423/PrlcPt9b+1JaJUDuCgpnBDiyoiiLEURVHURJQkuTO2KooDrZf/sU3/uyf - /5tvPh6lZvnmG+fP3Vk/t9KwTZTxdP/ud//q0+8/LH1q4D//NfWmQ856OOst0xfeBY09L3Xss1dz - E6g2+AwhhhBYDNWxCTWEYKz1zhqybA0YiDFC5PnzF3/277/9L/6Pf/18/7Ppc431tVtrMxeSwk9k - ne3hdvt+u/+T7xtjsjQ1DFHEGEFsrLXWsGHmcZAanS36MxrVGFmq7f3QOw0Sud7iRAXjwNlajw5Q - VcXBsBqWUQl5ljezBHUaFBtmQxJNLFMLU9ObmMHMXBu8GLArI0SVmKwhqmEsNtPT06/fut79d8nm - 0e7DT+7dfvPL1Unv3sMXlE1NL57vTE5dWDl3cXkfNox2Hm8+68SVlfvP9x483Uzz6ZmpycmJ3DIQ - oKrOeWspy/XNN9967d3fXlm/3iu1VJvx0VQ+yGlPRimKVopZ327NnJ9jDwwijCVrg8QQKmj05sxY - 5hVn6yzJ+wvVJCAqJDFGGKJW+/V33l29fufWO7uzU392/7sfbd9//u3v/nncmVjce+2/7P7DlZtN - QxZUgILxkRBijMN+MF3P9QQNgBRQEyULlQmjigHv6xPnTMwdSQVMY9NXBaIaElgLAWJRVpUWMRGg - kTcbmQMArRhIk8SyUWJnbQ1fmLF1kmHfRtWvAoSMseQ8O0fMUGUVNoatY0Eg0hothQpEyRiqO2ka - M/KYYK1LkkxBdRbZwpU789MTKSN1VE/ZVYGIGMQa66wxhpcWF3yW1vteq9V67733Lq5duHHz5te/ - /vWP7t59/PjpX33rW/c+vv9o48ns3MJEdzJNjdYL+WzxA6QASYSEjY2Nb/zlN//pP/tng3S2u/bG - nXfePtfhaV/lXB3sbH5278NHB99lJmdNDAgVhAU+YTZViCGCQM7aeiZkAWMwXic0fozn5+e/9PZb - v/a1f2CTbMKUXVvZ8qQoqqIoXZLCtwLn04ur0zMNa4EacIhCxOP0ljPH6BDCcDgU0XozqNPXjEEI - ojHCEoiHyoNRYEGLbcunTAZKhdYVXwzFQKtAUATRGGjcbmgAEzOsBROBjbc2cUqAqvH+6vr6haUt - ru69ePTg+TOPyxcebx7sH4e5+fX52fOr55fad3b+6t8W24eHzx4/WLl+uTg9/cmHnwyDWVs8t7C4 - 2GyAQCDrXe5dKkFWl5e++tVffuPmlW4r8UAC8oDRQSyOjQ6Gbr5qrPnZxSwDkQVxiGeGuK8U7a+M - f9iAmYiNccTc6XTee++9t7589dzaZFmWOkoRMkeG4glLL2u1hrZb+qm5uUlrC1ibpKkxpqp6EoOq - qIqqKtVAGTOzKkBs2FjrNMZQBwSzNTDhC/rMKOJiBOs4RZ5IJcaqGtsd1iMChSqiSIgSRZx33lkV - CJRgvEssEUJZjgaGhe149EpgjfVhSVUZQwxCYjynmc+zxIAhCpdev3Hj3KfDH7zc3n36YHt3ZXUp - fLK13xN3Yf31ye5C0ui/dmXl3g/+/fHO4ycPPwYu7B32Pnu6/8by1XNrl2fnW30GoSQolBlwTIai - QipBABNBVKnOsDWUWPbOCugVPJDw2FTIUhQ1lSCMp6T/ieyWL5y8NSFAJMQYRM7kMCoQjRJBamh8 - sNjPo2BIFSIqUY0hZlPFAgrrCLWnpvNCFGNEgCGpo/9QO5CQf+PNL69ffmPjyYvZ+W/95V9/9/Gz - rR/+6Dsf/PSHhyfH1mcLcwuJ/4LIlgKoRhAqkEINkBB5KDHUMjGDmOjMwVdFwDDGGuuardbC2tpv - /ubX1mcbE3Y0LIqYTlTJRAilGR0l0mu3O6a7aLpLjQxgtj4FW6OcWGKtxjeKGaIhVJbGbSRBVWGs - h/EKBtj7JE2sSiFxAKl4TAJBmtRJWxW0iKjAxNYxexBEjRCpCrEaJ9Z+vjcSmMFCFCXGGBQKUjqL - TRzX/8QgA3AMkuf5e1/+8ts3Lq8vzjRb2QjSl6AQI6XVYFxWuCnJZs8tT8AWIDMqQ1WV0FgNeuVw - VG95DG6wZYydktU4ZQ5VAUTzH3DmvjB4//xkFUUUiMCwccbYugyUMeVOoVWIVHvvqIqI1i8JXu0k - 1njnEucCEYoiqFhjDCKsdWmWJZXcvHXzV373d7vzswkrqlEoSzbe+4zYKRhkskazOzkJhTVgQowG - VC9wMWwYCtV+v//JJ5/84R/+4WeffFYV8eKFS9du3FhYXwiuLLjsPSu+W/3oYKPnnHXOnjG5idk6 - 61SjhFJiOLNjrY0FQOTgs1LN7sFRWYwcSZo4dgJywyqqCGvgUJDG2pNtvB8ZVsXYopQtjA9KRCZJ - vHeWDMAJWhPnV5ffvLn+/NmT3eePHn3ycXeifXxw/IO7n2ydDNuXp7701luzE7kiEifgVECGgpnM - f+72jf/uv/qNqSTU4Qm1mXIQFSWFhiiLS0uLi4veUYyIVWXYE9PY/psY1tZ2wq7R+Mov/dLN29c2 - nvXm/uwn73/vyeOPP3r//fdf3P/h1un+r7eyy3MzKUz9ucBYXV298wu/cP3q7eVOOuUUMhxoUZro - 06ZFkyUXwfRCq9HIGAM2xnnPRBAgilE4IHGw1bjiRm39wlygiiKNZrNGJq0y28Q7D6jTYDTSq9K9 - Lrzq5kQVMZJEkghAwUG5CqKi7UZ+6eKF3/rNr129toSQWu1S7BgZGNk3ejgy5pgymlq4uHqhbRhn - li2iCAJV5VerQMdYAchonZ9EZ60EyLAFU5SoUNUYqqLuzVVFSVQFgSGojz4YUiFRgLjdbty+ffvq - 619ZubDUmMiUK65KlKPcGstTxSDLs4XmTN6eJ07GgMYYFOAzghfVY35SsBIT4A0SijxesLXdkBPy - ZJxUVRz2qBqRBKJxB0D43FNeQKpKhDTPms1GlkOAGKAcnXl1Dv/Hj5m6dqX/6Lf87V1/B93+DZeq - hlCJszBknIMIVFA32RIlChvTbndWrZ+amf3FX/rlx0+f/dG//Nf/8l/9X//3n/zJ9Ozcz/3cu6ad - WusazQ4YE93u7duvXXnz7dVunoWQURAeldpnS6STpKkK2MEyFBpCGA6L4TCUVSUxMiKP/fIBU9cV - EmIMMUYoCEnqLSFIBSLn8kajzUQhjKpqaJjOUgjqJgKtVqvV7oCgKqxSW+YDlCRZmqZ5lrZbrXPn - lq9evSbVIHGceMMSjYhVieqH3B4xs4cbB2ppFIkSo0RA60CEz3GNKCjKUVFVVRCNzrL3FoCFazSb - C0uLhxu76phYCMogB0Q4oBwOykwIuXcMBiRKludJ4olHFy6uvPXW63euv5mUnEerlgZUFCZ68rEX - ffRJh4oUAyACoYomjkPSDQw+p7iP16BIrM3zVUQYIgghAkFUmB0bq4hVHE1Odb787u2hhY+VD72E - CmIlo0Lcp9ZpzDmjBhXAaZY08iwjVBJ7MQ6YtQoxVKVNUh7bNVbGmUazURZVDOJcIjFCKhC8T73P - rIG3xrHUYQgiUgxH3rWcA0WjQIixKCuX2SzxKmdpt8aMRsVpr8/WGmtrjMnAMEyIhagQYVQOQ6jq - rlihKkLGgA0CjHVJkmaN/Ny5pcuXLl26tGZ4ISt9MrJpdGqHalajKXs0c6JNZPUKoSTJGlnDGSuh - KkYjriutEIugsN4woSrLKMa5hBJjUIxQeoipDeAdNEKVrLNs5Sx0oqYC21C3pxZsAUrStEmO0VeQ - kiGGRCnLoioRsvHTRiBTF5sSX2WvoBaoMzFgnM8bjcnpaee5FCmLwhCSMxu5KFoNBg0nom5YcBkz - 9nlnutPsuiSFgRqg4d2dW1cefP/Tuw/6G589yOdsbqaPhrowubBy/TXbbjfjxOu3b71//6DY3378 - 8d3m8MXO7v6olIsXLl6/csURNKqCyXmyzAlnjXR2dmb94lp7eiplOIEP2kDP4HQVcuomjl1zpMhe - dSBskDauv/bG+uPhjx788NGn9z5ZSBYuTt37bGP/4OjatTeuXVlbmnsFxFGtQnc+mZmZOb+6cu1i - nul8Eo80WEhiOSuJ9/sjytJuE97CwsAnKAchxhgriVWNrdgxFiywBsJQhjLIMxPDmjEnoV7yEdbU - FC0a9xoEhffZ2vql2amtT5/tPH/88H7jICkf7R71ZxfaK+trjVa+PDfz5VvXH3z3m4P9/Y1Hj/ZH - p8+2d6oQJpaXF5aWxx75EEjoHZ8e9fqlNUNnA4EkWlUwqahSXQhxVIoxSoxay+ljAME6pyKGXafT - vnHzxq2r605Lj+BQRqWgpgIPNC29q+qtOAISoRJirGKsAqqqiiFYuLFNbBWoLFEFjIHHehgl9ZM8 - jhP4nFAzPlhq0JagpCoSq7IwjIRrp8KaSqTSH+7sHT59sdkbFQ2fpmlm2fR7px9//NHdez8+Ojr4 - 6n/2e29/7R//2ts3p03ZjqdJGLgw+Lc2/vGHL0zaZPjhEIlDZkFGQVRUenyEqqqg45MEzECsOSU0 - DsFkYlNPrhLvsixNs8zEQolEwDFCNSpO+/3TU3LDPgSWkPgknBzcvfvxH/3R/3l03Pvlv/fL/+P/ - 9D/MzLWnXDepfKL+4e7D9vfbD7ae9SqRWOPvSJMUQxkOCwFEYlWVo9EwxDOnlPHKrbdpEYmm7gFC - IGMareZOiMWoiAJr4Hh8h4nY+qQMMhiFEJHneTNLi2E/N7bdnpjoTg7JaTnsHaJqAs7Wk0slWDYI - 0huUwzICnKdJu5mnWQYAgixLz83PtlP7YHP/03s/2dl6Mdjb/fEnG2/eWJtbWaMkn5udXl2cnmy7 - 082Hn96ljRX3eKc3iObKtRuXLl6cmYQRYISyLKsqhKjWYmZ29uLa2rVb66WiBGwMKfYaPOmk1T8w - TqeyCS8ZKgOkGcqTCKqLYpKognoAVIVga1dgkSKWBWyenFXYbEBsyDib1snRADXy1vpa95/8k7Xe - b+2+vPfwn/7z3//Tz+5+80//6uY77742O3d+riuxHBanhyc7SdJqddpQB6mBQdgEiIpITO3Et43t - OWZm2NrEvYxahVgiVGE47IcwVnxXkcJo6Ng7Y4ylzZ39vYORS9DpTjUbaYgAKUGGg0GoKqmkHI7K - ohVTWIcQo0ISNnFQ7B+eHp302HYn6pgLJoJzLlHR0agfjQ2hIKDSMhSlLas4ZpRDVVRjDWaFEHr9 - fhRtNlsrKyvXX3vt/PK8rdshgIDRaCRBG1lDRaHqrLHOQBSkZVlUVdloZHOzc53OxI0bN/f29x88 - fPS//m//+49//NNvfOMb7375vbn5+QsXznmPKAgBjscnEDFrJcXp6bfef/8vv/nNGONv//Zvf+V3 - fu/a5fVJVzT1NNFi6/mTb89M/cl3PhoOBv3T40aOLAFHAyVRioKqQhR5NRn6wkXGOmYTAxLnFxcW - vvSl18igoWjoKIkDFagiiJZIAmecmjqxBiCBFEWhNnGOx5tkLGCjtSbLM+9dqTIcDWMMEgGBMXyW - hqv7veGT55u9w97ULBa70+1mgnjanJw03qIcHB/tjfo9iuqtB9szirgOEcuiPOr1hkXRzFvd6cnO - ZDNCQqgs87mFpaXZGa/x2YNPHz1Ij760cP/Ry8OTcOXmW5OTS612ni7nre5gtLn96Uc/Xb36Wjk8 - ffDw+fLS/OVrt9I0s7WpQBFjYCaXJulUd2J1ZfnWreudhkMZMrYJGccFdIDy6CC0Y2uxb9AfoJVG - dkmj1RbVsiiqqpT64KDPcSaARBCjxCjeZysr5y5dunT+0kxZllZbnjpGYbTP0qtUR65b+dQboBKt - qhoHZ+ayKEajYVW1vHVs6oBF+CSZnpm1xg6GQzk4yNNsqgMCNMRKwd59/p9dn6dRjPNpnhtzrBKq - qiyrakQalVyaWQvnvVpbVtXxyWAh8d65Xg8jU+RZ88rlK+1mE9v7JBU0gAEPKETgXKJaipJzSVGV - x73DYaXGIs9TBsFYtNrr65dXF59l33/69JOffrLanzT+3svtkFy+dfvL7cZEp+HfvrX2F23d3Xv2 - 4x98O2rY2t7f7pXt+UuLq+s12xMoACV2BCqLQSxdjDESBx4P+0299Yeo5agYjaKokFWGcQBZkCCW - iCpIwB6mDl41f2PLHGIoy5EYF2NFgDFngG+oogQlJQZIa7tqrQPfoNbalE0VBKKJ5SRNlL4AExOJ - qtTBORpRlz8iqOtqk7ba6YUL7r/5vcWv/Re/9fj55u//iz/6N//uz/783399ampp/cKV1dXZLHlV - FVTgCojQABWQAVKoq4sQJoVILf1WkAhCqCiKAGDyzk9NTd64fuPSfHPCFlGlp0lPU2bKaZTrEEyl - bxeWLRCgZKz1SexXJ0f7ViMDo1FMrYLZOg+WOtPaMgdBrz8MUlun89Hx6dHxYZIYIjGsqU8Ipqrz - oSxAjDLYlPNWLkOIGjZQZQE0xqoqRkVvOOqpxrPJbM295RBjUZW2HIlEVY1RlXkMF1ZRBK1mJ/FJ - 4v3i4sL169evX1gWKUeQkuESZ7SiWLJNh9zuCWmEliUZa1zqrWdGHPbL4VABiRASx6AaSTUukpE6 - iJDiK/4QfeHP/+syxrQ7E2kN6MRYZ6uOMdnxfmF9QlWMo7LwUvNyzirAs/49Rh2NirIsJVSvRmZg - LqvypNfrDwaTU1O3XrvZmOhkjh1EYwRZIluUMURVMlmWGosQxvi3d0ZFQgwqZWINAKmqw8OjZ8+e - 3b17d35u4eff+8o//m//+253CrnGJBYoXt7d2f/s5N7egyqG4WBQVUACQImtTxImgkaRACAUOBod - 5lOJYVIIKR0PimcvNlU73VY+MzVp0kNkrZmFpWbzqez0Xj55NOytEWbGb84wgKoqhzGSpxi1jFoE - sc532q1Go2EsNDLBLM7Pvnvn+re/9Y3D7Wc//sH31y5f3dza/+b3fnRc6YW5xUtra3kGUTKcIO2w - T7QczuVmbXn2xpVLHS9KnKQpiOtsciVSJQWstc6CCZVICEG9M4aJLCSMJ/8ikAgNxvmpyWmTzP/e - wutf/XVsbTz4g//lf777na///h/8wcKtaws3riQtkyRpljdFsbC4eOfO7Tuv35l0yGNlORZcFRTI - Jqw5K6DgpC4hpazK4WA0GmmjrBDljCoEw6hxQwHqLiNCyHCWo2awJ2SStJGnGUvUcihV6cbpeePY - OxjX7XSbecYqFKOBWkBAhn2SNbI0beVxcqK9duHC1SsXYukzO1ObMTr0LY4LY05to++bDWAcF8BU - b2tVBYmxTpOsYVhEASuC9vujKkRrrTFj/NYnSavVbE90snzPOkM0jq4BMYNDjFVvlPvcOO8MlRgS - uNVsG+uy3F+/cf2tt99eubgcqSQbnQpVpYdAOoOe85ZdG9GPNdmKYGvMg6luO41BBKAk9aQY0Kqg - aqgC4do0xxo4n7VXVi502htmt0Q1hFRQhFCH2jEERDUjzBRldXJ6MpPPGsvMIANyMCYjlGfbw394 - 0edf9D/xLX9L199Bt3/DxcxJko6HM3rGXK0CMYENE0GJCHmjkeaNdndycnrm2YvNzx48+uCnH+7v - 7+3t7bXT2bzRml885zydnPaeP3969a3YyJEEm0QjhhJrFQpNa89PmHrcEbMkv3z52ve60/ce7H7w - ox/M3/w5WrkCnGEAGvv93t7+wfHJaYBmzp2cHg2Kc+2EUSmRb+Qta00x6u3ubFZlMV5xdX8b46OH - jx492rAWlplUpNay+WRicrLd6RjDW1ubw8Egy1KTWUI0JI4dA1BltaIcFGygQJCQEFtnrXNsjbU2 - 8a7uHMtYulp6Y109RmdCkljvnahEkiRJVlZXn/zk0fag9/Ll84XTw6n5yQBEBAES51FFVFoxiYFz - bmZ2rt3thnCyvbN9enLUyhLnYEqAkbvEGViAyNoKgWpSaz3grBOO6zOZCCSIIuKJjLEu8Vy7ONaz - oHGvRcTWUpplrampqcXl+d7J6e7e5vFxL5lq5pnL0LZaAFERlFkpJ1AADBzArebk9NSR99jdffzw - Yb63v523u7n1RAZMYIsq7u7tbGxsjEZFTYsirkMXkGVZnrecw6B32jvejxWigUKddWfgO5/2env7 - h8cnp8FV1oiAVNcAACAASURBVHKaQAWllgmDjTXWERlmMkw8DkoybDwzR42WxmF79UZM/Gqixp1O - t9VqVWW5tbW5t7eb+GsJIXepcRYDIaooSdjZnHMBijOqbzNvTXanDJutl5v373389pWLk1kbxhgy - ykBUnJzuHx+fDvrRdgzDWpg6m0PrSZbAmFCWgdSmjhimhmp0HIAOYighRDhLoIAQgkSppd78BWYT - fuZvxGDDxtbRw9AoURRQ1UazdfnKte986xsvj0/u3//43Btf4aVZPqMcee/BYVTKk+f7+0fRJs3V - tdXp+SYzgoyUY2rt2vLcylw7JXnyaENa1MxPh+ryuXMzFy6ZpmlQ99r1y5Ot7+4d7j6++6EfbT9/ - uW2cm5+bX5ybNzUr0ySgsj050ZnpvDg9GRVDqao8QW5gFV7JBI9gASQ+axgQ4OJZ5DoZJI3Vtaur - qy9hfvj0wf2fdmlRLz7e3FHj3rxze3F2MhkDqZSk2dLySqfLcRsvX75cGw2yNM/hUzQRnIojAQET - NtUEiQUDKkoSUVWisNYm3ngek+o+52rWIxl2gAlaF98QRK1V68bU9QjGpC0gKsBZ3ly7uD4/d5/i - 06ePPm1zK8f2aRFX2xNzi4tp5prd9q211dnMDY/2P/344+2y/3xnF0k2tXRuZn4BqA37FUQSpRJF - kmiecuIZAgkgS6QxElcViImtsdZZ6ywzIxTBOt+d6Ha73c3N/u72Tu/kVEXyRkZSoVKtQpKmiUkY - KBkDQMeB0gxFFFVQmoKJNIZXd4PYwFgYx2yY2DCZVxDuF6DbVzv3+F/06oHV/unJZ5/eX7n+JZ2b - GPMb2ACminrSH7zc2VXjJmdmW6124kxZjB49+mxr+7mz/MbtN16/eXt5waVllkcCUhTep74KAUoG - zpwhRyBh68C+EogoVBjg+omvTzGchW8bm6Spd55UTk+Oy2LENXkEZ9unsdZ5NpbNmCxc/4bBYLi3 - v/di8+XkzMKF8xeuX7vuEmloDsnQD41Bc2pqOkmS01LrIp2BKMIgY61zzjprrDE87on1FWF4fJeI - maUoeTSEMcZaET0+PtrZ3tnZriamnBoUlRhDtRvgs5dbT55vRsFktzs/M50lvmVbncmpVqv9dG94 - uP3icHtzNDWPiVqAUW+CVA1Hmzv7LzZ3QoizM9PT3U6eJUJg42yWzU53VxZmP305ePn40aNPP+kd - 7z/bPfn56XOzyxdh/eRE+9xM99xkozp4vvFZ2Li1+PxwFDm9cu3G4tysq2emjCzLFxeXOxPdEA73 - dvdHw2GWwAEecLAJmomOKPhWp8HikYIZCkAEIQaFT9IsSxNnUZekAvf5HSJjjHvFQlCpKVRRQkRI - jIAJxM75Zpq3W36+0VhoJA+evPkwjjY//mz3ZX9v+2Q0lzsNeTNZXp3fedLrD3qHh72s3cpaSBgw - Q1QFBsX2Tn9394Thy+Hw9GQoM5kSSEIMYtk7docHB7u7mwcjpClSNs55S2CEohhuPH7+5MVRUCRJ - lmfOGiDGWFWjwYAU5bDYePTo0swETzpiaNQoBOaDo/6L7b3j00Fz4tzi4qwxENWaJS0qIRRJTs4S - FMzExsDUwzgi+pzKDUKWZYtLy0ma7fV6jzc2Lt0pGykzvD1bmt55FXXGQqBQM5a0KAjW2nFhz5Rx - ljebE91us9V+50vv7B8c3r338cuXL/f39y9cOIczBtsZbku1tkhVt7a2Xr7cBOj8+fM3b9yY7Lqm - pGkA1E9MdDsTXes8U02yq81NtUZmfZqBwMTWmrF0eUxHV4gkjWa3OznRbQ4Gg4P9vdEgtCdsbpBo - wqORElGSWiWvvmKIAYCgYBIDY50zdEbg5jq1iUOIo9EohHB8fPL82bPRcHj2oeoCguKw3Dvq7+wd - FiPNrJ3qdn2mkOb0/EKzkaMcHe1snR4dFUWZJhmgiFRnSFUiz55vbu3tlypzC7PdmSmXAY6NMGCz - VntpZvr8/PTwaO/xxsb9BysPnx+WMn3lyp1Oq5tmmJhLllaS5hPZ+Oz+o/uPFNXB8fDWG8sXL11t - NBrj+aLxE52Z2Zl5Bh8dHGxtviTSvJHalLwy1ZplBhJpa2fkURE8g5gRqSgDgaxlZ89aUmB8uwkA - +SSbnZ3P88bWznBra6vX6xkz32w0HZpGSEo4TmDgVIlSMAwBTOTc1MxMZ6IjG4fPnz/b3Ny8sjQF - JiiqUBlr+6e9jfuf7O7tV2XlmZ0x9QCrzjgg4ursgWQ2sBbBNFrtqalpoq2jg/0XL55fXZm1jZT0 - jB2lur29vX9wqMCgPyjLUZrAkofP8lzaWY7Rs0/v/nRr6/mguJpnAGBgoIbgYqn7O3sHR0fqaP3S - 2rmVpUaalLEXYzBJNjU7NzfZadu49/TBvftFatsv+tXs3PzapdeyBG2TXb0wtzyd7G/tbXx612X5 - 0dPeEMnkuSvzKxdA8Cjs2IKW61w/Z2CdJWPDmXHtmRZMGFKT5WHcOEscQIwgAaklFVNzuWoQ9gtQ - 2/jrWQ9NAGCYrDXWGmOI+WcKxqyRdrrtwd5JjLGqxqxbBcqyUoJx1hqjMZRV5QzD1FhtYBEoyDrv - HRIaTxDHnFgex1YZtNrNlsHENDW7ndc+vnb33qefPnhxeHA6HFQSgGQskQDOLI4oAAQhESuRocQE - a5io1sXUE3EYY9jZJMu6k1N7R/Hk+DiEyhhjsswQNdQDYEameSJQlch1iBaSJGm2OzZJB7vHm8+e - HO1tlatTLWdAAiIwYjna3tr+6U9+cnxUpE0m45SsABHabLWmpyc77Wxn+3B/d0uqYABDHCOsKmLR - 33m5ubN5dHIUJGOTWAtVozBslQ3AQVF5y85aHldJZK211rJlEIwZ6zPHRCNiGJvnrZWV1U7n/uNn - J8+fPuvfvuHyDOoMqWdYZxmBpFSyQiQKqkDRgs3kzNzExISWxYvHjzafrcd3bhh2hoxCSQIGI+zu - 7R2dDkYjssxGx0eF/mw/8bMXMadZI0kNUeyd9kaDPqNV25qLopDSsRib1nNw5521hn+GEU4gVhrL - Zuqx6KudZnJqeml5+fiTz/r9fq/X687N5Kl3EFKtRYzO46z9GSttygCJAssEIYIxlhhQsDEvXrx4 - +ODh4fHxG2/cuXXr1sW1NXKZmJEmOsLwpDUiwyCwYe+9HTMKTVnGXm/ARL3e8bOnG6PXz9k25ZTV - 1BoFjw6OX+wcHJz0ss7sTLfdaaVgh6y1fH7t/2Hvvb7jytJ7sW+nEyrngEIVMkASYE5Ndg7SpDsj - a0bh+srLukt+8x/iFz/YWgq+chiNRmukmenp6UmdA5tkkyBBEiRyzjkUULlO2MEPp8Dua/nqyddP - 2i9c4CJRtU7Y+/t+3y8E/GNQL++sLVZKl2yZc52GnxiUGACIEMoIxhh2Do8WVtardSsS7ejt6QoH - ESEgpUY4joVDZ/sLHZng5E5pYvTJuSs3dtc2FjZ2Ozu6+04PRgIYIRBSEaRBKBGOxqJ+3S3tVPa3 - dIYCgYDH2cYtvA08MhDnHrMSAIASjHUN4xOpGUIgFEgBuFV1g3IJoaFgSPeHfCHoSF1dfHqttrv8 - aHK0eFgsHZdjwUgkEo0nUgjB0dHR4cGBYYDfAOoyAGJQxqiSiIIEJABhUAQEgJAiEon29Q+Y5q29 - 3b3RR4+7zlwnEfPkGVMCZKVUKRZL1VoNwKcQHBQbus8HmIArMTNNw6Sg7MpR5egAJEgFQnmpe4TX - K9NTUwc7OwajDCEshQIAwLoZjMWSoVBIbu7tbG5Iwf0+PzJMJCiWoBNA2A+I65jYoDsABIBzIK7H - XaaEUUCAEKInaXcnhAACiAKiGBGCCaMUISRBAmB/IJDJphdmV6vV0tbWWrS9TYbBla7CnCKq6Too - EA7njANFpunPtrX7/AHroLK1uXnOtoMB4ipTIk6V0HQNKwlCZwS8WZoQoDBg1GLKqq+9T1KCQABK - 6abP5w8aCDQMunIN7KGcWAIhQJEWSKSy0VBQORtzk0/3XzjH3QIWgLHHXaEAXLhibWNz//AAEdTT - 251rbyMUREtEhSUoAHVihfX17aFVd39NW/lfcf0XBCb/trylAGNkGPpX0C0AAEgpQSnJefm4XKvV - XM4xIRgTSmkikcjn2wuFvM80BOeNel1KGQxF2ws9gXDiuFR5/Pjh9tZa0/J2DKQUxqAhoELIpg31 - Jlh26zN8Pv/AqcFkKmc17ZEHwysLM3Wr9RWUEPVafWVlZWxs/KB45IBAhDQaddexCBCQmBB/MBjR - NdZslNbXFkvHRdsGJRVI6TSb5YPDR4+ePB2bQAgwUki6LdKmrmvRWDKVCvj9O9tba6urezu7nrxc - cOGRUEBKEKLZcMol4C4oCVJKIIQQikhLbEQxatEyOAcAwBSYLhGVSiGkGAaKQAohQWmG2dnVHQyH - y5X6+Pj05vqu7QB3XQWuUi7ColneW1qZ2d+vWRZojGQzuWSyQCkszK3PzayUK46nKZOtesMLSAaX - Q70uXQfAs40nCAhuseEAAJBS4BlxIowxoeCpWoRAntQPefo1jRCfrodS6UzfQA9XzdW1xbFnT4qH - x5IDQQRAAyBSgBIgXNmog8tBSgBOqT+WSiYT8UCjvru4ODE/P1WulBnTJWAlENjO8dbWzPzMzOyM - 07SRxFIAxp5zjTR8gUAwGvD7y8fFg931yjGUjqxmo6HpOiDkOLJWrSwurcwtLFWrwF1OQFIPNuMC - ABGmUd30CP8YpAfMYSAa6AghhzuIKIQ9KwVAAEAIIK/EJMlUJplMIVArSwuz09NHxSNHuQgQEASe - OEtI6bqOYzv2yX9XKBKOt2VyfsO3u7U9Ojq6s7vr2g5QQjXMFVRLxxOzM/Orq5UGd4QDICgBSlo3 - xLGs8v7e4tTU1PTM6vqG7Sj1NYfbVpmuACQC6WFnHr/b8xgDhAlFmOGT/I6Tf44QAkwAU8AEYQTI - I+FypUBJ8AdCfQOnw7FkrVYfffJkc221bj9XMiHGdGHzrZ2j0bGF3aIdjCT6T/Wl2oIYQHEHcQCM - s/FQW9IfNOnG2vqzZzNPx+ddbATT+WhbHvv8vki4p6c7HQlC7Xj+2ZOJp8/WNncDwVgqmU5GIhSA - IgCqAaHpXCbX1c6Ru729sTy/WD/mwgGkWknDIJQSyrZs2wbEwbNGBSkBMDB/ptCTzxfCfmNvdX5s - 9MmjZxMbByUzELx65WIygnFrLodMI9DZMxBPt3OppiYnNtdXGw2QAMor/kmLa0MISAFNC6QAqQRI - CVJJhAmlDCMNiZY8xkv8kAJcx21a66ub03Or86ubtYZFQCHBVSvvmcCJxrY1KVAKgBAzmC905jIp - k8i1pZnx8fGp+VUXGeFoMplImgyiQbO7kEmEfc1qeezZs4nxqb39QxJLpHP5eDLV2oQRACEIES9P - CFNKMCIgQXFQAjy3BikBE0UoIEwIYgR5NHMwzGg80d7ezhhdX1udX5jb2dn29D0gheO40vN3UNC0 - oWl7RwAAwQBYKCQ94SAhGCR6fnRTAlQDqgnALeMI9JUEsrXhAFJfp3Cc6B1BKQRwfFQcffxoYXZm - /6ApPXmRUgB49/B4ZXN7v1T2RaOFzq5gMGQwkEIUjw7qzSqlJJVIBn1MKo9Iy0Fy52Bvv1i0bMe2 - XRDKYEBbJhcKEQbUbF1CJVrQ83MtnIdsIQxU8wWCuq5L4RYP9mvVqlLSg8BaOlBCMdOpZjDGGEFI - CgUgpXJcbtl202rquu7z+VpmOFIBINFsHh0f7+7uWU0bKSU5xwoQgJASMKWMYYIxxa2mGn+t6W6t - 1rUTUoLDgVIgxOFuuVJZX1t9Ojq6t1tuNgXnXEhpO/y4XJueW5pf2aAapFPp9kwyYDB/IBCJJrJt - OaLE4dbKyuxEcW8XuICWGgwE5zt7h5OzSysbOwiTvu7OdCJqmqA8moxhGqFgX1c+EQke7m5Mjo1O - z8y5lgimO+K5TsAU+f3ZRKS/PY4bB6uLcxMz82uHNcX8/f2nU7Foq+olYAQCXd196XS7VLCwsLiy - slyptuKhsQedICyExAQDgNMAV3inmgQhXIWJpjFGGFZIevdRYUSe60kZZcwb/XqHNQCAFFK4rluv - Vu3iMVgOKMUwQSCJRkLxUFdXvi2TBaTzprIbnDsKAQ5Fwn0D3URXh0d7KytL5RIIDgrAlValXF6Z - XZ5d2NrZOVICpGM7Vl0CCOyZKiuGKAZUPDiYn58dH188POKuAE0jjGHXKm1vbcwvru8eOrrPF45E - g0FDA/ByhLACCrh6XB4deby6vFSueOZhSEhsW3x5dXt6fq3SsOOpZFdPnlKPOE4AMaWkVLamgTcE - J4AwZcA0rpCQCiFASrZoTQqCwXDfwKlILF6p1Z88Gd1YXzsuN46Py5ZlSamkAsqIpwriQjqO02g0 - BfeG6uA4TqPRsJsWd7lSCpTSNC0aiXZ2dabTaYxwtVqr1Wpw0hJ6DTlCgFALiSCUNi27Vm8IBaZp - +n0MJHDOucvBdg6Pjvf2D4WQBANFyntHWs0AJoRqHhZ94o90coe9fPFAKJXOdHR0NBuNleXl2Znp - UklIAKWUy7nrup6eRQhhNRV3QQEI7krFAZTGKPHY/t6DyBhgLKW0bVsIWTo+npubW1paOtgvC+Gx - b5R0+P7mwc7K/uF+GZuGmYloSUMaBmi+aDzTFU0mEOPbuxtrK3P728eYN7FwkQAQmAt02Fh5PL2+ - ut6gkDzVFS2kQfMMGBggE7RgLh0f6k+Du7+8uPjw8fzqNscsOtB/KhwEjQGLGoXubDwe2FpfnJ98 - tji/0GiKWKqj0NlnGi2nSiBGItmez3dpTNvf3ZqZmtjf27adOqHYAzVAIJAIFJZKNS0QAgwNEMZS - IsvmCoASzCjG+Dl0K1oxJIBMM9DZ2R2PJ1zXnZ6aXF1eKZfK3qxYKXC5EkKCAiWV49jNJigAIBh0 - I5fPJ5NJKdyFuZnlpQXbtkEKJaXruvVabXV17dYXtze2toUQusawkqJ12hNCGQKQSgohAAAjBIQB - oeFYMtee1xjb3d6aGBsrlUpSKsaYAmg25f7+/tNnY8srKxhDo1F37aauA9F1MExDM9ojsaCE4tTk - 3PzUwsFmw5syKgKcgI1qB/Wnj8eXdzadEB24frazqxDFoDVd4ACaSWLRRDKcDuHq/urU9NTw+FyR - G/54rq3QpTPwa5BPhzqzAZPwtcX5yWcTy2sboAVjHV2p9jxCwFqkMKFaKKSkVFFGJMICPa/EWucj - RogQQihFGAnlHe4KlACkVLW0vrK0uLC2uWU3bRCqZVLyvJ9W/2Kq70UQagxTgk6UvhIAgJJgOBhL - RoGoplWvVirIO6GEdF3u3VBCAGMihVchKCmFlF5GFwJMCCFAEEX8ZFarwLbsoyNRq4NUwDRAoECF - gsFsNpttyyJQUnJ0Mhg64VJ8ddgBIFBISBBSAkiMJEEKIXUywAeMADMKmh6KRLu6ezAhu9tbi/Nz - Bwf7ynG9jEQNAwMABa4jarWG1ahLrjCAYRihWCIcTyoldzZX1hamiwf7lAIAlkI6Dl9f35yamn72 - dLx0BAhhphmAkQAQEuvBSDqVjMcC3K0c7G4e7O44tqAYlJRgW9Xi4ejYs9nl+eNqhUvVypUDDMAw - YYggwAKQYAxrXlvhlfyYEEowRQpJTBAl8BXYiRFg5g+EC4WuRCIpXHdiYmxpaalWLgNCntdSq6jC - uOm4lsW5AxoDoBQwS2Tak4kEkXxzcW5lYaF8XHVdj10tuFXf3dt9NDq2vL1bbVoIA8Hi67RtBP+P - aUDrbxEmmuGnWkAqOD4uN6q1FrMBgZIgXM6Fej6CZ4x8xS9RX//FFBOGMcZIYe+eKqWkzOVyp8+c - Ybq2tbU1NTlVKZdbfXSrAGtRphxH2TYIDrhVw0slBYDCGFNywskj9Pj4eO/gwHFcvz8Qjka9I0UC - UoDqzebh0VHx+KjhNDFBTKMn0C11ObIsG4E6OtwZf/ZkeXmhVm36fCZSSijuumJ+cXVmeaPasBPx - WD4T9xkAmIIZyeS7k7Eoks29tYWdjdWd7e2tre1KtQpCAgChlBLWtOy5xeWHo2OVhp3JZs6dGQgH - PW4mA0lwwNedT/fmkxrw+ampp4+fTkzP75Rr7QODpwaHDAK6V1UqAqFEIp3pKWRldX99YWpzdblW - ryOEhBBSSo/6IyU4jiyXy82mB6wAJWC0DMO84QCCFqQD0rbLR8fNpiWUopQhBIYBmQwUOgrpTIZQ - 5jiObTWRQvF4MtvW7vPD1ubWxPjY4UHDPsFtADwzPuAuNCyoN8B2QYESSkTjsf7TpyPR+MH+wfCX - X+6sr9sWEACkgDtutVKdm12Ym5svWzUOSoCq1KpcAgAFiakeCASCJkWN4u7exmq9WnFcJRQowFbd - Xt/Yunvn7srigo4xUQJ7DwxQ8IUi8WQ6laZIrS0vra+uHhWLBGPvyigAzytGclmr1WoNSwEI4fk7 - ek5ixDtuPLuBlpWIl3yLNEoMjCkCj2vlxSxBMBQsdBR0U9s/2H3yZOTgYN9xgHNXSKEkIIL39/ZX - 19aLh0euy/2+QKHQGY0lLdt9+vTZyspKrcpbm6FUCLUGGgLAdqDRACFaoT4UKDmxJvHep5YgUyHD - 9JvBsK6DcpvKKhHemsBxYAAMtEAqnUsn4ga4i5Ojy/NTB3tVzqWU3q0j0pWHh6XR0bHVtTXN0M4M - neroLBAKHrnjpG37Ov3mq437vzDo+a+y/o11+68tIYQCoJ59mleJgwKEiaZJ193Z3b1164u+vr6h - s+cwFdKzQ1Lu5ubm5MREvVYzDCMWjRi6YURiha7+rp6B2cezX3zxedfgxZhGr505RQkgwgAk56Je - b5RKVrnc0E3o6W0nBCNN9/nDiUSb3x9cXV4cfTx8sbfzxrkLBoOmZS0vL7/77i9/+5MHu1tSQkK3 - molEPBI0JFhAdRZMJJMQDgUbS0vPno7Mzk715bOd+YRjOZvr62Mjdz/99LOxp+tWExzLUq6leYIj - TEA3evv6Bgc3Nj+/fevzzwM6/Ps/+cN8LmsaBgEFriMdx+Vo76C2eWh19fXrYdCoBspxOW80m41m - w7YtyTliFANQRsFzfUOUS+S63HFs26rZdlMKhCkJBkPdfX3JbKYysfjOL36tpXo6ettT+SABpaBW - PN578Pn7nz+YvfDid85cfSvdE8xkc11dp3O50YX5de3Wg9Nd568PncvFQ5gBYCXBEq462i07JS4o - DhfSuskwnJRyUgFpbTEtj0bAjssbTcvl3HW56zgeiokAEAaEKICSwk2kUldfuDS/OL+6tvDOOz/9 - LsVR7VwwHkbCmyMagKByWBl5tpxu79Wz1B/UgQWTicTVK2drzmSluveb3/7SHyL5VJttu1alcbC2 - +N4vfjT82e9W1wAUEMQMTccYgbSBu5rpSySybW25ya3i4uz45LNxvyr1ZMyL5y4QgiuV48nRh7/6 - 6c9Gbn8iJGgYpGvXK4BNMHUToIEIA0IdLlzX5Y7NLaUCAgOmgB3HrVTLhhZFSJ0UJSc1IiLA9I6O - 7v7+Unt7bmdn68GD4d6B5EsvXYxmQwAABkHIBIKODw42jkrHrj+Z70rFARSOpHKnTzmF9sKjpcMn - jx4/eXI5GdC6+iMCYGf/cO7R/Z//n381N3JXYhCSc9dyHEAe8VZC+fjoi48//F/++m/jbR1Xbr76 - Z3/+H2OppKFjBa2YCMAAXIEC0DSlgAM3sc6YgbAuJIBCjGCNAkYgOBAspSJCecghAkBcKiEESEGQ - JBgRBJhQ0x9IZXJt7QUyd/h4ZKTn3t32ROj84EDIQAop1+XzcwuffX7n7Xc/sF3ou3j5zLnTyZSp - AEyNgdJAKGLgtrjZlorObmwd7+5p/mIk1htuK+gREETTiJFM0a5cZmV278mXt63GkWmo9o7udCoT - 9uMTVwECCvWdGbhy8/Ldp0/uD987djVNMy+cPRVI+REAUAzYRIDLB9W1g714us0I6kQHblsUS9AN - f7yt0F7oyWdXNzZHDjaqW2tbxXJ/X/ralUt6AIQEhEEowaieL3Rn2gry6eHw/fuRnlvdWd8L5/sI - Ecq1DeoDBCDg6Lh2WK0qt9GVz4QDGmgMpC4RsSy7Wau6jQpwQAwABLgOcHDr9kHJ/p/+578en9s4 - NXTqz//i31+5fI4QSmSLLuM4rkBS0zSvzyGYADDAvnDczOey6VhgZX5qc8WORrVwIpfv7I2FwgTA - ZNiMBTvaEpPbc7c++6zCmB7pPnX6Qq7QFQoz4E5r5gBYYxql1LLsZrPpOnaLdYs5gKQUA+jAmzYX - tWajaTSUcBgGZppAA2FuDA0NTW2WJsef3frss4hPy6ViIZMhTfcxXQFuWlbZknMbB0IPXzodJQAg - MRAqFbIcXqsDRsjQmGw51auTahrXGpZt20pKxV1Q0hMWK8Cq5ZXYypkBgK+X8Eipg73Kzq3Pylyv - 7r3+F//h+xI5IDhW4r2PPnnnl7+ru7z71KkLV64Eg0EGoDGaSiX8QbNZbMzOzIS7XuqOxYI6A4Gs - w6MPPvxg+NGI7TjWccmqNzUMnpadc5dLJUEjDAAwSH7S0qITHVSrtQXNiEQTmq7ZzeL+3k6lXOKu - Sxg+sS5WIKQrJGCKkQDJXbvh2EGJpGH6NF3n3N3Z3dnc2iwWj2KJAGIUODSt5tTU9H/6T3+3ur/V - 1nnJbjYEdzEwjWqAmFRQa9QtSwjBKcZedCtGLdaTVJ4KStqWrQGGYABqVYdzh3PO0er4+F/95V+i - /+5Pgzev9HTlJMBB8Wh6fPLuvZG5pfVcPt/V2ZlLJbwg70g89drrbywfffpobu29X/60jTW6Yy+H - AwYw5CjRqJUejDz+1bu/3dg5aj8/+NorNwu5jGmCVB5vDoPOBk/1Pprdvfv0/pdffOYSHXyRSK4n - ks4pAQhDKhq4cX5gf219aW7hN0TMr2+HMj29ff2xIOO85QQLutHR2ZUv9JjmxMijx3r002Q2f+na - DTMEzgHwggAAIABJREFUFleMcYoQwvjo8LhydFRuNjJdeV/cAMaAMoGw5biNes2qV4ED00AjiHq8 - WyUBk+dKBqIE8VAnSnWChYDZ2eW1+YkLF84m2rsNLUAQBm5VS4cTk2OLCwvQFOlYLpvKB7QgBQiF - g+2dOe3R5NbOxscff/i99vaBjgQCaDTKs5NTP/27v5148OT4CDhzg6YZj0UwBQUCKKKaBgLqldpe - Y2fvg/eP11f+xz/701cvnu/JhQD42srMh+/9bmZuWZLQmcFLp88MtbcZGACQ8vvMtkyGoZ3i4sov - 9n7GixvW4Wv/zR+8pflYswHra5sffvLFpx/fsoTq6eu5dPlsKAyEYFAYBFJKKXBdXhfCxgAUiAdk - 15t207KVlIK7UnAPvQ1HImcGz3b19j/bGHn48EHH4B1wLZOh/p7ubCZDCdU0jRGMMNSq1aPjomU1 - 0+lUMpkQnM/MzCwtLfX397Xnc7FEAgAJwRuNxu7ObrFY5EIwxjRNQ+CRKb9anqE/JpiYpmYYCuFq - rVEsFsulaiYdNHSDQtNuVm7fvvuzn/386Og46rrStYQDyA+EELBEvWlXGpYjgAvpOjYC8EaALWwX - YfD5c+35q1evfnD38fTU1K/fffcPCUtdOOUzMPOs2qS0ms2DUmNt57Ctozfb5kcICc4BgU4NBCAl - OPWGQYhnhUQJMQzDMHQoF0cfP/5bt3nwzbf+9E+/H4kHJXIaB0e3P/3y7kdfbq5Xul5/efClyywJ - jos0zkBjN06fXZ3e/OD+nc8//ZhH0P/wF/8xa0R8EpgCt1Tbm1j+6J9+/eTxvGuGz71xo+tsv2i1 - Ihg4BYXy6cSNK/1z86Nzs/tOU+wc4POn8t3dvUE/YOCgie7eXKGwfvfR8OPhu0r3SxeFE/l0poCx - x7FyCcKBZHtvj8ykM1PrxcePhocv9mra1cGeISx1DABUA+Xa1fpasVh0DS3eFW0jCgAIo5oupeSu - LQVX0pubKFBfkTmNQOj0maHevqdPRjeHh+/nOqOFnrjP9FEzQDDWNKQkuM3mcaV6ZOMm8uu5JDAE - utbZ3duWm+fceTTysCcT/var1w0S0X2MadrsxMSdzz//+du/2FjfAD3DCBaO5Viedqb1yRRj+dwq - A2FAJNGWO3WmGY+Nji8s/Lp+fLY3H6SX2toLmODNzY3Ht95/98c/XB0fxxg0RgkCywZXU8TwRWPG - C2fPrS8dfry9c+/+7UA28N//0R8nsOEXCDBqHlbGHo7/zd/+3YOZDRRp77jQn0rH/RIiegCQDQpD - ELW3ZwZ7sg9GR8fGNub3/TUSCaYKwRBlBCjiVON9HYlHS+zLidmNfSsg28OZXKyzJ5rxE+RFOgnX - dREgqYTLLRBYSGG53PHkw57XrZQgBEJACebcchzX5cA97R4mIO2R4Tt/9cOf7dbJ6Wtv/sWf/7eF - bND0e4P6f7laha7j2FazwUxTKaEkcC4pFQAIKAlGgrFE1JmZ293dWVtd8SfOOxq49UbI0DE1AEBK - IAgxw0DPHUUpBaDgoqbDG80GNIV0G96kD5ru3vbuyOPRnr7+noFunflc13VcSQ1/vV4/ONi3rCaj - NOj3E/JVVXBi+IBa6J4XzODZIQsuXBuB5yLwXJSiwDTb8oXX33hzev/Dh0ur//Cjv5c/+FbkjZvJ - VBqdhD0KoRr1+srKkhFNJPOGNwGMpzLnLl6ZOmw8XN6498UnhbDRl/mO5LzZbB4Vi2///O17H//y - 8AAcC5QEQnXU6oMpuBJjaMsmttZ265Wjzz/+5FVGkoN9GOO9w72xx8M//vv/fW56FGsB4YLLpeOC - oQEAkyC45EI5AAJ5huIcKMUYqBCCC+4IWzpKcBd5uKvytNsYgGiamUikc205n7k2+uRxd1uykIm/ - cOMqNQ1XCYKoRNJ13f3iUbmhXDA6stkQlYBZIpPLZrIRv3m4uTY9NjY2Nt7ZkcskE9Ggf39v98mX - 997+u79en9uqcyKxpZTzNeDf4wP9i6RZAISIYQYQNSy7fHhQrFXK3v4pOCAMumEgYPWK4zgOF65U - wnUd7oLSn7O/WlnIhGoIY6WEkhwBAwDX5Z1dXVeuXbt1997TZ88alPgjIXp+qC2Z8ABgJaHZdPb2 - i3t7h6l0JhaLaToxdACgCAEohJEEUI7jIiU1xiKxWCKeQAitb2zOzy9cu/ZSJGoSprlgLy4t37t/ - f2xivHHoFHIRy2pyF5SGAJTG/OFQFJTYWZ7/8GhNVNfL33vtuz/4lsTC5XatVH7v489u3XnQcPiZ - 06fOn+nDAIAZ+KIRgk+fOtUzX1ycn3n45W1dHfkIXB68YPYaZiAEGDeajbmZ2fc++uS99z6yJO3t - 671+9VI4AMgbtFADkIZD+tn+/LOlnemxzQ9+90HdcaSihdNnu/v7iQIdA0bYdREzw+0dHd9885Xf - vffB8vSz/+N/+5sf/PEf3bjxoi8Q8EIpbFdwLmq12srqajyeYDRHCKJeoE2L34MBJBCKEVKOPTc3 - /+DR/RdfuV7oaidIeHCey2FpaXFxaUlIEfD7I6Gwhlgyme7s6u3pbZte379z+9aZgbPs+sVThQxQ - ChiUEi53qjW3XLLKlUo87W9ri2OCNcMIhsLpdBZtrs5NTj0eHu6MBJODbSChdFxaXJn70Q9//PD9 - 6QZYLtQFqLb2tKIgAYPmD0ZSiVgp4je3dtannmmLc7NhYyAZDzas+tzc4sitzz777LPNuW3OTN5s - Ys41AAQUiOkLwNmzZ5dWDu+PfPnuO79wReUHf/hnfsPUNMAIlCsUdxoKtjb3q0gLdPX5CQIDg1KW - bdcbTSFBuFy6Dn1OEfdsZhGj1ASFHdt2LEtK4Wmeo7HYmaHT8c/vPp5b+cd//GG6uzueejmdDgM4 - juUc7xd/9c67u8e7V1+5dvbSmbaYP1/o7OrpfTy++fDhw1znF6lM7NzFITOgU8IApBSiUW/s7tRd - Wyc+lPYFWSu3ALWmXSfvJfE8+4AB1RSmnMPu5trmwmRx21Ixw+8DhinjmGqBfHdsoLenkJyfX9p4 - dP9uIRX7g+9+m1BmO1bAT3f3Dp88Gv3FO7+amNwyzGzfQE9bewa8zCZvhg5eusd/HsPxHM39/4Vy - C/8G3f7rC2FPr3aieUUIPNcPghvN5vr6xp07d+8PP8hkMpFoDGHicmE57sORR/sH+4NnzpwdGkwm - EwghyoxUuv2FF19ba9L1xxOffvLB7tLSF/musOHTNARIlMulSs2q1e1CR/e58wNd3WmNIKab8Vjq - 7Pkrl7eP9x6MPn10/4f16kh3f5DpjrO3vTW5vz8bCodrAWHXfIgQ17WFEhghkBhYIJmgVy5fWqs4 - S/MLv/n1L5enJ7OpqBKN48PttYVJCqizO7Eze6hrzKCYIHA5MM6BsjODQ6+X5Mrm1uHB/nvvvVc+ - 2k/EIj5D85u622w2KuWmIxvS0MJtsWQ8FYkjQIoLISQihOkaIZg7LjUpAs890HPHUxIRwpjP1BEI - yV1KfASY4aPdvb2Xr12b3q3efbbwyUefHte2oxlDDwqfsOp7W2sTTzcO3TNXXyVYKFDBYOTU6Qtv - vnH8m1vjc7PLP/rhjx92dOZiMT1AXGbVRLlWajSP3Kie7Bk8fc53OR1qQy2WmTzJsnneWCnggnMh - ERimyRhTUkkhFfVYS8+FAkZ7vvDK6y8vLM3dHZ8bHr5bF2L0y0IyEAjpGiLS5c16s7Ffga2i9b3v - /4fTmX5wMWAtlUx+85uvbh0W79xfHBm5b4vG6Mion5qNUr20O7618JBL6O6OP90xcasF80iVGKiZ - bStcuXR1qzq5vjz705/8SOPHbWHa19MrOD4+rm+tTCOnnsu3b9c2FQCSrqGBxsDLrXG5sF0BhBBC - CEIUe6MrLIAjjDSDEYbRc6WYUuByz3IdFPKFIkNDQ3/8x3/06w++nJud+ed/qk9NjORjOdxQIeZX - xK7UD44qB8JIdw29ZIZzENPAFWAGO9o7b16/sWeN7qzMvfvur5amnmbznQJru8XS4cp0qVxO5TKs - PTJ5KBn1CBOgJHDHUVKWy6XJiWnf1oEeTh6XK4FIVNc1Ba1BCcCJdQIiGCMKQAALCTZXvJW/dsIf - 9O5uS9eAQAK4wuFSKYUopkghjwIIgHUzmspcu/HSQpms3Bq9d/uLysH2QE8h5NM04KJR2VxenJlf - Kx5b5y/ffP2tb+YKOdMAAJsCgMSgJGioIxc7Ozgwd/dBudigbvPU+e50R5ci4AJhiPhMNtBVWIyv - PHw4yxEPd+UvXr7elsmZDAiA4ICFQhhn8rlzV85df/HayNja08dPfur7ycOOdCxqYnCokli6DYvv - HFsNqf/h9/8kdqob64aQQiKEkQ4ay2SyV88NVQ4PDrd3pq1mwB/O5gvpZEAZwBBIAArIMH2QyNx4 - 6bXFWnjtw6cPh+85la373dmwCRo2dGxyS5aa1n65FGtrO3u6L5+NYay3tH6EMo1pFOtIEnmi0cAI - EEjulqvVxdXN8flV5PcfHh5zp4GxRwD0MECKgEspkbd/euMBpIGG8m3Zwf7O3e2NYrHIUfD05Wtt - uQ4GgAAIFuBnA70dI6vT41N7tklyKePsuQvhSOyE1dAK0uJCci680BFQEisJSIKS4LqKUi8qTWLK - NF3qjIAU0ns4iGGyGzdurJXcw/2dmZlpblWX56ciAcOnEUxY3XIqdfuo7go91D14qT//BiOcYQ4E - e+psb18goFCLGuT9gUAiVyhCqKnrFCNQUrYInV/xZE4mxAAnskcAhUAF/RDtLBwd7H/80YfFzYWo - jyKlatXyw+GHa9u72Y7OwYsXTw+dNQyEAXymMTh0ZvZJx+OVudu3vlgtBZdG01FWR/XN0u7m3LMn - u1tbwXAYmz6CsOuCYoABEMEKUQGMS8AYU3Li1SefB2dAK4JMM5KZbDQadZ3V0ccjmnU0M3KbgWzv - 6O7tPz3QVQhoikuwuVBKMYIYUghAKmX6/V3dXS/cfGF2fvnRo0d/8zd/25ZLRLUwasL22vbM2jQC - 7KmrfIZOvFQwwCCVy7nyaKWSC+G0vs7JXBshQIARAKUUcQFcACUSIy5FOBIvhkNSiA8/eH91dLjQ - npMKjkrHaysL03OL/nDi9bd+f/D06UQ0iJUEpUKR2MuvvDq7Udor3VuenXjn54316SfpZIzqmi34 - 4cH2zLMHi/PLyWzbtWvXXr75QirKCIBQQARgKQFBZz7X2Z41CBzsbqJAKpzvCmc7fOGkIlUEEA2a - F091j3zBJiql6blFFI519J5qz+WjQaAAwgWqAAhFwcjlqy98e8v91cdPJibG3X/40ZfDI/5wzKQN - H61hcWhVoXTgRgP5njOnw9lEgBggMWAClDFdxxgRxYG3LpKnF8FSAKNCSamIZ+YEEoEUgAgmCCG6 - tLT8m3d/9fDhg0A8rYWimqZrjuOUj768d79Rk52nhno7+9LxDAEXgETj8as3rowMP52bW7t3/04R - 4MtbYb9Ws8prx6vr62s74WhbjxF6um5RUAQrx+WubjECoGka1TTK4rHYEWN7u7s/f/udqYcPu7Mh - t7qzvTw+OTmzd1ju6j791je+19HZZTAQygYlBHdrlYp0OfVH+vv619ZWfvazzbn5R2ZQs3n9YGvr - 2f2H+0fVnv7TZy+c6xvo8vs8320KSmia5vNrChwpHVAtkioAcSVgTP0+H8VYtdIpAWmaGYm++vob - uzb72Yd379z+YmVhxq+zbCoejycC/gAoJFzebFhWw2KMdHd3XblyORaLAoLl5eX33nvv3r1wNBYN - hcKEEi54pVIdfjBSrVbPnz9/8eLFfD4vFXAB4BkpK0AKCGpJfhBCvX39p84Mbt9//Pnnn+81cW93 - pynrorJ7tLOxsjhvOS6mlFJCQTEvKwkhIFQB5hKZfh9l1LaaSnzFzWu9J5jm8vlvfetb22Xn2dT8 - 7S9uVaq1kc5swkd8hEsJzYZVrTXqjpLYeO33vhUOX9RNBIgg6WHfCCMglAB4VS4HhDSmKaUgHO7M - pA8PDz/95JPd3fVoIqSwaxf35h/c259fDfj0i9eunrt+CQAkA+AGCHV98Fx9s7S+urBxsPurTz/c - qh61G8EEaCnMnL3dvZmZyeFnkUT75RffHHrxWrKjrbUrSgScAqB4NDg0kE1G0PZGbWZhxwjmsrn+ - bNrUdZBggbLjmUi2LaFRONzZRIFEptCdK/THEwGKAXmiekLBjHR2sh/84Q/wJw/m5p7+5tfvzMw8 - 7M72BVlMlz6p6rZ9VK3uHlpQGLwycJn0tHV50neEKEKYIIVBKSmUF1lLTpT8CgHVgyHt2tXrW1vO - ex9+eO/el6XadmdnZ8if0kkYhHStktU4Ktdq8fbe3nPX49EQhAAITWUyg0ODN65ffza3Mnz/3v/6 - lyKXjFLdbDpibW2tcrDdni/4/YFdHqi6LsWgM++yKKmEwoAQ9uYxJ2NAAvHUqVPs6pUruw/mNtbX - fvH22zNPRxLptFR4Y3tna24sEAj0D+RRkdcYRUpoOrhCGQqBbr548XJlq7YwN72yMv/Pv21sba+3 - Y39GGn6C9w+25ubHJ8amk90Dp9/4vfMvX05l4sz2cgMxAAJNyxcy1871zkw/2SqWdhFvP3U23tbl - D4IJwEAC4wNdmXw61ni0V1f7Wqytf+hsKNtGfADgQbcSI4QwIQRTikEgTKBlqwmAEcIAoCTYFhcC - YyKlpBT7fEAogJDgNAHz4+L+9OTERgXpiZ5G05Yi8DW5y39Gj3p+8AIAxspLFyMEMDmh3iKIRMNt - +azh0+fmZ/75n36SvnfbkLahnO9+4/d6+k4FQyHuAkGKMKSUVCBBKa/SAS4BU01joAEFVwkABsp1 - NrY233v/Pd38IpVNRhMRRMGRULPckcdPDw8Or169cv7c2VgsQr/qwp9DhRgAe7eYUKZpmqFpgraM - R6USGBEhBVeEKpcA0mOJK9euX10+2miMTk2M/0LWVyYfhyNR5ItyGnBdl9ePnOpBtVp66c23fr9Q - UEJKpTQzcOWFmxO7R0+WVydGH2p2fXd5iSloNhpHR0cLMxPNpjV0JrG0eKgUkkBaGjjEALN0KvXN - b7xxsHM4PDnx8QfvL2ys3ynkYqZe3FrbWJ0s1arBaCBFs+6RXwFYNuh+AGBS2bbrcOkyDWEkCUiK - AQMWUjUbTUSxbmpEZ0IK7ipqnIy/vCg2rMdjqRs3XtzbY7/8xe9GHo1Ip3Z/+K4vHEK6JpFqWvVq - vVyq1JLpjlODl1KhIEQ0YAaOpc6cPvPWqy/fezo5PT7+9//Xj/L5XCLi9zE42Fw9XlvggLtPd0Az - NrzqIHC//rD8v7NuARDTUtn2ZCbPtL2J8fED/LPFiSliO52p9NCZ7EB/IhpBCCNMCKaYUgwgvB27 - 1dQgBDa3HIEJU1JK4ZIT8TelNJVOD54deuW1V+8+ffr06dOf/OM/PrxbSMYjjGAAwiVUqvVSqeZy - 9e+++z1d100z5D3MCMDzI8cEE0KQwoBwPl/oHxhIZzLbOzuffPaZK3AgEHaJU3bKazur27N7mBJE - sO3amsYIAgHCi9fDmCJQsXT8THfb2srS22/vTc4/9ceMhqwf7e49uj1cOq72DJy+efPGxaEBir3k - saDG6PWrVzb3m7vrcxNPnxzszBsEjY0868y0G4YpKKna1ub62vjIE0m0l1974+bNmx3tPoZAAAgA - 6jUZBh4a6Oqd2bk1trm+uiF0XUvnorlCJBZnALoCwNilhqQolky9/MKVg/XFh0/GHty707TtkUdP - dMMwTB/CpFypNi3bdV2l1KuvvpZvz+GTucdXAP1JAVypVucWFj7++JOJmfFkOhoI520eajp+ZTW+ - uP2F67o3X3yxv38gEo4IJUzT39nV++Zb36h9Mj6/uf2Lt3868/hedyYR8GmSgaXcUqVWrbpKss7u - zisvnM22xQgg0+9PZ9quXn9hparvjG/d+vjj0trycFeBqWapur65M3WwdxAKha1tTSpdIWTZggJR - XsaKL1zIF169+cKdR0ubyws//tHf3y5kYhGfFM2tjeWj9aV0Ko2qx+UjZDJqEIQAbMV1iQ1f8IXr - 1w8PGhubSwtzc798p7a9cWSwuKklAiapV3fsxn7NdevYaBsY6st3YZ2BApDClVIC9vk0QojiLYM4 - 5QkBpJCOUop6nYRqWTkTAByLx4bODt24+cJ+c/jR7Oo7b//z1OxIPBXQdAR1Vd0pTzwZDyXDN15/ - EQEmmEVjiYuXr65uWx99+njk4cNSudjV2xEM+02dEpDNWqVc4pWybMv0nT7X549ciJgGPmkCT0TU - X38zCehmLJk+M9g9tW/Njz36yd//HTOo7mNxP3nz6umLvVkw/JfPn19ZKx7s7KwsTP/mV3J5ad7v - 1ylVho72d1aX5idXVtcLHd3Xr3/j/IWheCIgFQD21E2CtpJbnh8yJ8eM+tpXUf9iy/j/ev0bdPuv - LYyRN3JvsaWUUtITb2Pbcaq12tHx0ezsXLlcDoXDgIjLRdOyFcLxeOLNN9+4dvVqJOzjtosQpdHk - jZde23HZStlaW19dmZz5SKCIL6AxCiDqjZorJGbat7/znb6BdlASAwLNIJHExUvXti25VmlMb+78 - bnHpNvP7iK5pNQylSxc7X3zpxTuV2cNVzDRi2Q3uWFjXQWIg/nAs+MrLL+05olo6fPjg3oPbd/w6 - 8RnI1MBH5B986w3jdP9q5YtkPB4JmFgB5wqEBKb19vW+QkLLGxuPH9zdWJn/+foKSE4wRENB7thW - vSYVibf3Dl5+yXVsDwQVXBLGIrFoPJUMhUPY0yfCSQKAVMAVNfyRWCybTQV8GsGgUYaBgE78ufbr - L97cteRu015am5pZuieIZYRIjFFcr4cJynSdTSZzoWBESgWG0TvQ/83vsO26uvt05ct7n4zchgDR - zKDhEt6QjWbNCtDgYM9QIBFtNOqtd8oTnAK0YBOv9FVKcIkp9QeCyVQ6HI5olIKUz9FCpBBCBCM9 - mM4Mho031l47BvzR8OjdO7eHXUFdEQ8GKQPLqTXsJmjxaLr3G7/f1KkO3AaqBaLmKy+9MD47s7gy - dVA6ev/99z/67UeZaBq5yIC9/g6jo7s9yHoXansYsPdcAVGAKSjc1tbx8kuvrBTl8OTGpx+9x3jZ - h5qmbgpBCDFCBvzRd1+LDXbu1j6vm9GQ3wiaoJ08tEIiICwaS4ZCYVPXdC91CpAAZfp8mbZ0wBf2 - +fRWMKNSXAgKHiiGQTN7e/v/9E/at/cbt7/cHX38ZGrykeYSUXETgShm/Li6R3WUH7ia6jinhANS - A6GA6Mlk+rVXXt1pkLvlg0ePHj17eIcYfqL7FDXihnrj2lB7+Oy+q9fHNyJhv2dlqyRI4TKKfYYZ - DPodIZtNmwvZ4ieedEno+Q+AMPYoqxhhRnV/MAKmz09PktZbym90gjAqBRIRpgcCwUQsGvKbGsVC - gMSAmQ7h6LUbL+2J4Ny+tby/+/Hawl0NmwxRxd16BUmuGZGuvoFX3njrtTfeTCYisgXToRPolrZ1 - 5C5eufTl4vo+lIxw9NSpvo5C+qSd1ikh/b29C71rY2NPsRHId3Zfu34zk87oGJACJUBIoJQY8Vjf - 4MBb33qrqUYePl65+/nnX6ImwhYClyJMAGxHCuxLtvW++eqbihc8IoBEBAMDpNKpzIvXL28szbvV - msuhved0T/+A3wQgXoghYIJAM4FGL9945YgVJvfU2Frxow+nv0B2yEB+zU9Bk7aqc25J+eLrr5/q - yXsp1V5IBzX9iUSSxOKxoMG8QadHKVFKCVGpVB2hONY5UO5KYduKMkUZYKI8lxJFpBAYQctYCnlJ - ZtCey16+cHphfkbsOkYg2jNwNpdtpwBYuIAU+PSuwdM9G0sja0uaGejIZK8NnU34fSAAiJdtJwEh - IZGGSSYUjPqNsEERajWWkgvlHaJC6b5gMpV2okm/QQUHwAoUBqZfvHhhtwHF/Z2Jp4+ePH785ME9 - n0YMhgjTLEfYXDYFPXXxejjZZtUtWxc+TRCCmWEGwpFkKuIzTKxEawqtTqBbRKluhsKRVEIG/QbF - SIiWH9nXm0lA8LxXhBbrFqUSiZuvvDS7Wd5amx+991nEpErJYrEY9AdzbT3nzl69cO1qZ0+HpoEE - 8Bnm0OC5hXOXh2cb8yuLc7u/HvtSxTQLNXdFvRLz68FAuCueL+tt4aDBHQDmTaso1UzdFwqGwGf6 - DNpif3v+E4CxAoQ8lZ/hS2fburu70+Pra8uLhytTd6nAkr/0yhv/7nu4kE0GdKYw0wxfICgj4YCp - tdieRDf7+ge++93v2u/+dm5p7Mf/8ONUOhpiQWxBo9LQI/qli5fwnBaORKPhIMMgOSiKQABgHI3H - GEOGoXn7AiLESwMFdBKgC4hSTQobOAeMFEEKQSwai/X09ne0z9y/NXlniSCQHtHeteJh//lLl775 - 7e8ODAyEfKYX6a4FQ4PnL76+UTwsNR8MPxh9/PDZg9vxaJjqzJWidLSvYTcTj1y7+fIrr7x6frCH - Qwt0FxKwBEA0nct3dXW3t6X3G5oWCXUOnslmMqafIKyBpIY/2NfXW8jnIrMLx1J1dXSfP38hlQgH - CTAFXuIRUAyaef7CNZe1bR+TR5Nbd7744tadL5nhD5pcx1XMj1wLC9u8fP7lXG8XYNWi62JMTH80 - mbR90XDAoJ5+BIGSSnAJSmKGlJAKCKVAvNELF6CkUoARrtXri8srz549rXPXBmToZpAYfmBNS2W6 - hvpefOV0XyEa1hEAKD0QSV24Ej578eF0uTK9uzzziyWCGmETdGRlA77z3Z2FRLLNCuzY0z6GlXBc - DlwXgBVQTCk2DSPflk93D4Y1/OzZo7Hh22Hq1g9WqVPXdD3Z1nXp2stvvPWtVDpDABR3AEnX4eVS - RXLRnsl++xvfGH3w4fjE8PDDTzHDCkvetPxE68p333ztzfMXL+TSiLTkexSQDASDqUzckkTXCXrq - gfO7AAAgAElEQVSugEaUaL5gOJJNp0MBkxEsOSgCgAlo+osvv1qCwLOVvY393e21ZYNh17IIxpFI - 1LYcq2nZlu33+bu6Ov0Bf9OylFKUknq9sbK6UjwsNpsNIQRhFBCSErgQ/QOnXnv9zevXruXbs1IB - 5xIh7ImOvsbiAlAwdO58cW9nabs4PT31dHGroz2niZqqFxulw/6ert7+gSOXxaKRgKkZ7ESiSBgz - TF8wlMy0BUNhyTmSX28NvKmYDKfSr76aXdopNRz5+NnkRx++f1vZIaZ8RAIgx3FdLr39dvD8Zdu2 - TJ8PIwIESyExIggB03QQnluOBECEUs5FIpm8fu3a0fri7trSs7ERZmChbNGo+hRPB/1nT5157dqL - 57qzEQANADQdhMyc6b3uOqM783eWpsYX1n75ZFwHkqB6TNd5tSLqNd0XunHjhd///g8unOmL+ltY - +wnLTQVDvp7ubFdnan2zflwl+UJ/b3dfPAgcwBuIhRK5to7DbC6zf0y0oO/U5Uvd3d3hAFAAQEAQ - BsSA+JPpyA++//2aNMqljZmZqfGJYQOCUT3FuM8VVSGrCtVJKP7tSKprqColKKwAUURYOByKRe2A - 3yAEK6UQQq070ZJaEELJpSuXbSewsr62ujXzwfvz/zd77xlrSZKdiX0nItLcvN77d93zvt4r02W6 - qrq7qrpsezc9MxwuzYJcaQGZhbC7kiACgqQ/KwiyELHAChQgSABB7YpcityhGT8ckuPY3TOcGY5r - W13VXaafu/emidCPyMx7X1XPElqIAgQxUaj37ruZkREnjj8nzmGcJUTWEhmmSHp7vr+riI6dvVTt - zAXeCMoE5yyXX1tff+qpYPTbv//Tv/yL/+mf/bN8OkHcHLm+adnri/2nLj/5/ns3v/v+8I339pOW - YXNwBRVA6pI1nE20Ia1fZwrdfvGxxx677Se/9qWPvvSlL339q1+0HcfzJRivpY3PPH/V9nf4N3/4 - 5tBOJkybAZ5CQLCMxdXlnV33z/7qtW+++f0fvP76D7/+7bK0y8p2OB+P971gmMrkHz137txzT21t - LOcJ7sgziRBIKRUzRbNRO3Jk6Y+/VHrHHe7auW5vtd3qpExY+mSGYXR6s4P+e4XMX45Mp1Iubp/Y - yNfTTGgFyVBKcW4wUoZt5ktFNbSclCNEqMsxbTsogg8lHCeTLeQon7aTtk5NDHzXFQaGrr+zt++6 - JpQ0OItq3caavs6TojBPCwQgnU4Wizkr5diWIQR4WJQeBBQqpbmluc5rM6+9/+5f/vZvu0xZ0m0W - Mgu9Xq3RSWcygZSAUoLLqO2UAkgqBDKRzpXL5fLeOGWFXrhAqf2Dvbfe+elP3nxrOB4nkknLcRQT - dz/a9RWrNdpXrzx5/JGNXC4sV0oKBN0xlwEelM4HlMLkTtIsFDJBOm2bgqBkIJlgSiqp4MuAE5DK - zCxmzp7df39s/vHnPv/tb33zjT//kpSKEjlYmSAI1HjXVKNSKT+3vGIQoHwZSGZYa0eOnrj5wZ9+ - 9zvvfXjvq1/6wje+8tWEYREgpey2av1ub6bI7t/5rNTKb1hjjYObpUr1woUnvvva977zkx9+77uv - ff2NbzucmqUCc8cJW548sUaq8+athHyTJ5LCDzTsuQJT4HYiVSyUkgnLNJTBwEAyUOOxl0qnitUi - N4UwWOB7UGEjRV3phchK2fYjx08Gfvn73/3hhzff/vwXvvCvPvt7iXTayaRGvrs/3B+OhySMkyfP - tdp9FXggG8xAMrO0tPTcU9f2x+43Xnv9937391KZtCMk8/cN5farxXPHTxZz+dId8cPh6wk7SrGd - 0FiksMV4pQDDqDXa/fmVbu+db7176/V3f+cLv/+vbIXTW1uCPVGvbeSySS54Np8tFPOpbMo0hcbO - 8CwRmAqgYDjJTDq9l0rapsF0TR0mRDKX7c/OXrl2dZ/RZ7/6lc9//vNfYcoWjBExJoiJ0dgXhlWp - NM6ceTQIfN+bFOdRKjyVJngoOuqN5srq2tb20e++8ZdvvPHdt96+xYXpMXfP37XTVsmuzs7Pf2Dc - NZOO4yQMASklmCBmC2HlctlefeXioye+/If/4o3Xv/G5L382V80cBHt3bt1JW+n5zuzR42dOnDg+ - 38uDACZAjiK2ub62fyB//IPXfvzW97/7nbcE4Xvf/o4pSYEk54FgSspcwt7YPv7s8y+unjhedDDS - ZWEJ0FXIzURvcXl+6aPa1356e2wZ2XxzYb5Sr6ccyyKwQIJBGIYkpLLZjfW1O++9PfbkV//8W1/8 - whc+//nPB1IlU2nDtHZ293ypko4zNz+3sbEhOBMMsc6uf5OB7r6nhqPxzs7u+7duf+PbX/fk2EnX - XD89dB3/YD9J7vpc9/LT15eXl9OJVODdE8JqtrqXLl25vZe697nXX/uLb33nz77iUJBO2dIgV/k7 - B0Mos1Rq3Hj6xsidU5AA8UQiXaufPnPuvWHyrft/8sPvffcnf/H1PzJ5QgRk7IPvnj93upMtfPX9 - 10Y7JnHa299LI6vAfUnCTrfb9vUnL7qe9eWvfvF3f+f/YBy2zRM2t0zqFdMvPfn4O/nMnW//tFoo - ZGwLgOsGHphlORvrG3s73jvv/eRbb3zjO2989zuv/0h6tiXy+axzsPu+795zGWvNr57JFBkmkOGG - kcxkq/VGJpXmUVkxJRVJhQBBwLiwU6lssVBIWBaPWuaIdKY+O3jsifN3XHp3Z/z1r//JV/70DxXz - stlkAgm1J7OJzIles1FvJCyHGOeJ9ObW0Z2hffO298Mff/AHn/2s+gPfTlqObTAlx8P98VhYZv7E - 8ccqzZw7HkLaxKYyZkIjCkpn6CuCaddneo8/8cTOF77+xns/+c3/5TfGSlnpZK9R6pWz67NdDrG2 - unJw4P/4B99/+71bb7z+7a9+9UumJRIJk+D57i4Fo3K5+tjjj19/6sWFpUEyE9aRUgpS6mqGU0ad - Zg5R5Y3IvfQ3fvFf+7Vf+3/jPf9fvsJGLEpJKTX7BJEljGw2NxjMVqtV207s7e/7fmBZVrfbu3Tp - yU99+tMXLlzs9rpcGCbnnBMYsplMtdNbOnJkptcvZnOB60Mq3/OVwsryyvnHH3/h5ReuXLu8sbaS - sEzOAAkEyCQztVa7v7jgZFLwpQyQy+YX57uvvPz0009fXp479rUvv/Hh+yM7b115/lJjUHHAhK6M - LYxirVpq1Zq1OlMkiKcca7bffeL8ub/3q7/82LlHm/XqSJqdpe32/EavWc6bZAofnDORsLPFpeXl - laX57kzTd8eaRJSUxUJhdXn54qXLN5554fK1pzvddsIEqcDgzNt3vaGbTKdnN1a3zhwDYAImwHQH - W5Jy/+BApXyR23rk0cX5RibJgH3uKiIzl87N9HuLG6vFepkbcjjaTyTsVrlxfPP4CzdefOWTv7yy - /kgmz2xBnI2E7VWq1e7CYn9pqd2o2ULAD0au6wPFcuPksdOXL159+tqNxy4+UW3VhUEcMAikCJzA - SZGU+hiSVIIb3v5Iur5ifGV7c2XriJVwDOICJHQdbIKSRMqFCLqtymB5dWF1I53NC0XecMwUmaZR - rZYfeeTU1WvPvfDSp44c2S5ndCsOBSLGgk6/tbm1mcnlJJg38tPJ7PGtR156/sonP3G9XnF+eHP4 - 2o/uF5rrp7aXerVUxvagFAI4dqrZHjQ6s+l8cf/+ndluq5xLHxwMW62ZU6ce/eVf+MyFxx8ddJs8 - ke0tbc+vHZ+fm0laMBBwf+wNRwiCgMRgbbu7tFYopy1ShCEp7N/xmG/1uoPFI4u9paYkGAoGZ5A+ - EGi7h7OU5RSXVzaPbK3UGyUoT46DpOlYhpkvZo9sbzxx6YnL1547c/5itZ7NCBAU/BHnTqnRaw8W - FwZdjgDKCxTK9cYjZ868+vJzz964sjjXU3ZGWZn+0vr25pxFsKBMQcFoNNzbcX01WFzZOnH67Pnz - TsoOdXAFUtFhJcbAyFPSZUogUOMdU3kJJzO3+ehg5UShlHQ4DAKDksQCQCkllAcK1Hg0IlvZmbOP - X1ia62dTNlOSeWOMDpLpdKu3uHXyXKs7yKYSo/1dkj4nlU45Z8+eff6lT73yqZ8/dvJsrVk0DRCQ - AAzlhgWeGRmCnExxSHaxPbe4unn2/GO9QSvtIMGUDRfgQnKLW6ad6M3Nr28fP3v+8UqlbpsMEoJB - cAZGYCMrodqdzuLikaWFLdu0pRyOxx/ZlnCcZLlSPXnq0es3nn/ppU8c3TxSzCc5AiaIuPDBwbhj - 8lo+o9xRvlgZLK5duPrM8ZOny9UCJwjAYCAVGMEIFICxUrmz8cj51mCpmEsx5anAdUc+A3VaM0eP - H7ty/dqV69ceOb6dTiU4A1M+VKA+/OADl5pLW/Pbp9bmcszzzWCPCQaw0b37f/mjdz73Z6/f84yV - 1eXzp48udRsWF4DwJY09RYy4IM6YYIyAwPcYdOFYskApyzRMo97pza0dOXP+wqDbSdtmgklGCggM - pmDbViYzmF86fvTRc2cuNspW1oZBFJabJ5JErmuMh7TQX9xYaKzM14XhgwziNjFO8KH8/Q9v7cFu - zq0ubB5baBcTTAEuuM0MJ12oLC8tri4t1Mol6buCkQx81/U7vf720eMXr1y9euPpR04/2q6nUpZp - cAXIvbsfQgaFWnt2/Wix2S/kEgnAIBLSBQJIXwa4N2YsWThx8lSvWc+lTBEVlI0P9hB0QngA+MN7 - t9/76Y/+/M/+VKRKV579xNFTZ1cXZy1B/uggCFSj2b705JVnn3/l+lPPLS6vpTIhD7O4Mi3U8qn2 - YKM6szAMxN2bP01aWJ7vPnnhiU+9+uojx49n64NcY9Bb3lpZzNn61KQ3DIZ75I9hZVa2z9S7C5WC - bQCGCrtXKRBJHyTByXLS+Uq71OqNx+OkQTnH6rRbp888eurMo41qRRiMu6O7O/uparcxu7K8ulbN - 8ZRBpDzbTrfa/VZ7plDKHox2pfJtbjdrzReee+GZF54+cnzLSSWr9d7yyla12iikYEIS+YHHR0NZ - KTc7s52jJ7aTaZspIABXAIfUFjIkBxhjIIXx8J2/+NYf/Pm3x6nCwsmzv/SZTy92WpVM4t7de8Iw - S+XqkSObr3zileeee259czObywnGOIEY0+VwqpXq2srK5vpKuVCAknv7B57v2Za1tLhw/fq1z/z8 - Zy5fvTG/vG7aidEIJGEKCAaiABgyx+Kwhh7rzS6vbB07dvr86vpaPQsLiuQIYBkr4Y9dO19uzS0d - ffSxY8dPdjp1wWEqJMIavh4wJovKxdbc4mZnsJwvFBjnXhB44x1OXqNW2Dpy4sqTN65fe+7U2UfT - +YwSMEkiGMn7H7xz76A8uz7YeGRrs2UDhoTFSHAizkCcuKkYQWq3hwRjSsEPFKDKpfzm+lq9UWMm - 3x1+JAM/ZWVm6v2LF25cfeGlS08/VW04KQEbukSxAWYuDmabC7OeoQJTWgLFbPbC+QuvPP/CJ196 - edDrJ3KNTKm1vH50ZqaYL1gG8013iA9uv/alL37l+2+mO4unr7/06VdfrpVyTLn3br+TsdnmYu/S - 5Ruf/oVfvXDlufpM30gwIiR5wNQuPnzzx2/fff2v7pnZ/osvvbC9Mb+40CLyA6kYNzrt3tPXn/nU - q5+5ePVGa3aWWYwBFsARgMS9D3YOhl5/brB2dL07P0PKt5VL0pdjd9cTHktunzg92+sUcwlBIJJg - gWBUqDS2Tz06WFianx2sLC8RlDv2BBdEVCwWTp86/cQTj1++fPnSpQvdTseyLTDKZTOzg7lyucQ5 - PzgYMs4z6cxMp3Pt+o1nn3n2woWL1WpNGIIxcE6MIANoWzHwXc4YEwycitlMo15rdHrpYjUg48Pb - 76dtsboweOWlF69evbK5ecRK5ztLG52Ftc5MMcnBfV+QL4f70nfBjNbcWn9lK1tIJwQYwKVi5INJ - MAK3DSNRabQXllaW19Ycx2HSZ9KTnptIOAuLi6dPn7l6/ZkXX35l48hWPp8kkkSSwJVUBMb1wTJi - YAHIw/Cjn97a/Zd//DWVKJ46tv3M1ctbSwuMqUB6EoHjJM4+8fgzL730qb/zK6srR8tJkSAwhbCj - oWCFXGp+dbE7P1urNTh4inHh+4E37i/OP3b9ysu/8IsXrz+7srKZToauKwEYYCABpmB66YTnBQeZ - fK/eWj/16LXtY0fanbQAbAoYGycdwXnWV2aj2V9c3zp34drCynoxDx6OI6PjELZhOo12Z2t7rdtr - JJLC3Q8oMCgwbdtYXV86/8S5p55/4bEnnxwszKcNLhCQ9Hwp7twfZvLVQX9mc22xUsooKVnYPtgH - uWA+uOUk8tXqzNb29ux8r1jKQiHwyXdVwrZ63dYjJ45ef+rGpSvXjxw9XizkbC6hXBBlc+X27FJv - dq5ayHnDfU5IpTODuflPfvKTL7/4/CPHjlqWJZKFfG1mfetYu+JYBEGMMQ4iYgRAO8IEAkgXymdW - ttke1LpzM426740EZ7adyBUK58+f/5Vf/PnHTp9oVAqUyFZ7i4OVI/O9ZooxwbQLzijn86tb6wsb - y/V2A2PP8MDGkhObW1x44uLFz/zy3z1z6WJnfjZtmQmCKYgRgsD3fSmEMA2WNfHR7k6+PTu/ffbU - ucubq+v1gsV8WHIXtJci3+MpmJlOb+XI9uknLl2f6aXT2r0eKFKSMwqUf7DnHex61XJzZq63eXzL - Set+OIrBZwjAie3s3AuS6cbC3OaphZligmDygBlMee43vvnN3/ujL6YL9a3jZ65cupBJmiYHKDxn - j9Ca9qOyuRKS73w0NM1UoVjYPLbZ7bcC6SnlgjwOyiZzrUojk8v7pnF3eKAIqwvzNy4/+fj5841G - mwvGGTHGpJSMM84YMYL0yR9Cut7e3sgqsEz9+NknVjrpFAPnspjLLq8utWYaVsK5e293OAy4SCwu - rl188uoLz7/46LnTM52GbQndvF0nGjMwXUorOqav/IOdD3f2d0ZBozt3bHuzVsikbMGJgwnGmcmI - 4AMBDKtam+ktri3Mz7eqZccSruv5ignL6ff7R4+sX3z88U+++olTp04WCjmTM0EMxC0nWW3UN7c3 - W806U/T+ezezmdzi0tKVK1c/+eorG8u999/8/ps/+QGlW5tnruVq7WIKNoH7BwhgCnPQ7c0tLx64 - I8mUyZBLpx47d+7TP/fqU09fn+nOGE49U+wsr59cWsnaNkAjJX2LC280GrpsdeNEf3a5WU9zKM5c - BvP2rY9MM91oN7ZPbNZbVRY3l+QK5IJcCWFa+Uq5c/zYI0uL84VcZn94EKjADwIQzfQ6J0+fvnLt - 2vVrN86cOlPKZ00VYDyGUo6TmmnPDAaDSr3hBipQsDkalcLTVy+98uKLzzzzTDKTGxkZI1s7eurc - 3Eza0SUsGHxCABiAgCSSCCMLAPPJkvWsXe4sB2ZuSEn4/nyve+7UqYsXHm00CrbFIMWdD/ZSTq7S - qG+fPF5r5XS4kUmAAhIB/IN7H43TuZnZhe2jR4+mkuBMMRUQuGna1Uplfm11fXvbsi1O5HkjGfiJ - RLJWbxw7dvzSpcvPPPPssWPHKpWCZSFuNa17UxOgZACliIiUSqXS62vrjUbTtJ33b91m3CjWSkeO - bl+5fu3G5adXF9bb9ZnmYGb92KaTSyaZ5BjL8f29oR0gu7F59vFzJ49vrfZnasTV3nAHDK1W5/KV - G889/4nnXni5Wq0a3DIMSMZcJfeHY8dKzNSqJx85Or8wmF+af+TECYsbw90DEDnpVK3RPHr02HPP - PPfyy6+sb27nKxXOYRBsBgNgfgCMwD2eTkE6+77VW9jYOnXy0YsXN7a32jnuKGC4J5WEYQYgkyNp - m71GbWFpZX55xbSdsesREQimaXV7vTNnTj/11I1nn332yJEjmUxKJ0wynT6qpJSSc66r0duWWSwW - FxbnC8U8E/zu/X2pzHSmPD/ov/Ts06+8+NzZx87lKmWYwuZkUcAMFPPVVm9pYWW70+5kEpbyx57v - +jKwk86RI9uXnrzy/AsvXrh0oTfb5gYYAgEfUpXzxVprrj+/LkSCS195o2o5d/z45s995tUrl6+k - jdIX/vBrvsfri91LL9wwkywBZrOAoBJWpt3s1Cq1YjHnemMrYefyubmFuRtPP/VLn/7kuePHio4l - E/nO8lZrfr1Vy6QMIyEkMYMzUS2UNzfXF5bmC+Xi3t6IMxsQQTBuNivbW+tXrt+48dzzZ84/Xivl - TQkuAQZ3/14QjFjCWdo+0V1aSzuJBGAyIigIxaHGY7nnGkaycuTosU67mM0aCMZcKnBRL5RmZudX - No6kc3kwubv/US6X7bf7p4+f/sQrrz71zFOz87PJpG1wAEE6majWuysrW/3BYqVcVEoqGXiuq5Ts - drunT5+7evWpa1dvnDxzolhKGUIrtwGH4mQxGLpjGzgCBbguJ5lMJeZn5wMyPKk+2t3N5gtzS6tX - Lj95YutIvVKGgm2arUr51MkTg8FcJpf3/IBzRowZhrG+tnr92tXnX3jp/GNPzi0sCYsrAukWzgTO - OAsPlh5OvEVk28Wxnodz9f8fvf426/avuWjyg8KOpAAAbprFYmljY7NQLC4sLL773rujscu4kc0X - +v1Bf3Y2ny8yQVI7KxSHNOFkW620Va5UWu3NheWbx2+Od8eB55FCq9msz9QaM/VCpZCxEwQfSgEc - REjmWk6S5zJOKXdkZWPn7oFgdqXIj2x02g3n3ruGMAzFFHEQJGSgdIMi4mAqnzWXFvpZJ9lrtu+9 - f195o1I+2Z+pbyzPmzarFHNP8/wo3WHVOceC4AATUABnSYd6nVI+vd2ulwbdmY/u3RkPhyrwM6lk - uVRstDulei9brem2GYwYFK81G6fPnl1e37WbVRPQUk4fnAYXYFZjpnfc7mV7sj63mM1YHAGDIM6g - CJl0I+lYpVyuVV7ZGLxz66ZiVHIy3VJ9sd2rdeeZA09E+WumZZvG4lIuVWsu9Ho31zd2bu/sD10f - 3MnlZxqtVq3WqlSL9YwvMJ6ckgpP3iJsQkakAPB6vWEcO15rz+S7rUw6DWHwOECPKDeOGLgyK7ml - VD5dm2l05m5vv79z+y75yjCQztiNVqPSnC/XO4kkBAOUCUjwsWXxbreULeYyhcLS2taHN++asGdn - 5tYXsr0WU+5bXLyvozRMJ+PpFC/iSKTyTmJrI2PnKrPtStoi6e7e+eBuLltqt3vrK3PljJCj8pNm - fpeXKddP2hraBOKFUnl5bcPO1cxqJ1ut2EznrAlBbHZuLn2typEodEpcHytlDEp3EhAgCShhmOmU - OT9XKlVONtu5tdXZndv3mUsiIDspqvVCtVEu1GdztTxC/YaDWeB2PpVesjOVrF0rpT+4+eZH+yMn - m292+2uz7U4lrQ7uqlwr11s3y/2ECUMXhyBKJZ3F+YVXXn5lxOxMrZPK2FG0OOrvC41JHAyMKQYF - ULXaTB4/XW8viPJKtlqxjbj2THhogYhAHKbV7c2eder1pYP+8mI+l+EMpBi4gGEhYZczqSPlTrJY - X56deefYEW+0DxmYgs32+93Z1Uqzw22AhUkZPPxPgClQgGyqM8g8eSW9OjTJdGYGc5kiTK5znC1A - 1at145hRqFTHJJKlWr05k0yEPaPDuB1jAJEQuWJxxW5WCgutevPd91fv77xLQnFuJxLpVrPfbPZq - lU4mzQUHoMAIZARECrATyWa9cun8meUNd0ipen+pUq1yNjl0R8TBBCBgJ7N2drWaE4WZhX7t5tsb - Bzt3gqFnwKgWKuVGo9pqlhr1Yt4BFBCACEw0BvNXr5X2Ml3W7kBCEBgxSAXPv3Xz5p/8ydd29oe1 - dv/02ccb9RYnDhmQTpzSmS66XRJp3hlXdAsyuczyQs+yzVsjGtv5zux8MWvZpDgkSMJgpXbzqG3m - uzNjZeSL/V4tY1tgQEDg3ND1Vu1EatB1nruSMo1CowTTNGIFNqRZYcwvr17N9UZOLd3tGbpwMgkw - zhmVCnY2Ndco53ut+vrK4nDvI3e47/pBuVIv1+qlertYn3HySYvrLh8ckrU7Pcc2BpueXZ9L5LJm - qMjrLr8ctlNvd848WpjZwWB2kMsmOQsLyaop8S4BQOrEcKWUlJIUmYaRzaRacwN7rtOp5G69fXx/ - OEqlM73+XKc7X6vXDROMQUld/ZnBsCszs6ez89U5r7Py0Qdvb+QTqlNNz840Z2faajTMDfybo5RZ - 7VpGaG1wbpbK9Y3tE051LjuznizmQiskPsJHAOdQHMyAbfQH/SdS1VKhMLp3W3gHaScxu7DYajZN - 24Ya80zuzNnzvXFinGpVS4YhQMTAuJO0G3b+hGlXmrmF1f7B8CMbVjFV2FhZL9QLPvecXOZgbDVm - 2mFjChCYUSqXH3/i8YM9GGkzk06KiFvHspfCZGUFInAGziSDhGKcZdPpXrfWTp5aruUW5+Z9CctJ - VcrlpaW5VrOWSibCEChFR4xB+Xw2k0zVCtlWtbS5vvbhnQ8C37Uso1Ip9vudQb+TyeXIcHzA5jGp - AhCACZHsD2affdrel06QqiWqg2IZBEhwxgwYDBl+4vixXH/xjjSdRrdWbejcSR7VVQMxQEA4Zja1 - vFxNFrrd/uyx996/+9GuN7pjsmE5Z9Rr3WZ1UK8OCuWES/BDnYMX2zNXbly/78xQcz1BMHSDCN2j - TOkaM8T1QlVMB8QUEaN6vZFPJ6q10mBl9uTNN92Rn2LFSrLdH6zlZ3vJhjkCjDDL2QELYMlsv3uq - kKRqcvuDW+OdkcXspf78cq8xUy+69z5M1v36bJCq9HNZm/FAAiClEIAkZ8wyrEqxurxYTBtPzHWK - HxxfTsthJ+80e8udxW27UFS6lhIgoABIqcYjlxQShplNJltzi0tzmVareOvDeyM3yGcKK/NL893Z - XCk7NjCMFWQlQJidn2NGillOuVs3dLk/cAiz1pg5fqKU726251ZKhXzU/o6BCyScqp1L1saqKMAA - ACAASURBVDr5envn7l3puZurKx98cAcgGSgnkWi329VKpVIu1+s1YWiZpOr1huM4jUZ9ZWXl5s33 - /cA3LTOTyc3OzbfaM+VKBVEpUk4gBhkyQpB29BCBOE9n2r3eWSdbG6xsvHf39q338wneqeZWF2YL - +RykbxUabrJqVnuOCQ4wRgCVKrUjR09Umj1W7JTKJS3yWEi3DEz3pOSMG41GPZUrNGc6M+2ZD9/d - Hu/cU+N907QqlUq1Vq/UO7VW17CJccjQU0vgjOJEpDDXnSkgkIFS4JwnHKfX6WZ7rUold/P2OzsH - 9xTk3MJCfzAYtJdMEAJAIhDwOElww1LIJ7rprlnI1Wd6W/MrB/c+9PZ3XH9catWbc/1ub6GQbjhG - mCOt1cXQqlYcjBlZ+9TJrWYvc3e3Uaxt1Jok4mRMmHAKM7OpazdSu3tMJcrlzlqmEpKp0EEaqXHZ - SCZEbyZVrjiNVnZhuX3rkY/knsVdh5tBrZVvzORLrXa2WmOGoTGKG3Y6kzh9+tTSnko6VqVcIhCj - WAnQvU8NQAjLLpWNVHatWE3OLrTfefud3fsjdwjLMErFdL1aqDUbuWozVciJELAMXFipVDOdYJbT - LKRWZzvD3Y9IGNl8cX19o9OopGyDiGc7wZJrNlslob0MxEBaDUEQKw8q7PENYRRK2Q0jX86lOs3q - zv27UkphGP3+4OjKoODwIJeQmeYdZHh5YHAIEKQBSHAyC8nF3Gyqka/1mxu9BfeDPbbjC1C1Xut0 - 2zNLc8inXTOETNjySxicGBhgG9l68clLjy266b10J9eaaxaIAwbTR9wYCuX19QxlujtBKlmY6czV - E+mQpQtYiiTBJaJSufz4xQv+vrDyqXSKh1itBSbjEHaxO3/GHnTcrGjN2QZYlBT2Vz/+yQ/ffMv1 - g62VlY3VlWzaMMXEYotTJQ+1c+B8dXUlX2jtjcb9focBKqonBiI4VqZVP332lNNpLZ46ueuOW+XC - ymyv2WqbloAWj1J7WeMUKwZuwLRnF5fHFd7YsWb6DUtrOsJIlwpHtjYKldzswsrxEzeHIwjTrtQa - M91es9PIF9OGxQmSkSQo0mXTFKAYlAXo/OQglU0OZnuXE0U/UWnVq0nHYEzF2jExgmJagc8U0vOp - ci6bXeo1PthavX379u4YynBKpXK1kGmUc52ZRirjGNpxzziEALF6vZ7MJ8ul/GJv9tTxU0knW61W - e73eXL9956ff+pZpEjGpD3bEiWUQ4Ao2b/S753NpM+u8fedDd/8gadpLc/NLS/1SJVso5ROFYOF+ - IledsR1dZIszbmay+fX1bSPRLNYXS42y3mtGwrbF1tHt7owLRq12U4iIk4Z6sgBMgmEJq1RMZVPZ - ejk/6LVW11f2DvZ9KRWjXLlYaTWq1Vq92ijnUgKAzyBMQMHgaSd1dGM1Uyi3+vMf3P9IeDsFh9YX - eoNuJ12rDuy0rHjlZbTm6qYxybploRl7OI9Ou3UNO90enEr2RWV19Za3f/+jWi6/1B+0Wq1EIiAM - hSGOHjs62xm7xBr1qjjsTAAzCsXaiUdOD5YT3O5kMhAcjOlQGoNtpcvlxUK+0GwWSsVbN9/ZuXfH - G49NK5FO52r1Zq3erFTruVzGtBAjeoSRFMpABYCI82w2l15KO0663ZndOHIMzHByiWqr0uw2a+m6 - vxMsdHf31KhQyBlMM1iRyZbnF+o8sZjIrPQ6WWOm0CrZzW717VtvjeUwmcoNBivdzsJMq6Y8cKVA - pACfbGaSxXjCNHPZ2Uw+sbSzzEBrs0u333rXdT2yDDubqdZqs93+TLNt2QnJwxIVWpkBNwADZMLh - 80urz1L9gGVZIZ9sNkoV0wCgJChgjGvlgZiAZSWr1ZVssVBrVVrd4yfePjgY+kHAhZEvFOvNZrPR - rNVqjuPELScjTCYKSwcSlBSW3Wg0U5lkqVJc21h7+737vkwaVqmUza7P9wataqKU8RlGupcsOJgl - UuagX0kVZ7sz3Vur83ffe+fgYM9DIGyz3p5ptbr1+kyxkmMCAQ6gEUoYyNiz/Zqd7xeKrdvvbO3f - /zBpU6tTXN2YLRbyd9/0FZHiACcV9V1XMMECGFamaB9bW8znkr3Z7v3hkJjKFbJz8/0jMzWbBUeD - kagvjwp90WqaXDcXNwGAMyeXns0O0vlktVVdmF8/2FPuiCnplgqJejVXm2nnGzOJYlkg7FkOUKPV - FuJUfX4x01vMZTNG7I1kDDBgJev19slHKo0FtHqz+ZxB8IkJ3deD5XPzTjZdbZVb7e3j6+/dfjfh - JCqZSrvUXugtlOslyyFFWqBxWE65UnCSjUqlv7Awe/TY1s7u/fF4CMhKpdRs9Gu1TrXSyRcpNsY1 - aTKwmLvreXEmQAYyuYKTvXTxsdZg/ie37yknl653luYH1XpeMYPkGAaz88nZ7CCdyTXa3bX1zb39 - Pc/3GMlWozzoNhuNRjpXsRKGF0R2wyFLJOIJIWOYro/wN+mvnbpIKfXX3/X/42tSnXDyKe7dPHXR - BIPU5L7wIBhTCtLTuW9j6LqJLAzBRXUQpa7/BCkguX5UEnTdLgEwNQZ0IXPfB8lRwhiCdt/5/t7f - /6Vf+843b+c6qf/0v/tPVs8sFs2EHTB4BCEh5BCB7oAeCiGloDwoD/ChPPDkiBI7gAFkAB7sAgBz - XOJxhHHqwIiM6jMySdyDLqYJEZac5wg4ABjYJ7iABVhQXLlQI6ghVABWDMgekk6OkAK7BA6fI+Dg - BhgFLExIk4AJKaAQSJARMLiACXDsAncBG3DGSGq/FILQuvb1hLUpwOAzuNCavg51SpAMQuUo2ipJ - CAhEEOQSBQhPYmo/atgHnlzQfdAYSAVI+xAGwIIHkUNP2wjz9iXEAfgQGCk4PhI+EgSSI5gEYYyA - m69//n/79d/8+v/8u9+bO/Hqv/crL5zfbjRzYwBQApKDWYpMN3QagiOY6LQKwAHUEMz2KLkPALAA - EwHHGFIikCAT3N4n+NDQ3hGAUBm4gAIEPAEJhN3dlAcag/R3WQnTn2C2J8CZ0mZoAPJAgYTjgnwg - qUCBi2Af3AGzxizGmSAA19WLDEiBIdQQlBwhcQAY4WwBGUD5kAGYAWa4hGmgiuiEVVy0THK9oa6J - Pcg9wAQr74MHgAlYAMGXEBoNhBoi2AcC8LRPzjgEoyY6F8qF8sGcgEw3UtGi0LUC4IMC3TE9ouUE - INQYwQhK91L3wTKKp/fj8g6ACdgAqYPoHD0DGSCue0IxvZz4YgA+Au4DBpCHnwA08h1EqGQAjKad - fyRBgSJDqzumciF3oTywrM8So2gJcX0uBnC1D7kPNQLPBZQ5iBbLoQSIImJRhGASLAyYHMMbwR+D - 5wIzcZsgx8ib0mE7CHy1s/f53/+D//if/PqHVFg8c+Mf/4O/PyiiaAbwPQjbJ/gBBAMjSN/jjBGn - Cf9UAdQY0gVxiNw+EIQZzR7UeOLkZJoEhYSlO3uHThAoXReLNNZLkAQRwHzQXogIikO5kCOoEXjW - Y9Ye4ACWUgh2wSwwaxzBh03qHshINIdb74XuOjDlQbkhz2RWQOGmm2FGxhhqBDkCBHh2COGHf4d2 - 1ikgUDLSEyVDwCEB9/Zfvf61P/rdf/rr/4OXav7Sf/CfLR07163lTIAHriJOjPtSq6ehHAmJS7lQ - +1BDsHRA6YPofAOpMVQAKaECsOyYY08TAiAAC5Kpfag9kBNQdh/QeYu6rpXmdgQPagw5Ahlg6SG4 - XqOAhJLa7wkFyBHkGOAQqT2CD9iAjQBwoXSVZCgGBR/wGTgkhyIwH+QHUD4cP+TwMOEThpAJzezB - IHnIsrgKMTMgrd+BQZKU8A4w3v/T3/in//Z//t+MGwvHX/qlf/jv/p2ygYxE4AXM4A+cmo1ynDGh - DBWHeB4Q4goI5bGKJLP+wBUIPnAPGEIlAQeUcBkOAC9cvjKwq3cakiBsn5nDqbF1hiwCLU32wPaA - FCglYcYijwMcLscQMIAEJCmCH0LYJ7kHfxc87fPcXYDC9+omZQGUDzCQEbUWBpQfKiDEAQXlQ/kg - D+QCHsAhs/AsSCgL+wxeKLLBpQ6OBEAAU7mkRiAOi4MEIBQgx1AuKC0JQ4Ik+PAZ9rPuDt78yW/+ - V//kv/zfv5zbuvz4L/6jTz2/ZgAGkERgqBH8MSgBnohZvQRSOIB8/96f/96v/8b/+c+/8I5VP/OP - /qN/uLZarZYoCMZSCcVMgzGuwBW0JjCGdjBDSBcSoX3C4DN4IWT2IQ+gFFjWJ8uNOL9QADzIIeCB - 2YpsT7egQ1RmZxod4t/Uw78dVtZp8t10nAaYRkatQQVQARCABMjWXMgASMsjfQ/ZYzL2IqHjAKRG - kFpt4+DpMTFXy8fw2RHUCMoHs0B2ACOI2I7Q6KGCSIljIEgK6QEA4OkSdaQpLsxX3Ifa8W/98HPf - fuvf+rX/1sv0PvPi889dOL/SLnETYJBwAymJCYAzENOSnsEX8DTrUy58F0QQ1ghCAWZI2VKCdJZg - DHIWKzlKVx4cge2AdgAFNAOV8lXk7gA4ScJdYA9IAg6UExCNI14dcl0lIQPIACTAhGKQCCTGEmOB - FJcGvGjLuR+AeWAeIKBMeBw+YPngfixAQ45GIZtkQ2AICCAJJVR4Kt8lgKDrfmuk8AEEEHocEy7k - ASDBkh6sSEAo6P6GGhRKUzEksw6AMWADVoi0iPgSacIxlA+5h2AfPAWWcoljYsOGl4APeQA5BEt7 - zNkBLCClgMANo1mkwFgAGoMJcFMx+BMxCA6XYahfB2Xqcw8hIvmQB1BDEAcv3we5kQ5mAQw7UHeA - ESgNpAOkPHAXiPU0oQDyFEYKPkMKgaFZvGKxEhIAPocH34Xvghc9MnYEEoAZQAR7w/2d3/qt3/qd - 3/2XX/2z13757/37T159YWuzG3vHYgwngDAOg0RKQhlQiZAKuA6JKYYxg8ugEAj4BmBAkH5GYxSL - iTpSQiJ61gUd9iH3oAKIyi4S+0AKSAEIhqBAGx1QNpQV2hwUmh0qPGer/6AInOm6+CETUaAxaAga - AY6L9AiMhULTA0iCS5BAEOkeBlhSo4EBkJRak/EIAERcbp98jZlQAkq3BvQAT0JNcE1BKhiEH/3F - F3/7f/0ff+df/OY4t/Jz/+C/Xjl1bqmJFGDJfQRRdjBXWj9gYFC6FHIA0hpyaqx5dVj1aN9AwEPu - aEtYXqif+IQDwIK0QlOODrNj8oE9YB8QQAYqEfPd+JIIA4EqpuyQn/i6czwAEAMTPoOvjQXpQo2i - AbjiyX1gBDiAE0WTXIIbIr9OwBVRqHEItQO1A54LUNwLvVmhykcYEfYACzKJgIFBMrgUKmNMAvDA - 9oA9IAEUPLBo2oohgCToioOMdNNfppluyCwBRH1vP8ZNpChyHMTgCy2RSSq3JhAlIQ1wppVdAZ9r - K3socB+4B1QUSq6CUJFoYBLMB3wJQ8JQcRKxgs5QHmtVU+l+bQCnMRgBhjY0QGDwQfEeaWkjI/7P - NNpjD9gFFJADkj7hgKAtogJg+2P4Ixim4tYYzEJA0oUMwARIhD62iIbi/x+4JjHKkApjE0uBYnXM - ljACgMu4XV5sgQYm9oF97ThxYZImsYhzalmBqQUGGHGMbfhQPgIOyoIMGZoegDsGG8OUgPzcP//K - v/N3/7E7NDaePPUf/vf/RaKeLgJpuAJjSBH+46R4aMrrBSYwgncA7wBG0TcS9wAjZLYuj11JTGlX - lIIJCKXihCdfgVxwN7QUwAItiMfAGJwHzNkDl5HCSdKHNnnIAGUOKGSVAr6BA0IA7WUhG8wOICQQ - QClAgCZQCp2wI2AEuFqUQx5yxarIhTbxt1FM7i7BI+1I0OkwBEkQCghGkPsg0lW5wWwtsLW9Y2td - S2uw4KAEmKUim4AmxqCKT2/IiQdiwpxCy0LF+DP95YTs/uauv826/WsuevCTAjBV4/pnPnXYrRfm - +yDiwiyiWUQ/ojsjhhsyZhYVgFE8isBwASaNIDigwB+NRhLSV+ORywPPIwk+2VMGBCwUhnzSIFcx - KA5ScfNOEaMbMUBhEqd+AP3i1dDhz3qBE6Vm2hEWFlGFiGqRTruDebjAsCQFSIKi+rikvWNc2zNm - NLYAkoANJA8ZTACg7Vwexh2naCya6wPEFNFb5HbXLS4OXSpaL8VMUk0GVFPDTMg+hoGWTdqsUQoS - ihuG1icUXNf1JTEuGGdKSc+HQrQPOouKiMK9ZA8BO8y50BZX9DcK79UrYoc3gtM0nk1tcfS0Pmuo - YkjFL3uIBNhh2FKMQezQbdMXA4Wdkvn0pkwmTtOfJ2YxTe/vNGvkkd8sTBo8pOlNXqqT0SZwiO7g - Mdimp6QfjClFIQZoCCAoEdaRhT5YKiIxFP4zAJIK0gJJUJhaLKdXNHVF+8EOfaViLRsq3otDvoMJ - LgJx267QQUWRk2IKFNEp0sM0oEDTs3pI0FBoUiolfRgGDBvK9wMZcKIPP7zzk5/+9Ac/+MGFT/zq - mScvVatIcI3mpGfiyzDNkAljSsGIYpcKoGB6tYTIcNXqV1iATpGuTqmgFIJQISUGwcAY5MTXEKKk - gSj7HCpKho22OMIoNg2Hh/AQkxunn9LQUBqNdfruRJZPyIcmQet4OCnhy4BzFufgxvgpJTxf6s6X - gIosHDAWOfkJ8c5yBU4RK1AmFABOkDzEHxXNV2r7OkbsaD4sRHsixNGpqbWrEHAs1oDZBG9VhHoI - 9zGioMOURfF/0cdpWidErJYduptNZMeEhU1vxfQukE68DQDX81zXVX5g8HA5YqoWpIrYAk2YSDyw - VrkYaJpthONHlBUm+E9ojbThrEBC76HmQRQCiiTMMCGOKMwgeQC3VPyJhy7xiPYP70Xkypmq5RUB - KgR2LBciVjX11MexmsMj0xR4AIR1aSb7qDGPtBEuCbpDhUHgkQ4rItdPjEhCQQAEwXzlD0fDxHAs - XTX1Mh0gMjRqyaktAYBASXBhJqRUyvc40/YnE5xLCAnm+yGaxE9Fs41YyhSYojZlWpyxGAtDslcR - Z9MNuR9ifTG6HBJDMVg+1hiMiEcdHoEevAkhDiumDdWpVzMQi20AjSJTco1N/YEOrQgIB6Tpku+H - eVn8aerzx9q00UwVXDfwA6abmoOIMdM0wjMjSjECmFCRU1Fjlg7vhjsrCVLqg6mRjz7MXGEgDtIC - IIq5g4eiEjrAAxCg08mkzsRlEiwAQfuKbQUZmm2RI4Af4mmaWDTN6qhU6BWYsDJoROEqljKhD4GF - 1b8f3kGK90JMDpU98DU9qENNpkTaezLZvkPfx9Oe2u9DmKkwEZWIcITCiuVs+q5De0nxvk8YhAq7 - uWkrgIGLKWb1gBrHoU3/MLYJIDzVwzhUKAW0bmEg9scTyAY0w2Q6V5VHY8cIr4GvwCN1FQQIeLET - JbQamAESpGBLGAyCAOnf39n98p9+/c7e6MLla6dOnex1msqPZDt9HAQe2k+aUAFNF57XqHqILUwb - aA8SNsXKLSLMmKLZKSk0hQ/xHqmJ31YdnnOMJjwWE1O8+wF2xUKxTlOLpMPTnvrLQ2vQ+CPjjKS4 - XYRU2vHMiJhlCOlhPIbNYTEOxiC1hJQIo6sGSK9DMyIRihHE/whaRigCCUyAoiZ4waameggiPIpB - s/AJOrSMh3k4hRurub2ECov1htSnpm9koIj9PDTOlJnzwDcCpG0Qiql16tsozEGHxplw7IkOQB+7 - BPzsP8Y7qx6i9indZ3oADX6Kn0X4+fDkJtvEw3JEH6OMaQ6pK1pFdKUUFGl/ZYRiWr3X5wQ/foqx - 6RRmBISkoMAMUBIgwIYEU2AUoRQQRqAVJ+0UB0AiUrrZx0Lrr7umJHf4G5v+PMHGB0FkhGdF4tsO - Y8DUQ7H0YZHyrM/aRpfBoZjyXOkHY89TjLmBN/ZGge/pJcnwdYBOtIJxyCURjswADkWxpUDQL4m2 - RcUUCYVQm9JUF4u8Q3wq3J9DGpQCKFRgeGx3RdyMhQ1ZSUbSKmChnhPepWJ3i4p17XioKfBFMjRc - YPRNDE86TKwqWka4MK1iQEEFUJIRY5NJRmxS9w2cjmLHr45n+zMwBpO5xuR2SGL8m6Dh/83rb123 - /wYXfdyePiR5H7whdMLqmn2xIhZeE5k6zXNj25IAxaF07pMCGOOkOGOG4IIbDEKCpPYOyLCRAiLi - C6M+Ws+mUElgUDoThzF6yHUbTvchXqRoKpQ3EZlqWgZEzx6y5/URUcWi033xyCyUIJHzgQDSJ8J1 - QBsu4EYz0z4gAeUAtm4ROeFeBIRhPw4yp1nqtOB5WIpMS7WHJffhtarYRP4YWQSwKNQ2BUKlg8ES - voIk6B0CQOCCC1Nwg6A4KUPwiBkh5MIEKMknzoUHhHgI4+lnohWoCObhBw6w2D84xVvYg58mEcv4 - TTHPBWHiZD8E2IlDYYqJH5Ir+pyzFlVTAja6hR5Y2kMfpnQ0FiI3BxlQQh8MwgMDTkAUCjNGik9J - 4cjRRjFCRjgpMZXmG79/kh2v4ge1tifiiLEBMBxAckgDAQfjEFLCfyBkF06TQkVlWjpGV8wL6BC6 - Tmlpsd4T+pdUpEFHGiSPuk9FcOCR1qtoahYPDB4BR4WWIWnLEIzAJYIAHAE4Qclvf+vbP/7Rj/u9 - 3iOPnNjcXEgYGmqTgyUspn81yV2HvkXpOU5ct9H2xcloXEKwKOYBJUnq4lQ6mKPXGCGRnF6JMaWE - Uqjs0cQ7H0KDDuHqhC4O+8noEK5OE0gYL1HTLA4Tr+7h14EROIV12wAVwFdQpJkcMcaE4IZiXAZS - BQEmKkPUWwWItWToBSuKndSEgEHSZL4U83AW2knTZK513NDTM61lTFAx9MlOQPQxKgwxKD3UFMuY - /jn5OOGuMXeKZUwE2MjbPnUTfcwoIJBSigIJJSUnbgjOOSNARv4YFo0TGwLhGCH6yVCgqgi12INT - nrgnAARQROBRvhILXbUqTKfmihkR6CXgwzAxYZJ02HU7BVBNJoZmTTGHjdf4MyQMETEwoUvvP+i6 - nbICDjPTWFGfBiQO7QCAEJ8Dphcbr1fDDD6DZEhMQBGaH5BTWrkP5Xue4MQsZliGwYURFnpFdHqB - QRk61Wt6QnpA4rZlJwUXjCA4MaYUAgmf9AlsFm5NvDsMIL2D8bIPIQ+LguUPCB19M8VBnQdkD4Ww - nggvmv7uY3T5B3eKoqcO36umvj7kYIn4jyZKpc8XxMjzoBIFFrO2Q+Kb2PTtNNEDpuc1JT/oZ6xm - cjvjghuGwRgpKBlIjakqUEQSNEGskPGHxZWnFMSw4auSk40L+QAD6exRGf0pTN3iiDy6LDxFA0UY - MdjaBxCl4SckwGAy3YFxiuVOORpY5JbXxpw8dOp5Agm9CzonTcXsaJokD0MxFgQTVyem+Zea+tth - kgO4zjh6GOumHmHxj0PBZsTAji8WCmhiCLX5SarX1KQplncs9LMg0jAlSAKM9Pmbh9YaAVafI9CM - IdSDSHurwiIW+jwcwpR88qPtmySt8il8jhgQhWmRCOsPUMhtRhQm80XRUEYACQKk9u8H9+7f+d4P - fvDWzVtOvnLuiYv9/iCXMvgh4E9KFSE0KSKgPXRFzfEkCLHe+vFc+GP2CxFhEiZsPZpBKIvloZGm - 2MvhUG405GQVMaYdct1OXh2TcnzI+aHZTSy6eFh1aITolTI2XxBn/hKBhCLOiJmcmxyC6zowjCkW - AdMHfApPO0TqgCKE7TUPEU8YItTZvqHgkFGk7UGP+5TSrFdvhDQxJdTjBTysMExgqB1J0S5ody6A - 0GFOOtdHxMTPDg/FHiZDirzPytIFWh5I/ojZyPRsplhTfMMUshx69hAofgaviEZSP/vrQ1v8cczs - MDbRZBvCw2bhY9PDRCCZROkIUWoBTSnjh3KEMTXPWLDqiwOACyXDlDIQyPAhJBgHi8yZEEwCMjzy - qIQun6ZARCJ8z+EsI/rXwoYO3RXxC0JEMewBSMU/IxAdEscPY+D07zErUiAKezVPRR4021GMpOCM - c25yQyjugkEIAqQEU4gZvM5h1Rp4CMkpQ5IDRApiYiCx6BY5vQuHtyWkiUOr0KqeChfIDz3KpljT - NKtkKuZSISPVh5oZxU7YaRN0sv6pwMdh6RWzogmoJnMIn5qy26KvwhxEredLFncWC4fS6KL9y7Hd - DCBMZqfJHCa/HSKCB0A4YdofI0P/hq6/dd3+m13/us2hqQ1Xk20PP8XG4sfpzTQ5UR0xxVh6RSwg - xEDGDLCEZQ+thLAcshPCMk0OEXgSk7KSYcAxol5NNDxufB67Idjkdi2xp+TmoblSJP4eWvRU5hQd - 4nwU6awsZo2HXR6HHpzK6DWiyKQRRpxCgGgfjknxRMPZiHASik+N/vDmPMQ4DsnMQ9s3dbGITUcu - 44fumIweDsHjXBAJqSAnwCSCmTCtlGlZnJEplG0KxiJjgGLgRYFwQuyJm9pYjv+Lvbf9uW1J7oN+ - Vd1r7/2ct7n3zrtnbI9sJ5NEOAZHxuYDnxBYEfI3x4L/xn9HFPjCF4JFhEQsQEmIhJRICCGQolgo - ycSyDA4GPGPP3HvOs/daXcWHququXns95947L8JBT+voPHuvvVav7up676rqfdStwXN4w3j8VAaH - J4CSSoeu+XlPdD8zyp94+mODoTH1HTDhPpUhA5ISQ2kbbqzXXnJOgrMzatuEH3NE5L1Ei0xhAOGk - GZRAfhYEQcvQ8/1HHa/zAZeRfmQYa5v4RYcMtyIMi6d+AwC3cFg/AUwMry8GFWS7ewKCYXwI5IBD - sZiLWbw1N6Ns6iMm6e79NF1K9MQ+NiqFQQ3Xx+vpDHDF7fa//bN/8cknb3/91//9X/uVX/7Zr6M6 - yNiqD4FQTJsSSNuYLQbR4R/MzJ4Z4UIJBSoPRFCQgAfKRUoaJkDQsLrT3Nx9M9gRDeLK+Hm4Nnc3 - cJSi5rxCMYgRE8fz4+wHABkxS2ubgrhwASlVrpfT5RWWByiJqNWFgKhCibxusObBk2WMngAAIABJ - REFUaJ9pMU2OoTR5E4YS0X1X3Gt2u2Ni7GT06eowYkufYyyLzho3u8ET94SN5oGRKTGh07H/T4nM - EwJzv8sIufsRslqmgCiKCERQ+PLqBV8uS6nazIcoXjxanb+FI8OjiHR0kyd9hwITJui4XWE7GW6p - ReAtBuNgAXGaSJpm4inuehUPlep4MqRVIu6MhMThqfH3zugeMrwP94CJc/hYUnw6O7hKZsSA5w2A - zHk6WDy6dIOIC1sCROW2tkKFH16+eP364eFs5+MNWe8xuGOcg3zKiU+vTi9eL5fz6cylgEgV2rZW - i1j8fuftAatGuY/wARAQf92/fUfIlC0RCg8fuuqRzEs6MHbytaeQ5z3NXnVo8oW9oWQb6kkOBirN - e8yDbXsx1vGr7G6442wK0GHerfWx1Lqcal3qsjCxtKaqUKg0UiPEiHFxvuQy3qHnsbIED2iFV4pX - BZkzNypPYxQNS6PL0koYlo3Rl7pY3n/23fBesez+OoUqEbKx198jzh9iFyVUiEy8g5mNZSqZSClv - QE5gzs+NRRs0gIx0Cfj7dzhQYyJdk+vOU/c70/G6D9UrrpGPwiOjWfyA+sAMDOAqVKEFVMBdiYpV - sKwDrUqhA8C21QUQtNCsNsYSpYptipaIxua9NR2wEIBmwVCxMcBEQzRUT65ev/e97/3BH/7hw8tX - 3/r2X/m3f+3f+dKXvryUyJhLLCAwvIsAyhDN66J+wF68duIsR01zN4N3dv3E4aCjCvmQNeM/7DYA - 0qL1JO4CvYAqhxsrj33IhbTbet/ZfJ3urhHm6ToZQIi5nC68XKguS9EXFZeKAqiV9HOpHVR2BCUa - aRm2yNx9DnGx6zNey4V135n6DZVmibczwjjrzY4CeU6dWrsEolB1BvRKJ0Eafc4x0Z09lAibHdx4 - JvbZxtovS4ng/Wkl4la6f2b3/DHE9+HbNLoaFJ3eNd8SilyN7Zj7YRPiJILE6qYd6NH9BMt8PX/R - VKxMQEXhdWwB9/kNpEFLPkBfopB7P0rLNDl4/yETCFD1EIf08v7JfC3qU4itm9g1cNuzN7ENLPCC - wufL5Xw5nx9wOS+XUy0q4hG6Fb3wCzTwOw+8gHwzgAdOyh2hTBSbiWRijgbg2FIrsUIu3DMvn+Zt - akuUwVCATL8arprBO1VACDKZzWQTd2mOSReaKoF0jKdRE4aGsRav6qYQWb/wI4stzYJQdOrRp0pk - 2Z5uxe9Z56DAPYTvv/3Y27Pr9vO2pwTVPUvKtn1HcjdfpIfFzTIh2VvBIiaKUxFdlSBrKQSthfmj - L37h69/84puvfPDixcO5XpZZbTcBqRCFqIshAL3aM3e22G/fo+E010lkBB7P7Hqv9VJEaekcdavj - IwHUzDwMkzsMAWMttIPTBijZ5lUXIr4ROngDJeFp3YQhTsPKI3RHdufZ+9UdDgfu8S0HrQeiOFy6 - 65YrCqEUAglEwGggOp1ffvjBR1/72pc/ePPC/Q29ZAHBE3zi7Xe8gSOGLntPMCaFyflix3/ci9a0 - UDlE7EjWDvYuGVYRXABQ5r47nk62Kzux/P74cNR0jNqGMr+HsTrmkLtuO0ZFpF3yD/bQAJVC3ANg - BWCzClzXSRklNFRHgtphekVGGSOzcay0VgdygUCvkKtnFkYXLXy79xZDNA7XbQ4AdGE2k34HUMJV - 96Eke8Epq0XyfQzQ9QzNYXiYPqFb8v6mW4MSlmVrqIQ3L8+1NNEG0G/9R//x9v0fXOurNz/7jT5i - p0IdUaBQkbZxr+UX4I68f7VszTFHG7MVPHLhamqK74sOX6RDQcENKlHboW9ZBa7pqA8Qbyn9TUgo - t1sbSjcAgaUR2kUJsoPTpITlSZW3QLoAwFIKQAramm4CRX316kP94EtvvvBBrUuXCgSiVFmPgUII - g7QbMBZ1u9qBVROGhXbpg9FYJequ2zzhrg4TOWXFmgIUQa2p0d09HWaZUxNNUCQMn0F+qgz09ut7 - d5l9aa2RAqcFN64Ply98+OHtgzcvXzwUQi2AKtYb6hnD2J86mBRHomlSceuB2Rj/gdFQ1U1ol2C2 - 3s2ro5EkQgCUIRT2T1oc9vAs6us4bM4woBhpJOSKgdnvTHtNdLc6O1mZgOtmapIyISBnIWK396C8 - wmByv3jvrAKgakmoYMKFzpeHF/JJwflyefXqxcPlUrWMcXRdeeDIIB8tjc7l/OLDL35Uv/By296J - NEY91cX9f0mVJ6BAildgcNHTtfzJHZB80WkJKFgixf0dIQbkutow4+YTAI4Hdmg1d4Y+3f6Z91f9 - bV0eD7Hes8H3vCtP1iVhj/dL8vdgAnfzmoa83dbb9fbBFz44vfjgfD4xEwhcK6iprOt2o6VOETTB - r9yqjqMGC/ywXjudUUkamkCA4l7dO09NEl4ENLLatH4Azxi3AqbscpRNGORgmDqKGHZ0H8DWoSkb - X9LghL4EezYyFq4M8Mfy3EGQpj/oG8lPR93ScLx0f9KMaqMJwD2AC4A2osmamyXXmLwQmCly9C3W - m8U0md2YhiLj6mZPixYAfhQfyGpyD2cEKS0buKEBrRp3VFR1HyZIFFeN/HcnNGOUaPD4TSVnGmGG - ++zX9fGTr3zly7/+1//6L/3av4vL6w8/+tLpNCuKuv+ofSVnF1xQq1W2EictVQdSJxM6MvjyyvpW - 3J3rto+A1CtUjJUvcye9RZ1qw2itHmEQvmfaP0RB/pw6TILuXnbv8ZqzVu93ECC6LOcvfPSlr37j - Z+TFl7itvCqEiKERqwFkQomH0xuTXBNT6XV68ZZg6ABCbLQi6FEdXIuzhegxFrcz8Py6Pp6dmB9R - S0Nni+nshWAi9SQQNFDHagCWTllZ1/EMoLi6YxbR8ci4xx6IT3w5vL7n40fI2hFnlgo7JkXOykoU - TFCisE0dAO4Uu2diib1q7vhJEePsWqOAlbnkirEAy7yoMZpgc1HmhWD7WP0x2nPLGUTvazthSAeA - 6fAZmV3cB3X4lox3YZb2epAjWA4K1VvTWyGiskBpOZ+//NUvv3zdvvLVL52XcqJyAqHXQXMgaOq8 - /+1apZN0d/fEnPIEfc5IzOJo2nuLJiA14D1jQhl+28Q+O4iMtxKicjsAcJpaGlaiOuTfRoCWk+28 - WwmAooyifRvjD1PI0LxHs4lbpR0c6OgghKYAQbVz7P2YAsIpzOQuEPjH355dtz9c+0yLcnQTdUqQ - GS87x/fvEzG5zsER20oA1gbgzes3/8Gv/3u/9G99//T65de+9tVCkKl2h8fqKkTRhutO4UqXEunw - kKkLzUHih9M6VmPS5tOdM7ET5iD1cYv7J4xjC1AZVfPpTF0yh8xUbNrLI6CCFjPhplK1iXhmgzzE - l2LqOgZ2KPeyLr13o+4eGF1kl9nggu4lVv7KV77xq7/6or34+Tff+OVvfuPrl/MlCuPCVTe1bAnG - qMC449TDxguoTh6nLsyGW7VrNxp2OGJ0oew9jdz2C6cVtClF+FiH6+jUXsXdsC0pqHj0EIgRo2xh - TWU9yvqUmCa7+2DoCYOpOzcdRaisIFehQIYotESR0KkDLoHh7Gdl1LERRwCVfgoDxxoDK+yULa3d - /6lxVsbkyNvBEgU4ad+29uvTIub7dYytF0M1X9uonBi2lZIDog/zCYbkANMeoqKAUkFZSBnE9rCK - UAGogtpXf+ZnsQnodD0tbzuKKyBo8AhnBaDixRLtW6TklR7ektLnA0EY6NKYY102QAiLh8eg8xuJ - YzdO0DgAI14Xon1IbgAd+w5Y3B28J8fKKCYzrdD4NVnd2X6gPiKyssS2haZM/OYLX/xLf+Wv/oe/ - sV4fPvra176RzsCN2E2Z2GjsMfSBMrzAN0+i3MekFRuw0CgnPChyNjP6/zm3tNNaf2nnxQkqE2Lt - HY4Tu/K3K/uZc72ZQ6ffPU4B3SlABPYEhsI/9xd/4Td/6zffvf7pr/wbf+20mLrYwECqfJyYv1nk - QceD72l/5xPSzuwKto+NSFAAVBpQI4Dj0C3FSAcjd90CSJipnWcPZTijYjC3vcUJtwa9tOVw1N2J - q7j5rngi+iZZHVeCZWTVPC56EjuhMlLxx5i717+MVBcAoFpevPrFX/nVv3H51vmrv/hzP/+tTsBA - pKT7wm7watg23/rmi1/7N//ar9KbvyAP3/z61798uZwU4o+pr6qO0Unp6wJgMhc0CYgJ6WOhKdEo - sh5wv/b0HtTAk7rCe2/NXCF7PrPX8951y45q8fAsF+kenfo77luwIyBvM+5vofrixTe/+c3f+I3f - +PjhG3/1L3/7zevXEEhbuQpImKvx8xiJdMVAARBFjCYiQs/fE06sUS1vhO+69Mly39beDjQ6OdsQ - py4Api+Re1/CVs2Yqp2fJF4ViCJDOhpzGIzyyUU/lM7HV/KlIU/m5dtJnSFEMDkj1OdC/QvCp2EX - GsAUntAJUyLEm+NWsFGvgYBQ/EhIAL5LmjBCI7HcmBkR7Gw1RQFqgS6wU2vQXVEC2lAbKuNae6SY - cOx/r+rnB3Ofiwdi2Al+kcHqcbSE6qezrSitMi2vX748vX7ztfqIUhusZk7MzSkJA609WSZmPgHc - eEWgSIgIEtr5sek9xD4qhpWwrKabnecIcEuYdd4pt4HZa7JXCKjd85780zsTpEe/hp9+dj8Q8t3B - yKfpJN5viNYasX740Ue/9Mu/slwuW/3Sz3/rmx++omJGiQGov303iZg1uXqwxg/heB13d/nI/VAg - IG3WkUbdMAYWBVGa37wgKZPUpze9KQhfEiApXN6D6GgGF91jTAcsVa+fdqDhEyyO2wG6l/KJFxEm - XQiBvocS6VPbPTNP4zLIpRFMn0acWHdKhluZZlRSGl6IubMEq8HrnmqDs3W/LZHlZFjUbR16fHhJ - VQaRAhRyX+430z53649yvjKmjwFFR9q4OjZ96Y7wY4FpKKLFI8z8JWbpqyho3ZhPP/3TP/Obv/Wb - 64ov/8LXX17ODWI6p46xObh0LJ0A3Qz3kILAyUCJo71IzONNVlJStWJXo/MUBaLc7aRpo0/QizOQ - OTEyEkyCxWdB0rM1j25KIxYgAto6YmeBj1iQVPkToU05TWqfK0ekbsegyRYywCpaoD93DnM3oYno - dMRZ/ygI+SmNVPXT73puP1JTZNXUvhFtIAEtiN3GiqsVAgCWsFXgyqUEzyoN3IAqTd5+XAk4vfAa - +QRhvNugV7zqh6eUTXAVO20EVHFa1CRpYunZpApaQlf1NE0ikFHD3YVhISWFYSQrdQUh6yImiSUU - tj2gstHlEpry83Z4bFfGI0BD76gp8S2dhoYxtfzUfD86nattBH4MrHb6aKJTHVNEwEdjcgXgt8An - imVVBl0qTq6e0BXyZ6AfgL74vfXNY+WXxQ/n9Vo25vQTK8XO4LJ13WdmZnmJ6OjS/vb3kXuqO5ru - vntC+x6AuzT6AYuzNJ7RQGP1A/6+utZ9sekqQGjAlUBkib1zRyA7V9PUMy+b6IvqB1ifjG8uAOzY - blfOGLR01zh17clrJ/WrJyMxAhiNsRHOHgkRiBZBdt03L6RX6CPkCr1AX0IWFOiCx3jLUCx0t3bm - U0ZC+wlWwPDOaHLdDeSUK9BQH95RP+V5ZayezOXYuAIrShUq1xTusVjEU4sSdiR2KoVYYraMkwiu - Gx5vby8vTsRa2q3cNojg8qJpeVvqiXAS0IptQzuhLSgAS6tto9JjZqpSWf29K/BdQIEPNlzEQXQF - NujFnbC+3P0gegKWyXVJxjpth36BLkmGz5YcSLpScyfoJ2S/40fHWkfymN2pG3eLHHopyibYVqxN - WLScuS66od1wfVz19Kd6Lq8eXjFOCq8hCqhiK9gICl2MGESHac0AXQVX8uNkvS5DGuM2AY0AMpQc - x9JkDhkyIfz+nXsPrnnnMjqa+9EtmsBGGYAZTgzTzk1vJ5mD2QhorZXbD1BuaJ8Ar6+XL32fsAB1 - xcv2jpYlXBUADcGhaS5uPo5M8c64XAvs52uQnYvrDlhCwToOmZ7maae0CPSEOL+jmHvSayt0DjUD - ZQwrYZEvRRR+z0uzETaANUK7DuCYRHlslMQcR2CqxCGBtecwwIMLypDruAIrcFYsgc8SACAoNjuY - vHi4XGvvzuvbUhTtCv4A9c3bdG7VBTgbsRagtg03oBBOBLAK9BPoJ6AXsr16JC5ewvSttm3hM2Np - jaV0Qx+MlSHQglbt0jbccBIuavdjHBirzr/75fwPGIWSNSWvzguGHdbv4Z9/3PsRpvc+2SbEmHjP - ri9NsUeYJvIU9wrdR9EUGwU3KINa3kI/Bn4A+uj/Wt98fC4fEF4Ay1vcrj+oZ1pOivrQUFvY1dAb - iBvq6sE/inYFKZhXMKFU9RNztWDFTaAFJ99bk7QhSVscb5CBG8zHDrU39cKzTt8piqJYDG/eZBU7 - OE2sshEaTD6Womz7rlL65r/tfKpCxKOEeO+deGLBnViz0nkA70Csow4O254teA6WgpZtnOgNyAaK - mDU6SUhYcuVEoDfoClqULrfQWBKbNdlb+344sEKLHznHEEbDCmhBtdqlhjZ26kYDFeAE9frtlj/B - aIwbIMCC24IrQSCv0dgugR8F74AH4AIMFnECCG/j3PZFsShOGgq4XLdCDScCbeAmWD6+SSuXh8Kl - oUqCKbu/UABAFGLxjZDB/m2cWyrvypBqY1GAinrdxrQKOq+cf5LQ2P3Fvvepe5oFrXDTy/TsUw/j - SosthFviWBW6jKCyOX049T1bSJP2P8trb5vT4RD5KxynFijQWltvZWGUDbjq9d2mr8v5TXeTIU6l - BExSXQHTPU99mzNGpslhTa6RDgCuHr6nDEKLkwwqItCIc/lUeAxLnMZrTu5qr1RYMlxSD7P874WP - IvljtzopfGMYWX2CA0lnYRGxejvCVrSIPukq0yHJz1R+P6apDcF8LEz8HhlTRhg+wZfun0tvypkt - OgyerPLF8z30vqSNt2b7sdBqsVWsKLSCTN30LbZCW+5aATToGkGlDFpuoEdAgDNwAUhVieKw9Sva - W+gKfkB52FA78O/G+kO33fSHFrxXRkf03TBIpj5iERrLDVdFq6gVlVGDkCwZ9SrYpOn6bn04vQKd - /P0LrgU/0Cs1ellPFagCtEfIDfWMcnobRg1jO4HRqCPdlfvZbu8AeC13dJlmR0Rm9dhbWKHiQPDN - wqLJ4iSXLCtAoFOvboHuZNdbnFMHW1OzqTvkYpduBcHkSEUhLZipXdhyWx2gtQt0xLyZlVyp9O1H - 1yeM07KAEEgSRz9bMyzTiO5agEU0siNikqBHxU1QFFVR7bCHFN6SfDUhkEF7TvETas9Rtz/Rprsv - 5IyRQmrLbn13hCRAc8PR5YR5t5iITxdsgrahKApDqzRUoJyz3j72eyzgK6cO91cSlOL0doTeO2mu - e+ZFSIbguC39nT2aWTjp0JcmD0hEAVD6JbT06JsBloNTuYfMdL0oBtxjdeNF8zYgzeAe96dfHSS2 - ydaP2EbEjtTRlVe8XtKwFuBEKMVzY5s0y5gQ3FYUwrlczrx1easAsULgSeMjhb2vgEYNnQT9nQaQ - Rq53IHIJfpjYmXNGNT+X0JICIooBHrp/ZIbueIp3o+0LEvE2yenAuYJ4B0A8PlRH+KrpvJgYmpMf - 7zfCQztzBvo+Hjr6uQLnB+ZNqlrmy2YdkdspFhZUgTgPVS3JPfQbeA9p8qBQW+HkSRhnLU1/ut7s - utiQutW2W2noGiEAx7LRvVaj429XVrTjh8VQqWDbGi+lMl48XMAkEOJFdSMFVAu3C4HhhUoagDhW - t7UV27bwKXTfgcMCdiqIAjIABIVARFNcBvy2QPMdfyQCWPanTfXlHaFbHev0Lit0Asesn82UNblr - 73ZspgFMDFGAZgJfiJRBykWEN8GiAArqZeGHF2Vp7IDor3U9hBuosfv/y/xO7gcSaHpnkigyL31N - 12nHDgNwnDD9gLdkdTmwcoRQ5ZZoOwGGxifdP6JOx8ELOq0BFqxbsJyADUqg0od/qiCq8bhEagP6 - UQXwuOjOkDgYxiCpPH418BNS9csRK24Tnl3DpmoKxA6zXpTKOIK5v2WWqBOIZolJgMUAdtBLMAfq - npVjpEUe9FFLNo4DV9D9ooNr+7RcnWXETgn108O5dOKUWqjoGdsNerbKpJY3wknex+saeyiWkIZL - ThYotDATmqAwCphLZRCkQZSoxCGIMXoX9zGBQRY6NpR1plt/juO2vph4AlqDJQ5uvMPaDOjIwqYj - +Gu85Si/ft+GHKbdpfywRrd9RpgHF7fPqs7cw50qoIA0tLXR7XQqJ/MNC0rBw4sXKA1lQ3ILKRrR - Zv5T3zmx6lUkIde4v4qKu9cZjc3rG+omA1BLIulD6juaTbEpNcYZEsGRtKlvZgyr0v6IB/aqk5FO - FScOOLgCIPLoSFDH63gks8K0Jj2jIWHAUcg8ggl++sL7U7tFGZyG0nci28ZroeEc8WvnJ/loShed - asqKxGZ1AdBA0H6y2ZCXJA45JYhVyYXnwMQRdTOAGUCPPZxwU4eITEWBnOWOfalgQ1YydbHAuybr - qkW4LpfTsqrK5mdcTEAKVEjIHUt5h+zwVTRoRNJR9pQlUB6vVS9BA6UucGeKiwHYCqr2MgzHVEn3 - b0cyT3LfISxTKYv8zMGoU6lNn3NRKJvOCgKj9OLBVOj8oq5lfXcjOtm5cdoj1l1ALYE9iUM5s8vq - Qbj+Y6UdY5RjGJ1m8yxpqtquhx/9O99taw1sGLjf+jZD5hg9Tm8PLw2ePXFX1sTFWSeIBunYp0Nm - sPsqT99zPx3CHrU+td3LwPtu81A5zC4d6jfysiZapz4oLVYTp2+fO6bskZCyEDfM8WJKnlNn5FSc - HjdCTYchEIjBRVH74b2DTf9ozSYXU53bQe8coMu8Yl5wdWsnNBGWfu6HNxGoQKmU06VOG+4KVTkT - cV3Sgk/yiHynrIXj2xk0xVanb3TMmYcxyl4nbYBxgMEuUL5/UqaSIBqqSvxaZmIZ7x2PU4P20+26 - mNjd1D/2FFaN/yY47BmtH8FZJKrbFU3GhslDqrFwfUPqfgAWWUXqAQHpraE0+Acdj+r9tH8C7dl1 - +xNrE0p3jtvtGEXOVgtFaoeCAm2eWdYjxlTRVMJS1Q26QkX1BbQwUPYuGradZws/OMImtR0SotIL - LFH2PuzoewzS0H1v9FKnrtBUJ5LxnYqukSNCknbbiQqyYbfOVhQnjcJorMNnFwNrHkykXXLYj4Se - abYjpx2TpaEe9YdtdOrJI9mNKEDzeoU+kg1YQQsiuNei4brGRSpba8SVCOAKEiipCnE/UAMBLttJ - VufEu5EmBc4nDnTNqcvhA18dQT2kLgm7/O5xazZdugacYWg6mEXMdLfIYFlp1SjwCqHJDYHbuyXq - YqHFxvqEJzFCeyI22q2jveYU0/Uqq2bHUbdtdKw2UsjSAERMg0LBHrSbd1oZIC/0oT5Uqhkne5pG - hiz6SzXtBDAFN3BCyGK2+20NdinYGFbbKI1HUmX63vpx26BEC/NyazAlmK9mNTrmQgQm5cKPbd0Y - lQqXBbJhu6HSwgAeVGpTUOnVElpEUed/XdPprlsx4AihocLqaezVvK4Yx3L1tQIsTJjAKQse8aLB - zeCGRjotcbdtFus8gcWdgJOHcbxZ0bOQ+g2+eKMkwKSkSdmcOr0QNqAELqhL5cgmEEAF5EExBRA0 - YGMQtPogxx4Ak2+JTxpMwQzywdSqzyuIa9bGOj5S2gNIpGwSKOuq2mLLbwpVwQ6Wfdzo7EQNdWfA - qm/129C76hickQhg9pCcEHWzqJmWlcCWa0tAlLOEmAOUbBGlM+uOWYGlNBxOKjsveUZu+IJYVcfN - ajraaRsxRAGxYV/mP4lppdwFjHIitsjkK8EWzlscSpi8t33oAx9TLZndUthfn7Jwj0Id7MmVEwYP - vuOu2wJUTXqBQki3AgVXX1YuoMYKCFOZhDEIQPO4R+tDASwgEWUNj6sZ+K01c+cVQgtGNzbfEurk - hbC/ztMp4bf2O41Z5jW8kx8Jsz5fS95bpOdTxznfWfOVDiTHU5uu7ibY2Ve/vXs3NFzwfXF46ndH - ohMQcwu2pp7KCEDshbXE1rEQ3FciaOrHD5SCGq+0nHcZ0Z3qY2BShXCk2Qw/mWGcVI9+sQJLIABC - 0tAUstCJEJ5V3gjNtjwHt+uAgKhVBHM4pF1CaOeR6BxtEHeixNgnzSBLssIoF+Gt62DfV9VKQ+sP - PkmaoR5ErkhfufkhsdJDTis7APSXUeCA7k6VaqAGtpPOuqolZOu8gMPcjQ3lDjWGWKq7AhoOlswH - dey48qjSho6zOphb7KLIkJq9EKSjhz9s9CqqxGvbWnt3Oj8sRAKBVUvcu780mJhhwNgRn+4avIQE - FDtXPrw8+KcYQa/h5MmRfijZ/Y1ZjCIcmIet35l+n9HubvAgVwRlepYsiHxnCgzMDVbizo3xA/eA - huJQ2R6XQoLFKk87UblELMMyooEJjmWDJqci9Ap0edqx27KK4v67Ofdh774lIWgonm6xoAGyas6l - R8kR99r0ffPAh7IvNZTLqPSROTl07jAXi/MQgW7gpx4njQZ9Bfwj7X86kA+fq81YNE+rv0Mn863E - pg5iBfsmzSg2RQD5Tw4+ghQv3hXhGyDcm69j1vGDp0n5HjGF29gr0WEDmDUKCJHbWS3x7Kcn+Tla - FtCdZ+7Wi9Ld6vDQvnwagBhywGFFUd+VGwhWcctfpQLZsBXwUhestfenDFaphHE4JAFRcjGxWxmb - 4+RImJSEvDP9pOTRad8tT3fgPMVKO+cgo1AvVKKpqzgUXUI4UuIsHXiSjDJ+wjM1pqm77Q3FmOpd - E1ADiyvMGOk4g7Q4CpS3gJIaCuZRgoT8hHmZvLMZDzrkBxN7alw/zvbsuv2JtcRtdfzXLR4ytEiC - eL/FCtdmesyCFQmUpq1tWukELmCBXqVdBQtRIdyZrmEg9aJfTnwTt7NndDaNoeCEAAAgAElEQVTj - 36dc7kVo+sllgc9xVBjUEdKTnXdjmjQ0Ho2R9/GPpzQEwp1KRdDsWn2y0eGXp4Sjv9NWhxNdSugl - fSheqEsoF+NfABVpzAIlkc1Cx7CcjSNdr4/t9OIShwyZEpnYxFSLIvPHztRCt9B7BjU9M2AbIu9I - NUrdU3pydJkkpeEVdRPzsMbLXd89+sRDZ+Y781onNjgGP1BYaVQ3pnniiaGO6A179RGI+u1DGPg7 - pIbYHdpNh4BlCo9cM1rcVUANKKQoQ9z4rGfRxWl40KTNJzpI801yeEySgCk1+hCPJxmMPZlrAqqD - QwARVMZpGVB6fLy2yg/nBywnCHB7G2RaVaooeDE9QgFhpjKqJSBD3iQrO7gbtPToeBnKSV8non4c - ElKUDgAl9jJzEeeSsGm8eGDUYCDHQJraJMORcCa+TEuT2P1MnYReHbq1TRhKSlSY4K5Y9b4M5lBA - wrkEEKGQSEpU70oZ+e9LDF26X8uAA63u3IaiHxbnbvCuJ6eFzzzcLuh8ISY9488xVr4XuIfi4+Di - 7hnvxcDkCmE4lfrjOmBg9oAODu7FXsQLg0kYqAeqY0QzhStZhUlLnJ+pwe7V3RlaYNuoK0SgS3dz - hitv4s8HYKW7ugoz7JJoCHy+z4ed8PR9oEy2mu18bYBG/T6fO3I0hI/NXjBEoACERrKBGnACnQEL - tN8Ij5ALlfOgouCqQ112QFSQ2wEIZGoq7bYya+GKUosn3O/k4V7qTZzjCUq3WX+W0Ncfa3PWviex - +Ka7W/shpXQwwfRt4ucMmmO4Zm5Hnwk7QLYvUkqqDq9jQ1ABRWteErTgBlkhBFogkZkOKIFZyX5S - y9+0xecycEBQmqdwo1qpQ7VkptIFrRIaeMMZlkDuEmKFCLj2Uqh5h0BdYAyePS93A9GUQKl5dv07 - 73BsQHxahX3TfI/xpMDaScO9e2p81J3VvR+ijrd05q9Id0+rnvCrI4efWWmXo6ITQSIVKY4nihDY - Kv2rChogDBAW6Cljp22Sx84kAUW6APQxFa+Kq84c+8IJCrDwgJaQlnGKnZICZTm9e7x+8sn3P+Ry - WqD13DasDSxY7thhTPvTKV0NJEODBSI64b3PUaK+vBA0Lnhj4JSwi6fVGz2c4FChca0PMZBvhz5H - CJkfO8K2+WftOopJT/d7qxKFpGlg4Qq1g3sUzGMHd2JqXSdyRzZ1vMlDHAAa4gFz5tynCLNJXdD0 - vz/aqca4Tg8fMeajyXLpVKm+yXQ01nxpxyMygCmKQ3VQ3i1knjHmnjLP+Dzy6X0rfATDPeOTfHWW - NWljrF90jugxQxFGoKPixfSS1Fmg/BBwhhvUj+cDW7gTADTQ2jmbAmTxucRA7QE+wWqeAsBern7q - TTMs7yCreSr56sR+B4MeF923O+5Tg4Ks2FR5oTNKR08oKVeEptZfZWyaEmxt6127rHRwT2uEOaG4 - f0rZKolDH/CY3fkUg3x1TGVq7Lh/XO5YuwYYxLIb3l4cZjs53nl3o08Z5g5ufpa41U6c7yOyI8TL - 2L8/bIo4eXyEJObWeR0cZLt/PzkV89l1+7nbwdq975bB5MdCuuHp10GabbAuGWL5kx0PKLQQ1cpY - BRtQGyq4goW179OPkfUcT0Xfz9yxsmHD59AtPaCl/RwnablH/+Gh26HyXiUdb7S6lhocKDy5CqWo - FT2RRJJ7BETeTWaZT27LJBDNc5q0hYlVKcocydrUzxVnKCKaeFOIUpEAPaEStDBUN1U9nyqIoIq1 - gYGFL+elu6wDRbLrFrsx7QcLeO5zWjG5n/SAh+IuQtZ/2icmOQO/C/LtN0iqMNM1gCkvI3P8pBf2 - oWavSsxlHMUr2SDZzWQw8DFbvbuvi4T4luaWMLb/1QnrDVSECADvYelgj/LQUSUDC6hEMZ4bQ72k - kY+ixxV1/d7ls8FMI9qQ+/TS2Hr4y0B+s0x7Wc/Qjo9Cbu+ht1vMDIyRal0qLKaFBKQNlS8PD1fC - dV0rEUoBLWCr4LZyebD936bYaGVslYkosq5I85sEMBAWqLtuNenUXl60cB6vmVWWB9O1NQVA3F0b - qcjLnfiUOx17T+YzPSDBZLo0Pf4k0anrMwTfFCcF08J1g0o+U10ZYpFDIHOYKOaS+UraiDayOnR2 - bZA7W+hbD5OcR2HwsJPcrJJd//Vgavsfnki7n+GimbgSQQ2uoSBMoSzT4szj2JMmpeWRJmCq5lNS - hqiVlVm4RwJP+5YA7NyeyS5JAi+FKWmeeJc15IaG/SbklOqC0lRDJxbzfsoNcoUI9IXGaRuB2gpf - pmEIJTDpZDSqvXhC0YgZ7x7naV2UduiX8flgETGgbDzUyvacUhFkVs9/7MMdmyp5FgyqhYENyqhn - vdF2e+TTtfC783LphpezK8p+25igkFKhUppg4zgkhepyvhQAomirolLe21Xts8y8cQLB7suBeMPd - cz9UOwZwbhOTieHsXz0v2cCRjh+D+bhg1dzznEk7XpXf8TlsCWbi2o26pRo292oFHHEF0kgeIQVU - fNuuw5cF2CC2iVS6SDdcZrfcuuv2BJC24Vwkl7UN0sCby8Yb6BF0Ayq0Qhbiqr1jOKYpoL1kOHbk - 0WPmEqgc3ll6HAPsfUvtwQrHd45OE/HPAqV7wDQiA+A2MOlOOZlZRgf6e5oG+FW82Lmp1VDCVgCP - dw1+qP6T8xwdNf2URdDMmuUezaCAB4DdCk6sBIUyubDraGRdYNSMg3tvVaANrFgKWh3BUCmck0lR - m271dP5gqadCaI/b2qi+pO682RMN4FlfKVYtLU9m9epjIUwI8BQ4j9iHlSQmh18ygMyoScWOxhJ3 - gTWdtDTOjxiT0mwLaELWNLPM6fq4xDdC9sP1zzbx+Bp75GoRu0VBpQpfANnQCrial1f6zoYm24E6 - LRocwqBLhsa016v3Yxkw2t2SLBQb4l0w6o6chtgtbVw0O3kUS0rv6MYp9cJ0M8jSUt/zVreikFb9 - HpFoenxCIhvq8KYp5oDGT2ma/t0NN787/+wjzTx3oOxuopTHbMWuQb4343f2BKenfF39NQrf5WEN - 49kerk67ArpF0dLoiWxXyMSB+4kJIE/iobsp6t3XY0Xo7gqNteuVuzpoE+0fqBB3TCYpTsM90ZmD - QhiFiTdppTGBsQAKDnmpk2k+nKEKwBMgBGloGJPs+BwjHa6FA0JLg55DNkLXoqdzsCemaRzfjqC+ - e89gOQO19nGO0N1DGC6R/ZsPxq8eHoSxoTvm5KA3dYI9q1ttkcYrpwF51O2eK90B74ACf2LtaJvy - uT3RNKGPRmpHRon5VjV8E2kypYHscFxntXPuJjYundf1BF1mEKMBrblxSto26Db3olA/xcD/rW1s - w/idLkolRmwvs4d13Ba/xUffeM+QUUAg2oc8P5r6inCbqXsFGmgbDtzB+C2L6p4vb2EdpzATvb9t - DOP4t7tm9whEpAVzYQXpsEnUDEod0NRw3Taj9TQ/sqO0mQCmpEkWEFfmu2KudM+nOkPTvAqd9amD - tC+E7h4b/Zu9dcdeJjHbF/tYhUpj0TmAau45jdWGCRE0sZ/spqa69bmYAFIrgqMx2ICwAAm17ljk - U9xyr7E+gZBHrU8ITXBTbP0pdwhpHy1AZSOswApasYkfNNehM/YYU9yc5tHo4BozjAPO+2F7WNYw - 2hgtfctrd4D4uv+0BxGQ9jpJCShcmIoa8yFGYZBAr9huQC4mauEqnQfa6S8+tSBaXiGKDWr/XPYL - 0CAbNoFqX7ruw6cNvIISycfqJeTz6Y/VC1a2x5k7HDrCgOmL5g716V8xr6SPyMp00bQD5GXygztR - /9MfVCbhNJV4QfPeBYNjBRtPECH4CT0bxk90aOofkcYxgO7IR+cf72AHdKkSvEDvXqO7HqZvBhab - qGNg9+1YZFhndpIJL3OlKJgQEpfi5amUSh6S9GE7ubVQ9WKnDn4eDgF+4IZcIY/QJnGCntrtFMR8 - z3GMMcpeLALqwjQWPdxmVkjJebm0wWgPV+oepHkY8egW4+2/RljiwALaqegIvPW9JxCIhAEuRFvB - 2+rOIWCY8BLAG2gjBKGicxgxgwuXKHngKUo0jWf/6XCOhzCJ644aKk1VVdHajqx/vG0MP4biorFf - nChrH+naZ5s5zORGn6aovcv0w2dRgHywBB7hkryPCYOrEhCBPKLdIGpukb7CCgVW6NpPLdH4yZVi - EWgLNQ4weRpVFqIHQWshOBQC+gHwJ8BbiECqBWPudBqFeokwHAaz2xbgDFz/3je290A9WiMEBXx6 - ewqtjmhXkwss3ja8drvxdQY11jYNiNLeuSikWYU166W5p+4aBft9hWw3ERBgBW2IiLrNwGkrtBFu - ZIFNAeYGVbsY3EpRJM5viWEV3yLqs9FG2BQqKCuq7ZGHnzmxRIaWosRclnNdQAJdKzWmXY203N6X - aj67BuwfD3hCPyO5pIXIdNd/XCfGPnhpA27ADbBjvtr4NS27DmRIEvJuAOnLxEIGL38KmydBkHkL - QCxgQbHcn0nv2g1Tdp2FNNHw8A9VUtS3Cfd4DH+x5pm+Z/k+C3Ha98SQkLaP9ubKvQKeRrJb06Ox - pe8Jh/aTPGIuklfgUyf+9E361A8Htzm4dgi354hDRO47VS+WNW6W2AD2LR+7f0dBwQYy7jhr2bqv - TRraFXqLggkxXoKpe9u0Lw7oFjbjPTR28H7Poj0Bq8Ne91Q/96Tz/6Oe0V5S6wjpi9oySallgNNx - IEBYCCnqtldssAC3CexD+TVmHLCf8beP58jzTQmXj9uwEafuKP2bGRKQdfBjD+jBpc4Qdbcour/N - pXvr6Gg/eUKPWePm2GUZCm0miv6/BoRT2O/x2CY8uyOWH397jrp9T+tLwABpbOI7PrbGAtSihC0O - I4r9MgW24DK0bULMtRbH0CgDQCA7pSHzpNJ3Y7zPAkSsyShBY2Tt1iKUFQW0yUaLnrBgA4RQCSxE - duZgcX/Atq51XXjnCFUC2akRCnABSLmf8eBmGd0KAyAWAnFTqBTUOvJQASISUREuUenbdG6LzSQn - cp1ojyCgDaRgP0DQsySuwJkik1o86FXZi59ZZ1VTEG+ZWCil96FnC5eQDorFDjddgu+ZR8VsdTMz - RdombeHKKIwim5Bs3rNio/MVS0U9db2IGGCec4Q8R4IKaHEZqQIUnF4CBBRGOScHhDjfeSkQJgUb - ItUeCmUbcBanAKgQCCdy3qIMy9Q3oIFZvSaH+JiEFkUlCLsJ7QAgXw1D4NXPpieIn0RJGMV0Ncye - mJzHgmHPhWOTjjQqoFpuszKIVUkJ2yogYCmtQRSVCzaCWigdQaU15VKUiwCFCvFl8nFNmkbZHwps - H6kXTQ/JHyKfjSBpCZJjK7U6yNDFJnEqxzMI2Ttf4KWQPcddsVBP4XdyLgERBKBCSAe6cJQbcyrX - PlFLx06vBUAN3MAES733J4xHWFRLKrjmJ04wvG5ZqsNKisUIaIEXF1RgJSyGvewLr13JWEpP87fz - pQWNLZOJTcNHqQDJhlWwVFhQFRMAtloJCwi8NrCWE58Qp50GvJmwWBA+MUpXHblZrARR6IaO0gjI - tAHbKdfUc0OTKgGH//taP9bFan/nlFsCT1k4ZRwXHbGYBDuEmVjTQbi1s32nNcCi+UtXQwl+9JqP - goAzWRraQEiMgPeh4Sl8+wvSc1SZwGcvyY3uP5TAqRHZTPM/gEDnSeVVZ6XUkysdHwuIItwIA8lD - MbPchGIs2ji/bchHuDT1ZeqIjYoeox7jK9VqmVfQA2gBLgV4MPQk8y2hDxJgECuK+Qms935uWOm0 - X0rMcStxCK0GXbIvBwcLtC05YbCG8qQeGFaAC8iCxTdwKcAZvZiFYcuInZtCRJR0E2JFJaERuKJg - iFQCoEUpVPkC2WKIbVNuQoWy48Lk/epeFT8qvfYiJJKy6ooTEHkcXvjAiUBYTG9wQvK0WNuCjLqz - Dk+Ok0UYhLKg8AJcLCzG+G2Uewd5uvRlKJ8Eiq2JxQ+a77RFvu/JVSmlt1Nxt5I2UGW8oGELMvlp - 1sDA0igbquOSWnldAlRla1xYCbetlWWpACCMpn6MRqK8bOikF2D8pB39abqR0sszFxoMef6J+xNd - nfBfRwFTHLA1/6fp0blz8qF2vfXu3Ya8YorHBTjBY1Rc5JEmVy4VlAvOFcwW3DcJNT6jmlaZhlxA - C6gYlyqDTOCZGBhUD3PiGwlZl7iAAVz8UFA4/nGaLtuh1f2JPFUP3SLKTwVBRp0+9SVIwEnBSxna - A56dbz4B7bnR7u/uWp9TH4NzIfLSOTFXgoOQClLo5tyVX+OIYh16EoFodOh909Bf2JgBjbRkQmVn - e0JGxaXfBwqgBgKQJO4+gaiD0tk3xZwpDrrJOgxgrAdV+xQLU7kQoWgw8tAKutrHvl0akMjSLg1q - EHj+GK9/au12vYb/eKda8P1Tcb0b4LTvdN+mCgo7TjKNPFO9fd75n2mQZx/H+Ga8xepQMZOfsVRA - QGULBSwJOqEgZ1DRQMMdc/LX3QHEUQXVGIV9cdLuRdGis5jtSJPvb4h5JVAVQI2BaH+J1+VygRdE - SoOvTljX59qhrmMgtGcKvTpJuAoS3j+FTh3bD9PL6eDTAQANtEPsZLgcNR95X69pkOnJ0ge2RyO6 - Y7opa4emucYG0rQ01FlLhxH3h7mEXRZDGnOsZq4khwkfzXRHKDuQTTc9DaOJku4uW/Plo+HLiZHG - RBklar1PICOUiqWhFNTClUZtLfvHFMbCIE92uVmBAg6F6k6NcLCc7EbaT6YPLU5kSUul/aOBh7jj - ymRVpRn1USdKHNRElO4eZAigBK+ZXpjfEaBM0lyj2F5AP/EeH0+Nx2r/qR+iQhQYTzS0j+7ay9Sw - AAIUkzB7HPAJTnyo6zhJIf+JtGfX7Xuaxl5dVavnEq7HArXTwoBCiweQ1IbFT3ldha7EAJ+ITqWe - uO+SULgpyEkwm8YcWo+SE0shsJmkBJAIdNWmnYsWoFzW9vGt6AXtdGI0BRMx3gF43B5AJ+XT+dTQ - jKOflsrh7BQv2keA+eM285swFogr0AKsG1Aal5UgDMtUrYUKcfVQXtkUQlyYCtmp3+GgE0B7LXOE - TJOJVfaKJABVFCaBrqArlKAPlrTZAAFXtGK7cLoA575C25DB9mKnICWLQk4Uphv0EVSFlscgbBIF - Gipv4G1oocpMxASICpNYofQV+oi2KV3Welk7fYbiZiYlYxUsBFA3+wCgelC0qImlLcThqUNm1C0r - DYUtxpABIg7noPlIuDTQTYluUleppFjaetKGk0VCek6jgoh6lC3ZojcQgxmr8aZe7j14t8H/BkDA - m3NMfzVUIZsxyxb7eMPqGf86QBzFIwWGDC1WEWGuFUst0vT6uNVaibGtik2rucILUKgs7AdvVbTY - hRjjAUBr+E9CaZgGwYECmlh2vidUkBTxWDMndrWBsx7qNdvV7j2Zmc0j8G8hJ+kOBE6j6R91SE5z - ymS1aYjhHo4W5rMC1MArSEELqPaasgrS2ClhaOAC4A4ZLr0HAYpukAZhlIJihS0L1oIbUCB+jj0I - sNKG4UcNEFYQQAXLAoIK2gqpACuBKlcUBViZVlJCkQ16w2Ux6Vy5vCw4QasVHMLwqRGUmlffDH8f - biBpkBU4A6SE5mnqzU9GUPLiol3MJ9CGWyqpeJT+nz8NSBrr8JiwOFWjpgiOEPTO1jZQ67k4VoCq - UqMikeBczbeXsNf/df0JHAVYtatJJ3LHhWNUR+/wwgKiEAUrcbpIAKERrzgVYEGDXqNP7gNHukRQ - qBWCLD1fl233mtx7z1iG9eUxAZz30AmIgHQBLwK6OZGoeyanejj+SBxXrR7dM4UoSOJNhVE51vWC - 6MZtTolRVzsRwvhC3+V3VPC7SGAcW4EVvmcwOXNAcC8VbcFGBeC+XjqI+iFO6NpA1ca2+K8Vsemf - uWTsPBGVxc7YXNFWMIMJSkrsaodCtBAzgRgqxQ9xKgoWNLLqoXYWGiho3wOCF8UyLJh0qkVxLWAU - BOssNE5bDzu2ANQMwxi+TZCsAXb1lzjUc3PDvrQDOcqUmMzDCwdYoXAT4tyTJdG5Frxby643jHP/ - 2gbdQI9Ajb0Nw3zi5CQlIILFXMRJw22TsjATiNzWZWICiKgsBQUKZTTCJujqElwBpMAC6tgc/whQ - O6qugsYeAA3Y73wmNJ+nmshnmD1+LydSnW8feJhEnu5u2DdfkU5G8/jcdVuApe+OcO/MPWzku0bE - FcsDmEHFptvHymDwCYvRSyKq7pRkji+uQlDsYsVUjKrrGGXxnRuqUCsu71jr3J6DkXZbK/hliAPT - 08arkxQ2QW9tGNAdgHeQHDSwu4Xmu2JIfT12t1EHf6wghmJlvwRF8Oi1v7uATgg/OE0/9U78TzCu - yRtbx2CCkDG6nl23ZPZ2kEHxn9SW22QczSMYXGmCC+3+9Lr1PA0+QT9wmh0SYfWPo01jTym0q8yf - J9rrveWN/bSdrhlueanmNgAbQ4rbx2PzLMaTPBvgwWwxOS9i9e/eOk0lYcL+d+34P5CMxofJqzLY - CYX3JSKH7ERCcp9Rfi/RcFnHRDL7SuAZnrodHfhjta/kDL67mTs5o0N2B5EM0ii+HDfbLiMFDuX1 - 5dDTOqwoGMDeCZh4xoGHMNE103yN9lIAiTdMKJduoP2HA2yk1FW/RIe3zteY5v4TzG2WnFchu1CH - WAAimp53JEvjmbzg1P2tiSl1DCJoCe86JtIAI1azDJZe9hAd49f566cBY/8s7W+LqRwt33x34t8E - rmkk6VZmLAQ1A3Ms/GD2NLFjgxYNGUxDmM6Mzh8/h86bucG4hQ+wEXtHq18lTIjB3UGbgXUHBf/P - SXFcr4FaPOhofi0Ndk4TuXsgwLhnqOwAEjfqqoWzrzSoQCwertvdGAhpk5THbtKEy51VTiLoHqQ/ - 9vbsun1/kwjhL+EZAXo2fFxq5kagEXXLaCAVLQIB1VwWTrEphLAQ9pVwGICH8HpFO+rF1RmKJp6Y - wtBeIrwKlc2M03qD3qCL0GUFc2GyOFotupFUEFBs80ABr3NlKZGGfK2gESqkuGeC3TNCBcBKWNl+ - Nc8M4jBjAqmQDreaqA3f/jWLLSWEg0MTLMP3as2pj1boJ8AJ+kDmLSTzzwpwg76DvgTOUB+pPV4B - ojCN3fKkzco1GaGKQlfoW/C50Stz3b4ASBTaUMnKdp5mDVOh1hFsAnqFXgnnDbjmeuymDFABi+WR - ES6+xH1uYEizqNsGegeczAMtzWNtSQgXjZxSEMOPpOfuulXB1vREN5R3hCq83IAiKCjQ1V5HnkRZ - BMVd6eJxduJJcmSLo7u8BQXIFsR6XXqcWuRsKWQFs5J7dYdlMAtfuKnRtxH9XFcQi7ISiWJdUQsK - kYDNqUvCTIu7OJTMYtmwNWjYF+F8ccBaxhmgl6zVTEyTGBFMh05M6HltHCUYSfNkDY/c+eyXKcXk - iLPzvr0n3b0rxsc9fnBS0EOAxcYmta7BcMaWMXgyb1HZCQKyLHgLslygp+G8IKjLj0a4CoplvZUO - iJ6eVbBB30EX8AlYPE5LgRW6QE6xhS+K1r0Y4ohIoOKnBnO1kVIUrVOIVxNpbTuXzaJNSRboQgWA - oGxKm9ZNPVwSAEEYXnMf1bNdzgSyA6AIK3AFVVAN96TGIXGMBqwdxjMOmBC/v5ibpv+hk8vGnMIA - zIXOQnwL8ZxAugIruMXBxRqUpRWNXA+o46iCWTUqQEGsTd958ffHTU6bvsfeV3KBevkW+OEhgoHA - N+AxIkChV4D8SD0LpQ7SJVt5bcANWsBl9XVABVt1nnCMKruLc9jFlvg8oqSMUUCgtRGZT70CBHE9 - MoUCUYchk5IqNv95SAlP5G9Aj29eLGVA34EAKs05JJEXzPZlWAQQMGNjXRHapzmQma6owHbGxmhQ - 8xF1gCigChaCggo40tEseZ8ZoQWQj63QCbwAK4gL5GJ2r8/COKjljhIHf0w6utf9vMUGRiEUC0K0 - dTL3dQFRVc97UJCgkFKBDjbO3q/lQLOgSvgCM8MvAI0kxaUbAE4AGnFItAK3qE5KBKagPoc4MArB - Uz+apgJLCmQyJLGp2iFF4sKfIKiO1gpXc7hPw4hhbBpJ35nBCn0LVODV2EAJbSZoxl4hvs/TDRYF - 0fBadOccFWPZPUG/CsrWjdSu9bmkpC2gRq6fbFG4lfNIMqofXjjQ8o+8uunCLOLG97t7n26TcTSN - o4TVR3UX1QL4jMcrqaJafhjdDY3Bp27VjAlNAnF2NuwFnXWS7inAQxjLeUB5hCbUkxsPsx1ILg4y - tcfHGSB9XIdXn7h8ePWzLElaxHLwCx3/Nk4FO1jO6bW8uycgk+zA0+6F5e4m8gPSg8jSgBjwjZkx - k+QqSBfnCROSnyamWHI/aUo0mFwI0XuRz0dP3l84WpQnLj/RpruPqzYcXsQ97k+dPv2d5s8zW7jH - 3qfhMIgl/zpPqKsn8YkOvQb3Tzz5/py9tB/GfUDuezhd2V0/GJEDJAGaItzvEPoDW1MvBxz1YFR3 - Dxze+MRg3z+V917e3/M52cynjHDikXe3DIss/o70if0C7ig+y49Ez/EjBU8bTCYv+93aHTPt44F/ - jkb7T3T/2xHR3aEMH+Ga/bL/aXqW9z05ZDr0Z9G3n2uEtN2DP748wQDv+kqidszpPZ3MqHV3D3cX - 6bSUT7KzmYLThwn6d/qATiOYVjOYWJmWbz/QJSzHzwS/zyc5frT27Lr9tHZ8Rp6Cw1kbB7F6MpIC - vIAtboi3poYzPKHNk+tLOyyZd30IKD01IHjaqVRgC4XXSt+1M/Fp4aW6HSFNSMVyAwEn5/QaDtNR - d2K1AAtb1nwRiCqoSXdR22acUlUl9Kgp/+SWrgDUC+UQzIE4ooPCE1cmZe0EeukqoHRnmRnIFbiA - FmDkefLgT8WXzGFhMTgwzxxUQQw6gStlFy15PnUaWV9mspKdTVDcNq3f15IAACAASURBVCEsBXU+ - GWNa2SMZZ07AfuCX+y/8HZACJivPohDLNy8+g7brTxXb7bEW4cICLihnRmEsYDRTSobdutcoJhma - +tx9ORICaTpjmp3HzyG3T8HBPQEMrkDb8O7xejktS+XzxV2rtQLlAiFQswBjUd1aWyHL4kemjNHq - mNs9kVK6Vf2//i/yy8dD7pnOp2dM4LNN4OQSoNFnf+GdfKd4893Y0jWjjPfz/FkS+pWR0W89PgF3 - 6//eK4zwX7gfcHeUy74LANk3T/vfiFGL+R3Ltq24bctta0RSX6IWBWrh06U/otu6tQbgdDqByx58 - 1HdT/QvDdUMaEUNp0/UoqueHaJOqEehN04ql6nmHS27/RqlQ3wg4QgLsxptR9HAirpNYZLWEh0nc - Rah5GW0nQUOh9tI8I5JLQcOKmug5RF4CAjlD8mhz2y2n8GFBwf1MgApzCQN0tjHX2PpvIKITwWsf - G02NCfvLTrBQggFcq67D8LolM4OL2OQuzqxe4REtUMhy7exBPOqIEuTvV6R3c9ArRX/BjQZh0syF - dv3uF1idN5YsE7n7O8Nv7EMYCEnheFSryD7YfFaJx6k5aQIdkEdMe0Jpjrfy05xq5moJp+5hOuTF - HAOSHhzx/erUlIAWiNc1/zz6HlhCU4f+rRRceu5iHwMx1ErMu4c9GBLNbIVmsEyYAQBE3UH8BDf+ - 16XRwaf33f3kXT8BCPxrC9T/X7U/D6vw52EMz+25PTdrP3Z6fCbwH7H9eQbgT3xsP44X/DkF4LPr - 9v3Nc++s0G3o5L06tv1ULMytKdoGFlBpqApmolrKgsnewNMGktsSe7uwewzCihCoJS66b7LSyXoX - ARXUWojPAAGPV1RFrTidTgXCUGmNpaS9sbB+ieFu1mSbWbhLhQJWTICVKldX1MPUVYJQAaBRa9Ou - m1tb45DR8HJy1LNy258jIKsC7OkqZ8HC5Ceqk1r51pWBuHGxXMUIaOxxLsTUVwaaiht6gCkX0MXi - ts7dJGMA1er7Vi+jYhY3ubXPhTOhNEVRZazAprMRCIK7bKY9IstRrWbQKUHtAifEYIAaRC0D2YZo - ydfDOYC2ggSXy8J1A0RRNkhTLoRtE1o35iUOcg4Tvp8VOY9yh3w27vwt294YVvh4mtKtu6emsrAK - 2TaGohS0poqGxuVUK148nBFFj1XRBG3FmTavolEZDCZauKqWtUkp05Fus+shu1UxMGCMw2NGCTpC - SwYdW5HJBpy83KuDK/WqO8D180zi2jyC5Ek49t7+8M2xlifw9x/DNWLfKAKf3X2ju54oIXzvfd9n - n5l7SobrxFiBNiUPwwVsP2cpBRcqxFKKPX5d23a9vbicFdK0LeVUS9VIyaMZR0lR+2DZX1vC0RJb - tiCgjhwg9+LuHEifGaYHPrZENLhf2jvvTL8wdonDo37vFvx8LbCtFthZQMK8aFTiZ6uwowIV9rhm - ZzbhChRAe1QpnDuWeWKxpp0vjnVhj4IHIgPeXiAgDwYWPyN+hTTg3NNeF6wFreEsoCtwAXnlA13I - Ame7v1lBI4JMQS2WhRAh7dMyQPseWDrc2fg2Jq+e3xNJAImx+QLpoN97f+2AxFPbA1NK63tE/Hy9 - +ydbAymKbYbOCdq3G0SxnDNXVkI/CLzfWfZDm5zaSTAeYuzxgOM7B6nzeOjgzuN2dBclL+ddVq01 - HdVan6Bo9vDKvkH71Lsce6ceFFBVtpcTQT1ilwG2NKZeLzo9MgRb2iyaYTfy/34kJv/cnttze27P - 7bk9t+f23J5bas+u2/c0M5LYK7iZqRVnNLmd3LY/fbt+V+nlmzcFUTY2AnkIhSLrcpiKI8wn2VLo - Fwjd6ZZGEQ+ay0JUFYU8gV2VqJnHr6luj9fH29t1063x1ujy8ObDh2KHwXuyKkHbbERGrpJ6HB6G - 50vw9t3trX6yLrcXD6czVXAx5yM2fP/7H28F5cXl9HD2CK9hFfXol3yoInneIo2EO3J3rDIaVEB1 - xbJGeW2zTxkrYTWnLcDqR9+YF2mD3EAFfDKfeq/B1/PCCQrZQAqUFUXAxYok2rBGyK6w+xDcPSXQ - ccpyiy6v1ytucjnNzpjstZlMUSuO2CxRxx0EQti81pX6TAS0QQhSgGK5sWwZmb40Dj5qqPS9P/uz - R3n38vWHxJWZGSAi4lERbrKsHacojXIKF74zw4e5emCh99i1Y6N/9ttOv1CKYlMm4optgww/94AZ - ANyu79bHH7y7Xd58tDx84Tj4/cj1QNgI6IGGaR1a1BnR8dOIwNsIG/XMdX9K7tOq4iGJwz1nf4je - j/Qgxu5ztnBwDO8w3Q/s7tWUFlH3vwDwVAF3nczOjd2Axyvnu4yGfXOL8+OFcFt/8P2PH88vt5cf - PpwKg8ZZyb4pAiW0BhBq1M/rLyia3+Ku29K9JuHf89IZzsT2J0p/HtAPvzalMPIQBP37GOOMdv0a - zyde5/friN3G0e9PNw2GVmCFX1Yog8rWeUvcxSAv+EC+MUZ4BABYQQCNzuzlDkdnmaS+e9Uzmvz9 - PA2FJrYeZVgMPCt0A52FsJIV8r4xrkBZsWxeAUMgNzDbmTPeE4kVwo7CJQJ6l/IxCDoqVuk4kq6E - 71eC+VBH+C7l1BewB69q0FMSx0eNPP8/M8J76th97wxwwr7cxXC46u5B3yZGVBJd1+uyNgiBTz13 - XVVFhTidGpyygwIy2XUbUbf7yWSanibRU0QGEgW1D9A8BbXP1Lr31jtNYiMDaYTc3nVAOd+NxjTy - RPJln1JsFkprrVZiO6wv5qew8vZ0FKccAJi3uSgDk4BUHPBH5PvP7bk9t+f23J7bc3tuz+25WXt2 - 3b63RVSIxbfY+RcKqIp5A9u7xz/4oz/+P95ef+4vfPv8+s3Lxc68Xdy+AgPumEj1HA9sgfTx6KfZ - phnuG3cwEhpBGuqyXt/9yXc//pPvfvyDj6+P13Z5ePnVr//UBx9+pVtBXCoaHq/rQguPqmIMskKG - 4saHWdEgvV7/+I/+8E+u328P/Au/8PNcLxb3i21dP3n7+9/559dSX3/t61/+qZ96uYAFxawa3bwb - oKB0h5IA7Ae59BcblJUhPT5UwxGQfCVK85xTYFRUWbQVSxBLmcI9gGb4jC2oZhiFZE4DcieBAiqb - tFrdMpRVWK/gtn3yycfbC1xeAe4O52Hi7f22UzPjkIrFqd05cPLR3321pxrctAAi0PYvvvOdP3n7 - 8V/89l/+6IPLywIGykIop+S51cmWj0HFEIcL8KjxVIViZ1ePDGUN49sWady181jwsvjJS0wEqlQQ - 59GrqtoZfmRHZQGNoQQun3zve//qj//V7//vf/Rzf+kXv/GzH5x4rO9MNGU3wE9bgOhg8lfQBKMx - jzZ1Ft5eciQNP9L8js/sL+wPvuduyq6C7tIIf8rR28NBA08e1whvO/J+gHmsoDvlYonHKKNIpXmU - MmGiY1l2rxSqoNv2yZ/93u/9U33z1Vc/9Qtf+6mPXi98Xh6gjcCL8m1tKAUFj1etBfXcV0ehpDSK - 9oa3t9o5aQUgL5RZwe4x7KhNP7T3dn5sYJFXOh4VoQec+z0UpOFZ16V7np9Exidbvjk8bbF36EGP - VC3Y0LagvM4Mn8EE4hYOLSu3qQTxM8F3k9QoVz6/nejA97QDzvjq1QnS7ksJt3wvPmJpFb2cAgAG - LbaXE0GmTaFEnDl7+FgH8sfxWQCar3jKs4hPtlWq+9jaRBH9BMkSz0aZtoOiaRG0jqe4yx6GfiAv - 7uN3aXe7xp/ay7qp6NbWtRKXUonq+vjY3q20iNQLXV5cFghBFCLCXLKbcjfTkB3MUB1v6ljUx5b5 - YGwtDKQzxl730xyi5VOYFzL/2t9MPTZ6dp8nPucDGO9ybQwaWxHVwvJ3G2ipNyOaQClVESFiEVnX - jfiEYgX/naO1dW2ylbOdy2y7S2NHLDirz+jOP8t9jJ+L5p/bc3tuz+25Pbfn9tye23N7fyu//du/ - /f/1GP7cNlIRKBGFvUxWV66RNlLB9d13v/vdv/13/+7f/q9/d1O8OJ0/fP1RZTM/lYj7Ac2cqgre - GTs7o2Zn2kxmSxh+5kAhAF4jta3bukLa//K//s+/8zt/57/5b//Bf/f3/od/8N//w3/0j//RH/2f - f/Ty5cOrV5cXlwuhkDLApRY7tKi7rZS4gTYUO+8vDhOTj//vP/47/9V/+bt//+/9T//kn37zWz/3 - hVevH8oJituf/el3/tnv/Sf/6d/6vX/5++ePvvTyoy+/uixnstNmLKJKQKpkUbzuUqHuhuxTJyGy - ENTu6KgbPMK2wgzwXl23mvuoZ6oXCOkGbSAGVbFgsYiWoajGwDCAMYgtmiZ5o8IvxHaaCRV4rUYm - AvG6NgiXQkR0e/unf/wH//xv/q2/+Z//7t//J3/w3Tdf/fbXP1hOw0kTRSLA6qeEG3TdQeJFJZRQ - uBHdQNUOeLLzfXhVrISF7Swjd1Sscd5LIQXktn7///mXv/+d/+y/+J1/+I//x7JcPnz9hf+XvfeM - kivJzgO/G/FMZlaW94WqQqHgGqbdoIE202baDXrQPdPDcZwZDcUdiSOSK5EUf/BI56z27NFKWi1X - ErlLinY1NDsUyeGY9hZodANt0Gg0XMP7KqBslktv3ouIuz+eySx0z3BJ7s+8BygUMl++jBdxI967 - X3z3u11tHcJEnRqg70QUlkUP2kWR+4YCwRKaQj6pFRWsislLscCuZQLhj0YcF6G+M0ViF1EC7SpX - bvByBgBm9pVfq4JZECCoVqsZbaRlQZAhKAUTVCASASrng/jMRyfe3P/Gs889b8hu7+lvae8IsrtF - zMGLgVwScUXvYBsg7u+w0QSqs/BEVDiycc7FHtFYH0OHRbACPevYb0On8RvgShECm6smLxoUOxpB - m0YQ7Sbo5ONnqP9ntTBkgA3FQNIqYdhoseDoMIQdEmOyFLWaADBFOyIRrG/AEYNbAGQ0NCAIQoS5 - yRzWutM6LDtP1JiUTAbV3MKF00f/n7/4y3NXp7NV0T+wtsW1XMGAzszMnj177tSp0/liOZFsc1zH - sSmYtsQM1oAhEhGfFGQUDIMcn+IiThrGA8GQDNVSQKsxlpv78e+HpITuzaZhBRcmYvqGU4NiOAlR - 6rYMINToGNMwXVY73qrBv8kxGn6GHwoA7eD8EoIEtBVKXBNYQJAihDXBOKKYCygIDjFOXj1NGTEL - NeorDvUQ6mnshI+JoNcPD1cVjiampPqiKyIkkEEMKxZyRYDeRmukCBVlGJBk6o4I1KKxpbA4Q1Qn - S8CnENQTcVXKAAuOYD8R1+aK1/og2yRQ7Q3YnAwjQgDYCvZd0XClUYU4jmR9KRrwn2zU+E98U6Oo - 6xtXEQ5xZnBQvlLDQBAR2VLYUkDrar6w/5XXXnrmuR/9+NmWjs72vgE3ZTPAZCAgQqHqsPoteHWu - RNiM8GYY0KspehSJVqvw1hw/V4St/SSsNYJNG09cP6LhuFWuSw3Hrj4y/K7GQvCRb1BYqpMASAMR - PwaJQEqIDUhH3WkBDjdscMY+EM20hv1UDvurWMhPXp888uHRlWw+m89nFpek4yYSLgAhhJQiLiog - 4r3KhlnauHZT9I03iY2v+k/Tmta0pjWtaU1rWtOa9g+zJuv2pxlzGAdxA4EqDHJYQ9cKpdy582ff - OfTe6PqNW8fXa7AJ5F0RAb5RIBMgWHWtyfCJPpQ8AEAx+hM97EeJkxxBn8HJAmZXCJiEwQUsoysL - C/PHj77/6isvFcvScrvb2tu00dVqKZdb8WpDzGlBMrwiEUVqCMPTuHy4iaMmGLDxiisXz5w6dPy4 - buv40s9+S7NlWAjW1Wp5OTNz9IN3qWe4f/uO4S1qMEC8DEJUSxAgWRNZDkVlpxmkqCFC4wCZVZFu - rUBUabyOJQShfBiWC0QVnqO2h+1syFuP6LQRaIIgTKc6ZCsIhqDj6DQUwCAd4QdRw4QUwmOO9V2r - 1erC0uI77x06Pl3YULK33fu4WbsmxMbqkVoDQBM5TRQZM5EIGhczNiNn0ICp80opHlkbce1mGMDX - XjGfWzp15szpydmxDVu2b9oq2ECHoBXz6q8NpIZDOb/ghyGYOlVxVRvibo3BF0ENFxaHzwGSXk92 - 5ZCGahrOuDpkjcnNAVphiA0DYEMkKFDwiCcJM7EBsJCZP3fm9KF33x3dsPXOewoDBhEBGhSfqh6Z - 11lXjV8dA5z1uD7um/pb8buNZKkwC5yjTuWYV8wIAe7w0lbtRzTaqrm+6rWb4LO/Q4BP4eQUgAyG - 8uYPc4zDENeLBX7SiUIkS8QzrsH5qPF8EczDEVgfcSEjhwtWOxPp+kqSSvlLi7MffHDI7llU6dFP - P2CMgTa+hL5y5fL+Nw7MzC1s2LKdpbNh0+akK+vfxoG2idAQGnAR7AYZEBTBAxxAsgbXgnYoWBTu - iwSXdBM6GfbFT+tl/oTXVl1/yLqt3wropm6im16T/Mkna2jFT2zNTf4bfwMDmmAYMkBwLYDgS1TA - SSABASXgR34JVjA1kE1w/FAWQ8ho4YnAzngShWsXQ5r6UhuLf67qpJtZzcEFR+fSEEKgMa1AQxpI - AZaBykEgmCNksByLsEnc2LfRjkPQVA6byBHULBDJFpGpE4RjtduoUNvqrowHKxYFIhgJ5mCZpfrN - t2G0qGH6yIj1u/qkq3ujsUNv6rbVh0U3umB9ZmYAzCKQXQdJQJPRyrty8eI7bx04ce7Stp33rLvt - jlZOSRHsncXiv6uwwvA7iQwsCjFxEyexRDMhlNPg+ryIa3TFFxHAkRY3Dnp0bTdd1eobyU1LHOHm - Y+rdGx8nPtZBcdM46vZVvcoB1zZk3VLDGYLLidpYl12JPmq0UvPzsyeOn3j9jf0ja9d19/VK2777 - 3ruTqVTCtiRRg4pIMEw62C+JpRv4E/i29Y5ruE187Jqb1rSmNa1pTWta05rWtL+XNaHbn2qRyi3z - qniMEKRb+jWvrLRPQrrJVLKlJZEUFOAMmgVCsbQG6LYhskIYiTKYw7BqFY8wCmVNXXqQGwECZq0g - ZJjSZyWYi+dPnz5x6N3zZ87dfvejD+3+6ud2P+pa7EglJTm27SsjbAESBtC+sm2LIrSEV/8BKMwn - 1R5UWZia9pSvRVtHfyrlGgNhjNE+mRp0rVQpZbLZGhOJOIPcQDIkg4XRLCLVxyBI1QAILqLYnoPQ - SEUYpQVABoq2QYwfVFEKYTUBhPwvGYdqVFe3rSedhr0YnDlQVFzVfxTwXAHAhMVwYEfhHzWCw45j - B1qz1Vql6lUZsGzbTaSSqbQBeXyTQujNFNXojbDET1BSJabjUeAGgkEK4EDMwQA6lHWQAk5DTKpB - KuGgrTUlpKxpqvlgJgkY4xs4JEK5h0YPBkVdRkAI2kap3yxWHxxbEJZ+UrkVQgRjcdQsjityx3gw - 1eNWDiJ0YTuubQEM1mDjJGwY+MpjOwHAtiPYnqGNkWASQivfq5ZrlbIUMplI3Ux1YxPA63WoJ75g - DnKiNVOUPQyKeGYho5YREpCjURJgO2TjEjMxQcW6HaHzrErFNyFZOnwt7KjGdnBcAelmLujHcdtP - wg7rFl8Fx+xHA2EgJBQ1OuBNPQRiCBEOt7lpAyr+NXAC3fgaN3Cpw4NDnCjCbSKM1pLxaGvA1+F0 - lAKphOs6slzKW8mS7yOVSpGA59WSFp/66OT3//qvV7LF22fm3HRb38BgW0tn1L0GIsTyIqA9IFAa - UANfmhjSB0mClLAisl7cXav682/BT35y39cHNOyQcLVCg59TjGpRtH40yE3w6pOt+vUTMD36pFd5 - 9VthQnvDLoao/69hDQIQ6gFA21E5MsCKbjpoQKbqnw5ubBFYG7BUo1W3sWkfwysRnjCaCA3XKMDB - ktYw4epXt5rQGq6VIX2WHEAEur3x7iUQ3DVEtF1kRDi7KdLqNQGXs+FCDCAogqsNICMJHwkNSAMn - uDE1LF/cgG6H0C03Dk8MzFEMhnPA/dfx0rl6dseccA7PH/e/gCUJJDjY+dRG+dKSthQi6Uoi7fmV - arlcrVZ8X3FAsq0XZ0ODZ0RLVYDOBjk0CoGIfLiGxR3IaATvV00fRJU1g0PDRT8GJrkBFl89uT4J - yfxkP2983qgP+yedapVAVDTTORa65cg1RMO9OG7j6q8AiLXvV8vFq5cuHDjw1n//73+9dnxj//BQ - qrUl1dHR39/f29nhiHjFpmj0dWPro+FrfCpD3Ivc8F0/oVua1rSmNa1pTWta05rWtL+zNaHbn2Zx - ijKboD4MglAzDBSIpQQIhtlTuub7ng4zQgWsuGBXEKIRhbgL1UHEeggX4ZINb4RhFZtGemPUrJBu - ohUrJssywvZ8dfnc2ZWpyc6WxN333HvnvQ+MjG9wTSXBZUgSjstkedpYQggJIlkvooO4JfEfogBC - VFWLaz0d6YTrFqqmWFJVDVcAQhAMdM0iJW0BxzV2ghBgsAyhYTEEwxiCEBwgPhzAqAEYZhEsxDy2 - IPnRiQGIICE6rB5zU5pvFFFR/CvFmBJERGCOYqYo4X0VtGAYIMQlvRjSBwhkiThGDbskQt0YAGxb - uAk3mUoa5prnVT2fyJKrBERF9LMRdjHxGwzSEBznBofHKUg/BCIRovRBDrgEgESDSKWCX4Gq2BYg - hA/LM2QYIBZSsIE2YYfVEYK4v8KfTKFUQvjSx4LLRn5ZGBI3DEAM9YoIcwm1n0GrcI36CYNMc8AY - bZRvWYEYqPGKlaPHjv3guZecdNeW2+/64heecggWAQxpSSIL0Noo1koSWUISCd+HcEPEImKK1zEg - 0+ggLENEHgrgUPGYG7xIgIk5UjOgGMrlgG6lAcXQ1ADdBhS1sFfDkF0HY9qYS1+P4hmggOFNaPCi - BmuE5CiEZRttlWvFaFK4ikQF12TEoV591vpCEhPs9SoYpeF4olVJzaHrRZKX0SmJ6lIJXD8QgDZs - tLYdEAkLZGA0fN+w0UJoIQyRYEhPAQKWbbGprl07ct+995z86OzoyMj6DetTLSkRd29IZ2bA2JCB - WGtQcwsMS0MEZDsKlhMlYNmhhsCqDripo/8+2Ak3/BJucrAJHZpj+ZeGgacQgPpYI7gRGYvtk9v0 - 8Ve54bdggeKQ9R64XkNBR6oLPzMgIJxACtwhnyEZUqNx3TcR2Fp3mjqgxgA0OBAY+Bh629DSRrel - OrhWP0ZAC/iAxYGgQn0uMOrCrCF7Pd4RIgCciOByDnPd69inFaTRGxjUxWEovgiOuabBysky2OIz - 4W1HRFXxVNDQ4J9w8zCa9QgmcaDTTeEbN++OAaBA5YMReupqFnBwQKBXUL/Jxjf/2DtEIPotDIwx - gfSOJSiCJ4mIYEmyQ0+I9ak/5vIKTEwyaLQMt6wY8SMMECK5UapElO1RdwACGIbADLvR/xCtQDEf - O76ihgGv334QdWb4AkUD2+hpq2ZOwyIUekUDdLtK95gQ3E8h4uqEDdR6jh4vbvZZKUUq4Qz29awd - HR1du+7WO+4aHhtRZPqHh9Nt7UIIGG2YpBQN7TGN/YNwu71h7zIeilWrTeMuT9Oa1rSmNa1pTWta - 05r2D7ImdPvTjGLAJKpnRXWOHUPoIHpkwDA0s+KIcROStMAME/CCpCFwGDlF4VHwJocpz8HRkexk - xMlVUIbZIRkATLGEAwhgrZkJtgYpZRZnZ6pLC2nXHt+wcWjDplQbkirpGoZlGVCFhdJGEIJ0SxgG - m0DYthG31SEpCWAN+Bb5SQuWlMx2xSNPwbiAlIJYsC9IQRDbtrbCgBI6EHplQINlDFoEnWQQ1kO3 - GZaKokY2IBX0csBjlPCBCuAYOAoikrerI4gBsAwDkIFEAGAEYSghhLqjQlI+DAMOMUyA6UEDEBDC - RINBfoCACEg20QCBV4FpBAYLSY7rMMHX2lcGJEUcsYXCDIhVMRhBH+ooDCUDqQEPQL1oOgz7AhWQ - hAnVKlnAb4BbmcMyPjAK7MNUtKoyiIWjWHLgmoICDByx7zR6WhSah0IR8a/RwDQcrAAKuGOxoGcI - WWifSbKwIw/lEDGMardzTEMNTmYCnhvDhGQ0E0wGYhhdq5YvXTj7wx98v6VrqKjEk08+JQUCHJyE - gBAwPoGlgCVAIDaBiEIc7YdYHuAA0AxzU7EtCMBjVA2MBAMSMWQOMEGRz/AlLEAyLIq9H4BlGF7w - FTEwETC4tIlAMlYQKsCbTAOkXW+ACUrvEUASUeEkA4YRdXArivaNDuHThh7nhn0Ihomq5wXwgaUi - hWSO2WYxfhsiDCEuwwBxJCrSiJSE6E240NXRBY6OqF8S6hCNMWx0sBpFxEFm5oDraCJ2rjYG2ofx - pWAQGbZMoDIpCMwb1o8/8fjjg4Mj67ZsG1+/Ppl0G6APDfIBgLXQdiC7AhgDDYZUsAzYAUsGfIIF - aAEDQ0aToGh7DY3GzEwQIlQUYWYWRCBijoBLrl8nDAORnCk38O1YMBtFjNCZmLUJhXGihPcG9wuI - np/QGM2KIMKi9qgjPWwMCYq7lRucKn4FQLTDZyJuqVDRik6h1DYkPArEcAEYDVJAjdhikVLRekAA - mCQRQMQRK1PUJwEC0WHDgAyKbLKBYUgRwqJBRophIJKqpXBuRSs0wVBQU06BfIAYkmP4sAEqZBBz - RO8FOM7kZ8ESGkaD6/sTwdYbJMgwaR2RK4ljL9VBcomK3YF9sIGRkI1KMSygwT4MG2I/VN0NRkUH - fdswmQFmHXyGYRQsARYwJsCADaBhDAtpojJxUVNNUCeMmRgy/m4No1lbwQBxIPKNYFoKsCWIWDM0 - a18SbCEFCEKwbATG6SbnIgZYg0PoVkebN+G6wToc8MCb2ABsRHgjlcbAKBaSpa1DKQljoIMx53i8 - gjUgYhZ/zL3j2yBH24cMcJQhEVy8MMYwyeD2YEKfZhGcsqFOZ4yLxmAo1ecjg422LEXh01Ydcl4N - 3catjvQNGALkWGsG+z51xx3zK9WN2+7sGeyrGG9odMyxg/6N4nPPdAAAIABJREFUwOmwj8PcneBh - CdGDmgFE4E+RXk4wHQI3DAeZ0ciIb1rTmta0pjWtaU1rWtP+3taEbv8WY4Y2kFF2fQN9woA1G8XM - IMu2E5Zlk0SAUFgNQYRRUEIDxpIN7BYGAM1syDCMCPAXY8AipOEwM8FAVLQiT1kJV8QNimkoJAQx - iyD01VAMH0axk3CkQz7D1uwaggwgAWOMYlgBqdYYTcxEEquyRKEMNLEM2i3hSFMuZlkZN9VKwo0r - VQtiSxgYn402JorBCLCicjgGxhiGiNMgjTGGQulNrVH1kBBh2AVjguuNoCwF8kBSg0OyFoc4rxHw - CTYgNeCzIYWkNvDDStAmGBgYoWWgcafJrylhgwWUgUWQQgZsqKDTIwJNGAaH6GcolCECbIsIbFDz - Kr6qBb0OEswwkeqDMoBSYG1Zss7a1ZrZJ6FBtmFhyAqO91ij5re6CQdgqIrvkyWTwgbF4ofGkIBm - R4SoHwsYlpIJlgSr7MqSMSydFIQTNZUhQu5ZAwoGAEozwEIKgmHWYEWCYIRRLERYuiuMzKGhfZCE - sDSTYRiGDIQQWENVPSPhSpZCMozn22REA8XXMEVoMLQCKd9yRAi4kpDSloLg16B9gI3vK6/mVWuu - 1gYCQaWxkILOYGO8mmXJpOsIwGhtNFtWjFoFCIUO9glYkKdBiCPrsDlgo0kH4KJE5LgB7qtR9T3p - MtV5aAADPow2wgp8wmijrAhnDUUHPJCAtJl9jywFy9bGKBHhZByRjBlaM0syJIILIgYJKF8xtG1L - ovhoAWbfU5YFIWytWZAgGUqlBHxCzUZplQgY3qxAwhhZA7GAFQw8NBs2SkshI6yBY+npELo1OtjZ - iIAuKAPWOpADIUK8CaGNCRcFXsVrDjSfjVY1r+YmXJLEWgsSEBDSMiR8oFo1KVvYBCKhlNK+J4XQ - DMOwbBDBMEsp1m8YHx9d/+Tnn1ZWQrt2feCMZtY+s+1YZLTyK5adANjA8iGEhjRhgwxsA1dCEDRQ - ZWNVKsZxUraMPYQjeJR9X0kphbQY0ForrR3bJmZjWEoBw0YbIgp0qH2vBpCdcAM/8au+69iBl2hm - n1iCBMBGeZWqLS1H2rAsA1bMBLJj1m1YMDC4sFg6A57nCZKu4wZruTFaCMnMvq9s25JCAEJrzcxk - WdHtJr5nNCpvxBtYEeYY9qESwiO4gSCwVspyDUhpZdhJGJJaw5ZgA98zji2FINYsjJFkgpVYAXX/ - VAqWzdJmQGs22peOrbVRSjuuo4P3HYDA7IuoImUdSeNwVQ2STYz2qYEgG6W0aDbMhknKOnZMUXFK - A8NgGQ07fGgTpkyEe51SwtJMDQBa0HhNJEXcV9pAhuxYlmCCNkYQgQX72iffSEdagZ8DBlrGXO7g - MwQ2noZwguQHryXpAPB8z3EsSQasYXzN0lhOnauvNdjAsdgYpcEQJAkyWGkUKc8iC0bBEMhSAsF+ - mCASlmVM1VdVValKQY5lCwgIoYnqqvAQzArMUojogQKAgVHQ7LPjWUQEh4OECAOjlIFlO+HdWrER - WlkCEtqw8aqsfViuJW1fGUFaSijta/al1bCwcrC4QckoS8gYDvbbAq83oVi5FYLRwQeUUUo4wd3K - 1GoehG0nnAAThYGEITIy2FHWAmxgIWLThlRaAZCG8VlYDELNU4YFOxQuXIZhAkVlirZSVJwLEj0+ - wDAL1oDu7u999NHRez7zpCegLXD99gspCCzqqvHMMJpZke1EV6QYPsM2RjZKdBvDxhAAssIPGm2E - FD9dZ7tpTWta05rWtKY1rWlN+/9iTej2b7EwKFrFSYtIcSFFlZTilWyhUvOC7OhsNr+cWaoUyx3t - 7QN9A7ZjkS21IN8oYeDUgYpAIJY1m3w57+cqXq5MPrupZP+aIXKklvCgSTqOK4mIfWjjC0lMmgyR - ISJJkrVRFa+cz60ISMkJv1ZcXl5czmW604NJIrAN38AWliRBtjGm4rOqecVctlYuKaOdZLKlrbW1 - qysIVaSANqyNlpIAFvDJKAAEh9mJKWVBrfMgzpSyXswcxguz1KUkJk+pYq1QKGTn5qbb2jsS6W7h - tKbSKWlDumAN8hWEAikYVfV9Le2EBcsKRHE50CskAjyg4nukddotWyxrpg2WAAlhaVOtiSJrVxV8 - x3Sm2iAJmr1iodTiJkg6tiWKtVq2onLZrGVUyqZ0a9pKJoXrSgmbjQwyzoUJZHlZMykDonKlslws - V2o1Qcq1qbMtyTDLK0u1WlVImWxpCcAgA5CAsCwKsqo1fBPoqGqS7JcLZQUr2cGBnisgSbgOOaaK - WrWmdV4pTrdYImmzgtEgSGIbLCSkYV31ilWvBmIn0Z2yLQhYlE4lQeQp1hAB3MJebW6ptFz0iGRn - OtXZ2pJIOQGHKRACNaxlgPMEChyQBFpcWs6WqoWqFpbsabEHu9JCGAjLMHFwUQztG6MqQldswZbl - aCk0oJUprGR1Jc9KuZZoTaVkay8nUxGFClJCkk3QYM0MQChjWHtOoE2qVaVUrJaKMEb5ulzx8gWl - hGyRlHDh+T6E79pWwnVcWyrfEGBZDgnUFIrlYn5pLqW9Lkd0dPUhQUFKNxMUw47zWZUHUpDkQxUL - ucLSfK2gJZx0sqOnp0O0wrYcH14g92vYSBWWnxeWYDYLucVCNkfMKTdhp2Anu2EjIWDZgIbxqiLQ - mAYJYZfLXm4lWy36rGRCioGeznTSkZZQDCYikDHQDBIIQJCYj2a0FgIgYVsWCQFjvFotly8WiiVf - 6ZaWlvaO9lQ6BRJEEkRQPvwqPF2RybJssZKQgPJqaZssElIKMOAr1MoQ0I7rO26NQEa7AZimVK6Q - t5NtbgJGBViDWVjIFfP5mvJaO9ra2tvSLan6JlWgJMFcrVa1MbYUlk1CkGPbhWKhUCwWc7mkJduS - ydaeXp1ICxKOG1a3soXQzKwVjLEtx3FTEFAGvla2BQhJgr1yhZNSSlsxNEOyBnwiaYsUgZi10aXM - 0kyhVqtok0p3ticSHQkr2GLSbDGlBDTBB2pEqYSbNBqFgr+UXah4JQCtra3tbW2pZEpaFpi0MURE - giyyABjDHHAmgXBQ2LBiKUS1VlteXs4XSsYgnUx1pFtbUikkJQtpAJ9VkoRLJB1HgKBVqVQUiYRM - JrRGMZuvZIvMlOxqb+kOZ4RmYxExs1Iql82Vy2WlNYFaW1v7+vqJQCDLsoOEeWMYCGBnispUBtOK - CWyM8j2tPS3JgmvDsavaK+e9xblca6pzoC/tOMZ45Wqtkkx0krQk7Fq5UCpkFnNlY6dFoiPV0pZO - plxL2Lb0NVjDtWSwtQcdysCGO1kc6gCEGwDCkKoVczlhO7aTrHo1IhskFhZylWpW6YrrWi2ptrZ0 - py3tgD9sDAAIIY32AGUJR2vP8+FVTalYLpaWC8Wlzu50V2dfuqXD+MqDYUcqYtsIQQIeqtr3icm1 - ySJAhWo82gMLCFt5pmSM8iodqbQQkpVvWQywUb4UwjKoVGvaaJdYOElmhiJHgBmatWCsZAvl3FK5 - 4sPNWy09LS1tbBNJCGEHFHcNtljDKM4Xy5Q2iW5mSAKRmJ2ZVUalWlKWJVpcuEkLggnwDHwB9lAp - 5cvLc+VaxU0m2rs60+luZlIanoGxFQOWbUXlOSWEgITxMD01VS7kWPiDa3pa2hzXtSvlcqlQChid - pp45ELttg45FoPPrV3PZokpJ05qyJbSBVH4gQiSJjNFcqqBcthJJSjkQgRg8O7aE5JrmbK7oJFPS - kr6pEMH3vMxSJZ/3XOX3p1PtrS1odRFtkNQ1IOIGCYBJcANuG24rUjmXzWazy7minWxJtba3tHXZ - yQSsgKcvWfu+V7HJRvCSYW2MTzS3tJArV1zp9KbbW+2EV6kwMQT7YEs6EvCZJYfYvqpUc6XScnaJ - UUumZDrd6qRs23U0h09wMgDvVRXMYNcYwAJZobKSDIoiEhulKyXPlq7taECTJG04u7CQK9SqfsFJ - Unt3S1d6CBSyikPZopiqH1G7hfxJavJNa1rTmta0pjWtaU1r2t/NmtDtT7PGZMDwqbzOn2CEtEsY - ppqncvnC1Nz8wvSNqYkbs9enqqVKd2fn2uGR4dHh3uHB9t5uw+BY2JaZGaVKeX5h/ur1aysry5Wl - fG05z1WVbEuvXb++e6CvfaC3tbdb2K4MeIDGcFDymw1zIPEmfVW7MTsxMX1l9sy5qcmpSsH3a/qj - j04ut7ZPDw6vSbRs6OodHh0iEaS/09Vr12dnMtml5fzKUqWY97xasjXd3tXR3TfYMzTa2b+mu91h - EgosYQAt4AsoYmK2GU69Q8gQNEETQCQD3VBDEKTBBoZ0qTS1sHx+4kauUl1ayExdn+zt7Um39gqr - pX9g7fDgmuGhwYSNhCDJMMXSzOLKmUsZp2VweCi5brTNSiqESgogHwuTU7mJ61PFbNemde5IX3+y - IxoeAqtcaXFuKj97tdQq19x669Z0pyBLGe37VaHK5RtzmYmFhRtLS8sLS7Zv2qTT1tHW2t/ZMdK7 - bmxth+NII2AYNgsymuF5XjlXmp/NTM7O3pidL1XKgryWlLVu7UDaoWIh5ykfgBBWrGyIQBCSBZjZ - BNIApLRXqa5cPHfixkKhb3RT/+im3t42AALkCsCvcub6pbmlc4s57h3aNjy8vqMjKQUME9iCIZiF - mZn5iRtXpueKsNsGR3dtHx1yq2CdcC0G+ZoMrEKhNHnt6tVLFydmlhfzFUFWb3vbSH/vuvHx7oHB - VIerEQgqcFB5ho2uVmpzs0sTk7PzmeWFXCFbrEpLDHamxod6Bnu7OvrXdvQPGwmBIIJGuVhYnLlW - yC5ysnN0y6cWi97S1MT01fPVlQVVq7i26Ovu6hze1Du+ze3qbHHDD0oJo4wwmiDDcnuGGSa3ND95 - 9cq1q1cunT+nfb9YLF2duLFv/1tJoM1y2trssfV9fYOtri2FgAAHqdOVcuXypenM0szM3PWV+Rsp - 7fUlnZE1Y71rb+0aWu+kwyR9It/mQI5VFwr5mfzc1NzM4nR2eTZXy/sWJTpbe3r7+nvHeoY2D8kW - KQQ0IDisQFRdLs3mpm4sTU7MX1lZWrZA6WSL2zrU0jk6PH77hpFEUoIMWCtYgO8VsqXzk3MTs9nF - hZVCtswekrZct2ZgeKCna6C/fXDAbk2KeCVhBCn9zKouZA0BYhK0tLgwN5uZnp6byywsr2SVNm3t - bb39/SOjI4NDA109XUopR/koF8+dPnu5oHJu55Yddw91SCdaFYJTFldWrl08WyrmZWf3utvu5EQi - ZNlVyjNzmcPHLw2t3To8PKB1dXk5s5hZmJ+dy2dznl/r6u4YHRsZWzfe1z/gum6w5DG0V/OvXr6a - z+UFYXx8DEbdmJ6enZ/LLC7mV5ZbbKu7Nd09NDy0aVvbmrVJO5T4lgIEJmOsQP4iVMyAYYC5sLw0 - f2P26sRU58i6se13SMeyKZAS0WBLKczemF/MTC5mrmcycyslrupES1tfX3vfmu6hdaNjHX0JSgrI - FkYJqALValUtzC9PTRVn5q/PL8+WawUhRG9375qhoTXDa4aHh23b0UYTIARJIdhEkCgzgCALYWlp - 6cb169VqNZfNZhYWV7J5huju6OpMt/V39/atHWpb0ydaUhpKGwNASLEwNTM7PbOUzQ2Or2vt67t8 - 6cr8xHRhbhnA+PZbtnzqtpa2FlvAKF0oFebn5m9MTS1kFvKFfLVaJVBnZ9fatWvXDK3p6e1rb28P - kCBmUCidQA1K6SAwG79WKi0srJw/f7mrs7d3zaDH5sb8zOTV2bnppb6uNdu3jmy/ZU3K9VlxtVrJ - 5fX83ERm7upSZmJ+paBEykp1tbd3DfQODA8Oj64dUQyScAUEC0CwryBtSGiNMKOAyLBRgQ6DMNVq - 4cTxo7Dc7r6hto7uUsmfm1ucnJgo5OeNKbmu7OgcHBwcW7fulu6uDkcGZHMGCQNiY4j9+Zn5qenF - qanFXL6cz2dy2dn+vvaBvjUdHb1dnX2tfV2dQz3GkgKsNM9dn748OVnyvMHR0c0bh1MupNAQgDbw - jSpXTl68OrGU8z3vvl07h/p7EeqKELPRXmVhfun0qdOO7fT39t5yy1bLEhISjFwxv7CcmZ6+kZmZ - yS4uVKqelWhNtna2tnaMDK0ZGxnp6uogGQj9MmDg165cPD9TJJPsH16/zVSLE5fOzNy4VvNqbe2t - 68bXjg/39SW7QMb3vPlceXI+X5y77q/MlxdnitWSk3R7BvoGB8cH+te1tfXZrcIwB5s60F6QeT8/ - O3tjKb84P3vjyuXiypKm6rqNw8Pr+odaB8qlEmsGiIk0USN0SwCtEshmeNVCZvbwsVNeajA1vHX9 - eL8j2dEGZCBtIUn5Zmry+sSZc4lEqnvD+sFtm3SwryOha9WJ65kTZ69s3nprT1eCdX4hMzMzX5ye - K+TyXlKr4fb0LWOj3evXp9f0KxkWBSXEJO2oVQQKElWM9qrlzNxsdmkhm80tr6wsLCxklnOtnT0t - 7V0tbV1DY+v714wO9CaZwWzYqHArRdP07MzUwsJiLn9jZnq5kEtazmB7Z1ci5dU8n1kLQY49snH9 - yPpx17YquVwpM7+cWVjK5ZdzhbnFeaDa0mq1tnX09G8eGN7e1dPV2gKyINkEmhJcKk3OLZy4tNA+ - tCnZnVY22nrbnAQ0GFqXCuWL5656NZ1Ky/H1a/KFxRvTmWsTM4tLhYqXbWmzBtZ0rR3eOjy0tT3d - 7rrQGiTZIgQZPWwCmRfEKvxNa1rTmta0pjWtaU1r2j/QmtDtT7WbC4TFrNPgryFmImFbbktL2/x8 - 5qWXL//oL/9i4tLVaqGcTiShVcK2Hvvs47u/+MVHntojpWNJQDOUFxR/vn79xsuvv/rH3/1vpVIJ - pYpV9YSv2LLcdPrTDz/88J7Pffbpp13bMoDSxiVIaUEGGms2WBhGdjn/6isv7N333Jl3P9SL1YRG - VYof/M1flfe+2O62j7b1ff2JL/36r/+PqHnGr/oazz77zMuv7j9/5qzxaqQ9sLFdaTuWdJM/87Vv - 7Xn6K+0777AtsGWrSsEyKlIsDJLOrYjewwAzMYNhDJQOZFuVBSfg+SqeujL93P59f/iXfzGXy3ql - EmljkxRsQ8vRkQ27HnjwW9/+ztr164babcBdmM/sf+PIb/3unw6ObNv9ufu+9vUnB9e0GpKB0F45 - n31r7yvvPPPCwQtnP/ONr9/35S/tuaebDOADtaq0zeLMxAvP7H/txRM97Vv/xT//Z7ffsbZ/KGW3 - tRQWch8d++h73//x4bMXLs1mVKWS8qst2oMQA1u2fuqRR3/xl76TGOiyyReCAQnNqqayK/mJKxN/ - +Zd//d7hDy5fmzQwUvoJl0fWdD9w944nHn2Q2SjNpXLZVzroD8NRkR0QCbiSfI1cvnjx7Ik/++5/ - PXDk/M6Hn3r6G7/w2d47CRBQQlXAlZlLx3/88tvfe/OoPXbrlx9/5H/4wp4Nfd0wHgwcAV2rvrv/ - 9deef/6lA4eLVvv2B3b/61/5Fo04g7JilAKDSALi2sT184cu/NHv/dflYk3LhEVWSmBscODzX3j6 - M3s+v23HtrIHV5qkRKByq5SenZ77/vd//Mf/959rFlXDVWWU9tsdDHUmH37w/od2f/HRp75iCBZB - EBxbzK0s79v76isvPMPpvn/9H37r0Inzh/a9curwO7WVjPYqtjBDA33r7/j07q/8/Ja7H94w0hLt - TUD5nksarkuQtiVIUq248vbBt//w93935saNlaWlchnl6uLb+986cvio5XlpIdrbnf/5f/2Nz3/x - MTa6Vqtq30slhNHm6tWJd458eOjwgbMXTqBWTBuvU6K9vXf3z3z7C9/45XWbBpNJGOZqtWQJYTsO - bL5x8fqP977y/CuvTFy4pku+A8eViaSTqta8Oz6961f/p98Y27Ix2ZZQWjuBxInA6VPvP/P6C8+8 - 9vxcbkF7niPIkbZI9bb2rP3mP/mVf/rNLzkpWBKAgDGlpZUTZ6/++9/6/WPnJ1iT9hR7Rmivt73l - 9i0b73vk0ce+8DMjt6yXBFtCRps+bIxWyraoDroY1r7/weHDL774yptvHVxaWvG1sR3XMLek0w9+ - 5sEvfeXLT+zZXSlVpKmafPa//cHvPf/h+ZVE77/9rd958sEdHYmUYE/7yvhsW+7E1ct/9Ae/d/LE - sTVbb/03/+m3u0ZGhWuhVlqam3nrraP/y//2uw/v/tJjjz0khX799ZfefOPNlZWsJWTCsSTxnbdv - f+ixx7/wM1/uGxomYRhKay+fy7320iunjp+q1Mrf/qc/ny2s/Nmf//n1qalsNme8WostWxO209r5 - j37pVx/Y88W1awctggyqxDEEiYSTKGpdKpc1Q0rYIsG69NHJE68+9+Lb732w69EnvtbWNTI2Ri5Y - +STAfm1lOf/Cc8/t3fvi4fffNkaxTMpEuupRe7J3bM3mX/j2Lz702N2Do2kTqjYLQMxnFp5/7q0X - nz/w4YkjCr4m5dhOT0/3+LrxXbt2fec7v9Df32+YjdaWlCLIJxCCJEEbZgbY82rHjx/7k+9+9/Kl - S5lMplKtGgNI6VquK52NYxse3rP70S89tXH7VotcNlXf8x2Sb77xxkvPv7CYyz/xpS+Nbdv6v//H - 35w4c1HnK8T8xJc+/035c1tv29bZ1u57/rmzF557/rkf/OBvSsWi5/uB+oRlO+mW9Ld+7h9/7ok9 - O+/aZQWFElmE8rmR7i4TB7itXy7kFueOfnjq3//m7+y4+zOPPv6ZG9PX9r+1952DR1i7gwPr7t2x - 6d/8q1/auGWwRTgLmZWDBz780TPfP3fuxMLiVNVTmhxDjhT29i23Pv7I7m/+42+nO7vdNDRgE8Cs - vBolXMGiUvZsW1m2gOMYTTVfO460YbILs3/yR7+frfibbv3UU09/9eTJM9/787+6PnG1WspIlIXk - 9q7hsQ23/bNf+tX7du7qbm9zbZAghiDpsPYq5eJ7bx944eW3Xt33nm8siTKrrKSqLVzXTu554qnd - X/nyzt6HbctlwaVC/s03XvrT7/3Vtem5z//MV3/lV74zPNydTAgBBWlQy05OZv6v3/69A0fPWbb8 - L//5P3Y/9lDSdTR8CUghVxYyh99849/9h99s7em998FH/uWvDQ90ddqStedNTVx58523v/snfzoz - M+dVPWaWErYtEm7q8cef+upX/tFdd9+dtKyqVikHIEK1tPf1V986emXRT/38d34tm5n6w9/5T5XC - SqVW9pT/L3/tn3/l6d19A22AyeWzx09e/bPvv3DsrddMdj6hKlqwDyVdZ2ztlq9/7duPPPzk5juH - q2RrGA1tyiWXoVRt3xv7f/jq/vcOvCmVJ7Wnqeqk6dZP3fLUg3uq1WpHe4clbBKikXUbqBUH28kU - ag2Zcn7l1Imjv/1ffmeJusd2PPbLv/SLHaOtcCyoMkhB2iAceu/9P/7Pv1Mqlff83Df/xb/9N5S0 - AAZ7s7NTzz33yv/xf/7xd375V3fcuVFw4Qff/4tDH55ZWKk5Tlu74LTxH75n171Pfv6xr/4sWh0J - 2AgleuI1P5a0ZeZatTo/M/Psj35w8M03Tp48WfN8pZXPUrotbLnkpD775NO7n/ri5z93v6+0AzjJ - JDSj5plKbe/eN57du/+D48cKpbyvarbhJCPFENKqsvClbbe0fPWbP/uz3/rmpvGxC+fP7n3m2dde - fnlucVmTVfWrjDKJKoTcuOX+Bx/56p6nvrptS1/ChtZGsIElFjNzr7/+wb/77e/teuQL47du4pR8 - 5ImH14z1a621789OTf/w+9+/dOFKZ1/br//Gr7xz6MAPf/jcubMXS0UPspJMo7PHXT++8xf+yb+6 - 885H+vtDhf9gUEhDc1BSrQnaNq1pTWta05rWtKY17f83a0K3P9FilbRAHSDMRoxFM+swLtXy5SNH - jl06ddTSeduWn3noweG+wUqhePrE0VMnjx05/K7T1up0dG6/7fbhjjRgILlUKkzOzf/o2Wf3Hzgw - n1l+8MEHbl8/3pdKolK5Pjd/+PiJCxfOV0hY7d237ti5ob9bCEEBHKJ8CAbZnoERcBPJWzaO68pd - o6mOiVMTmSuZgle4755dLRuG2hPda3vG77z1frKdWq146qNDz7zw8rtHTs2vVMY2brp108bh/r6k - K69du3jxwplT5y4c3L/XV3BsuX7DaEdHq+248MsBVRMMQAZFviiEreNuYlLGePAAD54jVWF65crJ - i6++9Nq+E+/PLmQ233X7tg2b1q0ZLS1lM9dnr567vDS/cOjtg1Xp7PnaNz67a3OHJRJ2IuU6ulqe - v3Hl8qXefMVrR0LC4gAk84o3Lp+buXJhcXb+2PHTXTs+/fg9UAQ7oHeyV1yYOXvy+Pz8QmfnnX1r - 1iZSLTC+8SoH39r3xmtvfHDkRLp/3WOfvX/j6GCvLNuFmavXp45MLO1986i22r6+577H7tkEvwoj - AId9Onn81DPPPnvo/Q88pe+4485142OpJMqVxfNnj79/+L0bV89NXLsm2sbdRDIQTCCARF31liHI - hpRIuk5PV7vriFyxcPbStW1TCzsr6E4iAYapobAwe/XkqeNH5uZWOFm5eH15qaxHISUJyQxV08Xs - 5IXTk5fPlEr5UrJFOa3tfaPJ1hpqy5VKRYCq+eK77x66dLjcYbIbNm/q6hty0x2lXP788eNXL517 - 6UVyu/o6RjakO1xXCEYN8PPZ7LUr15595vn3Dx9LJFK333nX8Lp1bT091WopM3nx6pmjR49+kPct - aunfcvvdQ31OUI1H+V5uealcyi2uVH744x9/dGW2ODe7a9eunoTUXvna5XOZuenjxz9E2wC3D46s - 2ZEQEEE6rRRRrXXyFZtazbHtDevH9zzxxOTVK+fPnn7/9BXhtneuu+W+nfe0CmoV5CbM6OgoBJEg - o5VXq3hV8/6hQxdmKpdvTHV2tz755J42V5RmbyxevXCzlT1aAAAgAElEQVTtyvTbb79L6bGv/dzP - j45Ky5JEjs0KtfyFjz568Y0Dz72yt+Txjh337rz19lbX1TV/ZXHp/cNHJiYn/uD3/+SL3/zmp3fe - 1duZZINKMZubnz5w8IVDh95KtaR333PfxvExC8avVOZy3ukrM2SFIpUgBVbZhfmDb+z/mxf2zcxk - 1q3bfNv2W/t7exwhvEL2/Kmjy5mZH//4h8ptuVc/efv20WiixHR7ExbKEgSgXCxcuHDp4MEDx44e - 7e7quv/+BzZs3KwNlrIrS8vLVa+WzWY9z3dsW2qfleeVCuViqcTtBjYIiuEySEhpEcBGK628Yj67 - srJSKJVblYFLDKO1V6lWVrLZ4yc/KlfyS4szRle23bp1ZGRMKb04M3XkvbdPHf2wXKm2dXbf9ekH - x9ePAoKEkFKWCsXMjbmZzMxf/dVfe/AWlpfvvHNHd3evDT1x8dzUtUtXr1178cWXCpT65jd+TqaE - lADBklJAlEplSlOyJRlpMgsiycbUqqWlxfliIc8Agwwbw1qCrly+uHffgddefX1xaemunQ/esuWW - zp42Q3phcfnKhZVjRyb/5kevLhcXv/aNx9q7KCDrXp+cee/dE889/xyrxGOPPbJxyybpWJ7vFfL5 - fD6Xzxeq1ZoxLElKSxBRjKEDHEgoGKUuX7p44vix8+fPDvT337Xjzk233EJC5ArFmRszHx07PT09 - 89LLr7SNrUkO9w92dFtCSjIQwq/VCtnc0sLivn37kidPzmUy69evX9+/plTIb1y/Np2yHZsWFubP - nTn37DPPnjhx3LLtz+3ZMzY21tqaLpXLly5dPnz4yP79b1YqtWQyPTY23tGZIlEn6hEJBptQmsY4 - NhLwvFptfrlw6PSVMvPk1dO18tL2bVuG12wGWpIpLYjhVUu53Ksv7d37xuHTp08PrR3d8cB9a0fX - WkLms/nJiamrVyYPvrW/qvDkl79xyx1rfMAFiGA7VlCsKuHaRtVQrSGZFNIhSF/DNj5UtZxfvnY9 - M7NcmpxdWV7KFcuVPU8+2d1GtcLsqVMnbmRK5y5cePaFl1St9vTnnmBNLKAF+9pMXL6876UXD73z - zqXJ5aHRsY1bP7VuuD0hysWVqRvXrn/4/gdH3ntrxa/NV9WWex/cPtSVTDpdnW5XR+rsufzCTGZ2 - er6np8NJJAQZkKcri5n5qfmZ2czMiuVa1yanZjKZtSMDARVUaj+bmVu+cb24vDS8fnPPyLhItRqY - Sm7pxvXrL7340t63DlbK3r2ffnjLtjuSrg0/m12YOPTe+6dPHitXRNGj2+68pX+ki6BgtPZqXrWc - y2UnF5d/8KNnVWmpUChu27y5tT1dqpb6BvotKcA6c/XSvg8vfu/5g2evL/UPDD3+hScG0zYsUaiV - Dx89cu1K5qUXXoZuQfIzgxv7yRIE4bpu6fr1I2evvPzKqydOXyRhffr+u0d7u41VuzhxZiW/9NwL - z3kz1ep0GZF2Bq+6+4YbQBSweJltKSTp5aWFDHNbvgw7KWwJQpAhZGB8bWqVWilbzuUKhUKp5vt2 - UjIMs691rVypLC1lD39w4vq184vzl6Xw7tp51+Do5kLBy1w699Hbb37w/ttZIrt/aOPOe9b3tUQP - Qqv0pBBUbfVVtVLNrqx8dPJEIZ+9bfu2zbfc0tvXJxPpuaX8qQtXPjh+6r1Dh43dMtjXc8v4YH9H - Aqyh/cL1q3sPHnrltTevTC/ctuPu0eH+nu62lKDr585dOXnyzLmLrYOjw5u2j23etPPue/v6eivl - 0tnTZ458cLiro3PTlm1r1o63d7Uzl1ay00eOHZ9fyr7y6utWopvNzrvvWm8LgmZ4Na1qlXJ5YXG5 - VPU9DeUrL9hdE0JKQWwqxUJuaSmzNPMnf/rn12YmiuXKQ5/5TFtLh6eWz57/YD5z7cxHJ/e/ddBK - jnf0jAkJkoKZyRAYwmiEu1eI9eeb1rSmNa1pTWta05rWtH+INaHbv8Xi2lkMQ2TqdZ0YgAmU5lCu - XfzobGsrjQ22Pnr/3fd8auct6zbmFhcHu1tVeWVqdvr99w/J9u7+obWDbWlpfJBaXMi8//6hfW/s - n5ia3rrt9j17nn707h0jHWmqls5euZbu7Nz39rvHjp90OvqTnYNr+7ptIKxAYhTALFgBCnASye1b - N6/tNdNrt+wT732QPT27cO2+e3Ztvn9bV/voQOfG3rYhWMhcz3x46OD3/uy7Mt03tuWuxx957P5d - OzaNjaYT1qmTH7z39n6vVr0xdf3gG/sGBvudxKPpjq2QDljE10gsiS1wvexzgGgLQDJIGwPhQ1W5 - du365Gsv7d3/yoHJ2sL45o0P73n8kXseuHX95tzs4syFy+ePHNv/6r5D16aff+XV9s3bto33tfcl - 21rbB3v7Bro75jO56xMTy/lKh7JbbJugDcqVwtL0tYv5zAwZfW1y5tr0SsEgybADrm+lkJu9fvX8 - GaKBroGRvjW9bhK1yuzKwsw777z57rtv+iZ12x0779n9tZ3bN6yxl53s+RNnLuefff/UoYkfv3Bg - fLDl7lt7Wm0GJeGb3GL++LGTr76211P6jjt37N79uVtv3ZJKYXnlxt7X5NH3333nneOlKlLtRFEu - ZFjYrK4OiaCXXMfu7enq6+t0U8nJ2cxkJpcv+t2ObQmGrqG8tDJ9fvLy+XK1h3O4MLk4X/AKjDbL - kvBQrfi5pfkbl8u5TH9ft5MaahtY19E/lEytwBd+rcaGkS9ePnFyKW3u2tj31J49Yxs2pVrbc4tL - r9t49/XMuTMfHT9xYnzH/dvv2JSWACtAzc5Mv/fuoZdefMVX2LXz7t2fe2rrHbf3jwxVq6VLJw8f - aBVvvPbah0c+tNtHWnvG+rpHrKDGGDNYW4JXsst79+/ndN+2sfEnH31gQ1+H8cunTnyw7/WX3zk9 - eej9Q8O33Xfv3TtkK4ggGFKG+pwg1gyldTJpb9iwoTXpXLt8sTVpn7oy67R2btl229e/+a0OS7YJ - FrLWt6ZNWDIUJNFK+Th39lxymboGh3btunvXfbf1pO2lq5fOvf9OdvmVycnJtw68s/PBx7q7Rzvb - IKWA71Wyc28feP3N/YcuXZy6/5HdTz720JOPPZR2RLVYmJueammRrx/66PnnX+sd3T7UM9LbMQag - WMpdunTyxImDU9NX7330qc89/bP37fqUBVXOZy/PLHcdPjE6NiptGGiQ7/vVc2fOvvnGm2/se3Pz - p+7f9fDuxx97eN3IUNKR1dzim692vvXqC68dPNx+4G2ne+3WraMyFk0gBiCogY7FJp/PHT364bGj - RzMLmae/+KU9e57acdcuT+n5hYVrk5Onzpxu7+jQxrQ4DryaZG2DJQkSNqQLAhuAiIQAERQTG4vY - sYQgFEslL1IvDZAEaVvXJq/n84tSeDt33Hrfpx+4c8ddlao/ce6MKRcuHD928ujRrsFht6tvZP1I - UPFH2hYrU8uVF2Yzh9//oHu0d/PWLbt3f27j+KaExMkj7x0+uH9q4a2TH52mjjX33vuQPTqSbJMA - S0GChVf1LGYhhQkXUgmSlpS2RUbXjFFhCS8C2Pil4tkzH730/I+vXZtat3Hr009/fde99/QPJH21 - tLg4f+CtyRuT+46dvAKrfPf/y957Bll6ptdh53nDF24OnXP3zPSknp7QMwPMAFhgkBbAYoENXC5F - StTKKprWqkyLLMtl/9R/lYtl2SpVibZE2aQs0SKX3BV2F9hdAIuMyXmmJ3WO9/bN4fu+N/jH7R5A - piyWRf/sU123q2939f3C+73hvOc558zEPifjZLLa4N6D5c8+v3Tl8uWXXvjam9944/RTZx3fbzYb - S0uLs7N3W62WlI61Fh1SBtviQAtrrdkOdoOtN+qc0Z6J8dOnT50+der4zAnpOFuV8uztBzE3/vH7 - n125em3q5u3RmemuTD5GnHMDkGScrK3Xa6tXrrBsZu+efedOPnFy38HyVqFruLunOyu5XVyce++9 - 99955+eM48yZs7/63e8cOXIknU41Go3zFy4xJj788OMPPvhofHwyFkum0qOMbfciRNjOIrOGyIAM - JDyEMCYi5/Ziodas8qh44uDQzKlzeydPVOsIqwuJuK/r5aX5lV+889Nr1x5I133iqWefPPeV6UMH - PYby+urN67f++I/+5MbN2R/82Q8mpk73TQ52xWEATgBnDJYBXJDVZLRhliAEAUaBrBE2YjqolIrl - YnNxsz7QNzhz8tR3vvPtsT6/WZr/8IPetz+89t7nd9/74ON8MvH82SdEMsa5o6zdKlVvXL/xJ//n - vy4XCsnefWdfeuXk0y9P7e1JiGZ5/f6tq1eFCm5eu/7uz39ed7M6NzE5kPMlBvvTBybHrly5XSmW - 5x7OT0xOxDMpSSEoqtbW5x/N1so11SIDzM0vL6yuDA73WXRcVszWxlpleZGCcHBodGT/FI/7SjXL - G0vnP373g1+8M3vn4czZc1978ztnnnsl7jEerBQWriSk+un7t3728/e7hyaT+VTvcK7zhMIoZnUU - ReVy88NPPuvP+CdOnHz+mdO9A73NoLlnz2gyEYNVN65f+dk7H/3ivU+HDpx67umnv/crr49mfCtZ - tdXo7u996y/eu3r5Vibxcbo/9fzgOT/lWlgIvjg3984773x+/kIgEyefeOLNN75+aGzYyuDzax9/ - fv2jO5/e2phbR9uAUhb/T7cEWCJ0HDW20/E4GQZtdKTJapJMusQ64u3O4GSMNdaAGe4wD4a1g4DB - 6ZQCWSgigOTt2/fXlixH5dyzp2fOPDd18uzGZmn2s49QWlm6e/v8+U/lwAh1D4z2HOzkAX7BTNLO - q0Xn+SJCOpXMTh0+evTo6dNPDA0Pi1jq4dLG+5+cD6z85OLVzy9cmjo02df1bF8ujjBE0FpdePiT - t3549eYj0Tv20mtfnzl6cGywOylw7eOPPoh7Kysrma7uyanpF159bXr6QFc+XS1sEGx3Pn/k0OH9 - U0f3Hjjc099tbWNj81FXT8+P3r7y4ae3ct2fDw2kT57YIzvOOEZ1tuGUNiBJwtFMKSLdqZphTBBB - KdVqrZU33vn5u+n+/OHpY6+++NWB7r52sP7e+94vP6hcu1X45NPP+kefPnFyLBEHOgYS5nEYJNM7 - Bltfjs/cxS52sYtd7GIXu9jFLv7zsEvd/qewk/4Bu53KvJPR9Tg4HOBcgATKtemzT//md1977sxM - 2k/wyB4YHx3py+wf6/3Hv//79+/Ntvi7L7/6phrpF2GT2Wh+7uFbb/14aWnlwIGp3/vv/vu9e/aM - ZGMeBdDeyXSqf2S0FkR/9vb7b7/z3qGZZ84cPSq45iZgMCQYmCXGOCEECKy3p6c3Z3vizRufP/Rj - Dx0penu69++bHBmeEiYuDLTCpYsXL1+41GpE586dfvHNv/Gtb3wz6Qifw4F59pmnJoZyvT1d/+bf - /fsbdxf+/E//dHhi9MDUIQXOiR5HhcMyssR2qNuOc6oFEWNSMEcwBxCwrVbt7uz9H73186hpT5w5 - 88Z/9d2R6YmxrsE4j2cHY3vz3eeOH01yu/HW+7+8NX/l2u2r+4bGn56OZfOjo6N7J8aK6xcXFhZW - VgvZPcbLEEcY1DbWV+YePJgttupS5it1VdpsbG4ikUZCAFDFzc2lB4vz9yt7po+N7NkrXcCG1eLy - tUsXbt66W4/k81/92tdef/XYEwfSLnybhT9xMjnwSjtTwPmf/fLdW3dnr96fPHFgT0xIVMuzVz67 - e+N6qdo6dfbpN7/17e9++1uuA2KtSA0dOTz67tuT/9s/+6cP59faWm2VSkppAKGCzwwYAwGCd2z/ - jAaMinmyvyff3ZVbuF/YKlWjUFktAd2hJWuNdmEztLaJlaUbFKysF6uToymPQwPtemWrUCyWyIlN - HTy5KQeGhkddH5yLDpsThQrNFhj7ytde/c1vnnv6iRnpeZYxB8hJdEn7Zz96Z25h4fyVa6MHJrti - 4GRh1OydO2+99eOl5bWzZ5/9W3/re4enj6a7c1ZQKh3LnJoZ6YpXihu/vPjg7Xd+fuqZVw9ODqc8 - AJCcZ9MJo6Otra0KX/mNv/frf/P1l/Z1pbocgKknTh1xePSg+MNrSwv3Hz5cXd+IeT3CgTGGGHXo - AhA4Z9x1QVYmk0MTEwnXmb8/K4Vw4smBwZETM3t8hbiBcAA3AguAthDccYTkUMDw0PBv/4PfnZqe - 6O5LuGg7+8amR/pWlwvvXVpeXF67ceN2f7+fTnVr1W6Wi6vz93/+s588fFDYPzn1a9/92+fOHu/L - +Qhq2aQ3MJD3fRk6uY/O/8H5CzfGh4dPTI85EkHQevDgdqm8kk7Hn3322YOHpru7BxzSOp1ND+w5 - eOopG3ccBqsVbNioV376k59cvngl5iVee+3Ns1/91sEDAw6HJPCM8/rrX/WoeXtu+e7svdzFa994 - 4zUegyMAAhERMcbkdsS71iCqlMuXLl5cWVnJZbOvf+1rh6eOeL6fkDKeTA0MDZ156inpCMdxiJnt - rsioKAxbzXaorCUIDga23TkxskY36rWY5/q+X6s3gkgTJARnsIwolkjMb1QyWe/7v/3bT546Mjy+ - J5HOGuJTe8YODQ/+uz/8F//7D374i1+827N3/1PPnXFj5DBLDNwyCkzQbA+lR85+5Zlf//Xf6M31 - pmIpl8zhvaP7hnsXNkvXF7YWllZ/+cGH6Zee78sOQGmjDVlypNcKgnK1HCkAYIxgpRTClZwzGKOj - SAEQRETRwtz9K1euXrl6d//+6XPPvfD1V1/O5NPcM4FxMj0D0pl0+MC//IM/WV6cu3zlTjx9zIsT - g15ZuD//6J6UfHL/5PETJ7ry3cKX+Xy2f2Dw+PET1ph43IeF1pozTrRtxrnTfwOwTPBDh/ZPjI/8 - xm/8WjweI6DZbjm+09vXlc/mTWhtxK49vL+6vr68tn708BQDAxMwxhGSE20VCoHn7T1w4O//zn99 - ct+BHiemgiaLE4uzaqtx9/bNX/7y/VK58vLLL/7u7/7eyMiw57thGGRzuTNPnunvG6hW63du33v7 - p2/v27t/ZGTU86A1AAixPQYppUnsJCzp0JrIdaReLW0y79d/5euvPH/6xLEzCT9PYJ6pJUSl+OjC - 9cvXbs/eY/H8i6++8trX39h3aF/clY41aVdkU5m1lfWwHbzz0aVrt24OHnkifdgFA5SCjsC5ZFBK - OULCSYAJY2EJUoBp4cAiDFWometOHjjy7Tfe/NYbb8YcclmDDWcm9o576Z9euX5va+Xh3bt3bz5Y - OnTwQFeci6ixfPfy1Uvnb8w+PH781LmXv/krf+PvZrtzKR8Ohb15b7C769D4yD//X/7Jv3rnwkcf - Xxg69epzM4clb3XnU0cO7X07HdvaWL5y+fKpp5/MgjkQDGxzY+3SxfOVrQIQmUg8nFt4tLR+5jRT - 0BwhF6Kwvrm0sNZotHO5/MBgv5SIWq3FxYd//oM/XVhc3bP3wPf+zm8dPvpEptvXIZKJ3ED2UC77 - XzT0D+78q3duXL89eWh86sQk9y0YccGsVkErqNUbnJLTR4/9D//Nbw/2pP2Ep0kTaR9NqOD8Z598 - +ukn0nFf+drX/+abL00O5h0WgCFFuW9845vd2bF/9OAf3709m3g/ceK5GUpleNCWQXjzxo2f/OQn - 5Vr4xCvP/72/87dPHpjsTcUNb00enTg+N3Vx8vyf/NN/uzS7xIUEY5bosVsC7UxNdiYrFjCtZqNZ - r0rBXRkTbiwyCFVn3852hnIhBVlmQkr6GcGdWqPudLmWDDFlTUiMuV5ibbU4Prz/d77/O4cOjvYM - j3uZrtGJiSPDXaf3DvzL//mf/PCz63/xwx+NHH/qiamDjmNd6ox9OzMi2n51HQex2MjQ0O/97j+I - OzyTzZLrgTFAjo+PMT+Z6h5cLv7+ZrV+687d587OWAtojWa9tL48e/umkOl9h48+c+7lkd5UyrO+ - CU9MT/HK1vkLl9aUKVQa/SMT2XyaE5Ix/xtvvPGNF19MxxPCT5DjG2jGnWyOC/eNYi324afzcwvL - S8trFlBaC2vgCt+TUgpoE2ob7LyqxxM7o20UtWrVWrUa68m9+Orr33njmwP57oRwtC7sm0jFver8 - 0i9u37136958uQ7Hg2DEyEJH0BYcADMgbexODuIudrGLXexiF7vYxS528dfC7rzyr8CO6tbsfOGL - 9ZK1AIwGvMTYqTNf+cqzp0+d7OvryaZTqWTclby/Nz99ZP/IUK/ryK2tysrqRrlcZgw2am1srt+6 - ectoGugfPnhwOpvLCiFhNUxADh8c6JucnBweHms0wkKhXirVjQHjRIIBgLHG6O3jY4CQ4B5nvF6r - REHddWUikfL8FGNCCBBDGODKlSt3bs96rpyZOTlz6mQsIRwPTABEzJEjAz0vPff0gT1jDrOrS4sr - q6ubzVbTKhi7vVC0RJaR7eSioKPgsyCzLQyzRkUGsGhXt4qrq+sLqwUey47s2X/81Mn+gf64E5Pg - Lnd5zEfGPz5zcGZmGsRWFjbuzc5tVRqwiKdThw8e6OvOhu1gcWWjUA4swBGF1bXi+kKkw3Q6v3f/ - IWhRXqmuLRdbkY1ECLTLlWp9KzAh+vuHB0aGHQ8OV43i4ueffLC8UXay40dPP7dnZKjXh88A4pA9 - PDOyd2Li+P6+hGiuFwo3F6uFMAYN1NdX714orC05sdTUzDOTh47F4vBcuK6bSMQHBnqPH59+7bVX - enpyAKR0GGMECNEJZLfQBtp22ghnEFK6MXfvxMjB/ZMg2ljfmJ9bCNsBtEYr3FxcXyu2pIsDBydZ - X9o0Kyura+vltgFgjaoWFufnCuU6/Nzo5JF9+w+NDA/HXFhjYJBKJB0hkM0feObZZ559bvrYTDqf - jycT8bibiMmJ8aHD+/dyZirV6kapFhgYQKugsVVYW1lZW93o7uo7cODwwUOHs9m84xBngLXxmD82 - OnT40IHu7u6lldXl1Y1yuc0IWtl2u9Ws1wh6aGjgzW9+48mnnxkb35PNZuE6EAyeMzTQOz42AkKl - VitVyh3iaWfbgwAKw0hrCOGAGIhDOHE/5ggZBqFSxljGOBwXngfHBTEWIWpHLWuMYEQWT5w6/Y1v - fOvI1JGe3u6Y9D3peq5MJRN79+zJZLKtVmgs67gPCMEajerC/IPNzdV0KnXmzDN79xzIZtMd9Si5 - Ar4YGe6f2DOe6xssFmvz8yvtNlQEazQjI5i2JqqUK1FkBCdruBSxeDwRTzisE8XOTRDWC5vrcw8f - mtBO7jt4+NDR4eEBz4HkIDIg3d2Tmdw3On3ksNZmeWVzZbXWbMJYsE7dbIc6tKbDzxGRFCIW86UU - WqtqtRpGoRSCC3geTyRiiWTM8x3GQCAYDRPFHBH3fceLWRK646vY+dIGWltrGKyKAmN0IplkUhpY - EBiDJdsK2iOjY08//fSTT5zet3dPNpfhjuCSpzOpA/v2Hhwb7813Be12sVLZqlUVjIKJosjh3GeO - z5wzZ5566rlnR8Ynsrl83I85nu8mEuPjo1/96lfzXd1bW9VHcwuVasUYAIoRCea40nNdT3qSxHaX - aQIVhZExEWcGMEprC8ugjKrP3r16796jZuAcnHrqxNGZ3q64K9ucEXPykP3ZfNfh/f0jvRS2a59e - uL2yJZhIuZI51GC2RcxU682tcpWYEAKcgxH5vptK+YKDGBhj2LGsAFEnzMpo1RGUJpOJfFeup7c7 - mYzH4n4qnXBcIRweS/hDw4ODQ4NM8FYY1VuB7mRTaosgCluBCiICHT127PU3vj6+ZyKXyzrJeCyb - 8uIuY1GjsbW8vDA/Nz82Oj49fWxiYo/vxxjjrutJKdOZ9MTExOjoKOfi6tVra2sb7XbUia8zGvbx - zuHOWARrrFZWR8xGMpOY3H/w9FdenDj6VLqnO5FkKQ8JacGiyurDi+c/K1abyYF9x8482z84mHKF - A0Mw0nFyucz09KEDk6NKt1fWVxfWNwJAWwAKzIAUs1oyAmMAh+EdR2GtAWWENj7nMS+e7xp89rmX - Z2ZO5TNeMua6ftxN5DLdA9OHD7z5wpmhFK9XKw/Wq8stJzIkebB07YMblz+vRzQwdebok8/09eVS - DjjAhfQS6e6ensMH9x6b2js6PFgs1x4tba2sFS3Q35vfOzGSiolGeX1+7kGrHYUW7YhgqLRVevTg - Xi6bGB/uz3dlCsXS3NJGqR1pawhR0KrffzC/sFTMZvqGBof7evIENBuVzc3VBw/vx+PJqemT+yeP - 9HQnYxIJD67DRcwdGB3aOzk5NDQy92hheXm545EEq6GVoI4XiXnq6Weff+GlkbGxXC4bT/jJRMJx - nCgMSqvLqyuLrXZzdGJiz+Tk0FCvlGKb0zQ6n8vt2bN3YmxPFOqHD+cajWZn17NULq2trRaKxUwu - v3f/gcNHjmbzebgec10v5o2OjT577tzJU6eGcsNBFGlj/oOAss5Y/NhDgQDAdWXMcxmjMFLNQGmA - GMAYYKCj0ATaaMaEZF7Q0DCUSCYZMQtlTEhkrLVRZCcPTJ996tnTp8+OjU2kMmlGcDjv6k4fOrR3 - YnwwnUlWa/ViqVqtNezjA9qBMV/0/K7jpNOpoaGhbG8PeS44AyMILqVMpzNDwyOpdNaCtkqVVjs0 - liB42Kq3KsV2vZrNdfWP7IlnUo4HDiLYdDbV15VRYVAqV4uVZgTRERP7ruzKZ3sGB9x0mvs+cwQR - MUbCc4eHhoaGhuPpnDYURrpjIQ2jELSsUZ35k+PGHC+mLBnGaHvYMpzgSs6MzmWy3/z2r5599sX+ - oRHPj3EhHN8ZHOgZG+zqymeCSJUbUa2NUHeG/Y7lrd6ZJLHOZvdfuki72MUudrGLXexiF7vYxf9n - 7Kpu/1/xJa/bjuRWb7/92DMB2ynx3ItPTx+fPnJsZHREIuQW3BEIW64vh/ryY8P9N+a31prttbVi - uVwZSGfarVqxsLG8tJwbmHDdeKMeNephXW/FVOZELPYAACAASURBVNHVtYh5RsYZUTyejCIqVVql - cn045xOnzjoQBtYaC2hAGw0iWG61bTcbUdQSnDgXRNIYsgzGoNVuPHrwcH11I53K7JucHB0bNXZb - GmKsZVZRzBmdHJ8YHsjG/PliuVAsbJYrCSeeBcwOb8DAyHZqm9GhsA2RoU6hZsfJgcMEpc31jc1C - JQgPdg0OjO3pyw8GaAgwYdl2RaENxvf0HT603/ffL26UHz1aqjUDk4n58fjU1MEbFy7c37o/e2+u - /1jt8FhOQDWKi0vz95ig4b37+qaevPOLy9WN+qP7j/YNyzBptW2vrqyV1qoOYXhobHBkWEgwo4Lq - 2o0rF4rlZnqkL5YZaDcqpYUCAwtNIjJJw0hFYc5te6gUy+XZtdbpKD5sNDULmw8vlzdXpJeZOHi8 - f2hCG8jOEpmBMTE0NHD27JPvvPvB0jrFfL9THyk6/KSx2yktlm+TLYzA+djIwMH9+2Lv3lpf3Zi9 - e/eJvX1wSLWj2/fmV7damUzm8Mxxbz28euP24tLK8mZ1esSDtZXC2u1bN7ZqDTc3vG9qpul25cdG - XGyvLB3pCi4SmczJmZOHDx/pHRwg0oBiMEDUlU0MDXRJjiCK6mHU0lCAUWGtXCxsbJZKlZ7e0Xgi - FUVmZXXNCgtJSjU9U/dU3XMd1/NatUZhq1yp1jHgwVitoqDdYjD9A30vv/Lqnv0HfR9Mc1gLrWDC - rnxmbGzEvTAbhGGt3tCPs7U7TwiRMdYycEbQnepdEowzizCIhNJKdxK6t9PFrbUKxmiljbLGEHD4 - 0OFnnn62pyfmOtuPH2AdwQYH+lOpBbVaBZMAM4AD1mzVVpbnW41qLDfU3zfcbEYry0WhyzERCIrI - tFut0Bjjx5KVant9o9RqIybgOLK/r6srn1woFq/fuJHuPxyTTj4ZzyR8kkJbaIK2EUgFQb1cKm6u - bxhl8tkewb1yxdaqLVe0mK2yqBajSKlWLpfWypRLjc2Ncl82jgT70jaZtcZYYxgRGIvFYnsmJq5e - ubG4tHLxwgUhnHZb5bu6/WRCONAK1uzU3FoDrRyCI6SUnoXQFmY7AM12OiOyhjOKwkBr7cfixIWG - BlnGYK1tB8GekZHjMzOjo8PZdFxx3oKJwHxGyUxmpKd3sKd3sTlfbbSKlUq6O8aZ1UYLMJ9kwo0f - P37i8NGjnhuXYEwD2sKaXC5z5swTf/7Ly3fWlucWlqq1iulEOAIETpZxzrngjzsOraGVsjpiZOiL - 3MMoCirLSw/XNwrKxFPZUc/xqxvzxlQqLFd3uiMWR6lIuhQXW1FQuz27vFn1LEkiNtDtDfRlGcOD - R3Ofn7/AXb9vYCCZSDBGjAAObNdwb5slwFjGyMAaYxhZa4mIkeTcwCqltdY6UjoKW0HYUDqgerOu - tQaxyJjQGP04pVIZFSmjtSPlkekjz73wfCaf5ZJvnzrTRrcq5c3C5nq5XJ6amkkl01tbZaVCIstF - Z9+HqUhzzq21y8sr5XIlCCJr5U4rAREIxBgjMp1Dh9UwkVVBf9fQ4akjk9MnU32JzvFwDagmZFDf - XLxz42qtrW2ix033lCpVigrMWqMsWcYJnHQ8xq2N1jbXlzY2IwwHCqxU2FpfqUesbaVlsuPKHEEm - ekYSXVmfAcowpRxQ3Ev43UPHT5wdG52AAWcWXFjyCXx4oPeF01N3z39SbNTvLG31H2X7DHxdLcx+ - vvhw1rrJ7n0zo5NHPBdOBK20EUTMpZgveXpyfGBifPTmxY3VYmt1s3JssFtkkiMDPf09mY21wsrS - QqFY7mpCcKEjVdgsLi0ujE6eHeA9C1vlSq0+v1woVRuxPNO2VSmUHz6cLxSbo2P7h4ZG8tkYg2o1 - K+XS5lapPJQfz+R6W21dWG8B4KxFtEG0AUtglE1nb9yZ39wsBlGoPerw6JwsI+Jcnn3qmSefPBOL - gX3hR2xbrcbS4lxpa9MYk+/qNsQLW40wKGV0PWK2qSMjeKvRymZy0Oubm8VKtdYDZYH19Y1ioaC1 - HhoeGR3f09MdExpQAEekVCwezx/I79m3b+7Cwq2tgrH4sur2SxOUTvu2gBWcpGSwNlK6HRlDIAYY - tk2/WwU4jIQgN1KhNSwej1togwiIODOw1kRm377D09MzAwPDrtMOiYfQFlpKeF3poYGurnx2tlqq - 1lvVWgO5+M6hbLfYTmLnthRYcGIuwBG1EIYw1mrT0I26YoV6WK3XjbWWeKSN0na7NEMrCps2bDuu - 6yQycHZIaavByeUI281WmzdDG2jSFoSOOQxBGSiDIGirINBtoEas3miHYRRJxwMJY8kSqGNspCOr - I1gLYow7xB1AWMbN9mkYButy5jLKZfPnXnx59NC0dAihgdUgA1/05JP9fd035iptxVoBosfULVmQ - 3umsuSX6kp3ELnaxi13sYhe72MUudvGfj13q9q9AxyLBfhFK9sW7AAhgjEvuSOE4UgqwIGxDwycB - wUEiHnOGh/oy6cUHhUqpXG01W2CZcrm4VSw0W6088dXVjX/zb//UaJ2whSyVU7LdNk6xHn12dfbO - neWo2qhU2vVGG8SATn4zB+ecMQUojWarHvMZ8YTrtRIxJ8YD1WzVG+1W1PEwgArQqJWUUq6bzPUO - JhIp12WSba/1GGewDFYjiLoyqb7u/P2VtWqtXmu1LU/Dio5mhCzBEgNYR1ZCdjtcCGTIWgbXk3HG - fGNLKyubGwVFbtfE3p7RUQsyiAhMkAcDWA3TFkmezad7svnNrdba0jpJ17ium6BDU1ODgwPtizc/ - +fiz4RNfEadHJfTm0r3Llz/nnr/v+OkTX3nt09nqVrF+7fPzT5zMhd0+TOvi1Zu3by35bnpifN/I - aJ8FgMgEtc21lWbLb5ca73/0+YMr1S6+KblsI980mSCKWq31paXb9UpZVmorW7WmJgJBtTYXHxU3 - NqJENpHtSaQh5c5thgGMFDwW9x0pHMl832+12/VWPO3vqCn5TjC8hjFgCMHDbDY1NjyYT6W2Vldu - XbsWvPgERLKt+JU7S8tl3jc48ZUnT4jbD+7fvLTw4P7SUtHO9MCY1dW5X3780VZDHzw2dfKZr7aZ - K9JcAFIyEKtWa0Ybybi1lhgHQcFaEzGEkllHUswVyUSs4XuGRLURhJFIkYnajVqlvLlRsIhfuXLN - 835QrlXJQSwTA0InrKBRvHHlyvz8BizagQqCyBpIh8V8L+a7sNoaE08kwFg7ghcGHgBHwsqe7tzQ - 0IAf8wwhUqqTzSJ4p4rfguC6bsRgLSiKYFVHrs06km1ixAXfVi6j846EdD3fGBMG7ccUCeedJ88Q - LHedZDKRiMc8z2O81Wy1G81WqJMuN+1mc6tYiEJVKRQuXbqwuFHtSiDGWwknIt2OWhULfn62vLy4 - QCk02812O7AJt6ur68yTT968+O7V+x/+6Ic/PH9z+ezJmW+//vqhyX3JoX7hwgEEsUgHYdgMglat - Vitulmhu4Wc/e49fetRqbeQyiDktxzSoXdmYn712baVcrqYVms3QGPpLZbOWiMA5iLKZ9NNPPXXt - 2s2bN27/4R/+4fsffHT8xMlXX//64SPTfQN5IRAqhG3tOuAArGk3G416vYVAWzAGwTpGlwwcIMYZ - I2s6Fy3SOlRaGY2O3pSsBUnHdV1XKWV0BC4JLIQOo0BI0ZVO9+TzeDRfqdcLpa3edtpJeFIKE2mu - KeHHs9mcn4wrGAmmFVSr6XrW99xsNptMpiK1NDt7v1qtCUYgaK2DVthotNqNRqvdUAYGYATpxqSU - gLYm4owcxyGiSAeNZtWYkEBRZK5duSWilRsXw0htlZCusJx2UrGgKmqbDx/OVms2FppWQ7Vb8GOY - mZnZKNJbPz3/0ccfzt6///6HH73w0le/8szTY2NDYmd8MwZGW3B6HDNprTXGCIcTI5htMxwSHFoV - i8X7j+5DULVZW1/Zmr+3cvX87SAMLREY3+aSuIDnudKRXPqen83muru7Y7G4sIDSCFug0JqwsLla - r1U4Y1vF0meffb61VXBcAbJaR0JwaygIosuXr5TLFc/zYUlF2loIDtup5yAwIs4FQYEsYCRpAkJl - crme4Yl9iVSCAwYII3gAPAfWho1qYWMtDNNLK2s/e/f9lNNMiLrDQNraSOmwXSkV7t68rlRzZWNl - aWNNAbVG4/alz/7oX/yvK4VaNYCGIC6JCcW8F17/lRde/87+kSQs00FggtARXjrTE0/l/Y4TiNbG - WMtZxzA36XJfmGq1euXm3RMvwFjYwnqrtGFVlM71x3N9fiLOGLgEJx4ZA06MCIK60rGe7jzz622F - WqOtNeCKdDI+c+zQxtrqvbXFa9evx4cO5MfiG8uVxYX1zY36K29OZHr3Xp9b++knl5dWS/Wm0mne - apUe3L+3sLwRUfLYyacH+/sTHIQgbFfrzbqy2NiqXr4+q9lfxARxE0onNLISUrHeDO5eK2+sFUip - Vr2xurqW8PKQDJwxQEqZTMrhkdG+fn/bixsAwBhrt9vzc48a9WoUhcVS6b33PyjeuZwNyylV1YKR - 51SD1uZya31tIwoVi2h9Y7O72uMztri4UCqX/Fh83/79/UPDBggi+FpDkiWroSOtmq1WpLQnfc7/ - o7M1+tI32261GrWq1hExzqRnWcfn1oARhJDMAZeMuFUk4DDi2miN0CAiZhi3jBHAHTchhR8GWnKQ - IA600Fa6zX2Rz6cymZSJNsuVerlSNbb78Tzpy84NFjtssjWAgTFQqlqprK6tz60Wig21Wmo+XCnM - zS8ocpOpDJfSWgBWpBK5ZMzqaH19I7e2qezOqRFQr9XLW5JRIpGMp3OGOUQQRFYrRBrKgsmtUnFh - ed0y02ytb5XmHswvvvvLuXKx5MYHLBCFcB2CI8G8juk1pFNvthrNlpuNGVDU+SytTRQxa1whpOsx - IQ0jCwjJoDqu3FFXJj4xNpq4OQ/uRQbKYEdt3DG7ZeiYkO/ytrvYxS52sYtd7GIXu/j/CbvU7V8N - +4XEpQP6wmuuk0gB8lyfM2FgJOeSOsleBjqyqp3PptLJpLVVgFtroUML3ZHJFYulu3fvNyNpjU2Y - 9RQ2Y6hGFGtbd3Wjro0VqVw60xWPJYkIZAC2UyBpGBmXG+46YAyaKUXcRi7qkiLGXSXSGoCGbpdb - ta1Ws23IS6W7peN1TFm3zwOA0jAKgjkcAsYaHYRhKwg1aMcUkgB6LLndka5tq241oKC1UQxMKkX1 - ZtAOWoybdFokEhaQIAmCAjTADHikXMMlcyxDNWxVWkGom0DCdbK93T39vYlEYnFhcXN1PYoiZaql - tfnFpblE9/7e/UdG9h4c6O7furV+++KF0taR+kRWtRsP5peLW2ZgYHJoaDSfB1lAByZqR0E7jESj - XLl87doy38zqFbJQoitkubZSWteajUIimevq7U935aQnwTW0Dup1o7UXi0M429dHA1AQBtDWaoIl - ssboIGhLKVx3u418KRUenfp0EAdn6WxmeKC3N5t6sFZaeHB/q7TVn+WFhppdbpRt9+BY/siBsVpj - 8R03XH30cHFuo9U8KINGYWv14dKSjQ0mBqYyA7FIQUg4AKwCgXMOYwnkuR5x3hH5cAaCsSqAjQS3 - DNZYq8CE5xKzOgg5LMFqpSuV+uzd+2FoIq0111YaQuibhqdqm2trSjnZ/oFkOi0dx9qOQ2uHV9UW - VkjBHXABUtvxdSAia41RWmvbsVu125k4sLpD3X7x8HABowGrlTJaM2KMCcbEdsMyUCGsZzr/V3Am - OCNACiGl3Nk/2ZaXMkI6nfY8TxsL4pwLzjmAKFKtZttoVOpbt+/eWNyspF3toSFUXUA53Cht50rc - T8bj3dlsLsMFA4Fzmcj1vPDcy6EcePf8vXsr9Xff/UVxZXWgp7d77+jx554aOLhvLOFzThYqCNvG - 2HYrXF8rnj9/yaTWYSuOqEhWdmzTM62wslEs2ng8n8/3el6cc+owcY89IamTRUWAUpyLsdGxN994 - I5nMfPjhpxvrG+/+4r2Vtc3BkeHR8Yknnzo7PDKcyiQJ2/wLM4YsGBOMSXqs5e3cKq3JWs91YE0U - RVEUdSSbAFlrAZKOAyJjjZSCc6ZhNYwBcc5hTdJzM6kkFzwyuh2FlpEFtNbQYJqgwRgnwQGmLYSF - 67hgbRA4Y4I7QrqO41lrwqjtmIARc13f83x4nuNKxnbq7gnWGBWFURTCWiEkACLigrWDVhi0oKPF - uTnbxv1EFVSvs3idJTT3PRU4QbMe2XxX78jQUC4REwyASOb7js+c/K3/8rc+Pz/74NHilcuXC1vl - C+fPDw4NnJw5efz40e6ujOQgTp1LzqgT/AUpBBEBpmNEsLAwd+nipYcPHywtLa4X1i23LdWuV4Jq - IdhaaxhriHFLbLv+QhsYcMYF40E7YMT8WAzbbgwWgoFZo0IVtZUKwzBaXlk1Vm+VCoyshbFWg6w1 - pDW2iiXf92N+yvN8a8locPFYRtmhfzo+ORpWCRNYo0PLrZ/zUjliAKAMfLadWwWtozAI21qFrcLa - 8qVLlyRrCNQdE8aYlSo0USto1WqVrYHB7qGRwUx3V2jRDpqVcnFh/v5qodHUHCJmmWNIhnA3CuV6 - I1AqCRIuk1BWhUZpLmSc821WkDHSsNYYz5HdXTlHcK11pE1Hf2yNDlr1dqulfStcz/G2Qx0tQJyZ - jpQYxmFWcGa0rrbalVozCi1c4fnekal9N25cvL64OrcwN76xFQ3F19bKW4Wm5Bjozw3u7Q5c+bML - 1zc2G/fuzffFu52wvLK8VGlGIjWw7/BMNp12bF1QWweNRrsVAVu11uzcSq15IUXtmGlwJ6yJel00 - mROrrEFHKp9KdWWziXicGIPWMJoAY0wQamt38ikNrIEmQ0ScUadRN5qN9upqTdyoP7SZoJRWVcXJ - SN42pl2T5VLke/FsXyaZTEnXJa2JMWus0kpIB4wrC9kRyWrNpRCQxJntfB5tG91+UX3/5R/stnuC - EExKDmMsWRDnEox/4fMEQGttDBgkEBpjjdUEywBGlpHp7EBay4mE60hORsNqKILhHLAmEfeSyTgY - i7QJI7WTzGnAWCcrjfEvjYXWqkbj3q1rd65fvn3ndr3erFRrhXrQsk6pbdYr7c3NTT/f32y1t3eR - NeC7wwM9T5w68f4aXb89+8N///npyZ6JHMWC8r3PP7n485+US1vDh6ePzJxKZ2NCggGcUNjcnJu9 - f/ni1UeLK4VSTdkoUoV2sL5Zqtxb5Ig4wACmlIG0O/ludttkBtwSiyJtH++vERGgwpCM5pwL6UJA - A1FkuVFgFlYLKM5AjBuSGp2HlGAttIZR21L///AW7WIXu9jFLnaxi13sYhd/HexSt/8pbM+8qVMJ - b7Y1FNu81PavjbHWkCMdxpi1VnIhOKAttIEKtAocQVIKRkwIh3EOozmznBMRRUpHyjLmECNhHKZh - VGi5G4vFhoayyYH0OOUnJw9lc/kdn8bOYTFrDRC6gJDSQljrKk1kQtfWBDHijuJpDZCxUBUd1VUU - aesIJ06M252lVcePDZ3VmXA4LIzqUNGaSG+rbMkYa619TOJ2VolExDi3jAxZDaNtxAyD0m6kYWGI - tVxXSccAEnDA0KkDdQFuAhlpKAqNHwlueKBMCzYmpUwm+/oH+vv679+cL6xvljc3BC9UNhYLm+uD - k+dy4/tzvb3DvSNzV9eX7s0WNpc2o5aqqaW1YhjG9h061tc3lIx1vOYCGwVGK2uNIUZcSuG6zNFh - SIKYIBLS2Hgs5qSHJ/qOnd4zOenGJKgFo20YcWKOGzPg2m4vSwkaTIFpWGOtttYYY7XSjuN67HFL - ITy+sp03GQcjJOP93V3j/X1LK8ubq8vr62tdGb5ebj1cbxs+MDQ2PjbYVV4VEz3endXVpflCuQzV - Lm0W11aLxdT+J1PD08KFcOACDgATgUhKByCy1pUOEetYywoQB4yJYCIGTaSNMcpCeuCcrIkEs4Ix - Is65ADFrEYvHI4SNqA5oIut6Tn9/X2q4f39iYmxsIh5PbourrYU11hjAEGNcgNNjPZEFdXjCUGll - YImxznaGNmY72Zxgt1sQIDgMh1LGGGN0pxUR49hhAIxG528NDOfcERwWjDHeabeP08uNBmw8HpNS - Km2IcS6kABHIaK0iBQtiEJIcjzsOSS1NSMR5zI+3g6i7J3t2YCrWM3Hw8H7Hk0QAcbjxI6fOJvsP - 5YZv/fjDK7cuXbtw4WKr2pA96ddqxaftS/kTB3xhLHQUBbDgXErhWMOk8D0fUVDTRhkTCUHxTJLH - cyP+wfHpmWyu23EAC7OtWO80mMfXz3DGkvncuXPnhobGYvHU5Ss3Hs0vXrhw4YOPP05lMqVK+fmX - Xjx24pglCyJwxgicMSldYgKPi/c7r8YAVnAOY7RWBgAjAsEakCVGjHMDWGullOBsh1wXxAgESSQ5 - Z8TAmGVEnFlAG0sgbshqS9TZlGDGWIDguLABjLbWWgvOZCyeIMaUCpUOBTFXuq7jW9eVjuhwOh0p - mjFWq1ArWGuIMQswxoQjWu1mFLYdxh3GOWdgPpPWFZ5lLIKWxATF9h46mspPTByYGezpcgQADpGY - 2Jv53veGR0bOv/fBp1ev316YX7hz57bW+uWXXgrD4MyTT3Tn01JCKTAGwcloywAS26UMVuvC5ub5 - z8//8b/+o4X5+Var5Sd9w4zhmoyrw45fMFmiDnVrLGAstGHEGLGgHRDIcVxjje1UjHMGZmEjImWt - 1lpZA8a4FI61qqNJ1FoRkePI8fFxR8Y8L9HfPyCE7Aw2ne5kp813+msNq2AjAjRzIpmEjHUak4nA - O/UBykIrrSKjQFpxaM4Yk74h0mFNCB1jCpzHHL87NzCYHO4/fXpkcq8mgNlEwhsfHUrnowgeOUlF - bgQngNfTNyicmNaAYB6X3JKObLttLVx0bijbHh2stZwzz5EMloik64MBBCY5dBSGCHlkibPOpMPA - kCVBFgRjYDW3mqyGVm1lgshozaA5pLN/3+jwUJoQLCwtrGwUW8Hw8lKxWg6TiXhPd3p0NN12YrF4 - fLMc3Lhx79i4E9dbjx7er7dNLDsyvv9YJpUQug4RmKjZDkNF3HLPiAQJz0OYIkVcNTnTrh/L9sbI - 6/NFJpE/fGB/LptlTEFpGA1rjDZRqMJIK7UdWdrZRGIMjDMpubXGWsOFENIR0kojJSQ4AljHcfxs - JuXFfNndc6inf2Ag5sZZuyGlJCKltDZGG6sMOAcMGWsInIEzzhjnYLwzCn959/gvkYId6pa7UnSK - HSzjxEBfjFDWwCijrQUjQWAwMNby7fGq4+FsAW40g+WOA5CwUAaGYBm30JpzwzmBMZBA5+4aa2DA - tg+H7RDFsAhazbXlpXfeefvjd9+5cfNmMpWWrqdFzHrpppVBYME4wJqtljY7XRhnmVRiYnT0o8Lq - zYcL/9ef/Xlheniq34sFW1fffef+hU9cRxw5Mn3qyadT2W0NfdBq3r175+c/fucnP3673ori6ZxB - RLzGeV2BESUgJDGxzW13hhlrqHM5teFcEBeBalvGdg6eGJGJFLQmEBfSEjSgVGempEGaTGh0ZEGa - hHk84lsLo2EUrPyP3J9d7GIXu9jFLnaxi13s4q+BXer2rwYDNyYwMIwJkIHtJHEDJLUlWM1tG7op - yEjiAN9e2KkIYJyJzc2tcqlMIMkFd1w4vmKOAgOxqcOHX3rlzV/9te8xxhLYiNkNF2VFMc3iDeU0 - bUY5PW4a3QkIAWtAxoA4iIhBmShiluAygDMS0gMJQ9xaCsNQRyHB4QQuRdzzfcdjhrVqzagdaq2s - EAwgA60izglCwnIIn4kkrJeIpfOpjLNtEmki1dYqIBNKDkYdBspyIXzP58QYmOSCM8EYB2NezHd8 - CRaFqhkpRSBCjMAADQZwC8cPG6IVGmWRzmd7+3ql6BRYG7i2b6Ln0OF9V+4tVxeW7129ZQf1Rqm1 - 1UDXwEi2f8CN48D+/pXbXuH+1vrCvcz9CtUTGyVDyYljT7yUznVxQFIEZgDSGo7jTk0d/m//4T88 - 1Ev9skIm1CyueExBKAtlTQNkMlnKxTIcCENwgiMsQatQMMMArTvFyxQGxvVcQ56xjtZcCMplUh7f - dvDtVP6DfeGADAAgwAGLZZLm9JHJ1YVisby6snw/now2N+1iod7XOz6455D05PBw+qnTRx786a3a - 6mpxrVBqLM9trBcbemrP5MSegwzwCB7AEYEsmGTcAWPaWqUjDuMARmvLycLjjgFtMR34AgxGKaUN - COBOAswzlgnBDx6YfP3rb775zW8JV2pmQgqBMGbaCQRMmwbPN0W3iKeTDpQCBCwxA2msYw2D0cwC - QKCUw7YdbSVnjiNBTIN9kaRjOwIlA1hirLP6FcQBBiLBSJIlo8juGHJoEOC4CElqkDIRyCEuGINW - oY7akqUlYCAsAMZI8kC1Qx0a0pFR2mraVihyy7kmTB2Z+u7f/fsje4/0ZRNxabluC2jBTBBFDZYJ - 3C4lE0kX8RiE7FDChGRqbF/vtwcPPfnc15fn5q+dv/izn/zkl9ev/NH/8cdlo/p8kTwwZA20ttqY - np7e6WeefeM3v9+zf9p1IzKbDEXXtONQng5byNf5UOhlEjHEfGy7I+xcG6MUrGLSgZDEAZDjOOMT - 49///ve3StX5xaUPPvrkF++9d/natX/+B3/QbLf7Bwe8rjQHOmFkjMN1mNFhFEKx7TglaA1BINts - 1bVVQspYLEEEZSITtCUgBQ/CUBFBOAYMlhORCxYi0lEI8FKjtbJZaIYhkyLux5gyjgtHSMkA0pYM - OY6FpwHJiG+bXGtjjLG22W63Wm1lLOfCkZLbwBgVqCCIgigKtIo6itdO70hkJWccMFpHUSfhUBrr - tAMLou7u1Le+/dqzzx0dm8iCt0OwNpgCYwoUGU/4JDPEUqksOqpbwOPC7evNfu3VV5548uxGsXT9 - 5p1PP/30hz/80ds/fmt1ZcmPecdOznTnCZZJZQAAIABJREFU4q124AgufcEZg9ZWKWIATBC0P/zg - w7d++NbHH3729LNfefb558+99ByYJqEl3MJy6f23P/hH/+P/RFqRoY7QD4JBaWuJjBDkCuFKzi2g - KJImBNdgJJjkkATmev65F849/8Jzp07NeJ5kDNpE1hhiXHCHwAmCkUwm/m/23vPHkjS98jvPayLi - ept503tTmeVtV3V1dU93V0+PZc8MdzgjabVcagVQBChAf4YkEJCAFYH9IC5JaTHDHZGcme5pM+1N - VVeXt1kmXaX3Pq+LiNfoQ2TNDAlpCYjSJ+UPSNRNIFG4Ju4bEec9zznZeJwJCSKYZ0k9xoLIMrBo - 5YfrePEEEVfKqiAUgAtEKzMoMhdG22nwYvFT5y786X/3p9lczHGMq8pJ1BKmwmwIGGv1FsvUc331 - rJcCcoVc+wsvDg8PKyMsPM1iAdyAXM08L5VzEvAUoHaNqTsOJ24CZerKaHAS0EFowLh0BHer1drT - mamqXxVOIRlLcEBwIJ124zHOoQIV1gLlw0qATLRuCnAwDkuGrAVAPJ1I53ON3ImDazh+cynfXkpl - 4mJhamJpZq5SOTY+s7K4Y5PF1lxDY0tLg3appZBem9wdvXe3dqFdB7tfXb21UUWhu7F1oCOVA9gK - lLZhyJQSsL1DBy5880ffef2bLW49Ha5zJ9h19a5rLI/b3ZgtJ5JeJl4Q8QSYsSBAItRVA+3GY8SE - MdAanMA4QNxCGaOsUWR1S6n5xTd+ePzlH53pyRfsVircDJlVgjQRUxmmMkwndEIlWoSBgtlVKlCk - mWCCcQnGLCwDBBiX2uwaFhKElA5xHiitQ0NqL0Lc7IU1RecZCxMaqxmDtSy0joV0GaXgizpsAFgC - dwAGWMEYiEIYwCHHscSiowahEYHm2oJsaFWAMCAI0gAkpI/QBgbEdjfLG2tbCI2UbjwW42RgA2sd - gEILWAgGAXBoGH9xevTzzz7/u5+/FfjB2a998/vff6O3t8+JJzR3yn44s1r5H/6Xvxib3+IkbWht - ADAGqxa2lr+6d7Xqp61273752eTlnYLdTaHO/HJLNvnqG9899d1vdZ4oCgccoNrW7Pjj9955529+ - 9stsruHb3//ud3/vjXw+5Tp10A64/Nmbt//7//nvpLAgKwRTlrnWwlpllUEIbhjXDERWwngW0NBg - ihgY55ZENHViDSzBcwUCgzCECyIia2E0M5pFC2G0ocgYmHg2rrTvut1nn3322WefffbZ5/819qXb - f4JnVlMOy8CiAMIQpAEOFjPcY0xzuw1/TQdlA1gIC0OkICS0o6xcXFzf2tqNuW4ymRBuvG6l9jI8 - npFuLPDrHLqr1XUkPNZKSMHugDywmDGOb2QAGAaHgQBFjBnDrQJxCALxZyXkBpYbiwCuzzJWqrjr - xJlPcBis8FL5HHLJjAj10vTs9vpGUPcTScEAacGYBWcwhJqaWt5ZWA28eFM6XkiLWAwWyg/Dmhvj - UmjoivLr2niGgxkWhNqv1UkZUtYEhoEbcHCebCpmi2mw2src6MJ0f4DjDK4BuKjCVGEU4NWr7uZu - uF6v5woiXcykE16SiCEABbn2dP9AW8GVan55/O5osOVMrUG76abO3mJT0Y1j6GBu4nbw5f2pjdnx - pUdVv1Lc2HFy2cH2g+fimQwHoHcB68XSxYbSbOg6nOfy+WJzKp9UsD4YBzFAGGIBoQ4oBgUkYEEa - nsy0NMXm9I6/W9teDestIgHAWnASKQ1bC7e3KwitY1RQ2d6w9R2OmHhWgmQYLCB+Wx3DgDjAUnH2 - 3MGeR19dvjw7PTF6y3rVarWwUdNduY62/sMsKZONmd6+9oz7KFyen3hwL/Afj66u1xk6O7v7WnIe - 4EV+ZYQAYLmvYbmA5NqEzPgeDMgqKwLiEowLN8YthRVoH3vHBxSSmiWlm0jGY1bXk0m3t6/d8r2X - b6HiNmRWwdg8YlUrFYew4AbKhL6yynok80LEbehzHXA4wnVgKBIGrFHGGCYdSA9MgCAAySx0CBuA - PMsQ7s3iEmkgVCR40uUxybVRoVLG7Jm6rYZlsEyA8n4g6qEiDkYKpqZ8SAHOomlfZm24U90OETpJ - hxxEorEFnFgsUcjDE8Za0qa9sam9NScpyhhREBZGhxRXDGFkG7NgFFgTUOiDc3iJnJtMpERbLt9e - yOSTLNaY/dWlK5NP5sefTB/qaI67yUwm73nxaqXuq3pja0NHLwSkQItAzrGBsIC2WZaqWb7Dwffq - +aLJcQtriIgxsZd8oiLdkpMQiYSIJ5LpbDZXyGfyOS8RY468c+/e7Ozs0tJKQ8L1XA3B0g25WGK7 - VtlCWI3a440Bs1H4gVY60JFoTghDDQsBzhiTRkNrZW1N61poQsOtlUSGI4xDOZxB0exmeXR2wQjh - JeIJx01CJo1V1bqENsKv6tpGpbypQ8GFu2deUyBbr9emZ2e3yrtw3aa21mQqKRgnycnhTJJhykay - hoIBLAMAxskRPO6Bc6a0toBFDCydSDVJb9LXG7GUKjZnW3q6tKop4pHWTJZIgxO0ge9DuiDAakEs - AZJMOrl8Ip1Jt7Q0FPLxQto19fKNa7dmpp8+nZ9tGRrIFRLcc5mNNgksjIZWcLiFCYPg0YNHU2PT - jkyeeu5rz7/y7Z4D/ZwCwBdap0hMNsTycXJJQ1kGaMCYkDEYRSaULk9pReW673rCcAOK8j2tVSzp - ZTw3bqytBfVYItHT37cX0gDAGiLGAWUiaz+AZwosgQhcgNgzz7oFLIE4iGtLjpCOtSwMuYYLCA5r - otYlQDI3ncwV44vrcemk8/mm5hKSMXjIxRHAVGB01LSZ44ld5u4AAhBMyFxTJtsAzWAEeAwkfCCM - 8hAIDtWAKrG6YSG4IClDg9BCc3DJYLlSxC2r1IKVrY2K8eOJeGdba9qN9m6Ml0mnUnFsWn+rUtnR - NskhFLMMYFpZhAo6XN7cWFpZg6FiMtfY0EyOBHcBLrPJ9oZUSyY+v7K0PjOzsrT+YGJ+tsozbYOJ - fCmXyRnGu4qpqbGn8yN3FiYOc7U2/nTRyw439nc4BVgPoBgQ5pOZpkwqxiyHcjzW1JloSSU8VQDz - c1QF1Qxz/Xw+0BQNFsDAsdFWg2+FD2HAubbMREu7gVZGk2ECnusUC9mYK6xCUDG5VEtbByVNLElJ - ROomFKmMG62DQmiOEDpUKp9Ny5Trh/X5mZndlQ2HwRLAYYkJ4hamvlsNgjAwNoRlVjhWRttMCtDW - Oswy0rAapFlUYMZicPPxZJFv1bD6NF6tSJ0Ad2AULDFCEAZ17ZcR1oWtal2u+JmElBBQQuyEqAdc - IKR6DbUaQTxbIz24HCFCuzK3sTS/yt1ELB53HM5JASFnXkDwLbiFE52nTB26sjn/5MG92/Or2z3D - p1789o8PPfd8a3NJOASoyva6spRwBRGDYY51XA1oU1levDU3NrIw13H8xROHXi7lm7zydqy8w03g - JZyGpnz3keONQwc9FwyIw/DyyszI7Ynx8U3fnDl1/uSFlw4eOxKTcBwfvAaDhvxTgs95YKHrCpI7 - 4BKMadIGAVhNqx2jleukBJy9zT/uhxQG1kLEhPSs0sxAcPBotQWD0sYS54xbRWHVBopbIaJBjyj8 - x+7tav4mV2ufffbZZ5999tlnn33+mexLt/8Ezy6+ObPRxG8IG4IBjFvmWe4yZijc2FwZX10a3ljv - zWdSQjBOHEIioK3t2tz8anm3mkkV89mMG0/VrEAin8g2ZPKFrc2NpfmZylYgMw48gDjgWMsJjBjj - AEVyFUEDABMEpjTtudeY3pvvMzDGWArh1SltqOJIHqc6MwlGcLykk3WaG5pSwpmeX1hZWNza3Mol - E8yA2ei/Qc0P55e3H8+sLq6rQqG7mGnKSM+DhfK18R2XSWFI10xY0cYzDMbyUOmw5pOyTFuElgzT - gGYs3dRYaMpxGS5MPZwaP1Atg8VhGLgIobZgBFRiZaE+Nbe+Xas2FJKNbaVk3I1RCAQW1URzrKun - uTHh0fL6xN3RtXVves06mdbG9p5MISM99PYn29rrpKpr06OclTfL5d16oqHzcKmvzUuCwydVBrOJ - VL6ra2B0a71W2V2YX2jLd2cTjssIFAI1QBqKhwwApIZnbJLXAQVPFrvb0xO7sys7SzNjm2sN3Y0t - UIHlgvFkLVTLG/7o5FKlZrVCdXuTgrJASQBRgkYYZUIQJAAEIAbEAM/1zLG+1sGCuVLbHH10reLW - GD9QszLZ2NvSN0CJZU/HO7qaGpKOWVl8ePN6GZOT65ssmWhrb28vwjFwGBwYmACwsLweGsM4JDNQ - zATS1EGkLPfBXYpLJh1SXFW5VTwqjAIUpSCyiVQum0ntbK2sry2WK2UnkYgCDjVIR34pa2Et6ZrD - XcEZ41YFKjSkKQ6WYcyFCqSucDjcEVYRaQJFmZ6KhAMZAxcUiUFkYQJoH8woi4D2TIGOJSgDKZKu - iDtsKwzq9bpWIAmQUoE1XIKBgCAUfqjAwJlm8G2orBLcgbASIGXCil9WFHKPWWEs7c3peqlksaVV - ppJbOztjjx6dOPo8mnKKQVtGTAhY4gJRkZoFEQQpoB6aehDW4yQYIxjmEJxcKpsdysZ14Lif3RzZ - 3fRXFrb8qsmnE4V8Yy5f2FmdmVuY29xZa1DNCQELGDBjHRgJLQBoCyt+O0oLwFoDa4kAxmEZrDE6 - NEYLMAgBzkyoGKd8IdvQ1Kit3drdHZuYKFcq62vr9bYSHAvJ8qVCPLnkL27UdtdDHzwBS2RgCbq8 - tbayvrRT3jJWgVCv+9bAYQyCC6OsVsTZ2k55ZnF5eX07JWUswYRVkoWcCVWpTq5sPF1alflMNp/N - JlIpiFgtrNZ8ZgOFWkVVx2ZnUgsrqfbOJAxIwSpYs7u9MzLycH1r20klewYGMtkcixzogkjCkLYw - ZKw1e6EphlnG4QjuSSE4t4COPPmUam7pz+QflWtPltbG17YO9prOuiLLyBF8TwvhMBYmyh/fyzMR - 3MQBwAIM3GEJV/Z3l1IuV5XyzvLqzbGx1e3N9XrVB2ISwsAoy5mFNmTUnmUxVLNTcxvLm9lUcejQ - ya4DhzSBgRO0Dqv1nVVVXkzK0IFhmpGFtghN6JLUhqAcl6dUiHK1yr2EgbXMEiJdUxTSjdl0nhjN - zM8sriwqa60mwcEJFDl+Aa2tMZpAnHOLZ3VPtNfLB0Tj3VG0tPAtC4wVTLgWXGmuIQFBiN5ewQFi - qUKutav78U59eydYmFvPJQpp55kobKNtSAE4nFwHiD/baaohzklLsgTAkOHQBKXhkvF4DbICU7VU - C60fGGuCYG5xabmlNd6ImGBQXIeklNnY2plZWigH1WQp0d/VnYntjannmkqNpW1aDTYXVlbm57sb - 2yADggsLUtbWQ1S3J+fnZ+fmOW9ozjWWGuPkQDOXW46E29WY7mstzt7ZXn06NfZk8v7YfEUnBgd7 - 47kS4okC8YGW4qg7Njn+6OnIE8L24tL2wcGWlqEek4QSgHVBsYZMvi2fT0tW2V5fWJrdrCKbAjlw - rYugjLDKpHGkrTtUA2IKMYPIGg5bJSdkEpaRsmQsGAO01lYrshKIxbyWpsZMMh7UymOPpk4vV+tB - gjMIxxBIQwUIOHxBsUgR5iE4QmnRVComCilfhU/HJ1am51QdzIXl4CAJ4df85bn1tbWNnVrNgnMI - 1zjRTqEGLDRjRkIRqWdXK4xkQsRLyXQjW5pRi+Nie56H3RDSGGmMMSZYXlhdXl/Z0bWQyTqsH8Io - xolDOU7V8EAxZtZ3V+c35hYqy5kE4khwiBhcKB/rG0szqxur25lCXzaXSyZinDQoBOMaCAhu1Gpq - azBVmN3q2tT007G6Efmuo8PPvZZqakAsulzxmb9R21oKamWtLLPCJTfa75hZW76/PDW1jaMtiW+8 - cuzl515N+xSrKGW0zcRt2gklfMDf287cRXVteeLxxvoaS+YGTp7tHj4UzyRMbdcqRYCu1v3qrgp3 - gJqFVgaGcTAHYIYbywKgakwZWgl43DzLkSJfkw4sNDkOE1YrbsAFrAYRgQkoaEuMiHQodI1rX+wN - 5wBgCHSwU96RlSCZSMUS/19clO6zzz777LPPPvvs8/9D/nHx+T6/y7ObaDAwoqjnKZJoAGLaCm0Z - wZZ3Nz796L33f/3O1atX19Y2glCDCBYrS8s3bt17Oj1ntG1rbWlpLmVzCem4qWyxua19cPCA0eHM - 1OS9Ozc213assSAO5oJLZayxNprK5RYqxM7Ojq+0kA45Loi01gomhFF7oi4JLok7vuHlqr+ztRXW - yx5jDmeMM87l8NDwQF+fhZoYGxt9MurXAxgNGJAxWi+urV+6en16bkk4yaHhIx3tnZmkEACkdDw3 - 1IE2oeBIJhzHiWQLw4WIxxNCCMmFJ6U1JjRWWaTyhUIxn0q6W+tLC7Mz66tBtQylAdIQGtbUd2tf - fHHtw48+RVA/cHjwzNnTgjOEylgdQDnJWKlUaClldXX9ycjI7duP17dYsbE7ky86Hiyq6TQKDSyb - xvTY5NXPbl/+7IZ0U+19faV2OC6YNdxxwEQ6Uzhy7GQhn99YXf74ow9n5xeMgI20EKvBYCx8hVoN - TCPBCVBQIYBSe0eukK+Wd65c+vTRyF0AYNaClMHq2s7Va3f++n//6eTTWc55PpNKeNJ5FjtoIxUm - EtOjWXIQSAIupEwVMl1NmVwSD0cefHHpq5t37sRSyab25nwjlOWxRKG7u7dUzPjlhds3vrx778Hq - dtDU1l0sFpMeTACmAR3aeh3awDJtoI02Vu/lEBgNa2HJGgAIq/VqpRLznETM86SInhsTPJUpNpZa - GhqKG+ur9+7f/eqrL7e2t6Lj3MBqS7ACloNYzBVkfK1qxoZCcsf1iDt+SIGvHM4lswCUsYYYhAAx - Y6wyxmjDiAQXv1OeExXbURAitNhzq0kHMQ+e5ziSCJVyubyzHQSwBtgbPYaxUBqMuVy4FiBOrsMS - McEsQh8EBuKMC+lIbVWltlur7YbKjzxT2Vy+q7+v2Fja3Nr+8tKl8Sej21s1ISAkLLFqoMKo/8lC - B1YH9ahBTxlVC4K60tbYvXhIC5DRYT2o18giGU9lM3mjrTEUTyT7+geSqdTM3MzVa1+NT6zuvdyo - hsuISAyTEhwILYzZk24ZZwACP9CBgjFgjLmu4KK8s6PqPghccCmllIII2mijteM4jFgYhowxCAEh - 3GQiFndh1fTE6Nz0lAUYEWMMjN24deuDjz66fvPxTtlyIYIgsBoMgLU2DIxWbiw2Nzr65tvvvvfe - B1NTs4yYZFzA+tXq3fsPxmfmQiDf0NDZ2dnV0cEMoGzcjQV+vRpUamH9vQ/e/+jTz8p+3VqDSHis - 1+YXFq9ev762tpZKJQ4eHMpmM0Zr+GFYr5VrVT8MgsA3KpAcxqLmQ1vDGAexSkVZaxPxuDWo1lQQ - 4Njx0wcODPlh/fKVT6/d+KpcrTLpCOEEgTYGSqPmW23BBWKxqOPNcs7DEJVyfXtrW9XrUaM9QZFR - ZBQncMGJsUDrmkZUKMT2ep/wbKjZGKOrlcru9u7OTqVSC+ph9EVmFowz8XRi/PHI3d3NGmnlcMEA - ySAdAas5F470AMYYl1IwRDk0AAAmHDfR2tLZ3taZy+Wmp6cePHgwOTGxvb0dhga/M0nNOTHOQJYx - 8L1QGqu1NtYYa6wFY5wxASZAXFmuDaxWzCpBlu01gcFaE+VKg3ihofngkWOJZHpmZuaTjz/eXK8I - gmB7QT5gEswBcwFAG2a1gAEQgNe01eAAU36oNIjguHAlgYeAD1Wp1co1v7a2vnb/wYN//5d/+cGH - n+xW6n4QEsHhKG9vPxmb+PTL60sbSCST/d2thSQcCSQyg0fODAwd0n7twc0rV698sbW17eto6B9c - sFCpJ6MT9x+OLSwut7c29Xa0NDZASOjoVYN1tLWfPXUq4Yip8UeffPTB2NOnTjp/+vxL6VwjIMHE - ySPDh/raqpsrD+7evX/v8c5uraW9vbOvM2rHBATAvXiqmCsUstm11eU7t2/cf3B3eS0MARMpbiCA - mLV1g/VtVOuQfK/2DSokzpjkUQZ3lPMMTlIIx5EMzHGchkKxsaGRLG7fuDX2eHR9DVzCggfQNfgW - EEKCI1QIa9Fnz7nrtTS3FEslx5XLS4uTT56Mj85ubftBlLcKtjy//Nknn1+7fn1mfi7meIJxZix7 - dllio7676ENleystvEQ8lY/H08waf3t1ff7p1sZaGCjLHW1obWXtV2+9denLS+v1rYBUIp1saso6 - XEIDliXiqZjnhn7t9rXLv3znzXc/eG9xY5mBSwgOXt/ceXj91sLiCmOiuampu6urrTXHIymafnMk - R9KtBjTI6rBeq1aZdALDN3aq/t7FCqCCem23vLO5s7UZ+vVkPMaJQIDjgHFN3DKMjj65evmLuzev - Ly8taBUKxw2NLQfG35Oto9OuglbGaK21MtoybokswfUkEdPl2srS6ubGhgr9WnXbmDCZAmdR+DqY - lFxyWJWICc8RlZ2yrsMCgfEBRZwJ16n54fbOrjWGE5iFX/cRKhCD41owv+5rFXiS5TIJR8JYFfo+ - LHYWln79wUe/+OUvr1z5slqvaqP/H1597rPPPvvss88+++yzz++w77r9pyGAESMwwIIIYCAWFZRF - 99bGmDBU9+/dVxozU1NHDvR3lIpri7Mjt65du/TJ/PxCW8+xr792sbWl2XVAgCtke2vr+fPPb6xs - jI+N/vVf/eX5c+cOHu5uac0JxxjDqrVwbn55fb1qjXPw0Imu3hYpHWsC3/c97oAYrKFnSXfGGK6g - tEYkIQjBheCMUVQxpCFgh4eHZg6NXr574+atmzvSbK+vDnV2NmdzXIQjY3du3Lvy1ZWRyafrhWLf - xYuvDvT3uU40OciJi9DYUCtlQ62VsVGMGxmL0NjoTskYxchyRoI7bjzV0937tZde+vLG43t3b//5 - v/2fTp08daI301skqi1NL2zduDf6wQeXV5c3ug8PHz063N3V7joc5DOSDF7MzRcawt6B9vtLD8an - p/VaMtvROXjwUDaTcxxwaC8lm5rznV2FxbXqZqVeNqL78Omu7kwiARmN0lsByxOFxvMXLnz+YH38 - +uylzz+JUXVt/tihwfa41A7VScafLtfG58uLS8tHB9tOHe4pJsA5kEj3DR3svL8m7yw+fHDvvTS5 - KA/0d4VWTC0s37l3f/TBnTAMiYgRaRXit3dl9A/++QePAM6RjLd1tnV0dU89ero0uZprWGxqPlJq - 8aSEMHGHSol4rbWtND/xcHRstObUcx2540fONhaLrgchQARmOUgCCsQY49YYGOVIwbmIRjiJQXAQ - wIXDpFcLjR8EVgXsWaOeF0/0Dwy+8MILyysrjx8/+unf/M3UwmLPUH+uqZEYXF+zSm1mdHxle1Mx - 8/xLFzq7urTWxDkxxjm3sFqbUIVGGwIYEUWTocTAHCJutCITcrKMoC3CUEniIAligkPQntYHo2EB - LpKZfGd3z8J0bWpy/L23PzvSmcvz8vziRu+RF4odWYeBC8GYo1QkXbFKreZ5nnCicXNGEI6MMZBR - gRQkOQNsoALHcdtbOw4dOrKxfm9sbOxnP/uPc4tzZ86eTedSxE3NL5dru6vL60+fzrS3d/T3tQ0P - 93LQ5sbml5c+297aiDsNh4bOxmVesDrZyqUvPr/0xTXANjYUm5ubYrG465pMJnvixMnFqaUn126/ - //6vF+tq6dzxtqZ0Jg5Pc1GxO/MbD2emdgWK/d0vXThHDGRhjIk0Vi447YkPpOr16ampDz/8kBhv - LJX6Bw548YS2qNT869ev379/31qby+UaGhoc1wUL4Xh9g0MdT7bw5M6VLz5LhJtq7URbIVWtlMee - PL525dL4yIN0zivv1JXSjhAietNDH7CMMaU0tF1fX//1+x9UVxaOjQ329bVWquszY3NffXrt5u3b - UsqjR4/09/XGhXQdB8qAAhARY9bax48erb31ll5ffXXwwMFc1uN25OHdK1e/uH37jiPzfX09Bw8M - FHJZxgAhDJgCIy4555ysIEiKDmZSBoG2gYbSxlrDCVJwEY+1tbX39/f39HQtLi5+9OGHwvEODB9s - LDVJNwawcrmyvLw8Mz0T87zTJ08WC4VMKgmIzz777OGDB6lUcqC/t7WtxIWpVLYmx2c/+viT+fmF - XDbb2dFRLBQ5g9LYU+aVgrUQAow4uOt5HV2dDY2jY/NLV69+JfOF4aFe0uXt9fmFsYeX33v3/q07 - xoKICBYWlmCsYYy44GC27tcYQyzmMZCGDq3ikQdYeh6PDQ4MvvD8+U8uXbl+7dpf/sVfnDlzpqur - K5vNEKxS2q/Xn049rVWqiUR8eGi4rb01nUwQRWsMaaUsIKMlwDKAE0kQaR0yUlIyxinaMOB730eC - ZqWW7ufPpT64tX17ZuWDD96R2Dp7ani4t9WxVY/5kjtzcyuzcytPF+aPP/9c9/CBbC5vYCy0ZJyB - wRgiawy0gWCg6JRnCWBMCC8WY1yb8u7okye/fr8abD89OdzZkCsg1Jc///Tzz389Mb3a0dtz6uSp - no50Mg5GAEuU2g8NDKre7qfry2OffLhj1fqRw/2dre0J6a0szE8/vn7n6vsPHk4U8qVzr7x05GBX - wt2zCAMCIYvl2w4MI5W+NbUye/sWlXc3ctlkd3dPLJ6G9k0QtrS2tLc3MWbuP7hHXLue19XZ3tPR - 4nAwwFpLoYIba+3sfuW117cvPxx78uhnP/3J8tMTp48c6GxIpWxF1ta2K7WRlZuPNwz3smcO9DYd - bofVsARytJVKWa1Dzi3nMAY8iuvQ2iLgYDKdPXnizN351C8uL33wwVvwH58/3d7RxJKZuOWm4ld2 - lh7NPFnlQbK1MfnyiydlmsMyY6izs+f5589fvTlx+86Nn/0scfK5o20dpUTMPrhz+cGdy49ujRtt - CoXcwlbFGGW1slaAwAAiRpHs/Jv0QfFeAAAgAElEQVTzjbGwNplKnTx1amFpdWpq9ic//ens4uzR - E4fchJyZe3rn/s0vL12bX9pMJZNVP1DalwycCNbuiZKMwWooNTM98+Yv3lyZHzs+dGKo88DW3Nr8 - /Vt3P3l/ZOxJMt1w8szprvY2j8MagmUwFgwcUX1ltMgDTGSKjR1d3fdmH088efjhe+/G2MXWpryu - r81P3Bt78NUXt8ZnZ6aN22pNYFgAAoxfKpYO9x05e/T24pb/ySeXV+d2mmLJApdcSl+QL5l2vVxL - a0tv/5lDA6kEXC/d2N6Vzo3Wxh5f+/KzxiRiOJEQtr6zvjo/df3Grc8u3Qt831rNGES0vWq1AAcE - Iw4hBGOCweE2evIEwBIscb5X3sijxkQC52KvZpE4MRFdagEmDENrJCPGGDPl8sjDhz//xS+W/Fr/ - mVM9Xd2dTc2x+L73dp999tlnn3322Weffy770u3/LfRszHmvVt0yWI29zmaCtcZEzdrkul5nZ1cQ - hFevXpuenJw8cnCot2vy8YP7N68+vvcgnvCOHT/+rW++3lxK8T3DiG1qKr304oWxR2NXLl95881f - zs1MHXkycOhwr+uRMrS7W7tzd2RxcTOdbnTcVHtXKZn26oEK6qEXk7AgEAPnAIM1WkNZpTWIuBCO - Iz3XlVIC0FpDWQ70DfSfOHn8wO1rDxdmpt5fnZueeuHEib7WVib0R5+/c/3ul4sL5Wyi/9Chg6+8 - 8nJzp/Mba4+yiCzA1ppQBcZE3iRmrA2UMoCxRpuQMysBziXI7enpfeONNzYr9urNe//h3/35xKuv - Lh3vOtqV1juLD0anf/Xrz7ZqyLUOvfDaK8eODDcUuesAVkTmJwcilwsGhrom7t5dGp+r7aQbOk8c - GDyeSWclwKHJ46XmwsDA4PT8g/WVwMR0a0tjR0dKCgiArIAWsAyZ/PCpjhMnpu/P1B89GXtre3Fy - 9PZrL5/LxLnHQ+7E7z5ZuPVobn5uvvqdV7qb0wmeTCYZkpme/gPDB9e6b8+OPl389JOPV+dHX331 - xdCw2yNP7j8YSbrs0KFDfq1WIVarVrVS/+iAoX/w2+88lKK1vbW//8BnD+e31+oQ28dONzY2OQAk - i3M0SrHR2d368O79+fFpn1Dq7jt14nyxkHccCBFZmRiECyAyWVpryGrBiBgDMaU1MUgCWZDjyFii - Hii/7uvQj6aurYVw3P6BgYuvvfbo8eOb90befffd2aXlA0eGuw8MeJ7La35tZeP65cuLaytuOtHR - 19PV3W2thUXUyx0pQzpUNjL6EWgvwJXZqKROa6ZDZnS0ZwBlpCNhNYzlHBLgAGwk3Rowlm0oHTl2 - YmTr/uz0xH/8m5/MHepscIPxp/M/SDVnW04yD4xzMKE0iDgY1WpVx5VSCAKgYS0XwuWcMzKuZFIw - AsJQSe6USs3PPXd2Y80szl9/7713J6eerm2sN7c2Ox6v1HcXluZGRydu37rzjde/6blfGzrQTYxt - b21/9dXVO7dvQsW//spGJlmSzLe6/MnH71y5M1Zs6uvt7e7oaEsmk9wJ0il+8uSpucmFmxMzd+/c - ntzYmZl6dHios6mYTDPPX6vMPJj47OZ1m0mc/eZrr7x4LvoIlNKCE2eMSxm1nAOo1/2Z2dm3fvX2 - +sZGQ0PjqxdfS6YzgTIbW9tXr9988uRJoVDs6elub2tzXRek4caGDh059LScvzn95M6N6tL4zuyT - 4Z62rc2tK19erpZ3Ylz1Hxh+NPJAG+u6joziucOAM+Kc+0GATDaTiU9MTuzMP7177+r5F06ub8w9 - vjN699p95vOuwb7zzz/f19sLwHFdWAVjGOdSSiGFIjx98vh/fXBv6/nnp1taYpI+/fyjx09G1sq6 - 78zxs6eP9/eUMnFwaEiHmLBMCMe1QggCt5CAw0FgytjIgmcMjAoFgyfIE0k4fHBw8MULFy5f+eru - vbvTs/MXXnq5f2AwWyiGoV5bWx+fmLh963apoSGbTnuOzCQTRuOrq1d/9eYvs5nMyRPH+ge6hUS5 - vDUxOv3JJ596TvLQ0aOD/QPNjTkXMBrawjCQ0sTsXkYsg+d5x44dm5+cn1xeuXTpi80w3N48Z4Ld - xdnxB1cvLU882Vkrcw4CITq8NbQKhYgRg4YOVEAER0hAWxitohMDB2dg1N8/8I3XX59bXHn06NFP - /sN/WF5cHBo6UCwWLOD7/u7Ozq2bt8Iw6O/vT8QT+XwumUjQXu8hLCKTJ6y1UQyqhSQwYxSR4tyy - KCpBwxHRdiJgGBraT5/uO35sbmJz9PHje+WN6Ykng69eOO2RH+ehFO7tOyMPHoyOjI3+acwpdbbn - cjkNDWsFCQaALOcstDAaYLBA1B8GLhzXi8cTiYShwHMd+XDk0fSjLxdfPNXe1KBrlV/87c+ezs7E - kpmzL3zjwoUXm4vP3JGIZRoHDgybF55fvHFv7P7dG2NjI+cvPH/84FA+GX987/aDm1+MPbhXzODI - c8e+/c2LrYNMAM/WHoGQI9XY2RUrFvOP5+cnxneZmyjkM6VSo3Qco40fhsVisbm5kEx6U1NPmcOK - zT0dra0tJbgEAoyxXBk4bqmj67tvfG9yVzz+6Mb77/5qfW58cfLIkYGOrAhYZW1uafXK6PL9herA - wWMtSWEPt5PRIA7uKcVCpY3RUkAIGA0QLKw2WlvlWcBLPPfc81OV5hvTHz+6dWVh8vP5icHDg8VS - a0nG+Mr6yvSTlZuXHjSk2p4/c/jMqQPZVAYGQah7ewa/9S27sPy3U7NP//Zvl5ZWp7v7OpIxevet - n81NPXJt4ujAc7mYmbl2yxoNa2zUVAowxthv54IA2Cg1I5lKvXDhwvjk9Nj407/7+d8vrS8try/F - U+69kTu37lwnSC+WKbUkpqYXA7+qAuu6UXqugrXaWgBOY1GKYOTeyOL04yfdT1567qXpkfGJW189 - vno166H37LmvvXShvbVg92zezFoLE6WQ7z0RGEDwprau4ydOXb6/OPV04p1f7eZSor21sb6zNHLn - 8tjD6w/GV7Z3eLKpxRjfUABuEAb5YuNQ9/CRweNzlx+OP5rc2fAdvyrqFWtUSFQ3eqselroHj5x9 - MWG/lx1obvXSnYMHO7vHvNujVy9/6uhdW99KuaKysTo/OfrVtRuPZzc5544jRdS5pq2BBmdGkzUk - uIDRZHTcFZLAAMEYLLPWgsAkl1IwRpEnWDocimAAxohJ4ThSSmNUtVLWJsfAuBC71erExOSnn366 - 5Nd2BXv9tdcas7ncvnS7zz777LPPPvvss88/m33p9j/Fb8U4++y3PfcTAOJcxmKJWCzeVGr63hvf - S2fzi4vLv3rrzV/84pcfOIybEH61qbnxje/94IWvf7+nu8uJAYDVyg/ryUT85Injzp/88eHh4bff - ent+fnZq+uGnn7na1pWC1kRMtncMnD59qq+vJ512GeA6MYe7AEGpaGiTogF0yaE0ERNCgpHS2lob - qc5SCkYWRqWL+Zdefinb3vTTd9/88NbVa1e/evpwJCWkNrWN8nIsI7728ssXX/rx2ZPfaWp2iBAq - uMIi1NV6WAu1G4t56XzMc6PIAc64cBzpulHSruDEyBpABYqHYTFffO3ixUS21PvBZ3/787fu3bs5 - dfeToqw5arumeCVk51987dzF7z336u/lW7NR34j1Vd0EmhvmcjcW7z/Qc7MlZW8aC9FQ6BwcOJxI - JCxUiJpjg3wxe/DQkctX57TagWHt7aW2thRD1IcDcBdBFZZDur//g+8XOk/84pdvj9y/cfPGjScj - txwWCgoMid26YF7+6JGjA309pcaGZEpChLDaS2cvvPQ1ken96f/x5uOR69evXxsbe8jdBHNiZ547 - d/65EycOD/3Zn/3Z/fE5azTRM9MT0T8+YH73obUIw1yxoadvMObdwE7dGtvX19HSmtw7kiiXTNLh - owefjNz+/CZgWTbdPNh/MJ/LSAHsqZ2GGAeYNeSHIYPlMPVaJQgCbZlwPHBmAKNCCpVvmBNPu57n - MCsAATAOrZHN5Y4dP/4nf/LfvPP+x+988PGTJ48fjD5irvBinhNou1txifUfHHr9u99ub2vnjBMj - BtJKlyvlUCkp4vFY3OHCwAbVOgnpcIK2voI21hVcWKVDH9ZIzqQXB3wE1g/rPpfGdTiHYIDgMALW - NLS0fe3Vi/dW1MLdqatXLk3fu9SUoEQmX6mVhQQIxlhtGAhKGwuby2VcTwAwxjClw9Aykq6UrmSh - Xwl9n4HiXoKTgMcuXvx6U+lAT8+Jn//9e7Oz0z/96U8MrEGobBCEddeNNTSUBg8M9PX2Msa1DePx - xJEjR2dnZu7dGvurv/4rjgRHzaiyDsulpu5v//hHZ159rben03M0tE+M9w0M/MGPflQaPPjvf/7m - nam5Tz7+6MrnKuGaNI+Z7UD6ZGPey6++dPa5M9EuiDE2CALNSHImHbl3zBCS6XRvb99rr7320ccf - jzx8ODYxaUHK2FAb6ca7urt++Ac/Pvf8+cbGAoeBseBOpqvn1Vfja1T4q5/9am7q8btLk5cdlkyl - srn8H/xn/0VjJjY+cntzazuRShkdwkAwwHXIkZxzAO093S8ePdgUF6M3royM3HowckPpCvnMdb2L - F7/+yu9//8C5M7HWkoneZwsLMrAkeC6X/eF/+6fLMfHB3/3drVu3Hn/yifKrO7tbuWLm9W+89MoP - /tWh89/IxsEBYy0HhQa+Jg3GYDl0pF8bCwNjiUE4JAVxTngWU2wtOD9+4kRDY9PAgfff/+Cjz764 - vLK6HkskpeuFyoRBUK/7Q0NDJ44f6+zoyGVznPNKpTw0NDQ/O3Ply8t///eTRJqYBmlObqFY/PrF - b73yxhtdXV0SiLI6bB3VajXpcTCCNTr0FTRZdvbcWV3Xq5XqvafTv/j5zz/9+H3S9biLpnT8+z/4 - od3d+B///H8Lg9BqxQCjtdLa5bYe1P3QT6TjjJPv1zzXkXA8CYrceRrww1Jj02sXL2byxXffffft - t9+59PnnV6986XquUkprbYyJx2KnT5/+7ne+c2DwQD6XM1prY4jIkUKIvfgRpQzThiRXmpTSQpAx - tXptNwwDYq50wABoA6WhCOR52cK/+a/+dcPg6Dtv/2r00Z3PP/v0ztXPXAQOfFiq1sJ8oelrL798 - +NChhmIhVIEUghEz0MaAESC4IBgGAFop0ooEg5BcOMYik84cLg2//N0fr87e/+qTX7zz7nsUVMgv - 725t9gwMv/qt33vtm9/tGxgKa8aRDByAGy90Hj+VSRda3v/o04+++PLy9du/evfDr764lI3x8voS - wnJXb8crL547cfHHA4eHkAEBIrJwQsBNwTZkcm5vb8/jua3t+bWW9raW5oZkMs4EGE/Gkm5Mxlub - G5qaCv58zU0mhoaHM+kkN3s7RowzuB4sIVs4cizzn5t0quPg27/+aHpyfHZs5B1hYrbmmRoJWZYF - p7H38PCB9tbmMITLCXBAsUBxbUlK5rrMcSAYbGAMM44jLQhhHfVKY/fgt74xGKaHfvLutcd3P/34 - ow+vfl5hDrPcBjqQOl5MtgwN958791w8EQcAEvF0fmDwUDzTVg3ku+9f/vLq5XfeeVu6FHPQVEy/ - /vXvfO3cq7VNdfPyPX7zlucJzxX8WWg4e1aE9VssIEQ6mz10+Mg3v/UtA/bRJx/fv39/bHLUiYlU - NtE/MPjDf/HjhcnND96+vFOtCoHdrY14QxyMwXE0QcFC8OFDBw8PlXIpdeWLd69/de32pVuoaS8s - p4vJ3/+9b5/5zr/sPnceOVjAEgN4FP4rfhO/RRwkYG2pvevCS7mnq+qDSyOjTx79uz//t1JYjloh - 4x4Z7nn9+3/4N7/8fK0qE3HJpYWjwTk21ers+q3Ld1VNnD51/g//8F/lYyRVOaiVazV/eWnl6u2R - B1PLVy9dZb5i37nwnReOdg8devXr1dW6/fTSlctffHLv5pcxyYVWccZevfj1wWPi8t1Rkcw5gtdq - NuFZQQKagsD49VD5gV+rhfWKdRweRbSDgwTAlNIWxsLWatUw0PA4LGAsmAWImORcEgFGWaP2cm6J - XNeNxWOxWJyFvrXG/CYrZ5999tlnn3322Wefff557Eu3/ykIYPQb9TaaPKe9OxQiKZ1MJnvx1de6 - +ofPnn8xFk9ubO4UcvnN1UVdr0rSKVeU8pnnnjvb3n8klSBFgIVgRIIxLmTMHT4wGHfd5lJpYW5u - t7zGRFitbRvwZDITi6VLTR1Hjp5pamo0JsriI2IcBmCcsb3nZWGVMg4hmUqde+F8U0Pjml8ZPngw - EY+HJhRMck5MMBgbKxZO5k7uCt081LswN8eCkCtDLFBUyzTEDx987uDAS+1NReFCib2bdjCeLZZe - +8a32g/KwBlsacnKZ51csUSyq6//v/zXf+THm3pOHM+mYgQwksTdmBN3kvGTx4/Fk6mWtta11TVe - WUmjyv1tyEQyXzpw5GTH0MlCU1bEIRClUAjBXXALkOuqwaH+7//Bd5r6ztRsy+CRbw4OHHAcsqgx - AITGpqbz5y8YdM4vCB1LnH75Qkd7ikWflAXAwRxYgUC1Nje9eLYx4XmjxweXl+YIgQkr1tS5cJhM - J9KNfT09xw8PpJIJawMyAHMQkx2dRZlslzI2OX5wfXVWSEB4MpY4dfrMwYG+1lLhh3/wozPLm7Fc - c2tTaS/38NnP/3WjNDEIkW5tO3c+/ccmP7srE/ns+QtnW1oye39gHOkmDxwYeOMHrzd0dNZtpnvo - 3GDfUCopWHSXTiAwGIK15HqtrW0/+N73jm+bI4cPlZqaLBPGGq20MQwmZFw2tXf/yz/6rze89kT/ - 89nEb54cccdN5/MnT550Eqm2nt7l9c1dvxpYTQRXWU+jmMm093QfPH6kWCwCUKHixLK53AsXLhTz - RSS8jo52z3WNMZxzxvbSEhpaOs+d9XZFsWHgVG9Hm2RMGZC2ZAyznAvJiSH62tjo82bQYJnCoeOp - f6HiXSfXtlaXEqgVPOQaSj09XYwj1Oju7f3GN3+vubXz1PPns9m06wnO9kzAIOHF0l1dfa9/Hc1D - Z06fOlpqKBBANtI0ZCaTGxpKJuLN2Vzz/MJ8EKpaUFfGBzNuzEmnsw0Njc+dOVMqlRjIEsvm8qdO - n/Fc99jhxe0NX/mcw3elScZ5urXvyAsXi92dnhPVpzEwUMLr6Ol5MZMPE6kT80vbm8tQFW5rruZu - yLIimWgo9p861tbfz/fmvq2UkpFlkcpPBGNMEDLBGxoaX3zppXyx4eTk03KloowFMUs8kyu0dXSe - PXe+pa3NlYAiGAJ34InOru6vv5r10oX1mXGqbXnMJJKZfGPp3NlzuaTs7mgdGBpGuqmtpSUmYVVI - jKBUGAbEWDqd6e3tOTvcd7S7ZXx8qFLdULrqwivlmo4NHe89dTzZVAqjiWwQGCcuGBdgjHPe09V1 - cKivPZWqTU+z1TUd1IXDCo2FzqGjB06dzjc7kXxjLazS0kv0DR78o3/zxybRUBo49n+y966xtiRZ - etC31orIzL3POfdW3Vu3qrpeXber69H17upud4+7ezzTbmZ6ZmjZIEsYkAF7xvgHIGEsISFL/ESW - kJCNBEj8AoTsPyAhEEZCPDUyWGIGxm4P89AMMzA27e6ursd9nLP3zoi1+BGPjMy9763qqYY/5NK9 - 55zMjIzHihUrcn2xYsX5ULdU0zOfvv1T3/y5xz713HOvfunxmzcGDzLEGIXp7Nr1Fz67/WbUW098 - 6o23Pj8GHaOGqCTOifPeP//87Vdeeunpp586Oz8TYe/9m2++ebbdvPjiiz/8wfcvd3c7z8zWd+eP - P/apt9/+0ouvv+HPulAGJjPIOxCl0x2Zhc2I+Mknn/zKH/0J2p7/vd/7P797565pEIyPnA3PP3nz - J995y+8vD92NW69+4dlXP9cxvJPOPIDX33wd/6R87f33Xv0jX+ycEzBDKYcXIBBBaHBysz//8he/ - uO2HZ5566v333hvH0TmnpgBE5MaNG5998cWXXnzx5o2bXec0AllKEKOZmXPMLKQOYby4uPnGG2/9 - +V/6s8OnXnz79c+dnfcA1DCGKHrwSuABMYLl+dvPfqN79Oajw+/+1qt33n/X6QH7e053znnnNzdv - PXn7pRdfeOGFTT+MGhjMYIKZRURN2zqSs6GZqUGMYBwi7l/to3bXr1+8885b/eeff+m5R374939L - L9/f0ni+HZ564bVXPv+V2y+/9ui1DcLYkTEY3GHwjzzWvzYMbOOnnn3m81/56r2RZH8Huw+vdXbj - 2tkzT9567XMvf+qVLwyPyH0CRbCUQ9V4QHft/LHtt7/9C59+5c0/+OG9G0995pV3fmK7gRGCOSeC - Hq+/9uJf+Od/8fsf3JHN9onbr7z02dsbl79vYjTHDlDQhq65N998kx956qmnnvrBP/z7l3fe5/Gq - s92GxmF7QdeeHB6//fkvfPnZp5/ktB5Igs0jX/v6z7pPffn3725e+MwzTBhH9EAKOk/puE/use2e - +/T1b26edTee+b++/Px4/3tx9+4+7pRNOrneP/rUY8++8dJbn3vpM13nYQRxYOnP3bPPXP/GN75x - 7eaTL7/6mUPYKcXe4TPPPf3ay59546U3L9/fPX3ruceff/7tt984P+tyQGWA8ll9qNFXwAwwvLu4 - fu3tt9/uh+3Ln3vlhx+8e3W4ZI+bj9+4/cJzX/nKT7x3+/LGI0+9++4Hz7/6/MW2F1NoBFEARSI4 - uvbotVdefuWLbz53+4lHvvv7393f2dNo13x8+sbmj7zz5lOvv7G54S8TJk8C7gjCBE4oJQMsgIdG - nF1/7vbNn/+5n/vUc6//zu/8Fmw0jI7HWzfPX3np0y+99sXNo7ffu4fHH7t264nrO7v0h8vf+Y3f - /t9/9Tff/QcfvPX1n/vSL/ypr379py6Gg9MPadzpbrzzg/efffb58T//H/77X/nNX/vV73z97ZdH - GYatf/3tt/50v3311Zfffff7+92VwM5cd+vi+le+/NUr2rz6xe9F39/+zDPCxmQEBrlHH33iK1/+ - 6l/6i8Pzr33lxjOfPfiLW49A09YQuMdu3vrZb33rtZe/oBebJ5980glHhQHElCavp5569hs//djF - E1977LmffurJW32XJ/pus33jrbf+3C/94ntxfOKlFz/93HPb7fYTfYOutNJKK6200korrbQSAIBs - dQp4AFn5x0g71gMs5OPQ08EmauMhqvTm+ggOEVEx9NCD7e/fCVf3zgd3dr4BO/BWCXsFE5wYIZqR - BoujErjv3GEfQrxUu7p7732S7vEnniLyahIV+wOiqeuIRHsjZwQFHHaE+4he1R3i1hxYcLWHAoPT - nu+KxkM4831HTAG4f4VIOB/2YXdftPP+cPc+HYLvMeKS/Xi2eVzHCzuAHdRDGQ6jxw9gPwSGeP+x - 9/ePDhfwDiCwjR6XwCVMgzx6H9sAnAGD7aAjyO1NRvLGBODO5aHb3b3hot57P0o/PHoLbrhUefcy - ujN5RNArRAMYyhihvd0DrqD3oZ+G9WPE6HFpMH/3Ou53eB94H/EJxOcQPByuOlwBAvRAH5Or232E - D8OVuuFJ830wXO4QY+wH2V3dHcfLzbDt+4u079UTCIdxd8dJpI7AHnpu6tVw2Ifd7sOul9F4H/Ti - 2vVeYOHgnIzsRuBK4RXnDimURhIOD3gANk5+Ufoh9A70LuiW0c17ThQIwAj0wBCxiQAb7F3w++Cb - wM1RM1JnBA94AwdAd4j3QXv01y91e4epY/SANyCO4xjVbEtRwl3oPQzX0D3xHuEAdEBvGACBwQ4w - BTk1vrfb7zUG6H6/HwzXfL8dNmDsxoMbOhh2u51zrmdH4rA77Nlos7lCoGgb8Q4g20OvYDvg7L29 - P2yGDSMGcFTRg+h+K0B/FsxFggMoBMYINhyuYAH99Ssd3o/QA7q4O+fQn52PDleA7fEoHSjcxe4K - mwsbzpVyxAXWkW2EHqB2Z3TvWX920W2BzoDxvvCBXRj3O8KF84+EA/aHuBsP4zgqAgkurp93XacK - ZjAiIwAjIVIKxDu6yzt62JFgf+2s44HRX7siugd0hi2p13sIBOoQ2NjtOvoAGHdBdG/jfboK19z2 - wp/j3N2PuPQYGGzwal6IzCa9EsPu6rLvenJOQyDiqHr33j2QiPMg9v3G9y45pCqAYAPug64QQrDt - h3qG3sl+1HvvbTs26QOc67qBI2EE1Nz5FfFlxKPjB8Lv62//yn/w3/36v/Rv/kcv/bE/9c/+yZ// - J771U7d6jGEX9Wq3v8sj37h+C1EuoeGs2wFb4BwR4wE/vPPv/Vv/9n/7N/+b7/zgu//av/tX3/kT - P/+kG+jeneHuPYZ22469HKiz4eJAiBEbQach7u712ePSRd5eAaEMDQewXiFeIUZ1F8ENCpAqhyvv - CCBTIxGQGPhqf7ja7S+vdv2wGfrNZuP3+wjDdhBKahn1wC+9vPPhfn+13XSGCHXebUSGseOd4JBG - ZUAP9ALEgLgHIjwDUUdl7gEP9vdDvBt0POw8Y+Pl+qZD2CEeIEPw2w8BBgZgY3tEQuwwAhH7DS47 - AOgxdmF0KCHRQRr1EM33vZmN43j//v2y8kEsIuL6vjezq6vdMGy8S8h+noN2+6Cq/dAJgeMBuzvg - iO7aHe2uvHT1dK0AHC47hJ4UHLG7tCjx2uOXzimwvzQ2nHna3XnPxcMwbLrN1uAux70M3oQilCAA - CVTG0YcA6aNzIa1RjsHpXZYDwt3Lv/crv/Qv/KVf+37/+Dvf/sv/xl/7/MsQxfv/9/e78e7NDTbX - L9DfvCQJhAHoLMAOoGEkVqA3RbyH8XLka2O/vTPi8OHdu//w9567de3i5g2kbSR8dkV8V0ERGw9n - GGKAXsKuIA7koTRaF7v+kJYuI8SwJUB3sPsgB+7AXSC5VOwZPcABXg+9A5CUBsFd7FgOEeMuhP0V - x73H2FPshm0cHgmOFegApxfH9uYAACAASURBVODxErSHHEC8i+ffGzduizOFXOpFRyp2FfdEYWsH - 4IAQ4G7uu+2HBonwhsP99y/HKxPrt/25Px94cCmYwDhmv2INYIA9SO7txrv37xoUgo75rPcdkyiD - ekBAOATsBF2fFwjThEkYoYES0G4C9VBBJA0aFeJlHw5Xh0ul2A2u2zgoiW0EZIooiAIL97eHe9jf - uf9//P5f+8/+x7/8V//Dn/ynfvGf/sf++J/+1tfZ7qeWjZf7nndnjw443D/Q9TBc2xE6oAc89oY+ - tQmKwRt4Dzsg7EARcgGcXV3S/cv7w+BZzHBgid4Zy0WAO0QEhbgrtQ/4zr3/+j/+T375P/0v/6tf - /pU//6//lX/kz/yFp25vHIzx3hbRg3E1fvDD/V/5d/76v/83/ublfv+v/st/9l/5F//MxqvzXoij - 6m6/v9zt4hiu92eb7SMwBuMgGClFuYWjcIYd2RUsAtdGbPeMPbAD7hrORjzRjR0+RNggbnEgOIwD - 7hL8wc6E2Az0HuL3Ie+Dbkd86hIQghAE0ZkiGHZjDEEvzkbnKHvynlrQXWmllVZaaaWVVlpppR+F - Vq/bB1ITKmFxm2AEYjD53iv5yAwDM4wggOuok+t0tvFkIEWIkADn2EDZI8uiqoboxDkWELwX53sQ - 9RsPiKlFC2bEjvsehhRGTvJBTwaYBQtg8uy7ziESQOh7KOCIBA7sOyHAVMkM3kEIZF3nogOD/cU5 - j6q2854gY1QFwJKdrQzYHXZko2ODYxmGcw9jJOgpRYJLx6RFDQHKzAzAJPnvEABEMiGis023cVux - ICJeabzc8UZcJ9cvJBIYEAKixajqBCIglw+ziiO4V0AN3iNCNB2AEgxBU9doAtIFAmhAVDghBIWJ - OzsDiY24vB+ll24jLNicXfQ2OHEOYIPLMX3J9z1IwQpyOob9HsPgN4PrumtBD0KyOetYyFTNLKol - 90vPcMkPtDi28mSk0UxmjBAJZJEpnXVXE48jvMJ1BAAWEQMYMWAX0G2SI5zGUdkAFnAHAETeUQdE - RTqOvBc/sJApGyADFGAfYzQSL3AAEaJCUv/FqKajcd/3nhFg59ttp+izrx0G4XRu/WazzYH+jND5 - jikCDkJsluLfIh1TIyA+G3rHEMA5kLDDwOpAEcSSw3ZaHPfMCnbwPdTBiBlbATl0NvSmyeuJAelg - ByMTbC7g+yRpVM5vSkc2wWzoh+vep+zjGHv2CeD13QDzIDgPIzHutmcbYhgryExNmGKMitAJ0lmD - TCbEIn7Y8HbooPvD/t4QHDo7BIJPO7hJFRZU2EBMQiGAHc43juFEvd9isOy17jt0BAOYwEwAQoym - kWBOBCzDZptCbbAIKJ06SF3X9cPmECJz3hAdDSGiE4J52Agnjvot3OXBHOLZ9QuEQxTv/cYYZDky - QcqwE4gxFDxsttuzGNXM0vgUAcjpgc+2Fz13IAHJ4NwOMGCMAQQEPewP0RBh4zjCTHLkgW6zPRMG - dQ6OO3KRMCoOl4d+49ixDBvkWKCqTpnZRkBADFVlMKSHgNkRoApn6r0DrIRHzZiksGyGzTBsWRwR - jaM6J1IjkWQlEC1Ggg3DZhh6gkYdYU58D+LkjJ82KcQIpbSFnmGcjxlkZicYI4zQu87LxgmTbbzr - hQGzXaDxgG0fJblcI53X56VDyk1gZkFHz54hLikDNQtqIDAPnWS3d+/l/AwGTqFniJBlg7abDUAx - mpo5YWIA6DqXdK2l/QR+A4wg7rzsgWAggyd0DsKDtwM0Ym+gLZ0N4ihovLzcb7pNL+QIF+fXnAUS - MbAxDcOwj4cQI4mAKaheXl1eeO+9RxpkAAxOhKVP/qckvh/OnCeN4bC7PITtlnHr1q2Nnjns4NL8 - Ac2LnREaQPFArIycqRMP3D+APR599OKxze2tBCjifhTXoYNjDAxVjDuQA8RBHXLkAwObJ0JyBwbS - pgdjUFTEEQKYM7VgYEKXgDMHZx6IsLQXHkhLfQLfO/NbR4MgioaYtsLnEMOIGtkJkBFy54dzjwg4 - xjAwAGbqxO8Po0Zj6cACohT11TE6Rn9+fYNzZTMyNorxICTEDC95BUfzPgKIOW/bbee8JyExckRi - BKY03YPIdzDODS+TCghIZ4fmLxNVKEEckyRv4M511HG0IJ6YEBCJVFiIodEO42XPEczwHbxXJmjY - h30YAwM9dcYWEIfzC+960B6D9+iMgIigcB4MIYANHjBGOcfVwfVACpZsG0/ubMOOQKZEJAoyBalC - DM4DgJJsrp1fXe7vfXgl1g1+611/GMHeGAgYvREsbrxsOr/tNsy+68/gNypj1njx4BxdXGzJpEcH - YxwQJZ0rBgGI0vRCUE6fcKYAksxiSzjrICBkJZe1RowQB+coHEKXZn3x4A5G44hIcF3uFIuRDhGA - bDcsMqYlt5VWWmmllVZaaaWVVvpx0ArdfjSZgsiQgC2zHOvWDCCwYxYr3+j50JCUUAVkybUPBYpg - U4KZKRmcsDDDNByiEyFhkGcWGIeoKZ90Skoy37IZkMw1I1WjtGWdCdEQQjYdyVSNGPk9MhDgGMEQ - RupSIjAzeybzJGQwZUkJU6HRIEwED+oQE1aIQzmkjSEAp/M6mMhNUSQYAUomnh1TtBgNntl7hwA4 - AeDGkLjZEfbpnCIDg9MB6QlTAnWwHlq2v3Oy2sWScy1tQD4dkcJcA/+ldxWkYAF1kA5BOVovEIdc - SwHDJzdqTiB4CrTpHCyqEZOA2TtOFqiwQL2CkbAUYhJHTGbQ5G7TyMk8WgKVALjJb9uBO5AjyZhI - ja4gDM5hBBzQQym96jjjTQVLNzABAmOMgTw6wkhghhkOQXsxJkMMKZ4AyBHBZYZmaNlAZAQmNmZj - 41QdMMhJCkSaEIWyq59R44UkgVBTJmLKZyjBCJQO7iYnZC0vABJnxgnIESNCTCf8IcHQIFUjB88g - ggdYOaZxlhEAhnRIYRlgBUIu0J45wIS5S8UrLHnSWuEZ2GIECQs6EhaQAEhbX42QlxiiKUBE6aB1 - g5Ek5Mx6YIQwQOkEntQ6JgcxUDL+wQRHCb6DY991hAiEnJobqTA1EBEzw1SVCWBBCktNnB5thkF8 - J048EYitcEOyA7eDeoQRYp1AxTohEknn5kAQU/QRE4DSKU8uRVUZDwn9YSZLRx3BiEhEvPPExskz - jCkNKAEkSy8pKO2fFyeOWUwNcM65HmBKhxNRio8KDI4ScGlZ+ZCxEZEAjixpHiLkfcmqCXVKgRQA - ggbkSqR6m4hwgbUTR0UyCCuc5cRMzYyFmAVkMHVKpmJqlIAbAxNSzA7K8SrTMppYCCQAe0g6FUuJ - xDG46zqXDtYj4g4CkKOM6IDIOCPtltA6kLpUNdMYTMQBZsRFytLIAgGdcyVqSD5lSg0AnJBaeofM - YApVsEAYZlCFKMAeQRGjsDqw5YAGiAovhGiIAHUQAYvGQBR6b50nlxbJOkcqqUZRbYyBCJ6ZmIIp - Gbx4YQcmqJoxgBDg2CACFSiNSuS8OBM2YCSDMIaOnG4Qs5aJZRKEMlQgRJznL1bAHEQEJkROsDnb - Iu7SiqNJZ0Zhb06IGFHAedZ0MD+5qxPYioYSKBAMvupTIiMmQlouihFOkBdBlKAMFpQpwwRgSWFB - AE9R0zQkOUCRpWDyUMYYozcvFSLNWo7B4oTMIU0JbJxgZgIihFmYY2o7RbZgdiCTjPcTQGmnvcUY - mGLfuxz5IKkcK6sTiDAhphQxRiOAmA4ry18idT4hKrKegykwwYlDRJpEmIVASgjBzA4do0ufF1H3 - UYMZHIkgrR14iDFY2LHARTCD0jogfBqvhkDiAKRFN0oH6sEMzN7AiEYaAfaeU9+RiBIrjMBsud6a - 1ZO7uPbI9uz6qPp3/+53Nn/rb732pc89dgvb86sx7K7u7u/8wfd+9Vd/+zt/5+9YDG+8/vozzz7L - zhEn4YrM7PLIdIgOY26+lWHL6QsBKN+9IoAYuAD9XeKaFbWd+wJSNbAaokE84KEggjDS6YBMRsSQ - pNFcZv7qb7vSSiuttNJKK6200o+JVuj2YZTdnaycpZzQWyRzuaBpCdHRBI1lmE0N4xgg5CW5uwoA - QQp2QIjK4BR9wA7jfncl2y0VOzVlzyIAH4JJQj6Qi23qRlyPx1K1EMjnY0I0RmVy4AyUJC81iogj - 0DFIoWYwYmGviAALXMJHQYgj1OJm0wkGYK8HM1Iwkq3iCERiSqSAiEA6cLaLTGyECtyQ9raP+/3O - DT3n4I8CZtr0KJ5SBo0hKoRJ2AGUQAwB9UBUkhxbNAX4hRA8MEAiaMgmmGQjnJFAcgU0h3WAIAaA - hjOf4sQmTCG7LBU/GZiCDcQKHIwE4r1nmcxmcY7UxhDYe2YQOwBksAD2k41cBaZY9S2Ky2AP2oD7 - eih4hZs7jxxUURxsgAkAl/zDEvBKxEyTECqNY4REJ8IEIkTgcAgeEAoY90ge4M6xSJ8FM4NcRARL - vHeOZFQzMyam1AgzmJmZwkQERKZazsJJMWZNYyDvBFyax2AHBcBsJkR1NCRUzpAWMZRgYGMv0MQw - AXEEiOCyVxkARDXLeVsaAjBNjt7CXIYBkCXKmIgnzhMMlrBvYRhUI4iJyfsMShMlT0cyg2MycAij - dwkPNLVoapydolm254CCqQNGQKNCCOIzbhsJhs4lwLTa+kUakku4y7i5GaKZMDMJYOGw16iu4zyk - mWFGRMPZWcrJOZeB9OS0m7NmwKkGg4rY1jOBoQFMTHklIiKt2UAT3ucAVewP8TDGqMxCZhYzxksg - 77uoY4w2+bICDugTrExCIkYEEd91TlhMFcExo0sO4DCYqoG1Zx42ngAzjWpMJCziKMkQC1HCMogN - BKNRRwJE0sglqMWgxMRSEA8zZpnGbAL8AVOEEMmJy050ABM7l2WYBcykdNhHoTxaJR9XBVKoGkGJ - CCxht2eD9B2cJIlSUyb2rsFchi1iD2HhdAgbHLNQh6hpYSzogdj1CemNFoNJUr1cposYysggCJNa - jFHIZ4Q6KojYkZTJJCo0WoxKJJCydKNGLIgcNcIHXwD2g2IcdSCYRgQjt00OqjHe913Y9Nu6gkSE - PHAJqtjtd9tN3zmvILVoRMNm62GIwTSCHAgxqDrNTsqRxggjIVYRc2zJyz7jsiogUkNEGQnJNZ7K - eCZDAEjguSeK2cXaQAwRcQ7EGhD2V13X+4G1eKaSc1CFFudK09SOhFhGICh8ukUEYmJO3Y2I/X5v - vYdjgFUBY3YOaQ2VOE3ZMWpkZmZyUlQMiPN5VFAGZDzsD1CSPElrgJTp0nFHnrAbEQExxzH5bmoI - Jo4oL80IhCUiBo3KdRqQPFnEGOCiZ7cPoxoRMbgC3khxmSEdVflAZCmHXNKEW0MkzSAo3rp5DZFI - zUhJEnQL3YdLz7TtPSwgAGO4CjGYwonvnHciAIwJcJ4RTc2IOK14Aug5BRpOm15AWsFRC2pqJCwK - QlSvgdKUphFETBJhSbyYIRFmo1JMS1hPPfPsc8+/0P3t7/zP/9Pf/gdBruxnnrt9/siN2Oth/70P - fu/Xfv1v/PX/4jf+4N7Zjc/+1E9+/cUXXyRO6ioAmpbnYgqjYmWScZAUCgewFO0KBHZQApwInCEA - riKteW2ewfljTSTrcBGBBWg5aVPJpf1OgCqYDcIAp+8MVSUSoUaNrLTSSiuttNJKK6200iegFbr9 - COJq7gKNb0uyWbngBpAUB6EkYgYPvaTkxVqW5JdiRiLliBEjx2dnG2QzLHmmkHMuGf2dm77903FV - tYze+7HWMvkpFXhHknmaXBRzxQ2SNvql3ebZ3isGSzniiwCg8/AknHY7oueOYc4Mncs7/QmAOEhX - UDS1DA+D+q3jlJN5Iho6RvGc0gJsEpiMgA7wnTjlhMMSUXaRMQ/ZMvd5O2mGtEXQAQEIefNsRjZz - LDnhhFUDyegGJ5i59lwF1vKebLIWdDVyRGQocEvubQaBmTznk4OK6yU6V7JtoKUJup094eyaSoUZ - yCeiSMZT0isCGuD6chhWfp8ASkBF7i7vB1aRghCCgWHovEVYQNcBBGawQ/G3taYqU0WB5DlIZVEi - SQElPCFtwZ1WB9IleXJWeZqSmaQtswS4Gs+jYNdGYGEyRXYJRbZvSUAsTMlzMDUZDCHSjGtnkBUg - sDEnL9JSaKo1ExXo1jHEF4/P1LPM4qQCqtWpexrNAIO88/UGM5duKZyy3DcO8MIEZGQkVa/4qFZW - p1akXnGScXNOjS5HlAFwzidn/qQUciWzJ2HmU/KfQ+Enkmpgz10qJxIAU8BSoBObOpdhRATPRT31 - PbsORGZKMM6YVWILC3dlw3VWB1LF2EgVII6ww3iwGB3Qw1EzcEBgyUO+3GERT0R1rBEKIJ8wPRBI - xHHRJxmiFu9R9VjWUTkHm0YWiNF5qcyUBNrm6+SkbmDuepd0suOMgCVx5rpzGur7AaSoIkLZl7EZ - yKnI7FPoANRt18njXeDEwXHKxYuTpBpKpGsYSCR792c5IyFXh5Ek5W+5CpbUsSORpo2cEEzA9SIK - GGMExAAncMzJnR69h6XVEfJegANyMpnxkMCOtmdnnrOIdyyxVoizEzoB3jMz5e3x4rvhzEgO49Xu - 6h5TFMlrZimPNCZ8hrwSw6TEzwGB4TuowogpxwAtvJW0eMaMs82GS68nf1WhdACXwIp3JkEwLcWR - AEplfqkYOTqBGzrhIk6uy3JiVvaxEBFEJK2pTgMI03AECQh+s1WHsWgH71t9CpCDY7BBiBAlxQ72 - LqG7KahOknOSstzb7qUneOeMI2DeOQOLOSpTRxLZclQlDOgcoy60gllc/hSpGzoM4OwRnqIqOJf2 - rBghKVIbNp0zzQ6vzOh6vzmTroNFJs2zYN1MJMTiAmIE1bXSWAH0JAM5MTH7hFcbxHul1IvQjK0D - aYI25PmZCFHHyJEwvP7GW/1d/iC6X/7N3/21/+1/+fXf+V/77c7393oL/nKU+4fd3n35y1975+v/ - 6De/9bPPfvo6T+qRYHVTRopnlZ9NE2z7NVE+3oTQEajMyExpsuaE/CYNn19ggnMgD3jIAO6oBj4S - 5N1VRXQkuTeX8lZaaaWVVlpppZVWWukT0grdfgxK9oDZZBUY8mbOigzSLDkRWFBMUMqOSRN4wdPn - PFP2JauPkkEJSoVYY3JPGRAE1ULOxlVNSpTcAufEOZJpyao1URmNgSEFr7Ps6OpATMn6L2ZhcgCs - wQansiQBTsm3E64ad5RLBwBKT01gAqkFFxAnMVYqTky1WUhBDzsgBaOoAFr+h1xt0gSJJceZ0ge1 - knMQs9QJbNXEm3U9UPDBFgMVmvqlWtkNGytUklqU3GiLe3LttCJcJYMuRWOkYqXnalPDeiKQ45KP - lsrkjduSPd1Q1hZQvOIwCVItbyq82LwNKIdGrCuEVCDC8pOJpjaRmRBFzPhIlRlNMUgoeYORUZM+ - I6ZZALj4dM0rNolHiavBBcihjMvUEViRvRYBBKxsMG6wd+amm6nWWKqtXxtXhLN6y0+tplx4xXMn - Qcus54YpLYupapVjg99yD1BGyG0a8NN6Q644mUEtlcNwHV278cJnX/oT3/72k29+9cUXbndd1TkF - ULapebmlUWHUD9s3P/95J+6VD37w/KefHbxQCWFpqhCX4JLiIli1C7XcbqUqLbmkSpZHhf+8ZNgx - bpt51GjQZnRUhUwZniWg9B1KX5YhQMh4y1yT41gLTOXKpL45x6JB1tdc1HCtmREs69b2bhHs5XCc - SkFZs0BlTeUKO0gkBIZa0WySor8yFdw2NYgcVBEto1ITkxQwgpPs2El590JTGy5iL0gBSvUQhfni - 0ce+8cd/5un3onvylRuPnE2Kt/wH5fWbrLUoP8hdwWkFCwz1OdoB8mFuyNorI4Y6YfgGJVIwIUqZ - N8BlFwVqWXC1q0vvovqSF3krTUwxKUpEi0YE6z+buEHZlb2ur86FMmkRBpBCQjCUEgZoVd5qZ9q0 - 8oRJAeRI1zABW46MXQtDDvlRRwWhLP/RpJYmVTpXqdQ+S4CwIS/fAiGCDCTw/fUbj73x5ps/84// - yS/9xJeff+6ZmVQyQOkIPM7K0LJslzgZqPMVlUVRS8xlRY4pSw0XCv/IYBATgwfc5vFbr7zzxi/4 - /uZv/+5vfPfdu/sPwfeY73eIW3IX0m82N1584ysvv/XV25+5vhlSNx4s899Vdma51Hqd+7Q2Jo8I - Q1pFQN6vgImlNPG/UQ2UghGlmXqu/Is+p6kK07srrbTSSiuttNJKK630yWiFbn9U4gI+UPtZfvID - fYJtCQVtoebFxp0u55wDaC6yXNiJ1UBgHKddoAElaZNFhRwrpNqafE3lzMARnhKWS429ZQClI9gS - epEwihkPkncPpZ3yuaattWr1rBDM0dvCh8yMefsSdKug5HMUAWErvqvITDZwSpFrbAW5aIpvUJHM - oLQVtPDJpj38leOFaS2Sfmzz11KofZEEhup1S8U1s3SfwixH1KCpqq0B3iTnarsnqhBGeY0KejvB - u03fLLrpSHSpYcyJN6arahdn2cjcTueaTZxpWFK5QQaOEyuKQBbRzfFxl8ixlb9m2AdTkrQJi2zc - Ri17U00LALVWdhqxQQOrTUNGExwzg/WolrdcG2jhkhMcnkvUQ2g28Etj8lbqFOujMmw2coESs6Xz - LsfrePSxd77w3F98+g16/DPPXMdmgloWlTKUkRejCpgvLn76m9/82h/96h3bb568OZJTHY07NewP - wQ9SUE9bYEk2a+Rs3NS1hBoJpuFGy7AZejvn+kluNZlhgmrqcFvUA0B+Ujow9XpV8Q3AlPPJHq2T - qOTQIOkmz5utJYQOmR31JM3/mD35qPamER4j1Caxb4rILDRGQD7dcVnINDytIGxzRuYWJodEo0Ow - jSPcfOKf+ef+3Ht07W7/mNv6ev5bW9EJ+geVpYO6RpUjhjKiz8qfkOMpF4C5SDnlfjeFUnZZT3tb - 3NTEonQAAClWADfCFItun3qz1C0ddDkhxMfQ7cQNm1RTXQaYdww3cHyUaU/FImGas+q0OesTqjFm - atr6fh4xerSscKRgFtmeUD1kUIMiRWUegzgHEriuv/XE1/7YjRuvfv7RZ24/vWmYgsRiUlDMAfXL - CJmVtpwltQDVTc3JFm+ZAubJ5wD63nXPPvUTTz/99je/ccd4tJFpx7x3ZNeGbcdudxWpu46eDIDC - 4mhxNOfB3lKw6KNPEVidbbOCy6FMbHpalmTSN9H0YVXHQsNbLt8h02xeVFxKRvO3V1pppZVWWmml - lVZa6cdAK3T7sYlm3+cN0JAt/pNkqPhTNa0TzqlNkmrIFZuxGAzJ3phtUW8eLFGheR2WjxpzorHI - QHP0tqSKBGiBbhPY1r5j4HRwTmpfRd+OK7C0gZrrJshEm+iE01sDC7gCxSYe5o3MDc6XNsyzZgRw - ctic1wetrVX22LePrJTYJp72gFdUtOnXzJ0lPESMfEQTl4YvcIQCgFNx32lfX1S/yYeWHEVxAMoZ - 17KayqB9qQUtHoIm1to2f835ki9sIZYLELhW2aYKFKimuTP5cVP71pEjOU0Vnz+q3sZNdxVcZCEA - hdncSPFRB0IZgeAmgKuMIspbtXnmul5YwLOrU7V/MJVRPv2sgAhPrSteX0aYAEUAKRiDIwaUEAwK - 5/vnnn38aoCnmsPCyTrdUoYxmMXn+13nndz057FzmoONmhGTyEIzLeGodlw8WEnOGbIcpoQTr57W - e3Npmw3VusQ0VSwLVYV6dBobeRSjKvCSM+dQrtO8qUDxb7YciKIB37Jk5xxKsQ+nJFcLzdjywqh6 - 5c8Q3sruZsZhKGCxycFK6M/SLzmbZU8CAMbRwOSYN2fXoFdQxcX16+4aO68C3ygQK1DYFG2DShyc - CcVMR4yhVIyBFD6VqqamRsQp81YNymk5ylydMJtu14xmw82QVSusbIZPI0vWcqrlcRsPxBqx4dzQ - llNp7qC8YJUfzYUg5Unz+6eo2dqzrFDOq2YwlcVzKaCTnyPtEClRCoyZWRJXI4IBut2e3b79qDm4 - NoucX/K6laI1iJffJZP2xMTtdlaced02qYjgJB3GZwYxeOl7dw2i8BoZ6phA5BSkDLVA0YtACF5A - 0oHEwAo2pGDLR83PK3y1N4pfreWnQkmTo7B0Nk7n82VCev307TFLME3eS0W20korrbTSSiuttNJK - n4BW6PajqNpi6YIWD05dFGqQl2LOZAAqGQ+x2Vk4x6asfv1r8ihcGnzNTu1lDYrZQK11gsm8oBkW - USszrzcMBIMoHFDOsq5GowHEmuFRmgeUnSrUxmRYWD8oO96n7ctIkEQT3bbkNsduuNjnApOpsSXz - ZC5qRl5q1TICwzMX1IllJf0Rl5d8odmlaTpCbnp37sbVWIdU9xbXlk3QrRUnYmpwual21mCMxX/Z - Jv42qKfA7FgujrDw1pCvvwlUd1YfgRmzGwY76vKpk4xOo0CNJDTwykLia4tm+AgBVVSASZxqfgvK - zsuxwEETDkQTXpswmaXpXSqjoBZAVkKgBSNz6VrgPK693o4u4FQN20QnHp2+tmXGiRVS3YprxyfM - SiSlZ7CHeRJ31nU2eQ7aUS9nnIqhhIoj5fGeIqoKzGAxRBiJuAapeFjNm/ZOvXyU4EF/LJn1QDSE - Zvy31pFzPnZLMOFZ3nWgzoZHzZOADN1SBXwtO8xPOr2F0XRylVwI2FEbP0pEZrzIw4FpmWdp0LRw - Q7VFVJSgzQQJBb0FoV0bsRwKFmrGChLXIQSYS0eKueJZmhdJKB/WN3m9wsABsHQwZrqlMCIlFIWW - QyXQUZUK7EeGAt1mtT+fFwhgRILCUOaFReyiB1zMBXDizymZtLLkw0BWCoVTaXylI/8yz40reJp9 - dadBW/um6br6aZE7K6v3tktnTAE1k9ViGC1Vmc0fV+KcBSMdyAlLgXrEyRnLoVXQM5CVrarTCkk/ - ZDxO7eZFwnmzCFYG69fONAAAGNRJREFUIwWIgZVIPQmBjDtERwRiVoB9BHNauhBKkcg5nXipQAnk - UAbCXFpSH1rtr8pFA5FJTXgkKHO9zyWo+7Sv5bhNJ65XWmmllVZaaaWVVlrpE9AK3T6cWqgkoyLF - bKNFQiLMzYHpJ83+LO45k405T7U0a8ohYHN7jVrbpFStwf3mcMfSGmk9Q6vF2BabXNA4wpUHNmsH - SCHJ4YjbyJOTsZSyrU6fCyfhFAfxyLppQiTYssW1ZZLPLi+QXGs+GUjBlk9dKxxKdmc5P2dpzU0n - Wz3E3JrwnZJMgUhooVsryDA1PYpi/dkCukXy1rSCqRCmgHu1rZPXMDUgzCkZSKUUrKbtrSYC6KyJ - E/xgE8NPMmHqC3uw+2QjcQssYbJ/pxgUD2Q15fWAeUZAYyZT+yiJOlWpX1ZoCQo1smQl2wYuKajL - vEVKiFQRwRlZOdBvWcYcgznZ0I+gj5Fi4lY7vDSqEedgouTgB3A0UBxHcr7gWyex4wzdwjiGIOYh - iCHCIgmTExEzUAjBiIe+nT6WWvHo+sHYzRL/OdHuHxkHmSuQiss2Orduh0ggrLVxRWrPWxqlk2xE - gGDZH1lBOuHdWAyhCt0aTin7o3AwH9Hq+QWD9OQaSRsQhxhzhLdWsKiVyesWNQ5QGTgEOMcaKKTY - 4ZTOaIKqKvKCXp15YlVwGTJXIAAR8GTEVhyAEX2qZXJVJ2q4ZLZscQrUm9BblJDjmRkEMIwRGg0j - WNBp3hat+TCZrMmmLuXkWzzz/i9xtXNmyXk/A6xTZCWrjG0U9qyQU4+mas2lx9oZhpoHD2vwos6W - wtQzQxVw8A6qZoh59fFkHvPwrbb4bjhRdFGj7faDRTICCFHNiByDWUkNwWAwZTjiEnqCoQSRfLAj - Qxkpii6jTMYE5MG6KCpXNbtIK4hrfIupojZNnfNQPUcdJkCHeipqm+Dh7FhppZVWWmmllVZaaaU/ - LK3Q7Y9KywNGJrLZQ5rbBafMdJqnOiYr0MHSpk0Pl4Y7TfUqFkdTkcYCpKmmQAZYaW7GKAxGPG0+ - tOTZJDV3a7xupwpkjKM6V1bYsa0kzcqtL7YwV/U/nd5L8U+5+C4d+7skizZbX3OjEROSMd3KGHpb - 8rHlVXCQysMKYioseYxVJyhr/fyOrPPsxMhZTiIwErp5tInZeVwE5Ei2xPO2zLJunGylBYgXaUoN - 0YAJdQfu1NiPgG6xQG8XmMC8wbPGTGZ/G0X4uDnImErBI+1hBZU/GymqNZ6x9EHNmldk9lZbRAqY - MI8Zmsu0Aj3Nh/L09ChOwyejub5gEOvk75kfMlks4hiMHTlIT+YQQgffEbiVNEu4YwKlcnxqApiy - T5tsNzCFU7A6GAk78oBoGY1UK1NaXlXPXO8RGqV16uknplLwErNvos7MQK5m6E6dZAayFtvRKcRE - gelLKbEdg22RRTJKzosZ4GNETnhQA/MvWWZhebO8UlHTlmOnNBDWKc7Mcq4JQTAiIYEpNIKT+zYb - i7gSwjxJnbUnCVoVxWBpwcNAmpA2WHJXTNMa5Ug8VoucVyNFI7EGVzvSqsrQfGpcWsxrZ8r5QtFM - IKdH+ekclazAOtWQ2I2mLVq0kbR8QmTB8OvayJHaavxP67fCyafLF9uuqWkWIn2C6MQlcT6Tk1In - Z40rwjDS4KVzJ15Oc1ejKstkOtf600sTq4nbhzRjAQEEdiXOtCmiZZRcyRQmiNCIKIgpcDcAhBAO - sOQqK8piXFnRfBYtGUkGSU3QeqKgNqnzmG1iEh3rpmki4xNPSz4PrMJKK6200korrbTSSiv9oWiF - bj+aJtAOzV+0MJiqO0x9OFn+mMLltV/ydHQ5zy9noIBRGxW2mkqNaTizfpf1X4bpPaoDzTMqm4Bp - Ormr+EVNIG8KKTu9u2jf8b75pR047VCco8Y1P2tAhwW4ujCIcuvtmKXUdoXR5NFZfZ9nfKVqkSeU - aTKNbVHT2jWNNZtOLbcZOFIakT2wptQRCG1wxtrf5a22acdNnrGjXLfYbtvdS+mZCWtzbcdv0/TI - ZlWsObemurTZ0GTF0vGrc+idKvK03F1Os19H95G8n6abtriY1b2teVPV48Cis2vKTl3L455SSpoD - 7k3drDDvk9vvJ8NQ5BFafMkNFpLMJ+EdDUoIEIok5IzYC8WMzFoewTY7OKigtwaARHLLHGAMCzDj - tFbBHIEQ4KVynxZdNa/tYhnm/wtwo/Rugf6mjpiLYn5C2sqBWVmR4bpfPgM7NgHDRjmyxpQVpnLm - OOknbmabgREgR3slAJhRs3xkLkUtrrWjZa0Sf0okkVl2BkBNCULJkRQCY4wHRWTni5NyEpEpLkXz - upY4CstKFilb4rat4kgrIkWHlPCjc9iv7DJIEVa41TgAZnFvfwSBxNR/TT9OoRImrT/p6HpCH0xg - Agby8YnNikE+sK24hTZfC6htLOXUaiWMtFn+aYPqHrGuubccYiUFEQhs5EwtGoiEzJJnNTN1FA0x - xySmNr/8ajt4aAndzu43TVvO/Y37dD6StH5UKJgq34o+b+eY1BimpLko4fWqUAKXjTztp0PDj1n0 - lCmsCJDxa8uhP1IUibrEO2sY0K7/zjg7dee8h1ZaaaWVVlpppZVWWukT0wrdPozs6A+U7/7mo39p - CDcIBrWJZjYMLRKgfW1emi3tssXzZmtwBThLVrX0xvywY2NkDl2Z1TCXzSbitDnRNTBgDiw72XJT - 1IGjeAdNoqmi01PL+Ji1z21hglKzTXTOKS3F59AHVN+kZJUloMWIUCzFKX6vNpVuPGAXltcM6iEA - phnjniE3Nr9THzQwe25D2VBsrn35qODSAy38PgMWUPbntuEgWqAKjTNv4nUL4CxqfyRqpSe0rby1 - DJmFDSTQ5IWaK8A2OSaXSuRaNVcAKhA8NbO07QQthH3Ok+nRUbNK/52COzB/pfgmwwhh4kUR8LkE - TTVaYj6flGb1nDQIAchBHgWAKSzmEL3MZAgRcIiEwyFuHLGX3smoo4VIXo4bjAm3TXhRaRwDUQ/j - vmMGp00AiIoQoiNpI3xg1tpJG8yxjWmYJPzkx8Kjk2S1KxOMVlSfHfVNuUMZv0khWWFWXEvLmlVy - Gs2ZlFC2syzy1dzr9sEaHDih8x+UrvYSw4h5AecTyBSIWU0TVEBSIkJMamOqj5V4x1VpzsdTGEcR - EUlcIAQar/ZBAedokg/Q0RgHDIh508DEgbYMOhqHBDSenKRFIIma8DhZHVq+27p0lpK17nzHxxLI - thY0u4fU69Fqv2vVdhMnkwxMzu9TxHKdx3BgwFmR+TyfTfUTLBoy1Zsn3Yj5n83PeTMXWdAsNUGI - AxBi8N7FMY670PUkvWzgdjiokVE3aVDCPF7PlGlFK5tnGQfNd2tQ9onnuVuPzzlTgxFPWih9ijCR - QIpDc+Iji4OkQgTKpggGEbgarD05gluptVGdQpNWtzp1Hcd4nlTG7GNgMYs1EyMtn6y00korrbTS - SiuttNKPlVbo9iPJ5v6zEx3ZhK0RPOFZDzXaU8qZ0dxYc9UwnGFGs2JPGaZYWg+EBXo7a0K1bZoW - wyrsUUgzeluOEk9Ix7wmR1hVAxggAyfz9tFRuvwnW/a6UkxBEpqkE16Y9+8fc/uoKoqZ9bcE8Hh2 - xvRpasGZEjChuXPaMZOO2tqGwZySpQ3oR4jDPKfCylJmNTa5en5V9K3Y/LVi+ZAW1Dxs4t1DAKbZ - o2WiWd8XJ6wmgABlGKa2oPDB6tNZIdbiTJpasUBvbcKMpEFYDGmjuy0q9ZBmnWxKrVytspZDzxZv - 2vRvjgY1ETGXeNiPRk2Vjv3YtcQYNSC5BkOBqHAd2FlBnbk/MwogMEwsmMWTINFsZJpiTEckJgyX - nfdghalpCGBF13XChMXKQWaAFd1FjLZDpqea9cFidPyYqAI/sz+nRw3OuBR+alS+Zq2SkJ7EFrP5 - W3QsFuUq+eHzQ0SwAcfaLE4mr484+wU2eq9kZoaoYEMkI5hrzhIDYAadoMN6D6e5TzBmIoIaYkBn - gLHfnomXEbaPOwGEpQVkm8pMjTZMirWB8U60Md/iVkPW0yzJqNmtXs6iEjCn7fVLKJhmApl642EC - GXN+zYxVGBTKOWlShrUCmI4jW+j9SR/opI8JNapDLT0pKyNMnsXWBBFChTApT530QFmZTSQT/09B - iinSj0v5ixLIie8HFlaoQAWBjEGaYsLmirZZTEUqwwroXItJWidB3VOEpZqkHITItRerDk/HfgKq - iByihchMIF/lRgG1SAjlGEkGiBiO85aNqQ+ohF+uLJ06J0vDrMrIWruugM6n7LaDa31RJ9aF/NM8 - 0UorrbTSSiuttNJKK31CWqHbh5ChMVKODc2jj/mHWOiwyUw5VcwfNttFogcZC3NYCXNDq3lcbe16 - 5viykPKWncoLZvls+sb6nSFrwFHISSwtpsosMihwIDjAtTW1it5m9CLZhxVTmRtc5X9pQvEfXQaj - rPeOzrpZsOcUQya+LZzPjpMSYMnyb6PcJrvcW9qATtXeF7RRFtCmr5CEgrgKAE8FthZz83AhJ/M+ - /qhWN3dzT6eC2NB0oc1Qhcr0IySdTmQ6ybEV7GZeD0qM1uXO4SxICy/O+QiyxX0c1SHn0yZfYjnp - 5+QhPJPKOWRiCxDgR6RljOtm3E6Y0dQ2i0VpaQJ7Et7shA1OLcBGsQhGXsqZu5vZ9HsGayTBYRaQ - wYwAUwND0vbkLH6LJYcGIaEFT1ouzgr7GDx6qLI8kdhOtOgBgMzReLaMFE073Gujpo6uHTxJ7/S+ - lX/Hbp6z9lDN9EQbW+2c/smD54QEzibEOSlGaSWVSn3mxSzPHqyQt7DM2kQMdkQJPtPlYZi1hZOn - MoASPjk/dI0enpVa0MF0jlT13GcCp5AIVhMVbJNAll1v6/tpiZGr93jjFJ7/WYO0NjzX2sdoRQSW - lm0IDHMn5ZhyX8OoxO7NAqEGRYIjDSWG6jx+xfRZ8KBAt7BpyXOpEFo9u6gS2kWwtrn1UE4ARNHg - COIYBlMzDgJjRpWTmiUt62cwYyrw9AyfreznUy2aorK09UqTPkMot5fzuCkalADSNOlQZqblsBTc - rFiWwajl2M9ZBWqCSZwaVhadZTXMyiLU1ZG461S/GfNXWmmllVZaaaWVVlrpx0krdPtwsmKvL2zd - 9mu9RQfaPeBMsxdPWmXFXK3GaEEGlgjI4qUjA6LFERZJSunz65nJvDijDNl4nxVQPM6a6lj7KwNq - luE0XTzK2MQyRmB9VHHY6RSgBD0dAKQzRWqp2Y5EyPslS0MSZmH5bxTApdQ/dVA5AM0a3DalKAET - rCnqBJ+bP6fErSQ8CKKav4jiAaw5ZiPI4NIB2Y1zMldgFE0vznPTvD26MjWfG9S8ZdVNrL47j9HZ - SPNH05JDZgW6bYDjeni9Ax3BtAaYgmRu6TbbtzOppViWc5ARgJUsq+C0xxnNcdWjETQztJeNmoYC - Hbd0VsG5BngQm07b8osXTln7Nv978k8uyoR0JsMKxHqOT0oVi6dfBGtUiYfOGcQvCjmhTojgm1Cl - DLCAAkDEzEZxHhfCipMjTVKmZev6rA9oQrrydWXQR6EeJ4fjrCOzQBgw4S9VFB+W97wLa3VyRASb - +DTzlLd8qNHJkWMo6khbd8AjqrhtKuJEtJWpgqUZx0JtVV7VcpwHggq4XYjKDJmr8ILbzjWAwcxU - 2CetIh4wIAosjCGMpJ33Pil6m52EBsIUGD153FM7L/ocKIYiyFVwcNLTiMSxaV6aSXMrdI4QEpBD - 4KDhS5G6GahmpTdL+AgrEUFyo00BSjDrxOT8K+YoFJk77Ql2lWNZw2L2ZL6vwlDDkc9ZnogrC46m - a+S41KeGyFJlThhmrVq5VzOnPMSNoQYChKEBGmKkg/fMkDTfNwHpT37BlKhBJ0o3Wk6FzUIfmumJ - mu8mJFBWGIAjEgYEijEqE5OBTIWIOa9oRkWIkZgFzM3ZizloLUVYEdHZR1UeBUsmTiFRchsewvB5 - 8pVWWmmllVZaaaWVVvp/l1bo9oF00oB+WOoTX/jtreI7OQdeqRpSza05nUIcTqG3P2oSeshVMdNm - 1lo1z+cAUkMNuLCkuTfT0dOT2SUkIh+a1CJh2aKKGfubF9na9pjePF1yY4bNHYRO9MKsGhWnmqf5 - iFIANAe+SXOKWnr/2AjkJYB3MvuFq+8pz9+awwluTT+O6OTdZfqM1T30nVOIRAnj8SBcrQIPHyPD - k08f2COlnnNOTEXNPZ1b6Vic+Nb+xlFpDxD6jxq7Hyftg5vGjBIftO6WJ8A57zgdjres6wOlmhb3 - 8n0R0eXy0oMqPkO45gJAi+RHYNZJOmL/MeWAtU1fnYgWc/zSybIWumNiTgHBqMnd2lUXTHWYPMHn - ENtxcR9J1v4+0uHW/OO6rEXz12YdfKTV0wWBiLjNtNwWz2S8dLmd8iQUKE6ysm4AQwJgDMQ24u7R - JBLnuXINgtKOJ8IxN6c8H85KW14d45+n0k5QJC04WdTZ8TxqWSo+ko7luhlgDxk4p4iwXAOY5065 - 54UnZ2NmOHKOPGX0vxRW5qjlFFlzpnnHzCo+FduuvlF9SkVUm/oRAPAU55whxGnliphqzGMiIYEw - E/JRfCd04gN1SqMZquopNT2q/YmXP0aPrrTSSiuttNJKK6200o+NVuj2gdTsvJ4dxIQFvJF8V6p9 - SmgeElXj44Ff+9zmTRUUKyDJ9JOOTJNySTM/kZnpZ/PLxVuNAd++wzAPFgaGUkWwKw5W+TV3dNBy - srEnD+KWleUwGZqlr885N55m1QMcoQd8KmeJI9W9kE0zUn0mPtR3jEAC4nqqU+VbfZdqN/HUmTYl - LKH18gtp7BDXaA5gcK5qk4xmBU1XqYLTds4jm3+q2ClEoGWutIXmmlTUJF+mfcpcWFRZRhXbo9Kn - i+JnORPVi8L6XBC375E027KJCsdno2bZdsrex40lPyusoANFqpnm4rQISFIkBBOAOWsUl/tzvCFH - 6pCaMwGAB86ytlyGaXBAlzyL26JplvQ0nvBRdAJ1WBTCgK+JSMBdYSMT4IuocW4tE3fVj3vBMM4I - U+1GnuQUVW4F6ABhYlcrQ1wFYBp0U1xSrixoGME5qGgZHYufH58hi2fNZRqYKfyIgVEikVCrKmsb - OYcybRvLXDRPFkli2AC4yiwBOlASxfzbptHuwWl9Rhaj46jm7Th6cKsLmNYMNm6VI6U6k4MxGMTt - wVdlAEjblwCB3HTQV5HaEl16Ap0bXgkRuwnrzAFRpdVyM802DfVJhxBncPjoGK+irNp7DxYSKrWY - rlNDmWZju0howeFlNlfSJJC1rJoTWOABoXSy5TQiuNVsqE7xPOkbrhrmSLhPOGoeSXDbpObqtEKY - awZrosOX8APUNNfySJCiHCiHKmCDByJSBOG5gq06vKlTM3nMKsCU1+Wovt6MXl5o+UVzGsWSr5mA - PIFRyz8uj1peYRrQafzlLmiklArYi2nat5yvA1Wdedy6WsPSpploL4fwQ9XZSiuttNJKK6200kor - fXwis4+BIfz/mGqE1uNH1Kaafs6eHzH3OJ/q8rMEzcojzebBgz09rPnjQQjI8dtNw2z6nX8pQEac - PPQcQBZhhiawYA1VyFMGR+jxrIxkN594tPQJm5LEsu11GbGOgCm26lT92Zk7MzPXZhH+Wm/Wto5U - 67/kV05VDyWBRcBAYqjR/jQHAWg2j1f4A0umtLxacKFNPL3zgDtTPlPdys3i7tU2vHrkFi+ochL4 - rPPmVWlaXTNeVIma121qo6ENhIpZpyjmXZQzmPmHNW2apbMiK+2j6qZVSesZbjP25xbWR+U+2kfN - 1mkEICDFXG74TkA5voyLj2H7aNaSHwvNxyys6XSC4v9p746VE4ZhAIDK/f8/7oE7xI4lSNqBoR7e - O64DKRA7Qs4pwT7XH2st4uuRung+zg6J3PYUEmdwlkkq0rd7fB9rhEeN+VgBUEIub11J7zbDfqDP - bDB/ab/qSOclhdX4VqNhfGX7mnlgBfZ39FFbTMv/9ZGezv5rES0eMyDbX617G0ru2nRIa5S1vNv9 - mO97TT46b43ONzz2iD6XdDrmN12RUPLQaEme0+BMZn296xgEnrNLU8IZh/hlHuQxs070o6aWF/hq - 61DU52pOuu/MEnWvy5SlTVcDVcmQ6/Naf8YjIsZiaHlbWyNKHxf2Shrtc5m1l1z5cox/j43a6uth - 6f1FV+/5NhVHmcji9Ixx2a3VfWvlEPeo42m52Tz9vYz9PieFutjNXg5K3HRPSdYtPZvGoHmGUo/M - fHFavOzsrXVW0+uuX50KrA8s//s+UgMAwOeUbgEAAAAAtnPxuz0AAAAAAP6X0i0AAAAAwHaUbgEA - AAAAtqN0CwAAAACwHaVbAAAAAIDtKN0CAAAAAGxH6RYAAAAAYDtKtwAAAAAA21G6BQAAAADYjtIt - AAAAAMB2lG4BAAAAALajdAsAAAAAsB2lWwAAAACA7SjdAgAAAABsR+kWAAAAAGA7P56qbmugKso9 - AAAAAElFTkSuQmCC - ) - ) - - (text "Power-on sequence:\n\n1. External power (3.3V_IN) is applied.\n2. U2 (1.2V regulator) turns on.\n3. Once 1.2V output is stable, U1 releases its PG output, allowing VCC_OK to go high.\n4. U9 (3.3V regulator) turns on.\n5. Once the 3.3V output is stable, U9 releases its PG output, allowing SPI_VCC_OK to go high.\n6. U31(2.5V regulator) turns on.\n7. After a short time, the internal POR circuit in the ICE40 allows it to boot." - (at 190.5 78.74 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1199146e-a60b-416a-b503-e77d6d2892f9) - ) - (text "TODO: Change C2 to 4.7uF" (at 21.59 165.1 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 12e1753d-bf94-4be5-88d4-b5c37757ca74) - ) - (text "(changes per datasheet minimum recommendations)" (at 21.59 170.18 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 13630c47-b459-4961-a710-9cf7d93cdb56) - ) - (text "(changes per datasheet minimum recommendations)" (at 21.59 119.38 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 256c33ce-7f03-4997-bca9-51b17fbb00ec) - ) - (text "TODO: Change C6 to 1uF" (at 21.59 114.3 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 2a855294-ea21-4b09-b9d2-72b6efc4352c) - ) - (text "2,5V, 10mA" (at 78.74 143.51 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 72508b1f-1505-46cb-9d37-2081c5a12aca) - ) - (text "Note: Placed on 2.5V line, so that it only lights\n after all voltage rails are powered." - (at 116.84 170.18 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7c411b3e-aca2-424f-b644-2d21c9d80fa7) - ) - (text "TODO: Change C3 to 1uF" (at 21.59 116.84 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 89f72272-6755-45dc-8b94-c2415e29a434) - ) - (text "1.2V, 10mA" (at 81.28 41.91 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 98914cc3-56fe-40bb-820a-3d157225c145) - ) - (text "Power Supply" (at 118.11 24.13 0) - (effects (font (size 6 6) (thickness 0.254) bold) (justify left bottom)) - (uuid a9e47720-1ee8-41ab-a11f-f4a9b0cf2ae4) - ) - (text "TODO: Drop C1 or change to 1uF" (at 22.86 59.69 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b957a901-8961-402c-82da-8ab5a7c35516) - ) - (text "TODO: Change C5 to 1uF" (at 22.86 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c8b8cc40-891a-42bd-b945-652d117b138e) - ) - (text "From the Lattice documentation:" (at 212.09 22.86 0) - (effects (font (size 1.27 1.27) (thickness 0.254) bold) (justify left bottom)) - (uuid c8fd9dd3-06ad-4146-9239-0065013959ef) - ) - (text "1.2V regulator, supplies VCC and VCC_PLL" (at 44.45 20.32 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid dae72997-44fc-4275-b36f-cd70bf46cfba) - ) - (text "3.3V regulator, supplies VCCIO" (at 43.18 76.2 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid e6d68f56-4a40-4849-b8d1-13d5ca292900) - ) - (text "TODO: Change C4 to 1uF" (at 21.59 167.64 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ec3a1345-f14d-407f-b544-d0b28d52f485) - ) - (text "Not\nconnected" (at 76.2 151.13 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid eed466bf-cd88-4860-9abf-41a594ca08bd) - ) - (text "Power Supply Test Points" (at 129.54 76.2 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f1e619ac-5067-41df-8384-776ec70a6093) - ) - (text "(changes per datasheet minimum recommendations)" (at 22.86 64.77 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f49a4c76-450b-4d80-9ba7-af728063e684) - ) - (text "Power LED" (at 137.16 129.54 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f4a8afbe-ed68-4253-959f-6be4d2cbf8c5) - ) - (text "Regulator for 2.5V supply from 5V USB" (at 39.37 129.54 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f959907b-1cef-4760-b043-4260a660a2ae) - ) - - (global_label "SPI_VCC_OK" (shape input) (at 52.07 148.59 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 10e52e95-44f3-4059-a86d-dcda603e0623) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "VCC_OK" (shape output) (at 91.44 60.96 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 3c5e5ea9-793d-46e3-86bc-5884c4490dc7) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "SPI_VCC_OK" (shape output) (at 90.17 109.22 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 582622a2-fad4-4737-9a80-be9fffbba8ab) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at -1.27 -8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "VCC_OK" (shape input) (at 50.8 95.25 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid c088f712-1abe-4cac-9a8b-d564931395aa) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at -1.27 -8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - - (symbol (lib_id "power:GND") (at 64.77 54.61 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000061216e11) - (property "Reference" "#GND05" (id 0) (at 64.77 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 67.0052 55.5498 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 64.77 54.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 64.77 54.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid dd13a1c4-170e-43b8-a5a5-73b3287ffc2b)) - ) - - (symbol (lib_id "Device:C") (at 30.48 38.1 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000612188a6) - (property "Reference" "C1" (id 0) (at 33.401 36.9316 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10uF" (id 1) (at 33.401 39.243 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 31.4452 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 30.48 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 30.48 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "10V,X5R,20%" (id 5) (at 30.48 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 27e91b9a-6f37-4b94-aa0e-6c8f69ad38c6)) - (pin "2" (uuid 21e504bb-e46a-4887-a84b-527d7866b7a4)) - ) - - (symbol (lib_id "Device:R") (at 41.91 38.1 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006121b215) - (property "Reference" "R1" (id 0) (at 43.688 36.9316 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10k" (id 1) (at 43.688 39.243 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 40.132 38.1 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 41.91 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 41.91 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 41.91 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 46be4b22-c00b-4c04-8251-e1de5711d290)) - (pin "2" (uuid c752dea3-afd2-4581-8ae9-cfab8fdf3d0c)) - ) - - (symbol (lib_id "power:GND") (at 30.48 43.18 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006121cc5b) - (property "Reference" "#GND01" (id 0) (at 30.48 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 32.7152 44.1198 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 30.48 43.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 30.48 43.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 095025c5-c78a-4a00-b56a-62de9b31de74)) - ) - - (symbol (lib_id "Device:C") (at 95.25 48.26 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000061222da3) - (property "Reference" "C5" (id 0) (at 98.171 47.0916 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10uF" (id 1) (at 98.171 49.403 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 96.2152 52.07 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 95.25 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 95.25 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "10V,X5R,20%" (id 5) (at 95.25 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid afcf691d-7d0f-4e76-a37b-e022ce2ad830)) - (pin "2" (uuid abd7f2b5-ebf6-4918-aadc-24dabcc8e48f)) - ) - - (symbol (lib_id "power:GND") (at 95.25 54.61 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000061223980) - (property "Reference" "#GND08" (id 0) (at 95.25 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 97.4852 55.5498 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 95.25 54.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 95.25 54.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 490f8f5a-03b5-4455-976b-beb38a1106a6)) - ) - - (symbol (lib_id "Device:R") (at 86.36 55.88 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006123854c) - (property "Reference" "R2" (id 0) (at 88.138 54.7116 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10k" (id 1) (at 88.138 57.023 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 84.582 55.88 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 86.36 55.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 86.36 55.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 86.36 55.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid c1817d64-7531-4ca6-9ca2-a1f89535ac0d)) - (pin "2" (uuid 96e6f9a7-7beb-45c9-b428-893e77ed306d)) - ) - - (symbol (lib_id "power:GND") (at 63.5 105.41 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000061244503) - (property "Reference" "#GND03" (id 0) (at 63.5 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 65.7352 106.3498 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 63.5 105.41 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 63.5 105.41 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8104374f-d3e6-4b3f-87b3-de22aeb23ff7)) - ) - - (symbol (lib_id "Device:C") (at 97.79 96.52 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006124bc7c) - (property "Reference" "C3" (id 0) (at 100.711 95.3516 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10uF" (id 1) (at 100.711 97.663 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 98.7552 100.33 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 97.79 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 97.79 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "10V,X5R,20%" (id 5) (at 97.79 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid ef42eb58-68f2-4324-8de3-b25324774762)) - (pin "2" (uuid f73f4f13-4c0f-48d9-8ff0-c6483a249a40)) - ) - - (symbol (lib_id "power:GND") (at 97.79 102.87 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006124d9bc) - (property "Reference" "#GND06" (id 0) (at 97.79 109.22 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 100.0252 103.8098 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 97.79 102.87 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 97.79 102.87 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 15fe29b0-a484-4525-946b-4b6f3dfc201e)) - ) - - (symbol (lib_id "mta1:MIC5258-1.2YM5-TR") (at 64.77 45.72 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006125d556) - (property "Reference" "U2" (id 0) (at 64.77 35.56 0)) - (property "Value" "MIC5258-1.2YM5" (id 1) (at 64.77 38.1 0)) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 64.77 34.29 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/268/mic5258-1891212.pdf" (id 3) (at 64.77 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Micrel Inc." (id 4) (at 64.77 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "MIC5258-1.2YM5" (id 5) (at 64.77 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "Digikey" (id 6) (at 64.77 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "2156-MIC5258-1.2YM5-ND" (id 7) (at 64.77 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b913fc35-101f-4bbe-8faf-08ce0e72254f)) - (pin "2" (uuid a3694338-54fa-4d34-86a5-38703530527c)) - (pin "3" (uuid ab7a19ee-1564-4b25-aef2-9020a34e15e7)) - (pin "4" (uuid 5a886d3e-6973-4332-b81f-6c3089d05a42)) - (pin "5" (uuid dcb44da4-e69b-4c96-922f-68be91b6f5db)) - ) - - (symbol (lib_id "mta1:MCP1824T-2502EOT") (at 64.77 147.32 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006126a5e3) - (property "Reference" "U1" (id 0) (at 64.77 135.89 0)) - (property "Value" "MCP1824T-2502EOT" (id 1) (at 64.77 138.43 0)) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 83.82 156.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.mouser.se/datasheet/2/268/22070a-53890.pdf" (id 3) (at 64.77 147.32 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Microchip" (id 4) (at 64.77 147.32 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "MCP1824T-2502EOT" (id 5) (at 64.77 147.32 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "Digikey" (id 6) (at 64.77 147.32 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "MCP1824T-2502E/OTCT-ND" (id 7) (at 64.77 147.32 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 5a4c8fc7-daed-4231-b2d2-c7fde16f5bbe)) - (pin "2" (uuid 295c070a-4458-4f4a-8593-fd5407c62f83)) - (pin "3" (uuid 28982f72-6a21-42d3-9eff-d562b5280b29)) - (pin "4" (uuid 972f9aa5-ad75-4625-b4bc-fd0e09e81789)) - (pin "5" (uuid 0a236e78-5f33-416c-8c74-e271016f428f)) - ) - - (symbol (lib_id "Device:C") (at 27.94 151.13 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006126b7fb) - (property "Reference" "C2" (id 0) (at 30.861 149.9616 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10uF" (id 1) (at 30.861 152.273 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 28.9052 154.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 27.94 151.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 27.94 151.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "10V,X5R,20%" (id 5) (at 27.94 151.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 39f44100-b969-4fd5-81a2-167eb510ac29)) - (pin "2" (uuid c5fe4ada-212b-46d2-9387-4d8078ac47a2)) - ) - - (symbol (lib_id "power:GND") (at 27.94 160.02 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006126c45e) - (property "Reference" "#GND02" (id 0) (at 27.94 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 30.1752 160.9598 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 27.94 160.02 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 27.94 160.02 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid cbbf70ed-7bff-47f1-96e0-d27c8f090127)) - ) - - (symbol (lib_id "power:GND") (at 64.77 160.02 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006126c89d) - (property "Reference" "#GND04" (id 0) (at 64.77 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 67.0052 160.9598 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 64.77 160.02 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 64.77 160.02 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 2182db2c-e124-4535-890c-f41babccc9ab)) - ) - - (symbol (lib_id "Device:C") (at 92.71 151.13 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000612750eb) - (property "Reference" "C4" (id 0) (at 95.631 149.9616 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10uF" (id 1) (at 95.631 152.273 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 93.6752 154.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 92.71 151.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 92.71 151.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "10V,X5R,20%" (id 5) (at 92.71 151.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid c57f6a70-42f5-459f-8ae0-8600524da64f)) - (pin "2" (uuid e44df168-56b6-46f5-a334-6291f631d5a5)) - ) - - (symbol (lib_id "power:GND") (at 92.71 160.02 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006127a658) - (property "Reference" "#GND07" (id 0) (at 92.71 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 94.9452 160.9598 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 92.71 160.02 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 92.71 160.02 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 3e53b3a5-0b74-4d48-8c24-8cd5303c8ac3)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 134.62 101.6 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 00000000-0000-0000-0000-000061290a70) - (property "Reference" "TP2" (id 0) (at 136.0932 98.6028 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "3V3" (id 1) (at 136.0932 100.9142 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 139.7 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 139.7 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 9204ef8b-ebda-4e21-83b2-d093d08e80a4)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 147.32 101.6 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 00000000-0000-0000-0000-000061293ed2) - (property "Reference" "TP3" (id 0) (at 148.7932 98.6028 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "2V5" (id 1) (at 148.59 100.33 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 152.4 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 152.4 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b83370bc-d275-4691-a16f-c0aad76cc339)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 162.56 101.6 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 00000000-0000-0000-0000-0000612c6885) - (property "Reference" "TP4" (id 0) (at 164.0332 98.6028 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "1V2" (id 1) (at 164.0332 100.9142 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 167.64 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 167.64 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 2c42f160-a5ea-4a79-881d-db8662a242ec)) - ) - - (symbol (lib_id "power:+3.3V") (at 97.79 86.36 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000061383d03) - (property "Reference" "#PWR05" (id 0) (at 97.79 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 98.171 81.9658 0)) - (property "Footprint" "" (id 2) (at 97.79 86.36 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 97.79 86.36 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 0dd7a7dc-3a33-40b4-a338-dc7d080d3818)) - ) - - (symbol (lib_id "power:+3.3V") (at 132.08 96.52 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000061387554) - (property "Reference" "#PWR010" (id 0) (at 132.08 100.33 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 132.461 92.1258 0)) - (property "Footprint" "" (id 2) (at 132.08 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 132.08 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid ab8b9096-df27-4863-8469-d7042c624744)) - ) - - (symbol (lib_id "power:+1V2") (at 95.25 38.1 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006138c420) - (property "Reference" "#PWR08" (id 0) (at 95.25 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+1V2" (id 1) (at 95.631 33.7058 0)) - (property "Footprint" "" (id 2) (at 95.25 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 95.25 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7c9c8fbf-83c8-4463-803c-a3967b810f93)) - ) - - (symbol (lib_id "power:+1V2") (at 160.02 96.52 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006138c913) - (property "Reference" "#PWR013" (id 0) (at 160.02 100.33 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+1V2" (id 1) (at 160.401 92.1258 0)) - (property "Footprint" "" (id 2) (at 160.02 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 160.02 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b2636a7d-6897-4e7a-8efa-89e4060107c5)) - ) - - (symbol (lib_id "power:+2V5") (at 92.71 139.7 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006138e6db) - (property "Reference" "#PWR07" (id 0) (at 92.71 143.51 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+2V5" (id 1) (at 93.091 135.3058 0)) - (property "Footprint" "" (id 2) (at 92.71 139.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 92.71 139.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid c2a46c67-5532-405a-b677-0cab70ed838e)) - ) - - (symbol (lib_id "power:+2V5") (at 144.78 96.52 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006138ec8c) - (property "Reference" "#PWR012" (id 0) (at 144.78 100.33 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+2V5" (id 1) (at 145.161 92.1258 0)) - (property "Footprint" "" (id 2) (at 144.78 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 144.78 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 95b40bbc-53d0-4e8f-bb6e-895184d69e88)) - ) - - (symbol (lib_id "power:+2V5") (at 140.97 139.7 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000616b0743) - (property "Reference" "#PWR014" (id 0) (at 140.97 143.51 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+2V5" (id 1) (at 141.351 135.3058 0)) - (property "Footprint" "" (id 2) (at 140.97 139.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 140.97 139.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d42e47a9-7586-435f-b598-98d5dd3e39e4)) - ) - - (symbol (lib_id "mta1:NCP752BSN33T1G") (at 63.5 92.71 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000616b8c22) - (property "Reference" "U9" (id 0) (at 63.5 83.3882 0)) - (property "Value" "NCP752BSN33T1G" (id 1) (at 63.5 85.6996 0)) - (property "Footprint" "mta1:NCP752BSN33T1G" (id 2) (at 63.5 83.82 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 63.5 83.82 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "onsemi" (id 4) (at 63.5 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "NCP752BSN33T1G" (id 5) (at 63.5 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "Digikey" (id 6) (at 63.5 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "NCP752BSN33T1GOSCT-ND" (id 7) (at 63.5 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f6f2b1f0-04bc-424e-88ec-e8d8c399ee1a)) - (pin "2" (uuid 11694ac2-d11d-4dfd-91d6-00244bd3f62a)) - (pin "3" (uuid 210e382e-bf69-4f39-88dc-94ea73a51412)) - (pin "4" (uuid 95e8e4d8-3c16-4964-8900-b439f6eb7949)) - (pin "5" (uuid 3ef4b28e-6f7d-431e-baad-d5a2526cc6de)) - ) - - (symbol (lib_id "power:+5V") (at 86.36 49.53 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000616c22f5) - (property "Reference" "#PWR06" (id 0) (at 86.36 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 86.741 45.1358 0)) - (property "Footprint" "" (id 2) (at 86.36 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 86.36 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 23ba4a6c-f61a-46f3-ac75-8bb5e52597b6)) - ) - - (symbol (lib_id "power:+5V") (at 85.09 97.79 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000616c348b) - (property "Reference" "#PWR04" (id 0) (at 85.09 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 85.471 93.3958 0)) - (property "Footprint" "" (id 2) (at 85.09 97.79 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 85.09 97.79 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 42e14b0b-9242-48c7-b14e-f4ecef0faf1a)) - ) - - (symbol (lib_id "Device:C") (at 33.02 97.79 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006174ea42) - (property "Reference" "C6" (id 0) (at 35.941 96.6216 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10uF" (id 1) (at 35.941 98.933 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 33.9852 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 33.02 97.79 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 33.02 97.79 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "10V,X5R,20%" (id 5) (at 33.02 97.79 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7e12eb5d-5aaf-40c1-a668-485939b3e123)) - (pin "2" (uuid aec3e26a-d51f-447a-b3ce-d292ede94d8e)) - ) - - (symbol (lib_id "power:GND") (at 33.02 102.87 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006174ea48) - (property "Reference" "#GND0101" (id 0) (at 33.02 109.22 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 35.2552 103.8098 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 33.02 102.87 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 33.02 102.87 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 023f6e64-c54a-4d0e-876e-633c83be4118)) - ) - - (symbol (lib_id "power:+5V") (at 30.48 27.94 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617fd0c6) - (property "Reference" "#PWR01" (id 0) (at 30.48 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 30.861 23.5458 0)) - (property "Footprint" "" (id 2) (at 30.48 27.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 30.48 27.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 24d9dcbd-d263-4162-b272-ff2f976925ef)) - ) - - (symbol (lib_id "power:+5V") (at 33.02 86.36 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617fdf0a) - (property "Reference" "#PWR03" (id 0) (at 33.02 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 33.401 81.9658 0)) - (property "Footprint" "" (id 2) (at 33.02 86.36 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 33.02 86.36 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d417e6f9-8dd6-4709-89c8-ebe75b821c1f)) - ) - - (symbol (lib_id "power:+5V") (at 27.94 139.7 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617fec8c) - (property "Reference" "#PWR02" (id 0) (at 27.94 143.51 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 28.321 135.3058 0)) - (property "Footprint" "" (id 2) (at 27.94 139.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 27.94 139.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d9a1aef6-affc-43b3-847e-57acf222f384)) - ) - - (symbol (lib_id "Device:R") (at 140.97 153.67 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000618005cf) - (property "Reference" "R3" (id 0) (at 142.748 152.5016 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "1k" (id 1) (at 142.748 154.813 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 139.192 153.67 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 140.97 153.67 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 140.97 153.67 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 140.97 153.67 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 564b0903-4ce3-47ae-a24f-bd9d83070287)) - (pin "2" (uuid 64a4639f-c276-4821-be25-778d195ae2b7)) - ) - - (symbol (lib_id "Device:LED") (at 140.97 144.78 90) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000618029cb) - (property "Reference" "D1" (id 0) (at 143.9672 143.7894 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Value" "0402 indicator LED, blue" (id 1) (at 143.9672 146.1008 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "LED_SMD:LED_0402_1005Metric" (id 2) (at 140.97 144.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 140.97 144.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Foshan NationStar" (id 4) (at 140.97 144.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "NCD0402B1" (id 5) (at 140.97 144.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "LCSC" (id 6) (at 140.97 144.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "C130724" (id 7) (at 140.97 144.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 2c3bef64-0896-4852-8564-b9423ae2dcb5)) - (pin "2" (uuid 30a6cd55-20ae-4080-be10-540d9d863c57)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 121.92 101.6 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 00000000-0000-0000-0000-000061804e06) - (property "Reference" "TP1" (id 0) (at 123.3932 98.6028 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "5V" (id 1) (at 123.3932 100.9142 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 127 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 127 101.6 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid cd30e7ef-3da9-492f-9c89-0222d921b353)) - ) - - (symbol (lib_id "power:+5V") (at 119.38 96.52 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000061806b29) - (property "Reference" "#PWR09" (id 0) (at 119.38 100.33 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 119.761 92.1258 0)) - (property "Footprint" "" (id 2) (at 119.38 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 119.38 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 57cceb79-cfc7-4d19-ac0e-57daaf9c7f56)) - ) - - (symbol (lib_id "power:GND") (at 140.97 158.75 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid b138a11e-912c-4302-843e-d89c34b6f120) - (property "Reference" "#GND0111" (id 0) (at 140.97 165.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 143.2052 159.6898 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 140.97 158.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 140.97 158.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 181617e1-ba7d-4993-b837-bd7ffa6db805)) - ) - - (symbol (lib_id "Device:R") (at 85.09 104.14 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid c4c2a4ce-d12c-46e7-abc7-438cb069e22d) - (property "Reference" "R22" (id 0) (at 86.868 102.9716 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10k" (id 1) (at 86.868 105.283 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 83.312 104.14 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 85.09 104.14 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 85.09 104.14 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,5%" (id 5) (at 85.09 104.14 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8a6fae7f-19e3-4bbe-b14f-8b442b96b77f)) - (pin "2" (uuid 5ece99e6-b51b-40a1-bf7e-dbdebdd0a46f)) - ) - - (symbol (lib_id "power:GND") (at 162.56 114.3 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid cf4e8e3a-d27f-4432-a03f-eb71f8da5a95) - (property "Reference" "#GND032" (id 0) (at 162.56 120.65 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 164.7952 115.2398 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 162.56 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 162.56 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 9ca88724-cdc0-4ef3-968b-3270fcc650f9)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 162.56 114.3 0) (unit 1) - (in_bom no) (on_board yes) - (uuid f74c1147-0f6c-4852-95d4-43a254720c79) - (property "Reference" "TP28" (id 0) (at 164.0332 111.3028 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "GND" (id 1) (at 164.0332 113.6142 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 167.64 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 167.64 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 363a3797-c9f0-4011-9c28-5b6fcde50111)) - ) -) +(kicad_sch (version 20211123) (generator eeschema) + + (uuid cc15f583-a41b-43af-ba94-a75455506a96) + + (paper "A4") + + (title_block + (title "Power Supply") + (date "2021-11-14") + (rev "V1") + (company "Tillitis AB") + (comment 1 "2022") + ) + + (lib_symbols + (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "TP" (id 0) (at 0 6.858 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TestPoint" (id 1) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "test point" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TestPoint_0_1" + (circle (center 0 3.302) (radius 0.762) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "TestPoint_1_1" + (pin passive line (at 0 0 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "C" (id 0) (at 0.635 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C" (id 1) (at 0.635 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) + (xy 2.032 -0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.762) + (xy 2.032 0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "C_1_1" + (pin passive line (at 0 3.81 270) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:LED" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LED" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "LED diode" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Light emitting diode" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LED_0_1" + (polyline + (pts + (xy -1.27 -1.27) + (xy -1.27 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 0) + (xy 1.27 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 1.27 1.27) + (xy -1.27 0) + (xy 1.27 -1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.048 -0.762) + (xy -4.572 -2.286) + (xy -3.81 -2.286) + (xy -4.572 -2.286) + (xy -4.572 -1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.778 -0.762) + (xy -3.302 -2.286) + (xy -2.54 -2.286) + (xy -3.302 -2.286) + (xy -3.302 -1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "LED_1_1" + (pin passive line (at -3.81 0 0) (length 2.54) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (rectangle (start -1.016 -2.54) (end 1.016 2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "mta1:MCP1824T-2502EOT" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 7.62 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MCP1824T-2502EOT" (id 1) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 19.05 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC REG LINEAR 2.5V 300MA SOT23-5" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MCP1824T-2502EOT_1_1" + (rectangle (start -7.62 6.35) (end 7.62 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -10.16 3.81 0) (length 2.54) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -6.35 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "/SHDN" (effects (font (size 0.762 0.762)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 10.16 -1.27 180) (length 2.54) + (name "PWRGD" (effects (font (size 0.762 0.762)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 10.16 3.81 180) (length 2.54) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "mta1:MIC5258-1.2YM5-TR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 7.62 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MIC5258-1.2YM5-TR" (id 1) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 19.05 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC REG LINEAR 1.2V 150MA SOT23-5" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MIC5258-1.2YM5-TR_1_1" + (rectangle (start -7.62 6.35) (end 7.62 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -10.16 3.81 0) (length 2.54) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -6.35 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 10.16 -1.27 180) (length 2.54) + (name "PG" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 10.16 3.81 180) (length 2.54) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "mta1:NCP752BSN33T1G" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 5.08 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NCP752BSN33T1G" (id 1) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC REG LINEAR 3.3V 200MA 5TSOP" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "NCP752BSN33T1G_1_1" + (rectangle (start -7.62 5.08) (end 7.62 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -10.16 2.54 0) (length 2.54) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "EN" (effects (font (size 0.762 0.762)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 10.16 -2.54 180) (length 2.54) + (name "PG" (effects (font (size 0.762 0.762)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 10.16 2.54 180) (length 2.54) + (name "OUT" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+1V2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+1V2\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V2_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+1V2_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+2V5" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+2V5" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+2V5\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+2V5_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+2V5_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+2V5" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3.3V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3.3V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3.3V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+3.3V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 30.48 31.75) (diameter 0) (color 0 0 0 0) + (uuid 16121028-bdf5-49c0-aae7-e28fe5bfa771) + ) + (junction (at 33.02 90.17) (diameter 0) (color 0 0 0 0) + (uuid 1ab71a3c-340b-469a-ada5-4f87f0b7b2fa) + ) + (junction (at 41.91 31.75) (diameter 0) (color 0 0 0 0) + (uuid 2454fd1b-3484-4838-8b7e-d26357238fe1) + ) + (junction (at 86.36 60.96) (diameter 0) (color 0 0 0 0) + (uuid 2e0a9f64-1b78-4597-8d50-d12d2268a95a) + ) + (junction (at 92.71 143.51) (diameter 0) (color 0 0 0 0) + (uuid 3e0392c0-affc-4114-9de5-1f1cfe79418a) + ) + (junction (at 95.25 41.91) (diameter 0) (color 0 0 0 0) + (uuid 43707e99-bdd7-4b02-9974-540ed6c2b0aa) + ) + (junction (at 27.94 143.51) (diameter 0) (color 0 0 0 0) + (uuid 6b91a3ee-fdcd-4bfe-ad57-c8d5ea9903a8) + ) + (junction (at 85.09 109.22) (diameter 0) (color 0 0 0 0) + (uuid 6f580eb1-88cc-489d-a7ca-9efa5e590715) + ) + (junction (at 97.79 90.17) (diameter 0) (color 0 0 0 0) + (uuid 79476267-290e-445f-995b-0afd0e11a4b5) + ) + + (no_connect (at 74.93 148.59) (uuid cebb9021-66d3-4116-98d4-5e6f3c1552be)) + + (wire (pts (xy 74.93 41.91) (xy 95.25 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 008da5b9-6f95-4113-b7d0-d93ac62efd33) + ) + (polyline (pts (xy 111.76 73.66) (xy 111.76 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 01f82238-6335-48fe-8b0a-6853e227345a) + ) + + (wire (pts (xy 41.91 41.91) (xy 41.91 46.99)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 04cf2f2c-74bf-400d-b4f6-201720df00ed) + ) + (polyline (pts (xy 114.3 124.46) (xy 114.3 73.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0cbeb329-a88d-4a47-a5c2-a1d693de2f8c) + ) + (polyline (pts (xy 111.76 124.46) (xy 17.78 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0e249018-17e7-42b3-ae5d-5ebf3ae299ae) + ) + + (wire (pts (xy 54.61 143.51) (xy 27.94 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0fafc6b9-fd35-4a55-9270-7a8e7ce3cb13) + ) + (wire (pts (xy 97.79 100.33) (xy 97.79 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0fd35a3e-b394-4aae-875a-fac843f9cbb7) + ) + (wire (pts (xy 30.48 41.91) (xy 30.48 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1171ce37-6ad7-4662-bb68-5592c945ebf3) + ) + (wire (pts (xy 64.77 153.67) (xy 64.77 160.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 12a24e86-2c38-4685-bba9-fff8dddb4cb0) + ) + (polyline (pts (xy 111.76 71.12) (xy 17.78 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13bbfffc-affb-4b43-9eb1-f2ed90a8a919) + ) + + (wire (pts (xy 64.77 52.07) (xy 64.77 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1bdd5841-68b7-42e2-9447-cbdb608d8a08) + ) + (wire (pts (xy 27.94 139.7) (xy 27.94 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 252f1275-081d-4d77-8bd5-3b9e6916ef42) + ) + (wire (pts (xy 97.79 90.17) (xy 97.79 92.71)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 27b2eb82-662b-42d8-90e6-830fec4bb8d2) + ) + (wire (pts (xy 41.91 31.75) (xy 54.61 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2878a73c-5447-4cd9-8194-14f52ab9459c) + ) + (wire (pts (xy 95.25 41.91) (xy 95.25 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 28e37b45-f843-47c2-85c9-ca19f5430ece) + ) + (wire (pts (xy 132.08 105.41) (xy 134.62 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3abc7d0d-7f0b-4ca9-ad83-e4dc3d16650e) + ) + (wire (pts (xy 30.48 27.94) (xy 30.48 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3b686d17-1000-4762-ba31-589d599a3edf) + ) + (wire (pts (xy 33.02 101.6) (xy 33.02 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3c8d03bf-f31d-4aa0-b8db-a227ffd7d8d6) + ) + (wire (pts (xy 54.61 41.91) (xy 54.61 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 44646447-0a8e-4aec-a74e-22bf765d0f33) + ) + (wire (pts (xy 74.93 60.96) (xy 86.36 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4cafb73d-1ad8-4d24-acf7-63d78095ae46) + ) + (polyline (pts (xy 114.3 127) (xy 172.72 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 52a8f1be-73ca-41a8-bc24-2320706b0ec1) + ) + + (wire (pts (xy 119.38 96.52) (xy 119.38 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 576f00e6-a1be-45d3-9b93-e26d9e0fe306) + ) + (wire (pts (xy 73.66 90.17) (xy 97.79 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5d3d7893-1d11-4f1d-9052-85cf0e07d281) + ) + (polyline (pts (xy 17.78 124.46) (xy 17.78 73.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 63489ebf-0f52-43a6-a0ab-158b1a7d4988) + ) + + (wire (pts (xy 160.02 96.52) (xy 160.02 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 63c56ea4-91a3-4172-b9de-a4388cc8f894) + ) + (wire (pts (xy 74.93 143.51) (xy 92.71 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6513181c-0a6a-4560-9a18-17450c36ae2a) + ) + (wire (pts (xy 140.97 148.59) (xy 140.97 149.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 661d03d4-0d8c-40af-b26a-09380a394b11) + ) + (wire (pts (xy 54.61 148.59) (xy 52.07 148.59)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 66218487-e316-4467-9eba-79d4626ab24e) + ) + (polyline (pts (xy 114.3 73.66) (xy 172.72 73.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6d0c9e39-9878-44c8-8283-9a59e45006fa) + ) + + (wire (pts (xy 121.92 105.41) (xy 121.92 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 713e0777-58b2-4487-baca-60d0ebed27c3) + ) + (polyline (pts (xy 17.78 71.12) (xy 17.78 17.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 71f8d568-0f23-4ff2-8e60-1600ce517a48) + ) + (polyline (pts (xy 17.78 73.66) (xy 111.76 73.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7c00778a-4692-4f9b-87d5-2d355077ce1e) + ) + (polyline (pts (xy 114.3 172.72) (xy 114.3 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7c2008c8-0626-4a09-a873-065e83502a0e) + ) + (polyline (pts (xy 111.76 127) (xy 111.76 172.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7db990e4-92e1-4f99-b4d2-435bbec1ba83) + ) + + (wire (pts (xy 92.71 154.94) (xy 92.71 160.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 802c2dc3-ca9f-491e-9d66-7893e89ac34c) + ) + (wire (pts (xy 140.97 139.7) (xy 140.97 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 864a8b8b-10d2-4e1b-86cb-76b6d15c33dc) + ) + (wire (pts (xy 95.25 52.07) (xy 95.25 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 88610282-a92d-4c3d-917a-ea95d59e0759) + ) + (wire (pts (xy 85.09 107.95) (xy 85.09 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 89a8e170-a222-41c0-b545-c9f4c5604011) + ) + (wire (pts (xy 97.79 90.17) (xy 97.79 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8b290a17-6328-4178-9131-29524d345539) + ) + (wire (pts (xy 134.62 105.41) (xy 134.62 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8cd050d6-228c-4da0-9533-b4f8d14cfb34) + ) + (polyline (pts (xy 111.76 172.72) (xy 17.78 172.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8efee08b-b92e-4ba6-8722-c058e18114fe) + ) + + (wire (pts (xy 132.08 96.52) (xy 132.08 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9286cf02-1563-41d2-9931-c192c33bab31) + ) + (wire (pts (xy 85.09 100.33) (xy 85.09 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9529c01f-e1cd-40be-b7f0-83780a544249) + ) + (wire (pts (xy 54.61 46.99) (xy 41.91 46.99)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 955cc99e-a129-42cf-abc7-aa99813fdb5f) + ) + (polyline (pts (xy 111.76 17.78) (xy 111.76 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 97581b9a-3f6b-4e88-8768-6fdb60e6aca6) + ) + + (wire (pts (xy 86.36 60.96) (xy 91.44 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9aaeec6e-84fe-4644-b0bc-5de24626ff48) + ) + (wire (pts (xy 144.78 96.52) (xy 144.78 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9b6bb172-1ac4-440a-ac75-c1917d9d59c7) + ) + (polyline (pts (xy 172.72 73.66) (xy 172.72 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9c607e49-ee5c-4e85-a7da-6fede9912412) + ) + + (wire (pts (xy 33.02 86.36) (xy 33.02 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a5c8e189-1ddc-4a66-984b-e0fd1529d346) + ) + (wire (pts (xy 140.97 157.48) (xy 140.97 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a64fdbc9-8fbc-44da-bf7e-10fdc13b7363) + ) + (wire (pts (xy 41.91 34.29) (xy 41.91 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ae77c3c8-1144-468e-ad5b-a0b4090735bd) + ) + (wire (pts (xy 74.93 46.99) (xy 74.93 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid aeb03be9-98f0-43f6-9432-1bb35aa04bab) + ) + (wire (pts (xy 73.66 95.25) (xy 73.66 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b13e8448-bf35-4ec0-9c70-3f2250718cc2) + ) + (wire (pts (xy 160.02 105.41) (xy 162.56 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b2379fe0-48d5-466d-894e-8e6da216df1b) + ) + (wire (pts (xy 147.32 105.41) (xy 147.32 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ba6fc20e-7eff-4d5f-81e4-d1fad93be155) + ) + (wire (pts (xy 27.94 143.51) (xy 27.94 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bd793ae5-cde5-43f6-8def-1f95f35b1be6) + ) + (wire (pts (xy 144.78 105.41) (xy 147.32 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c4a49376-0337-41b4-b572-6ebddf88df51) + ) + (wire (pts (xy 33.02 90.17) (xy 53.34 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c71f56c1-5b7c-4373-9716-fffac482104c) + ) + (polyline (pts (xy 17.78 127) (xy 111.76 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cd5e758d-cb66-484a-ae8b-21f53ceee49e) + ) + + (wire (pts (xy 92.71 147.32) (xy 92.71 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cf815d51-c956-4c5a-adde-c373cb025b07) + ) + (wire (pts (xy 30.48 31.75) (xy 30.48 34.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d0a0deb1-4f0f-4ede-b730-2c6d67cb9618) + ) + (polyline (pts (xy 172.72 172.72) (xy 114.3 172.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d102186a-5b58-41d0-9985-3dbb3593f397) + ) + + (wire (pts (xy 162.56 105.41) (xy 162.56 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d1eca865-05c5-48a4-96cf-ed5f8a640e25) + ) + (wire (pts (xy 86.36 52.07) (xy 86.36 49.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d3e133b7-2c84-4206-a2b1-e693cb57fe56) + ) + (wire (pts (xy 95.25 38.1) (xy 95.25 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d4c9471f-7503-4339-928c-d1abae1eede6) + ) + (wire (pts (xy 85.09 109.22) (xy 90.17 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d68e5ddb-039c-483f-88a3-1b0b7964b482) + ) + (wire (pts (xy 53.34 95.25) (xy 50.8 95.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d7e4abd8-69f5-4706-b12e-898194e5bf56) + ) + (polyline (pts (xy 17.78 17.78) (xy 111.76 17.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dbe92a0d-89cb-4d3f-9497-c2c1d93a3018) + ) + + (wire (pts (xy 92.71 143.51) (xy 92.71 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dca1d7db-c913-4d73-a2cc-fdc9651eda69) + ) + (wire (pts (xy 73.66 109.22) (xy 85.09 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e0c7ddff-8c90-465f-be62-21fb49b059fa) + ) + (polyline (pts (xy 17.78 127) (xy 17.78 172.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e300709f-6c72-488d-a598-efcbd6d3af54) + ) + (polyline (pts (xy 172.72 127) (xy 172.72 172.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e36988d2-ecb2-461b-a443-7006f447e828) + ) + + (wire (pts (xy 27.94 154.94) (xy 27.94 160.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e5217a0c-7f55-4c30-adda-7f8d95709d1b) + ) + (polyline (pts (xy 172.72 124.46) (xy 114.3 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e5e5220d-5b7e-47da-a902-b997ec8d4d58) + ) + + (wire (pts (xy 33.02 90.17) (xy 33.02 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e70b6168-f98e-4322-bc55-500948ef7b77) + ) + (wire (pts (xy 30.48 31.75) (xy 41.91 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e97b5984-9f0f-43a4-9b8a-838eef4cceb2) + ) + (wire (pts (xy 119.38 105.41) (xy 121.92 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ea7b464b-d0cf-4813-9723-6442e8bfdf5d) + ) + (wire (pts (xy 63.5 100.33) (xy 63.5 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f357ddb5-3f44-43b0-b00d-d64f5c62ba4a) + ) + (wire (pts (xy 86.36 59.69) (xy 86.36 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f988d6ea-11c5-4837-b1d1-5c292ded50c6) + ) + + (image (at 232.41 40.64) (scale 0.5) + (uuid 997c2f12-73ba-4c01-9ee0-42e37cbab790) + (data + iVBORw0KGgoAAAANSUhEUgAABz4AAAL2CAIAAAC/vKdgAAAAA3NCSVQICAjb4U/gAAAACXBIWXMA + ABXgAAAV4AGNVCw4AAAgAElEQVR4nOy995NlyXXn9z2Zee8z5bqqurqrvRnb02YcxmGGGCwJgCBB + crmkFIzdlX5aKaQIhRT8GxRShH5ZKUKxCsVSwV8kiiLBBQIAgRmAIDC+x5ue9t5Vd1d3+arnrslz + 9ENe915Vz4JEg5wd5jfeVFe9d+/NzJPn5pv85LknSUTg5eXl5eXl5eXl5eXl5eXl5eXl5eX1eZL6 + x66Al5eXl5eXl5eXl5eXl5eXl5eXl5fXoDy69fLy8vLy8vLy8vLy8vLy8vLy8vL63MmjWy8vLy8v + Ly8vLy8vLy8vLy8vLy+vz508uvXy8vLy8vLy8vLy8vLy8vLy8vLy+tzJo1svLy8vLy8vLy8vLy8v + Ly8vLy8vr8+dPLr18vLy8vLy8vLy8vLy8vLy8vLy8vrcyaNbLy8vLy8vLy8vLy8vLy8vLy8vL6/P + nTy69fLy8vLy8vLy8vLy8vLy8vLy8vL63Mn8Y1fgbhIAAN3l/cGPBEIbH7/h6cXFqfibspM3vv5/ + ypL+P6n/ow2bWT3lbl3wC5p68LC7XFrWVexupf8dyio+knUf9ff14LmfWfzdjHZv9Rm99veoyWfb + 8xcpa+PLVg1Lg9cpL3Uvbqr11/gF/fCzr/lZp/8dC9iglZ95hb+HI/UNV7+gi3zGp/1H/V19rLz2 + RqUMjrR/xyr9R4v/7M65x8P4L+9qv4LSBm3zi/jT33vw6h8rP8v1fpkivjBful5eXl5eXl5eXl5e + XvdQ9wTd/v3Qj/T/QhCq/MoCUWJgAQAKQgDZkriJgQAWVkG0FVgNUlBgAhQILBBkUcUCiACEABZI + wDGgoQKBSaEZsIABDLPiBEpllSANkBRFCohAACSfZNL6tm9sFLp3BMG9lJs/C1esrdyUWiQrWhMg + DLEVzkOAsiBAKHtpQFXrKpxALIGhNUQDCpRfGUwQgCHuRA2uMO9yQi8ErkA7yl4EZ+riJAVoAMJg + gVIgsu4dWEgKGJCplMAVSygBOLMDQ1JAgzQXn0lmEoEVgKGlAphU9rJZXwrl7wEEJveBu3j2E+Cy + SwUgcuWgH7TcBUZnB8pdiFv/WQKR8rPBY91PyWqOzNurxVSOLgwh2Q1AeWsEpTeVNSqYoCrKvot/ + u3NZoBgkIAXWsJTdrk4aMAwqnNb5owK0cm9ZYSalQQqAEKwgTUUbUlQWogiEFEhABDGABgjkXIkE + ZgDA93OlsvSq+fJ7x9mECpcplnAI4PylMy8tbJENBFKaJ/d9FlDh7WRdFQs/7zNdca6rIW1Uf8oW + pAqxBcdQAjIWKiUtuak0oJ2tqbgkcXbPZGOVRu7FBBAsuX+ZkGb+L/0PYZAAFlCSO2k54JEAKeDu + FKKiFwSK3ICSghOIBRlQADFgiM5uLud+hqCc0YpLE1xxVRsVN0g2lstAl1Ix9OVWKwxPUvlZdYDs + 2gPmHRA5exGDOK+A6huEhbKvFsl7jqgynFaWE0u7S//XRqVKXN747j0q+rLqmVIaIbudidd5b/lF + 2X8LFMMa5QNUeWuUw0DmP9nbVB37nLltOUayzqpKVD1MldfMSybIOm9XeT+47xVVVL68RagsOG9V + 0djK2x79enl5eXl5eXl5eXl9YfXLo1s3OavO7H7BAFgMziiLOaIWISsQFkMpyM1rSaCtymZ5AtYQ + 4hixsqrBFjHBKBGwBgmILMOKaJVNXBPLSiFQFhLBtiAawZAQpdAJkAI1QBJb4y7CMOO/SiE/XRgA + VDHpZwZRNpHcqKFSmU66f+8Jvc2AWZVmlpNbBWRs1VoQQSuALSSBRgYFSAm0hWKxCqxJVD59prxd + aRyxjbSypt6ABGADpVznWLZKMYEtp0ZCIp0REsel8uk3gQnsYHvGh0m5XmQgRQGKHBQTMIMZUA4J + aABiITGIAG0dQUcOFJxIlXYQh24B0jZnASh/SxlkoWyVXgMBAId4XH3FOIDo6pAADOSYMCcGUkUT + 2qGCAbCCPr+vsgQWiEOifdwu/7iCOThDMzRwUH8JrjKiQBkqksFYOEFxsxTkSCqgWCSHk9Iffa7X + A6aNsIgF2AIW2gIhWCMBksrxYYETM87KsDECBR0AANI0ieOwVocmd1icotXuNocaYUAEMAMWRoMk + UehAGwD5DW4BKyDJoXy1dtRvuKL9qtqbWdsVRLkLSLkYAFR8NayiW3cfKUG+qOMuRa7LRDJvh3Ik + KwEUYFDpeHehHHNJTioLQ+fVK7qzAuZtgqQNQ1BBIrpLhnRd5+ieGNrRbO14IzEozZElFa2w2b3H + 2b3AQEqZp/cTvuxoAYyzsJS2FtfXKbMlbchI3h4DKGIgRdIBRwgbEAJrsWQBq5EwrAWQNEITssCy + A54gzi+tiy4r7ixVGoVz10W2sJR7J2d413WdM6ySrCVE/bwv/+K6y7Cc3X0MUgxK++/uyiDMYAHE + jYHiviJy76v8yxAuRrL1TasM7ZL3v/NMKjxVF64gki2BiQZl/p97c2XVJb9Q1ZiuSnpdJSs2yb/t + BMUSha2smykAsBoxwNkCKnTeyJK5V0qRfIQhgXBlbNG5R0m+qofqIgcX1S8bVB3RKmOZp7deXl5e + Xl5eXl5eXl9k3YOoWymnhLTBZOtuJ/XNJR2NzVAHw3bTtg51KDWiLIKKNEkWUWrZsrYCIqUQBDpG + miINXOyPZFNfIhCRlQyaKuMCyhiIgC5UHZIwAktIgRgwQD0IkBLYggFR7sV5iKYwkgRGu9k5ZTFJ + 2dR0oG3VD+7xVLKYxkPyqNssoDKzIwik81KZgRQMpAmUIKgLwFBEygFWQKyFMIyGTSCSBsYgcLF6 + DJEMnCMrT8AE1hqUB+Bm7KEMLavCDQzgQhdzlxbgs7gwZxGDOWJkSAIyqLhIf9StOPqVAROxWeRm + hRXkdMRKBrVUledJdkGbxx/rrEJSoooKWClalNdFikjkPu+v1jC/HFUAr+RQts9p+lCL5AX13UVU + uWbewqz3B1FN5YSCcnDuJFIeJwVS4UojgAx4lYbua1DlfMA6LJ6CNDiABeJKVXTBfVKHwhVMmGE5 + SWOSNAwDKAWW1DIFWhs0hpo6yEkrQWkQQSkFEdgIpIEQQhUG2Vf1ku1IYQIqrIBBAmhzsNnXle5U + zmtuikHNDT/Okrqwdn6SMKTCfqUvfLt/kOAKfeKqtcvx0N3J1bOcZ9uod/3qmdtL5+ZX1hCY+sjQ + 2Obdu/btnpjY0lQggKhYYxCgaKEqLsKZYzI5awhllpSi8Ly7xQUSl2/0/WMBKKUYSPOlKbf8wcJI + eoosNMNGQAAJhLW1SAmioTQIIZDj2uy2KHFcYSCpcNuK33J5M7qSpYR6udNJHi8sRROq9LY0a7Gs + Vr29Kn5RuJqquH6Gid3YBUG2qEj9p1fHrsz0xS1X7dh8aaoSeFtZ7KsOtKVhhDNzlzd5UWz12rnz + 9tuTMg/JLVO9zbN1vuybpcpVnXQGxzkfOTPH48GqVpwpz61SDG1S9cl8kCq/TMq7kcr/oehfdSlK + KY/fqIO9vLy8vLy8vLy8vLz+U9fnJ9etQFLH0UQSNzEt42oEIi66SQkUE4SUAaBdegNSUAQNGIjK + 8JeCUiVsK4GKYyLkIAcVU3ULNxc05aPlRMV80E0JWUSEckQsJC7KijYCcYPxQPdKtH5yns2xyzlr + +bw55VNxZggQZKGulE3dsxA/AaXiaEdABIJWCEgIpKEIbCsoQ4EUgRxXrcYxur8YIGgCZdkViPOw + QgYpqgQhlhkKKI+QK2GFBgWO2GSP0w/OyHPk5oolXcQOU9VMJIAoF9tb6aQ8fk0BGuT6WqPCB3Qf + kXafFARJ+iqx0e/9kvwAKRhVFTJU8KxjGlQaEigewHcQqg8HDQTYfVY1HN7qP94ZjbgPhDkKVQD7 + SvQ49YHEDPAokILSgMryCgRlBV2+kcrpzvO0CDiFJCCBKR7iFyUwBBNkNyNbcBETSaGiIWarELr0 + HYApvb1spKNMqNgtd4SKq+adXO3h8qMqu0P/mwOdJlmf5f2VcTRVrVgZBUkVA2cHqLyiFRcoixqE + 8gAARtI7ffrkq8dOv3fhWguhqY+OTk6/+JXfGDp4cMvQZG75Yr1kQ3fIEj7kRxig3pctIbO5c3Vd + DfcsP80rZyBKmJEIQqGssQItbJRWIAPrimNS5ILli2qlALEExHlXFHUW55eqYu6yn0C5JzsHLD/J + Te/80F2RXMqNgUG50pnrm7aB2dbf6flAQNVO67vywFulM1YKH+gkGfC+vkvS4F/l4Ev9r+JyA3Xu + M8JAu6v+WbVDPvAVUpnPBPmTCcp9kVaxf//lB+1MlXtgneU29Fja4NCNDvHy8vLy8vLy8vLy8vpC + 6vOEbpVAC5IEodTDhgWBGFZlCU05YWYoAwTZzL0gThZKKwXtYieZOQVToFUOupjzBLaOYLq4HU2a + lAv/TIE4hSVoh9eUBmkoncV1AQCIoIiKGSgzE0RlAa7r5+7r37yX6r90ltFRiPqmxASoHBgiy+lJ + MC6KyVohCDS0JiEkKXQAAvUScEoQFQYqCJQCp5woKKWdPXMe5QxhygpRnguYUBxmc2jL+VHKPQ9b + QbeungKSEmiSgjj4UmHu2cFUIBNVzumzdAeVC1YRncuxyRgMvFUEAWkolQWOuSoCBsJgBV2xs8o4 + ZOENd+2OSm1LWpeRjUqsMm1wVoFVROUkK3uSPF+B4Lz9VfyXn92fJzUvukIDByAkKIPXUMiTJBeJ + bkuCV61dBi2zzqoAS00weQYPV6wuztA5h5Q0FY4IQoFrIAuLJRPWDFtLVpQx7G7TVBLLAjJGBYaM + asAUPBuF++SdNhBW2AfhqDysqiLzb8myBghdxY+qb5dvCQCoMlq1hI9ZFYs1gPysAbRFeUjhhjyN + BgvnFO21jz58/8d/+/qrx87Fqo5gKBybGh4a3zs19dDOSaRQYIS0vk2lR+bpRim/XwlBf70YZPMT + dfkIuwxckgBBkioWrVWKlMmobDhUujYMScExyEIpkJAhDSTF+AnEESc2ritbC3S++lLeF6rfWFSa + nrLkB+U6BwFlaKYUCVyy3h+0bXkPUN/9sU7FqFV59aVYyE04eOUBlQ5YTY5x94MH/13nCpSPwKr6 + Vr+fy8BHqJi0cIbBdD/ORaujZOVGqNRLA0G2dpP3Wt+iFirFuHFGBj+slLCugZ+dg9jLy8vLy8vL + y8vLy+ufmD5P6JYsyMK2u13u9Pj85StLMwvd+dZQ0JAQCaXWYGRiy577Hx6b2DIWEtwWRQKVEUnj + 5uFKK53PNbNpJ1VQHgtuzZ67Ont5bm3Vhra2qS3NttSDpDWhe6PcYpsytBUVC2BCMqEOzMjw8NTU + 1P59e1W9phzdJUW0wXR0Y0x0T3WXS5eYMI4tQepKlLKdubmLly7OLyx2ut0IJlX1RNUgVtkoQGqC + IBVlao1NE1smJqfHJ7aMjdVU3ZAYKLCCIE1hDUFBKSIRLVw+362oiglyBFhWSBM4J1YuCUUZG1s+ + GFsxWN40nZEYEXLYVyrcKD8yJ2IOkZUgT4oQN2RRt3DB2Mj/y2GOQFMesYvKuRqpqjyS2xd1S31M + 5DNVISTuTwLABEVlyN66g7PmZUYqeGTOtQvguxHaG4CK1WsSrYu6zdqbE20HZGUwPnCgdsgojUPD + xRZpCgA0xFQK10X6XRdFzKmtkSWdZ9dl5jTpWlrpxKtLSwu3b6wuzrVaXVFhrTk6MTU1PDo6PDY2 + tmm8TjVntT6IVlYyzSibVJypEoxZdbEKtlNZxomK4xV+MEgp72Liol8ZSpVvlG6oNr5IdYgYIPjr + bobS8uJgcBR1u91OEgM6ge3Fui1CWgdEUKZoBG2cXjtbSsl6kBzlrT69DwGlQJKneu7ntn1NVwAT + uSUNNmSZFLNaa3XXWp3O2uqdmaurC3OaJLGxNmrztu3Nia21sanGyKZGw4QGJlSa64Iu63K0rlpw + HWx1fwxE4ecENqe3AhTV/o+PxRVeiOpKXdmbec0qx/bfXQOXH4TBFTS/wRdG/w1Z8dZK9w26EBUH + lKsstEGi241Pd7/nfZ6NK+RqJ0V+kb7heB1GV0CYZzjA3c0sfedVV5H6T6BBO+T/yl2vfdc+9dTX + y8vLy8vLy8vLy+sLp3uFbmn95P4XnkMVEXMpKIZKlhYWT1y5/vYHH904e7FzZ6FpQoTaamWN2bL7 + gSc76sDh0frWhgIMAQQDQxCSfHJI0Hl0YkGO8q2ugCSduXzlZ3/7xvFLt5Ziw83JltRb0gjS9rjq + DvMaW8tkUqEotSqo6TA0xmybnj5y5PCuXTsaqAEQZFu7CzMR5RkKNkYN91a0ATJYlz1VrAizWNtt + nzt35uWXX759Z2G11e5anepGrOok1kgcINFGCVGt2RjfPD25eefk1M7NU9t2bN85Pb1tYoJCBYIW + rVg56OCS/pZ9lj8pawFATJlJtcw7qQAmwIWaEqyGrjxInzdEqeK9jGmSzv4qdyfLCy6f5s/Pzw7O + EQOBc6ZcQBNnobIM1Rd/iEoaWJXV1go0V/IxUl+uy5IMDrp+n6rEo8pVilQV1eOK56VdZVVR2Dqa + QlmugkrB/T4n/ek08+OBavehEqXrIrcpty9Vy17fIHJYmbKeywi3zrNkumM0VyylWJTEJBEohVKw + 0ltZu3pr/trtxWu3l+ZmbyzNXl+Zu7m0tApdb45MbNm2Y3Ri08jEprHxzZvGp7du3X3//dONWgbp + y0i/zP3ynMXYgFeu47b9Bsm9Kw/mrLRyA2rWj2dLI21A9hTYZGbO1zgGx0XCYK3WqXyP3eKW5Yg5 + IYK4xAiJJWWUyhOYVM6kfi6cla3cJm+swARTZnkubVSsF2QOU3p4+SkAlScWt0gt0u7CWvvqzbmZ + m/Ozd5ZXlpZvXb2yPH9HIYmTtgl4265do5u3D09MD2/avG37nh279m7fNhoYEmgLlj4rOfDct1xQ + rd/dTFVtad/RgtKPc5Ov7+i8JwuGW60MDXhCFgBe2LjYta5vr7uiCLdaUw4ayCzois5LpLLQaoFU + eZVvUNkKqmR9zRvSV5QqHbWopcoL47ya/Rvm5W7h9r2rBsJSNnbkWL+0XV9t84qsHx0rd0Hf6NYP + bYs31n2vfibU9fLy8vLy8vLy8vLy+qLpnqBb6puM5bo7vZV1L8DtTCU9NGjm+tU/+7O/eOejY4tX + r1C7bSysBpvAmvrW/YfudIPa+L5tUzvrBsJZRC2shsuPWyAyYctgECnSRcI+ZnS7Z0+e+sF3v3fm + xuJyYtL6RMuGQBPcNbzWtGuAUFCz0FGSChEUKaUeuP9+kPz2b32TcqggIgKJkzjQRiuzwfzybnb5 + pdVXQDntz/YYJ6BeM8KWo97c7K2jb77+J//+33d6SZwioVqimzHVIWlNpYHEzFF9qE4G7a4VNUx6 + VOnG7/3zP/jnv/+Hz335yKZNCEBKhZRHWFGeSNcKmFlpyvdzAmBQef42f7BfCZQD6cqlIhWVsVyn + LMel4srudpxxnNwxqpiln9sWPwtmQXl6AQHyyFlkNLd6tCDPZZwH+uVJd/MWMYFVf9ArMjRI/bDi + LjxJqnVERr3L6jv+0Qc2JHukOsOSfWX0UQ6SIk4RFd4i65mro5t92SmcmaTfhrnWp3HoP6IMi1QZ + 7qwCHzGFDfqCha3VlAbacntNIUa9idhevXLxhz99/Qc/ff3Y2au91tKQSgPbiXosKhDVEG1SxamG + qNrefYdf/Oq3/viP/4ft21VIlWWYrC7F1ncbZUVej19zU1P1r/wnFUw4a2R/9GPV1Sovd+Pl6JeQ + DUZWuz0Ws7pRhfAOQNvPMnl+pAXHSDrCsSLRGqx0lskZWoSSFFqK0a9seNWR8t5iZHHlUhbW55nZ + llfVx9xze6W5FRSEIYIkQhwvLy9/ePzEn3/nR8dOXr56Y7HXiWpKGUjcWyF0lI7IUEo1Ns1ac9OT + Tz3/tW/8zh/84b/YtjkgUArRGUp3wJIrpq32oR5w2pISUk4JhVDEd1bvi2JscgyS+s2S8VmXK7m6 + CZZzcqYsnXoOsqmwUdW5irtKioQeBTBe36H5SwApnyoo/aKP8w4EzK83TT+xrZ6Kwind1SstpP46 + F5UtuGrpGVRdCVqvdaCdBntwQDKwrlDS6IG6VxuZ/yp9rfb01svLy8vLy8vLy8vrC65fHt0WM6kN + Jmkbgct+VFWdQUuCpNVZmDt18tP33v9g5tZC2mrXYoRAksLGSaJ4cal17eZiK9EIXKwdtMO1bncy + C+h8hi4MEa0zlsSWs5me1nG3N3/7TtxBYqWXdiECE0IjjdNOGgHgRJg03L5oBBBa7Va7047TOEoS + rUgBZJQCBUGgBmegg9a5h9rYykAe6pX9JIhIyjZJkqjTaa0sJzqAVia2Kk4tyAISSWIRa8XtdouB + JHW7l2nY6NXX355bio6dPPVrX3n0scf2DdeMcRiUxbiYWfdSBLDAkuNTxK4XqDJr5zy9oyrIiFiw + qZrGZcqooiP3U2fN7UcAIhvS2zz9gsvP4BhZJUOsUB+tzCU58EY1eapwRm+JqxSoSouQgY8K/Cp3 + Qlrv4QUDlEp6yRL75hcvPibpb11xZFFtKTLmDviYFGdLYS/JwUvewLJmJd8dKEiQJb+t0rsSF5XE + rAR9lSoWDCzrALIaKZCoEIgTXrz9ox//7NU333n741Nnry+srCWQFLZVsxCGRZJCUhCHCpqRRpeu + zuy4fLObqNzVKmYRqUTdqrxuA6q2suzNPDwy7wHJjUTF4/ZZKO8gN+rnocW9p1GVWwBI8nOMQMm6 + 7ur3hdJ6gzXO7hKGFhOQ0iRZBxGUUSpQKlAD3iA5q6v6EgHkck+74ZMHiq40C5WFmMLn2e0uluVS + EIs4xspSvLz4vR/+6KU33vjo9JXZxaTdMaAg4tSIBDpgy5xCIknRA/U67ej27dtz84tJwgK41R3O + 9jasjApgSB78W+Zi6XNuygkrFadAQ/p72n0k+e1SSclQhJv2G94ZR+W7vcEt4RCEKj3sLsdAZfx3 + XoQi5zL1Dx2o9A+VxeXfMlL9cAN6W7hg4XVUNZcUPHaAauZoOL8YVcL5VcZYud+oebGE7KEH5zob + pqDNMW3/GwPt7m/A4BuAbLhkNHDQ4HsbjH5eXl5eXl5eXl5eXl5fRN2DqNsKSdlwUrYhva3O6xwL + EQgjik6dPv3JJycuXZrhVBlguAl0YQWpgBlRKq0eJ1SDYw+SPc5ZzDqZoDNIwQRy82oS2DRVBBKB + NpoUpxmcYmbUanpq275tE0G81EhXQGSVscoI3OZmbK3duWP7tm3bSGkREQG5zJaKlNKUI6zqTPtu + sUa/vLKSZP27jrRl2JFgs1y1zFZgCKQMiYEEemRi+7apprFNk9ZCWV2dW16+vbDYFbIsUcrp7IXT + d+7MXbl6VlRrdKJ+/327msooEIlkSSoATdCwkDQneIMb0VC5jZzJk+IyHF8XC1LZTlxCQsQIihNV + 5WcOUFJIwVMchlA2Z0iqj90gfxKcK9dz+6HnyhcaiFhniKrMk1tW32WGpWyz+41oUc5EskSrxQP4 + +cUK8OGCLqlaSNFTBe8ZxLUDFAfl49UowJvKo5Yr/iDoo6aOJJGtXKSwcHEfbnDf9l2tgC6q2JeJ + c1hJ6L8Esk+rFtMkSixgYRQWVs6cPv/yD3/4ypvvXr7djVBDMApTHxmuTw2rTaObWMIoobWo1056 + q1Gns9rj9try8kKa9ITrSmVNUFnVCyhtQEEG/PuGH+QEMEdVpErrV6E/LIRBJu/hfoNQvwdUCihs + lEWQS360pKAYlV2zNqR4g4yrP15R8lhiAkMxtBjFBBZBlmYASkQBpHTBDKUIwB+oZ15q2cCiKlJ+ + 5L4UwsJ+KAOPbSUhgIIANrZ3Zo6+9+7LP/rBzz84tRzD0hDMOMLa+MjI5OjoxFiNk8Woe3utvbLS + itY6aZqmUafdba1yGhNqbjNAqtanvK2KpZEyP0D1VQLdancP3hHoP6MP6lZs73KYS5lRuUyY4ALw + Ha0uYScKdJsXmxNnm5vIVIvov5ErwFSqH1KBjCsNKgYPN17ly5Z9Q58bjRVE52sPxQ54xUhFgBBR + 8QUiuSdIttqCYnUhc0PKNy8UKgPb12lgeMwaIJUGrPtfggHeOwhh6S6/V97w0NbLy8vLy8vLy8vL + 65+I7k2uW8qmfMVffdqI3qIP4Drqxxx12m+88eb7H3zMPYaujY6M7J5USzdXkjYsANIqaDRGJxEO + J0AMaIGWPM6ToIIM2omwIiiVQ10BrGVAwUKbkWZz0+jwXKfFYDBGJyYPP/vcH3zrN8ZMPKq6ymgx + oVWBQMLAQGy32x4ZHt42PT02NhaYLLmniLBliCilSA22j/IW/kOob1LMALOkmkTXg1otCIwG0Itg + 0YsBmKF9+x76wz/43V3bNk2OBXWTnj9z7OMP33r1lTdarTRJu0J1KzGvLl08sfrGzvHJbRNT27bV + hg1Bl5iEkeUYtSkUQQ1O6alam4zLGCCFMCSFS5vg6C0MoG3/bJz6DOjCxFJImmUtztFhkqPDCudw + WCMlJBVou87JM9djIlEZ1ciwW/ax5GQKUiRHqMLgHLo5GM25+1fjwGgAW5R4J/snQ0UFGnGN7Yd4 + lZcUlxHJwya5j0nn1RTOaXNWnjtY8spjEOqsc9Ty74F0EeW7Dh6Re4gd/fysEnKbV8LmsbH2xpVL + L/3g+5989PH8nW5odMQBrIGkDx989IUnHz5y6FAQNNfWogtXr5w4f+bUhbMXujMQCCdx1OGkrmpZ + 6ur86i7S0IXKVjtaKr/k8Zskxamc1z93XEfciveqNL//X6n+6KOvlmDKYgVkIQnIcV2NEvOXV6KB + Duh3NsndKCd9DFjmhG3CBUFlJIlNLVecLLtoNXtv+WFWZZVXMn+TigwABtDkskH3tZ/70G3W7/bC + uRP/27/9X07d7PQiKEPW1BE0ENSe+vLzLzzz9O5tE0pWVxevnT59/PjJs+cuXFla6SqxadTlJJYM + VVaz3DEDAFkAACAASURBVBYGqN5ZqqiqlB9nwc5Z9TPIuOH3D+e94A6QIju49NlH9Wc8cASSQayQ + kjOXUIk5XelUFOnq5wKTlXuKAfmQhGqOZneqVG8RlVnCfT74/VH4nLg0L0WSmnIgEgtJAJMvIOX8 + HlWzlU2r3K/ueM5tQhnh7TuFy8tQZajP290/gBTmKXhx6cf93VOMHaqaLaGs290B7sA3hZeXl5eX + l5eXl5eX1xdY92qbsoJUbTyTkg0mkPkH+fytt7x09uyZjz/+5MqV65AwHJ166P6xFx/b/uqPv7/U + QWDQs8aKjlglCByKUoa1g7VW3EyZKY/6IQjYshBpTQiDACKwhMSmcZLGCTibJTfqzV07dz399LOb + wmREdaEoJZMqDUKgCZxGvV69HjYbTRDcI76aICIZt93wUc+NIcKvTiWFI7CIM4/EvU4c9Shn6wxF + Khgfnzp05PH7926Z3BQo27pv7/TTjz309Re/+vJLP3/33U/mlrtdAcggTk+eOTd+9P0nn31uqLlt + RFVQhAiQAClgAZPPoCWPBKXywBLhOeBmMwibRd1qQAl09eHfkj7lvMoQZcyXXJgsSf7ktvTREOR1 + iIEIMO5Z7PXAAEAW5U2sst+Y8tSWIEBUnpmhrE412aMqipOCi1JBAvPOz+EolWkZKozH8diC21bI + W34MFZSlvCgX6DYn2VVxlqOiUtniYOQhitn13e1aiU+WqiWzXi6oTR+9LdDthsPHOm4rigScIumh + vXz5/Nmjb7y+NL8ijFQEurZ5/4EXn3/qay889sSBXdNTm4lMHNsja49/eWFudnF+bqm9tJqOT+6e + 3jpRC6tB1py9BIAGGTcS5P6Q953D47B5VHiWI7gIW644miOtKsfRgpKireuYzEAlei3DMAtzigUl + eXQyS+V4GjR13/Wro2R+WMHrUpKEwIpglYsDVakVtpUcIZpBDJGiFK5ekCRPLuHKtyBdFFptYR+3 + JQHSSt9mH65duXT21Cc3rnU6ERhIWYJ6Y+dDj/zmN7/1zOOPP7hv78RwYNCy3YUnH3/s5uzcnbnF + lVYvqI9u3bZ3fGxEZ9fqj+WUgnI7gIviTixMXSxNqNJQlHfZANHL/QRUxY7FXVVBt1Sht1RJG8x5 + og6uon/0jQnua0fKTBT5qkr1biyHKZffoHhSoUzjUEnoUNZVAK5uH1gsPGQVcuOe5LksKt+1leZy + 8W1bLEcht7+UJWVUV8olmGqPA6Ky61eQbDmqZMA7v/WKEuAcu0DG2T6NlV0odbXXBBukUFhvmb5D + PMT18vLy8vLy8vLy8vqC6p6hW5T0ttRdZqH5BDzbVizDKzM3br959MMzF64tLHZgpvbuvu/RIzue + eHznsaN/A+kqgkCxUGph80sqYlKUJaWFAmkhAOxCKS1bYYJSIIJyjE6B2aY2TVNhKCijtdZqZGRk + 9+7h8RqGadwKEiBVQE5hbCrGEAFpnAIgpSSrsujBXdx/5RqMPSphAPVvjs4QG0e9NIkUQAri0gEL + wnpzevvOHbu3jI9BesMjO7fgkQdffL5LvWTt9q33j51J2SYAYFZmZo4fP3vz1tL2qW2NEWg3neYU + EuehnQSGTaXVa8edTtrrCLMlLSow9SHVGNaNmg4KgKshCsJAkoW1dnorPSwnqkM1ggqC2vDw0FjD + URMrpDM+KAQR9Nrc6a11k8hKWw0nzc0YHZ6s5/kxStBjQQnSVreTrLRUO6kBQ1oP1WpDE6OoVfFj + nrpBAXGSdntJr5ckUUKpNSJGqbBWazQbQX1IgpCp8Fr34LS7BiONEPfardW1XtqzqA2PDQ2PDg0P + AxBro85at9PqxIqpGQ6NDw8hDKDzR7KLR5Ul9+C8fx24EAWQCJizsmyyuLrcjrox1SJqcm3T6JDe + FCJ0tclCFNmF4HGStDqddrfbs6pHzTQYHRoe2lRDYPKDxYK52+0tr7RSUVBam2BkdKxZVxmjT1OO + u732WtSLkzTV2qjakGmO6HqTamBCCmipjCD9rikDv4ogtdHK6vVrN06futNOQYAVKBXu2/vAf/af + /6svHblvz7YhowDLJAKtE+bYcsJqfqkVW7V1AnWCcWMWx0h6dm2l3YuiJLUqjPUQh6OjE41mgKAM + y81BNlusra5241Y3sbqWmiEOR4Y21UZDdzWXJztFe6Xd45UIPQTKmJGRkXqjUdNBap1dOYmiTntt + rbsGTaqmTG24MbxJBaawAEsO7wmARdyRbrzYtq3UWKo3xzbXh8ZDkw8ZjLgTJe3VXrslIkppXWuE + w2OmWYMpU1L08WWxBK74jwZpogzfiUXaXY06SzbpdqFjXZP6UNgcGhqtU871tRvVsrBVAcVx3F3r + 9NY6vYRBqNfCkaHmyPBQ7uTZCBdVlijKuPNrN69eunZhNULsPmbVGBl58MDDf/Qv/2jfztHRBkJB + iLEA28EHbGrjJO1G6VonShjjI6HpX31RAMSCbfbtIIxed63TW2t1oQNLYUombI6G9WZQ0zrI40Xh + mCtBSCQFlFKq/IoRC4jEUafba3e7ScpMOqg3a43herOp8n31Wu2o2+0kvS7bpB4Gm0ZHG6EiBbCF + sUAsabqysBatIdZBK0DcDMdGR5SmECX4zAtNYG231Z5vc4QwgbY6HBkdG22gaRw+5TzKW8AClUV5 + W8tRnHajpNPtpXFEwoFStUDVQ92shapWh3HLUZTmSZQz9s0WNsba4mor6rJJdEPXGsNjE7pmAkIg + QJIkvW6n3YqjqGc1B8O6MT40XKuHUNr5QrHkxpIljbZZjwuDLVzy9yRZay11IumliqHD0NTrtXqj + pusFFc+Br1uqcOO8cxtGinQ16kWdbq/XVYKQ2aQ8UquZoWHVaEpY00UNBErlXFiAgfQfntN6eXl5 + eXl5eXl5ef1T0r1Et9iI3iLnDm4aJ8LCVmkFa8WmFBi4mMo4OXnq7He+96M7Cy2lGzDNZ59+/qnH + purBvFYQQRQBRCAtpIvdowhp33OW5GImrQA2TdJUwrDh2K5NEu2ilpTSWmmtiawRTbVaEidxFLsL + CMAMMgUQBAGhIfd+allrrbKQPwFENojK+4dXFgGXh1GCXOgYmMAk2UO9GTBM0iRlZQKXEVYbA0oB + hSD88uOHF69dvHD2TLedJNyCaiAySyudW7dXllZ7YyP1ILNJD7YLELSGKKR2pd07feHi9Svnb89c + TqKuhTK1xvTOvVt33T+9+4HJLeNBHWkKo6FBYAvbcxz02uWLn164ef7G0mpidBBOTE4dfvSxQw/s + qSMxhqG0FcSEgEWLRHdunThz7vzla3PLa8sYGd71yJ7Hfu35I5uJEXCiTc6mOIGKk5U7p09f+eTM + 7PU7CZlNI2Nbd+858PwzD2+qo+6Ah0MnbKFY0mThztzV67euXr95e3Y+7kSKabjR2LFj2wP37du5 + d084OuUyBzOQJAhMHlBnk2htdf7OrU+PfXzuysz8aufBg48eOvLogYOHtVadbvfyxQsXzp65NruK + cNN9Dz/+yMP3b5kKa6bIFeq4BjPEMoOUIp0H77GIJQHYIk2gNaJea2317bffPn/tWo/qHTSHNu99 + 7PDBA7u2Do8bAvL4XwYxknhpYf7U2XNnzp+fW+l1aCjYtP3goUcP79sxsnUIACRF2kMSXT134a13 + P4hSUWF9eHTiqaef2b1rZ6NGwtJpt+dv37hw9szMzMzS8vLQ0MjE1p277ntoy859Y5vHdA0JQBah + gmKGWCgDsBUSqCJYF0DmNUQg6rS7aytrraiggNro2sT41COHjgyNIXZ+rJSWFGIJypAhhenNo6Kg + KCfFwpAEnYWTxz48f/nG7Pwqh6NpfVN9cufzL3519xatNBREhMVarQgQ2PTyhXMnzlw8e+k6akN6 + ZHNzcueTz73w4O5mSGCbKo6hk4Xrl49duH766u2VmEZHxx574om9+/dPjU/GUWJjG/c683Ozp058 + evrcqaAebJoc27p9z4FDT4xv3l6raaMcnkLKMOIidxmrC2fPX3nvxMXrcy3WQ48//cKDBx/ftnWS + 8o69ee3q1bOnLpw9k6Zpo9GcnN6+7+HDux44UB9RVpBY1AOHUJ1TWHCq3D6EWaZeApmwVjdhaAVp + Et+8cfXY+0cXF2ZXYrHh0NjWHbvve+DRLz1Va0ADqYgGIDpPBx3BxHfmLp08e+nk6YurnSQwm7Zv + feCRA08fOjhJJg+0RwrE1WBbN9oQYXl1eXFtwYUWiwagxzdP7dyza+v20WYDAKIEWiEgsrEoHTQa + 9XodQ0M2FXY3QuEdrpGwltNYiQUYNr169fqps+c/PXGy1hgWXYuF9t730M49e7dM7xif3GRqAJBa + WBYVkE2Z01iRBIGG0RABpzZNCTR7e/bipSvnL1xcXl0V0tt37N53/4P773tgeGTEBEgtLl64cvHi + hRs3bnQ77W3T088+9aU9O7YNNxs2iTRZRq+1Gr199JOLp28lQX1F2WDrxDNPP/Xg9h2NoRpZGCXk + UmKIBUdotY9/euHox+da1vRYU334yaeeeuT+Pbu2DCO1ConSAlj3pQITQGsQ2p3unbnFqzM3Lly8 + vHDnNjgZHWpOjY/umJ7at3vHlm07gqFhaMVAzIhV9iXFLBRHFLevnD7xzien5tqp1MfGp7Y9+ezz + W7btbIYwCp1W68b1q2dPn7p148biatTYNL1tz0OHDh+Znp4yIxAgSSGgwDhOypogbIkTaIJNYFOY + EAkvLKx8/OmpazfmFlbaicXU1Ja9e/bu3bd3cuvE0HBYhNwKp0gZVqBMls5Iw6Z2rds+d+XSlUuX + rl29qoGQWUXRA3v27Lr/oS07dzfHNzcbAYAktdbaWi10p8ZJopVymX/6IsG9vLy8vLy8vLy8vLz+ + aegeo1tU6G2V4QpAIpYtQRQ5kMsikmUdTOLzZ899euL0+UvXW10b1CYmtux59MiT9++vrVy7bRQM + EAFuQx7JNygCAJfS1D3dS8UW5QwQESlFlLEB1lplQXHMzOxSjApERIzWgTHa7cBEUAoMpIIkRRhA + AUn+dG4QBqQofyaaiofc/8FmkjRYXPmr9D1sm8W5BloFmhwdtLACgjKkA1E6sogEwyaAZViCtfum + Nx++b/vUCJa7SChNm0YQsgSLy91Oz+1gA4ChEuhEllrzN2c//PD4yauzl+6s3F5abq/c7rXmwFEq + xFCj41PNsR2N0e2bp/cceHD/c089sWt6crwJJD3oFFoD+Pjjd7/z0huXZ9ttbpAJw8bQby23xhpm + //bNxRxdACvEK0sfvvf2d773g6u37iy3o2U9rracPnjHjg598/6pxnCzeKhfQIz28vmTH//5//Pd + U1fbt1ZD1ptqzanDj83f/9DD9S2ohS5c2CKN0tX5j04c/+DTT85fuXZncXVprbO83E4j0RIMN4dG + h2qbRuubp6Z2PXTkoceeeeDgo5vGoAKQQzRpSsDqytKH77/76qs/+/TMpeVOcu7S9aXV1vZde0+d + Ofvhe0ePf/zB3OzNhRZSM/bAoWvPP/ulr//6r01vqdcNCLDMzKnWzlc1C1gYJC7FpspSYVooRtzr + zt++cPnyqz//6fvHT65xrUMjYzsfWlxaqr3w1GR9z1BNAQ7tJYDtttauXb3y1puvv/bm0flW0qLh + YHzXzO1l85Wnt48/GmgLpODeyq3rH7/31ne//Z1eSqLDxsj40PBIs1Fv1MM3XnvlxLGPZq5cXFma + X11dbbc7QVgLhydGxqe37Nr/4MEnXviNb45PmYm6ewA7FWEil0YEyALAB1yVoLQwmDPvVEAzGFlL + KU2gNEQjJiQp6hrOZRW0UtBAL0lsmtRqdcMqi6WNWsuzl9967SfvH7tw5eZaYkbj5uTorgdGd+wf + au6rj7kCKYu+TGJZXfrgnaM/f/O9k+evSDgszUk1uqWnG83gifu2DRmlwIxe+/L5U2++/v5bn5xb + jGjT+MTtufnf+NrXw4frM9dmPnr/o+PHPlpZml9auL24vGAlDuvB0Ojk1La99z145PCRp44c+dLk + ZJbAWDvP5eTOzWs/+9uX3zl28fJsK6b6zJ2V51bjr3/jt+Zu3zl7+sz7b76+Mnu9NXdz4c6sTdkY + UxvZNLZ117b9Dz/xzLMPH3xk155JASIrUdweCaxD/VpBZc7uQBnZVNIkSWJI0r1y+eJf/dX/115b + WktUT9Wb41sfefyJoU2T23fvmRjWhkUpBFAQhVQQ91rpnbfe/slfv/TKpWtz7Z4yNLl54r7f/Hpt + euuvb55AveagagpEALk0uNVujdIoSjsM6ABamyQNhJQoMKEnMBYNkwVuahVmz80TaoEKINYmYgOt + wNa1xorEGsI2ffvto6dOHL9y+dLKysrtuYWbt26roCYqSKDGJqdGxiaaI6MPPvTw4UOHvvTkEyND + zdBQyiClTaA1UlAMIaR8e3b2/PmL7733wcyNW/MLizdnZ3tRrHQwPDy2aWLz9Lbtzz33wsFDh6e2 + TL/+2ptH3377+syNJEl37dq1uLD6e7/1jYf27xZhcLK2tnDh7LU3Xn31g3fOdqBXDGo7pu7Mz//+ + 176x5dADioqUDoI0Rmvp6qUr77x99K9/+s5KrLsSmOFNd5bXyL6wa8vjSislBEnBKUSgSDrt81fO + vvHuh5ev37p5e25hcWVhcbnXaRnimqahuh4fbkyNj27fteeRI48/ePDw1N4DUs+yXfdS1Nkqtq3F + +Y/ef/vb/+GHaxzGZmR0anpoYisHQ8ZGH7z1s4snPpq5dmVtZaW1urLSSlR9Ymhs29T0tr379x08 + fPiZ554ZGa2FdRftrxWEYF3EsfSiNI4W5u68++775y5cvTYze3t+aWmls9aNrejh4dGJ8amR0dGH + Dz741HNPHnjkwYnRIWvjUFGWeYIt2MLKK6+/8eGpk9du37q5MLe4ML+yvGwINWaTJFOjo6Obp0c2 + b919/8OPHDzw+BNPDA8PaRO43EQCKKPFrTpQ/25m1TQNXl5eXl5eXl5eXl5eX1zde3TrVEkgmD0M + 65KwanL7MzGyx2MFKfdarQ8/+ujY8dN3lq0lTE1tPnz48CMPP7htsrt8yWoine1HQ3k2gCKhoWQl + lFO6LK+gUtqRWBYwi9I655lshQVCCsJibWICHYRGq4x9GpUlE5WURStRsAwClEKgiAWWXQ4GIlJ3 + Bbcbhh/fQ1GRSdDhYwfLMqsQlMs3aJQyWuXxqAIIjFZGsYhlZlHaZZMQAXMwMrJ188RIsx6anmKE + RkWilSDq9jhNgixQNAEn3F49d+78+2+9/9LLP3v/1KWLc8sgrVQvVNYoWEaSQgew1Ei5OTK544nH + jvRWV557+okH904MB8qYAFoBcu3mjU8++ejyzHInrTE0SE9tnX7swP7dWzdDhdaFZQLEdmVp8cSn + x15+6ZXFFjqCNUUYnl0Ntjz60J6tXzrAI0NZqkexgHRXVi6eOffSD1+ZWcZaOgoaxdCEak504lhU + CAVwgqjdnZ/99MTHf/2Tn/z4lVcuXb/VThzBJlitJGiGNbJdTqKwpu4/8vTzX1/4qjUHHnlkYjLj + 9damRnGntXr+zKlPPvzg41M32hYLaz3TGHr48GN/87c/+5uXfnDmxDEbJazqoOGZuZaS+KknD09N + Tme3nWVhFtJKKwJlyXdFSLIt0oB8nYKjbmvhxrVLJz79+N33jvVSAz2GW52RoeEnHtyb3rcD2aPn + DLZAGkfduTu3T5448eabR1sxoEYxPq/CkUP7d6ZPHoZyuVDj1vLcpfOnj77xTixIlQmbY1/+yq83 + h4ZbrdVv/9V33z362q3r1wIFApjBQAJY1Mamdh564hyb5pPPvDC6P7QGRIoVu9BfAsQ90S+iyGT4 + RQSiQUagRRn3aLUCjNGI05XFudMnLx84uG9qCxS7/A8i1oKMAhkFsanlCDVDYsAKYKRxa2X+xLEP + 33/n1OW5qIsm1yab+1u//q25Qw/swphhZzmtwRY27XVap45/8u7RN8/OLFoycW1c6hMHvvTC4wfu + 279tCFohFUTd2ZmrJ48fe++9T5cjGpmYUkF98/TO1KpPPvzkJy//+M3Xfp701kItQUi9XmoFDCAI + HnzkiRf/2Vxgxh459MDW8fz5cAGsXVyY++SjDz/59PKl2bjLKkLDDE/t3PPAqRMnXn/11Ze++1fS + XqzZiDgbtiwQ68bQ1M6vXpv57e7vNEa+unk8UBaSMEwWOq8oz2HgbnNGam1qU8uAJEtLcx999G6n + 1VuN0WJQfWyp3Tvy5LONkfHR5riCZpfFFACzTaKlpYWPP/7gpZd/trTqtr7aNDy6cN/+Z3sRuBzc + pDKQqzKdL4GJUqWZIBqkAkB12u3527euXb6+c+v4+HAtqAfKpcbJhy6bgjRIE6cpQQOKGIAliVPb + W1xZuTFz/aWXXn7llVc+/fSUy8VsGVZcpLZbYdPQ5sFHDn75y8+LjQ8ePLhteqsFtNZ10kAKjhDZ + tbXO8ePHf/qzV773g5duzy9ay71eBJDRxu0w2WwO37l5Y3Vx/sDBw+8cfeu1199cmJ2DMjfmVo2p + PffM0w/t36OUgthee3Xm2qWTxz99/71jqyDWhJ1bSeunDz3Khx7It8l0yU5S7rVu3bx66uTx9957 + pxUHoBpGNwfNkUMP7mM8nuFHF0NtLZL0wvmLP3/97f/3P3zv3OWZuYVlsQLSIFawlMZabI1QU5jc + OvH0l3/txa8tPsr1LfftlxosIBYgIeH22vLFs6fePHo6UmiroLlp6ksvfK3Nwerczb/+9l+e/ODN + +TtLzRAEdGMwtEXdItixZ98zv/aVoNk8eOjg9PYGZ2yesqQHNm2tLN+4eev48RN/8e3/8NGxkzM3 + l8lAoCxDRJMKFIXW2gOHH56dv6HUtx55cP9os6bIQGkIoRctLyxfvjbz3e9+76dvvn7p1o0kSWAT + t9QYsISMQGAJqj68/+FDz375WQEdOHhgy9YtLi6cAKUUAGHp+6r9lX69enl5eXl5eXl5eXl5fZ70 + q0K3A3IzW621cpjRpbJThDThOF5YmH/ttdc+Pn4qIRLUdu65/3d/93f37d5Vjy/BWmIRcRtqu52f + mMAERdkDypThyspm7cyiSSltBMTMYm2YpeITEEiRMtrULPWQpAkpGKO0hli4TXsMoa5hGsrFPQam + JMOWAWaj3P5kmpkdxt2gzb+aUKDyqsWO6hnRViW3dWwltZrIVCpHEFFgSGwTHaggSxXpnmzVYCs2 + MSRaQRLErVWQtklaM4Fx+SJSKzrmqH3yxLHv/uVff+cvv7+0Ei3FBATQARAn1rLNAoANAeA0ibor + yx+///7Zkyf/9b/6o9//1le+/MwBoI0kAdvtO3cdOvjI6tpxWeq1EwsKFhcXZmZu9p54NGWKOdsv + XThtr66uLC2uriEtLBBFS8tLN2/NRulDIGJoxRE4BpL26urywsLqElIL6DokGJqY3LJj+6apMGy4 + k2XpxuxHR9/40z/9k2Nnzt5a6sUAC6yAgkDrgKyOojhAWlNIIz5z6uS1+fb5mTu/+c3f/t3f+/pQ + A6Hjt2JhUxIraWwtALDI5StX/93/8X+eOnf+2sULSZIaDQlCoaAb9VZbq7VaGIYZANOBEdEgiLAV + Ucrk6F1ErNgUigELSlGjZkiBssIJu/2JoAHdS8WE9UazBmIAUIRAg6gWGKMpTZOo5zJiBjC1yALK + 1JsqS14JO9I0jcDllYUJw7DRvHr9xvWZGz/5yY/XVpd6rSXS2bpFYGAtUgEg7dbap8eOXZ/9t//m + v4mnJ75ZmwBpLSJCIHIZRFyqZRA5him9XlInCzJMRpt6IwRS9BidbksH9Uvnz/zP/9P/+N//8X/3 + 4m98aXLUrUqI5VRSKNTCGjUb9RDgNBYBUQgBamakHiS9VhpHBCgYZnRiVrUhXTMWUNYC0EoDAk31 + QK8tL64uLyogEStWAG3qQ2Gjmcf2CYiRxjbpsQUgILJC77z30ZtvvvvOW2/fmb0T93pgTpitBQGB + IAFskly9fPFvoh8rNRqlf/D1r+42GmD3CHpKsEYrm6ZxBCFWOpy9vfBnf/4X77/77tlTp2yrpdO4 + CGTVQK0eWEvLCws/+OGPWlEcC33t11/cPhnUgjFgDamD6JJF12eJcImU1loFAXTdbBof3rNn+8zV + S60lEJBE0fLi0uVLVx545EgYwIAIQb6bmdKWom57dXVpddVdT0PVR8YmNm/ZtnUaQViMNcUqERWJ + bl0aHF2rmUaTQkQJekihw/nr14++9frm8eF/8Tu/Of3EIRFxA2TUhQlBGu1eFIZUMzoMDYly+Xfd + ZYPUvvf2W3/yf/3pmbNnZ+/cSRgCKE1BrcZxArZukFda1xu1WzNX//YnK2dOn/w3/9V//fVvfKM5 + PKxZKUpqJkG01l5unbt49fvf/963v/uDpbVuYgmklAoUkRVmmxJzt7Xy6k9/fPPqlQcPHLp4/mwc + RYBC0KRwiHUdKoRRCiFUZJTAJjaJLBKg5vKqkwlEKQsXUwzldufSSoUKHMVRp9eLAQ0FCKmgLmSi + BJqsIQtiKEGrc+PmzT//s//7J68ePXvlxmo3FQaCGgU1zUnabZFwAAQKYnF7dvEnP375+uyds7Nr + v/df/Leb9oyGBvUaQgkogWKrOAGQMkSRBR07ceqND0989PZr0lrgVkuAXlw8zGANIoHcvDHz9jvv + Iaj/6/+yPrr5UMNl4hZGGoMsou7sjZnvfOd73//Ry1eu31hppykBDGOoHhprkVqxaQyhc+fOLq3M + GWX1b3/jK19+BpzCCpjSduudt9/+X//3f3fx6sytlaVEGAKQggLYOhgrgBUkUXTmzJm5+fmZmzf/ + 6F/+0W9967frjXrx/AgBWlW+ZQe4rXuoJ2uaD8H18vLy8vLy8vLy8vqi6VeIbvsTJoi4VAnuV8cY + WcA8e+vmxx9+cPbM2bnFFYQjI+PTDx888vzzL0yOB71Z1uS2G3NBt8rtk6XAKtvbJZvWVaPD3BPV + AbPq5wAAIABJREFUmgiaCG7vmkottCKthMRaYYgyqt1pnT13+kc/emcUnWG0SbmHx8emtu2Y3Drd + GDJwobziMuUCpEqSQeofaabIWQ3yeNs85BYZXhGAuWh8GZQsNmVrxTqTuqQR2SWjXre1GvciTl0K + TSVMimio0awHgQFCjV579c7Vs3/17b949efv3JprJUCKGulabWjovj17Dx/YNdSATZPV5ZUzZ87P + 3FyLYNOotxbHq8urr7325lCDt+/YNL1lqFmvgZNtO3fs3bv7naMfBTap6SBS6s6d22fO/f/svemT + HVd65ve855zMvFvtK6oKVQWgsAMFgCAIgjubpNiU1D0thzWyPLJGtifCjvF/4nCEQ45wjMYTMeHx + hxmHPOqR1N3qhTsJLuACEiD2QhWqgNr3umtmnnPe1x/yVpFsybYixIkYd9znA6Jwgah7K/Pkycrn + POf3TG1uP9NWLCjTDC/buP7o4ezG2pradbjCMEyFtra27tyd2n7m8RTtBqR27fulhw/nZx9mfV2A + AqmR8QMTx4+pCClD2SS0lc8+/fhv/vovb351Y2PHs4AJ7Z3FnqGRo8dPd7f1ktcr8/Pz0zfmZ6Y1 + UI/jyvLKJ5981tc/dOHJp4cGCqUcjNEQKIKGKMmKfbC1vV13M9OPlja2y76RQBmBE+9BPnU2tSmL + MMM3s5NN059BEPJeRJGmbHFBK0VgD6SAAzlDljgRb5kBRdAGKkj9bpzRWYgFeWgBCZEIO8k2ohuC + MoBOPJxk298z0G1dc2IUB4SEYa1N6/UPPvzIs384v8hsB3o6z1x6vL+nLY0b9+7cWVle2apakHLO + Vra2KlV79fPPj00MPf/0ZHuBNDSD9S6sg8Cq+TVBYEzWHOY7u/t6+4c6u0t2qy4JMzyxr2xv3LpR + /d//zb+5evP6qeNj50+MTYx0tucKZAIlBEBBlGLSWZQVcA6SimvEtW2XwgBKB6AAolPRPkM2KE17 + 7GoIGQpIAkU5LV6MJQVRngKGth45seQtwIa82o3t1xqN23fuTT1YtEm6NL8YRrmDh4+cOnawo2Aa + 9a0vr360sVrjFEGofJoszi+89/7lUtfoyaOjg91oiwBt4EDiFQkREQHKPHy4sFZhgVpZWvDW9Q8P + nz4wMN5TlKQ+NXV/bm6+5qxjAnmu17+8fiNX6jh29GhHfkSHHGZk06y8q5m61YAGKaWUMsoECMgM + 7uu9ePF8bWd1caMaanJMGxubH3zw8YmzF04eG4SCCIwT5QHvG9XKrZs3Fhfnvc8A0MpE+VOnzx44 + eDgI94qnsLck9q3ULQHA4MjIwMiop9uioCj0KoBN1xfm3337jZ3VuWufHD53YvL4wVMjfYNqF/Ac + hBFpb71N40aOCiaI4ABYxJVPPvngnTffvPr5Z9uVapIKFOXzxeGRkWPHT5RKJVKI48a1a18uLC7a + tJ4mksaNeqN+5ZMrffv2PXHpaR2SEg04pJXVpUc/+8lfffLJlbWNmhBAAbRp6+oeGR4eGxrsKOSW + 5x/evXUrrVcf3Lu1tra2vFFp1C10AJiUQyvGMSE7LMQKTlwq3gNQUKw0lGKQz1YnDJrZ4Iw0rVgr + T+QJQBhC56CDlMEgbUBMTbaJd7Mz9//qr3/yweX3p+8/qDa8o0BHxWJ718nTZ8aGBgJ416hsLD74 + 6tOPrQcz6rX07p07tvjR2NnnjhSebB9sQoDEpo1aRWwcAT5Aqk29kX76xbWdmCsLyzpwh4f3nzp2 + uFTMVba3pu9NryyuJYkr5nJbKS8tr77/wcfnnrg0cfzUcH/W2OeJgKQxPzvzlz/+i7fffn9qeq4S + wwMIdaFYmjgwduTQwVyUr1TSpeWt+9MPtnZWN9bX5mYfrCwvsU0VCZgQ2/feeftvfvqLmzduxj6r + YpRcb+/o8L5jRyZK+cikSW197eG9uw8WVisJp41kdW31088+OzBx6MDExNETx3NR8Gu31+aiAb7x + 68W3DN2/hRRqqaWWWmqppZZaaqmlllr6/7++W+v2735kaj5qiQgJhEmkWU3mPVs792D28vuXFxYW + a7FFsWP0yIkTZyaPHi1qhwRWKwhzVokDRSDWcFqsRlYL1OxYByk0O8qaW7axx34lor2yahJoJQqW + XerBYNIoV7a/uv6lSXc6VKMdNRCJMp29AwePHh87dKR3aH97e3uhkM/nwlzYdNxkN6r3bfbe33E4 + voYafDfae2z9NTOFvsH/1YCD7Fpn37RuiSGe4YkyY1fR16wFqlXrSxvVrTrqDk6DTF7E5EPqKpq2 + UPKEiNyj1aVPr3z6s5+/fv9+jQGLUOVKPd19YwcPPH3x9CsvXuxuj2wSry4tvPH6m599fntqeilO + G5YJKrhx43qxhMlzJx+/cHqs1ANJBvaNje7fbyQNJGUlovTG8tKdO/dWNitdgwOdETSgPOqN6oP7 + U8sra5QFRhW0NnDebq7cvX1zdXO7zPuMglEa4uH9o4eLcw9XGfCi4Qlaxsf3Hz92IDQAI4k3N9cW + Pr7y4Vtvv7Oy4ROCyocd7Z2Hjh+bPH/+0lPPDPfu055m79399HL7x668trGeJIBN1+Ye3rpz5+69 + qVJxsi9P0BqOFESRaGo2qVfKlUo1homyDri2UrEtH4opVVJ0dhU7S7lQedXkbwhBMr5yc0WimTHM + ziNBBWDbHLQkDO/Ysfjm+VQapFMnXkQE7J2CB3nsohfYe2FPGemDCEJWiJtmplfs4K1nl5FDnEfs + vPjk1vWbCIyOcn1dA2dPHf7Bay8eHt9Xr5Tff+etL65enZpZ2KokbGO2jMTfvvbFx/u7J08digrF + HBRB7XIeWEvz4mCAoEyQg3iwDbp6hscPHD5+vHbtViWpGbBD7JJtafDln/74k6sfPvHYyc3nL1TO + HN4/NNzdOdDe1hMG2kF55Ixu8gEgDA92cIkTn00Bvgkw9j6LP2tFCgTmptdJCI2KAh0aH3uV7Qhn + aCFiBrPX4qEpVM1TCYAb8eL0DFQeQZhr6zx6+PATZ4+//PzF3o7c9sZiTqfXv/hodt4qoxreJ9Xy + rRs3hkavTT/zZDEaKkZQxsBDhCGiSCnlQWZzcQVLOxCgs3300KHzJw6+/MTpyQMDrl5+//Lly+9f + /urerCQeBGi9Pj//4cefvPb97/d3FnK9bYWIduG2aBIPoEC7WXsiUkBAgwM9T116/NrnH2C6agwZ + ryrlyuefXX3lwXy1eq6tCAE8OwUHjivlzS+vXl94uNkMNyLI5Qtnz08emBjaDfHvTSkhoHdZt7uz + D2Fk//jBiZPdPb90ZdhUPBwgqGxPX/9y7ubVq5d7X3n+pbUnVk8dPdPV1dvZ21vs0CoHQFvn64mn + wJkwgljYWnlz5d03fvnR5ffWNioMkDFRoW3iyLHzj59/9tlnBwf6Q6Oq5a2fd3d8/PHHU1NTSWpd + 4svb/MUXX/QNjZx67GKYDzSFYGBne+7+9FtvvDl1/5EQoCPoQlAqHj526sL5xy6cOTnU0z5148u3 + 8sHszMz66sqDtY0Y2qs8TBHCMcSRctkiowhESDzgiURl2xpIgZRjyS6opsftpEmmgdckCqII2hiv + DJROrLdeNEEpBQ+wR6V899bNv/yLf//g0VK1CgcUO9v69+0/eOTE8y++dObEkfZ8kFQ279+4KvWt + R9N313bgFDY2t5Lbd658+lm07+ih/i7REBHxztuU2AUaQQCCgXXz92dgimjvHB1ov3Th1O+++lJX + R/vq0sKVDy5/+M57y48WEPqKR1KvrMxM371//+HSymD/AATEHhpJuTxz7+7Pf/qzG/cXKw14AqJc + qbPnyJEjz1w8f+nxs13tHVvleHp6/r3LH9y589XG1nJ5Z6u8vcXeKkVIfWN75+0333znnbd36hUT + lHKFQhCFx06fuXjh3LNPX+pub9NJvDb34LPL75tPvrw1Neet2NQtLyxeu3798LGjI+NjUdQh2YD7 + xs3v//MG2TJuW2qppZZaaqmlllpqqaXfMH0n1u3fK+pCBOecEtEEUgTnfBI3arU7t2+/+cYb5XJF + dCBB/onnXjx99ox1iBQCzYqYIAqI8pF1JOwUvBGrkd+1bjP3Vu19EgK01s22p+y9oCULvmmC59jZ + esJO4IDUJVLZWZq+t7kwVbA77VILwyC2HjqMSp0c5PaNTzz/4ovPPv30iRMnclHkHMAIDLICJOe8 + Vso0i9h/7dGS8B/lMVK+bd1m5us3X6JsPy90oEntJVU9gcWDRAcqlwujUAWZuUsETQDNLa3efjC/ + HSMGnCgkjJzqKEVdbaYt4jw5cHLj6ud/8X/9xdZ2TQKkUki9Gd43cuHSpT/+p380eeJQW54KIZFP + 01r1/ORjb7/z4Z/92b+em1/ZrDY8c1znBw8XXn/3o96R0X37xshLV+/Q+Oh4X1cp3Y4TZ9n5zdXF + B7Nzy1vVQYsSQBahNJRrTE/dm300HzMckDIacQwy2FqduksPl1bXasfb2gAQfIIkXl/bXFmtxBYO + BjpAEAwNDYyPD+Yi5OAr8ebHH71z48a11XVrgVTQVux59Qe/98prr1649GSxUCgoEzEeOzbx1JnD + L12a/LN/9b9t33rUcIBzs3MPf/X666P7hw7390EAZ9k7s8tuNgYpGdEhojysD/LBkeOHn37isX0j + B6cePAoLHccnDva053MaSlhncdssHy0gocCA6ethJNCkDUSDUwBevPWpl2YmFAwwWYZAaQNFwa6T + 7yFCwsIeWWuY80AW+QtJGwAqMFABJBCwdS7xGUVUQwVQAcJcW1fXH/3Jf/Xqi5eOHhjsLgUG/tlL + j//1X/6Hn/zs9Xfeu5KyZQDQ07e++qAj+J0ffr/Qc0CHQLNDi3dT382KQCLNYEUAMXRw5PiJP/rj + P175n/+X1a2pUiGse2993YkAKt1c++LTK9PXPvxxV+7Q+IHvv/aDZ55+8cDhwxKAoV0W+FSAMVBh + GOZzYcGoLQGcb0C3kdFg8Q6Os557Ee+aSX9niR07lyZwsBAP5aCNCaIggJYAPoAygcJe6haC7DrK + d3a/+PwLv/Paa9975nxfRxRIvVHZP9iT/+sfF/7tn/9iu+ac9RCDRry4uPLVjZvD/e3d7SUTEESY + vXW2GZL1giiAjpC6U2fOfP/l7/3ot56b6C8O5Bg+Pn7s8OTp4//jn/7Lytx6NRZYB8jmdvmDj67s + 6yqN9T8GuMwxVKR2saqZkQ3H3rMDALiOzuKZMyf6+zqNWUyYjdEpUWVj89GjxcXFrSOHu4xGQAY+ + ga1VK1tfXb+1vFAmgXgDkyu1tR87cXhwOO8EWu3NaLpJCRC9WwvZVFvv4MmT51999bVfvvv5xsw6 + yCMMQYRG3cEuzFR/vPLnv/rpr4YG91986tmXX/vtxy9dCnMIAqggbOvsNRm7IxBbKS/M3b/6yYcz + U9MKIE3Fjq6B/Qf+6//uf3j2maf3DQ4ERhlx4hpHD42+f+bUv/yzf7GwuLhRrjfS+NbtW+29gy// + 7pLKj3ZGCizz0/evfvLpytJqHAvpQKI2VeoZHdv/B3/0J09dvDAx3FdU9omTE89dPPfn/+e/+/D9 + d6/fm3feeziwg2JHmsJIByHp7NSJVhIFJgyMgRYAIvCceu+kOVxIAUrDC+AhHmIhnj18I4Yx0L4W + p4lzzQUNCGz6cOb+zRvX799bcoDRgMeRo0df+f4P/os/+pNCW2cppGJISGtnjo4/c/7k//Gv/tcf + //T1jRpEsLW98/qbb/Uff/KFxx8XQCnSQdDV0V7MR+LRaIBzgkIJ3qC79/TE/n/2Bz988cLJsaEB + TZI0qhfPnVFJ9d3y0uz6joOBCRHo6bm5W3fvnTs1kC30ALy+tDhz5+7a0nIcQxSAMN/ed/jE2f/2 + n/03508eHu5rL+XzLEHlKXvhiYu/+OVPfvqzH4O9t4kJA7i0vrP14P7M9P2p5eUVANa5vq7us09d + evUf/fDihccG+rqJbZim0anj508cP3z8yv/0p/9iYXUrZeeIZmYefPTxlRdeebnY0cHe5wOt/x6+ + 7Xe9StpSSy211FJLLbXUUksttfSfir6r1O3/4xNTlk+lJq5OKcliYwCRTdNr1768du3LhfnFukWh + c6j78LFT5y+OHjqw29Ju2VmtlFJw3jc3YkuWus3COGp317DOMlIEgQiBRISFszSu0qr5MQjwDpry + Ja1jLynEW1CKuJbEaZpsObEBwQoYcOsbqcqtbteqtcbmxubm1vZLLzyfzymtm9uVQVBa/y3Orfyt + L+gbf/4DJdgNyO3+/Zt52913dZlnpjQp9S34H0OTgBtxnV2HgoL3ACOt27W1jz7/4qOrN8oJoDXC + djjq7O09OjE2NtTTVVCIt2ubyw+np6anZqo1xKwsRQjzo0eOvfLa909Pnh4ebCdmI1azLeaLXe0d + 5a3yC8888fobb5erO0KKRa1vbn/8+c1LL+6cmVR5VSi29fT3940MdjdW1qsVBGCXJpVq7dHK5r4q + BjsQeI+0nFa3V5cXt3ZivxdzFoFi+IbUq8trm0s7GGmDgMjGqJSXl9aWV8sOUCrv822mo72/r7u7 + qxAAjOrG2sMPLr8xNzcjBEUwptDWPXz28WdPTD4+ONivgIgReCBn2oKDRRX/5K8H8jOr5RoQBJtb + W1c+/ey1115tHOqLgqwLj8CsIAoQaYKW4fyhs+cunT9z6fEzJybGOzp7z21sgUxPe6mjEGpx5F1W + 1AYAWV5VZf4pBLAWpJDXpJqZSoAEhkwY6KxNb3ffuofOEAggZFudAQew9857p4iCADbLX5OmICRt + mp/QW7gUYFJEzV4tA9LQ0dD4oVdeeen5771yanKiu6hCqWv4Qkfh0oXHlheXP/rgoxgMONLkbaO8 + vb2wvNo9tr/UbVSz4Kjp7Wkixt4PoESIxIN0V//AY09c+OHv/TBXeuOjz645VgoBmVCEYBu1nUY9 + rVRX/M7GRqXauH7j1uHjp8888dTBY8fbCsgc3MA7iBB0LsyHJouHWiiEgRFm9pKt2YiICO9eH0zM + 2DXaoDSUERUIaWbsdkZZcTG7tHmASUGFuf7hM5OTv/vDHz35xBMj+9uUSyJwe763PTq5Mnf2xs2p + z6/PNFIBAczr6xs3bty6dOG05xKsA5ExOgwCow2RgyiQQUf3wODgCy9+78WXXjpy9ECXqoMr0GYg + GD5VPXb69PGl+u3qo61msD9J78/Mrq5vaqMysx5QzUWp5lkngLKfVCCA1flgcLj/yJEDo7dm787V + vVhPFk5NT8/evn1vfPxipCHw5GvYnl9YmFt4tFTe9sIKiKKOgdHxA30DPYV2kP7GrCLm627IPWh4 + JhPtHzv6+7//TxJp9+qz2fkl653zDs4Ghozn7fXNLWxvbGztNBoPlpbe+eSzYydPnJk8eeTQoFHw + HuwbkcHqyvy1q1fWFh+5BKFCLDS0f+y3fvCjc088NXZoPBfAWxjRUTFoi0Z3No6eO328Udna2qnk + coVGo760uvZocbVr3+hIBO3c6qNHM/fuNWqx9xCt4VX/8MEnnnr67ONPjh4Ya8sjj7SY466iSX/n + t4ytzM0v+ESlWUraGA4DR4qb8A8P+Cb4QqQ52QqglA5DJrJAkwmcUYBIoDgwymR3Bsl2gJCJIlLa + CnLZgXTu9s2vpu7doWbVGIxWk5NnLj319MGJAQYCQY5g8h2I0F80J44d/fTazdqDxTSBJOnyw/lH + SyvbZXS0Z5MBGU0K7DNmd9YUScHBw8d+/w9+9NQz5w6O9BRzBuyKpWJ3W+7JC6e35q4/vDxHcFCC + wGxsby+urlsHigBhJPHtr65//MH7jSqLQHQOEo5PnHzupd+efOzS+Eh3R8iRJlBYakMulw9D2T/a + Z5Q/fvRIdi0sLyy889YbqyvLCggpiAUdnZ1PXnrq1OSZ0bGxnIKIi5wNlZS03ignZybPpF/cfLS8 + CCWra2v3pqaWllfau7vzuShblPia3rF3G9y7sbX82pZaaqmlllpqqaWWWmrpN1rfkXX790q8kFYa + 4KZRIlytVi9fvnzt2rV6jBTo6+s7e+GJiRPHewcCsgBi8Yn3qVaKFFLroDnr3dbwTeCiNFO30izH + Qvb0TICIcAbV3QXhSjNO5PKlwsjoSF1vmlT7sDPRpdS0FZHk4kJQW4vrtThBwmD2SlG1XL722edb + m1txo3H40KGx/cPtbc0dnLRbuPL3ODrf4cOlfNsUpl97CchcPOweil3IbWY5aMRpY2lpobdIOsmF + rmqScmNtfuru1NsffPz5rdkGg/MdCDpAfvzAwccfOzU61NmeByrbG0tzq4sL2xuV1MJKAB2ho/fA + seNPPfdMZ3c7AKWUt0aLZDSL0eGhl55/6tZXX0w/nPfkWFS9Vr89NftouVyuI2pTuVx7d1f3gdGh + zbl7yxVYIIaPk3T64dL+HZwahoJHfXNnfWlzY71SBwM6hCajPLESSAqfLq6sza/Xzo4UBURJvba5 + sbS8trpRcwBUGBVKg0ND/X0dHUVj4F2ys7H28PqXn6yubikDocjkOnLtfe29Iw7h0mYjhAvSOEwa + bVo0x4akkI+iKETNIYhcvTF9797K8kq9fqSzU0GRUmB2mZfmGVAMbXSx9OxzL/yT3//R45PHi4YE + OAU4ZvI2Z5StV5N6jZjhvRPFMKICp8KElaNASJK0EUZBb0euGMLsVlEJKROGlC0VNE/sLvC5ecaz + 8jEGvLAXZqUoMICnprujDSmNDJjgPLzzIGhNBsIGMCCDQtvEsZP/2T/+w+NHxzs7EABia+JTIpk4 + NDZ58lgpF8S1RMMr5b3y7OzS8upIORnuNiZbSBBq+rfNYPrukARpIZBCqa0/n/vR7/0wypml9ZWN + nfpOI61bm3gCAC9KvE/d+ura/MKblz/+eOTAsX+0WX9Zdx47uq+oYNgTsxF4NlpHWmWrNwziSIG8 + B3ui3WmtabNlXzMyJAUpaA1lQIoFzgNgMMOl3qXs3e61paDM/rEDFy89/fyL39vXTwS4hg01Iwzy + fT3HDh86N3lqamZ5q1zzRAK1tbVz687darXOAufZaKW11kpntF4IAaqjs/vxC0889fQzk2cOFw2Q + MFwCrRCZvt6uydMnbzzcfLCwg4yr7fnhw/nNzW1FWWweyPqYMlpB81DvXd8MYoQKYdvJk8eO3p6/ + N3fNOgvyUPrBg7kbN289//zFfA4sTnN9bX129sH9zfVKHGsgB0R9fcNHjx7r6CoGud0ysr15Rkxz + vtmdgpqsbNa53v0Xvze+Vfaicx998vnS8urWdiUVyesgUMRp3UMaceXWna9u3r6t337nmede+L36 + j4rtL/d150JhEhsRVlbmr3/5ebW8pQhagx139/SdPX8hzBc2dxKwc3E9RNwewnCiIaPDQ9dyARi5 + MEgs1Rvx/OLy2NGEuyIkdn1peX72YRqDBWANp4ZGJy4+/cLw6FiuCG8BeCigvfD4Mxer648++vzq + nYXNatk672FItHIQv8dKVgwwhEV4z/wHKROEIPLfnHubkzFrDaVo91JVUMaYkJR2HqIBEbHpndu3 + H8zMhAGyELsJzL6h4e6enpXVGDoMJQk4DTgOXc3YWqlYGOjrm11crVoH71Gprq1vrq0nQ/lIQoAI + IuJ9k4IsgPUohoePHv/dH/7OSAciDWanSCEwUNHxowdmDg//4vIcIVsAQi1uVGo1l90jhdFoTN2+ + ff3qFzbJVstCqPz44ZOXnn1pcHigUIABSCwLSKG7u/jkpYvnHjtR3l4rhSo7VstLi++9++725lYQ + 6KyfLZ/Lj46OKaU3t3Y0efI2520BrNMkCIOxsbF7M/NzS/NgSSqV5ZWVxcXF4fHRUjEv8q3tCN+6 + E+5xkFpqqaWWWmqppZZaaqmlln5z9R+xpuybEjSfv5qPYMKVnZ2Zmel33313auqeUbCCsYMHvvfq + q10D7QoIA3BSt7Ym3jWzjAJkAUV4LW635lx/i70ou8/OBCKlAP/1B0D2KrQeHR/73ssv7V/cNO2D + QxOnXdCWqFJka/l4Y3v21rWrX9y8dWd5vaIBFoYXMD+cm7ty5dMjhw+/9OILJ04cDHTzewoDGW/g + b//EX2sPp/APN3B/jXL7rXf6+lVlwA4MZmbOanEyt0fAfmV1+f3335u9VezJUcS1hembj6au378/ + s7BSrnmiQnfCOfjIdLVffPLJV15+tpQHuA6JKakm1WqtgrBQJCmKbuufODZy+EjPQE8QNsPA3ikt + pEmDTHd31+PnTg4NdORCNBILInifVtPVzcb6dtqeD0Ot29raJ08dWb77/t1HngASW2vEX96aGn1y + R050hIFJN5dm7t7c3FhrpGBAGxMExYKg1kjEWiSFO1PTI3NLL5+dYJBqVJcWF9bWtnYa8Ii8V6Vc + /ujhicH+7kIkAZI43o5rGzatpSkaFg6MyJRjufzJtamHc1FO2nOKyhu8tTZQiuDinfWl5cUFYQZz + M/FrXaVardVq6CiBPbPL9sVneTcypm9o6NyTzz773AvHjp8IDKx3wi40OuOoAnZrbXHm3tTCw0fl + 7e167GFyKihIkK85OB2Z0ChNg0ODp46Njw91dxQ0tIGPE+caSWq95+ZpVtDGBCGUanJllYJqXs6B + CXJRqJXizHvSAJFjZKBcpTXCEJRnUOo5sfCakJ2ykdFDR46NHxovlJpjJggMhFCvmEI4NNAz1N/l + k5VKnRNb9XD1Snl1daNcd7I3OncRysh4DRmopJkVJ6UNwNDYf2j8D//Lf/zU80//1c9+/vrb712+ + cjPMoo5JosSTII29B2yjdn/2wb/98/9wf9n+83/+3x8ZLXQXtPF5xKl1KknY22YCGWzhbaAQGK13 + 6SmZeQYReMfesQeQvaggYr14z8wKGtAKRgVGGa2aEwcLxI/sH5s8ey5fbCZx84WCtiniGqjRVsyN + jQwXolCjZrSyMLZen52dq9RqIJgwAox3bmtrq15vOMeAguNcLn/02PGBgcHIQABjNMiAHIgVKlhM + AAAgAElEQVQL+XBi4uDA4D2Es3CEIIIKlh/MLSwspSnyikAKAmFp8o6bDj4prZUhaqI3GOKPHz9y + +vTiL96+Rh6iFHL51bW1e1PTK6tbxUJXZw7IYW39wdTUHZsKoQ0IgGDfvvHJs2fbuwpKs0B9I3Xb + hBZ/Yz7j5uRKWQEdfe+FFw8cOfy9F+/+zc9f//DDT+YezMJ6ECnAQwROB4Fj8tXKux98GHveqtR+ + 7wffH9/XVsiHkHqlsrm8+DCpwwlSCyEsray89c571+4+yIfGpnFHMcpTQo0d4xvl7c3FR7Nxreod + kkpZ57uI1MOFxRPlmrVRVE82V9dWFtechUIAU0BQHBgenzh6Shl4gTZw9cRQjEAQ0rnJY3/yx3/4 + p//63z3cnIcK4RLxVhSR0lCAMtBEBGpCkHfzzpSRqc237qAsgIezzqbeu6yYC8YgjMrVWhwnWUgc + ztVr1aXF+aXFlXoD2iA0cKDbd+5I8AsdlXSYy5E1PjaukeN6AfHUV1+Wd7aFmQAQwZhKrbG6vuGG + h3bdeyESDWgNmABhvjQ0MjA0UmqDNkgt21q1rRCpQAGskBrUCVAagEfSEEU6ipAt9DnnKmWXJOTB + DAeIBCh1dXQNtPcMqhAMeC8a8OxTr0iRwGul+np7cioz9H2jXltZXi6XdxoWFrEgqtXrt27fvjZ1 + T8MHmrT4vLgSiUmT5Y3K1NRUuVxurlgoeO9XVleq1Yru6yWC+vYN9ds3xBbgtqWWWmqppZZaaqml + llr6Ddd3Yd3+/SIvhF1zgRmQBzMzb7/99tzsbKUqkcFgf8+R48dPnD2bb88wmaK1C7RoDfbOe1CW + WhMm8UqcApQAokBqjyCQ+ba0u5tSUTN++o1UFCEw4wfHXw5Lp6sOhZ5S35gP2lJdyrt6Md1yqxMX + zkx+dePO1avX3v7ki62UPAhhiDR99Gj+zTffmjh08OiRg5oyEsM3Qq3/b0fku6Xw7fko9M2XvvXv + pAGCY2cde/7GfxX4dH154d133+kOpZ1sKPWd9bmdtfm1DTiC10XLIUx72/Dhl19+/rnnnz04PlSM + EkgMW16dn9leX/cCm5KYEGGbbu8O29qDqGnkEKA0KYkgHjaB0b19XV1tQT7EjoVXDFKwSHzkJBSC + 9cjlco+dOXHrg3aNLQWEhMTLnemHJ5e2a9zRJ762sfhw+k6jXvWA0hgZOxC296Vp8mDmfmVnh20y + 92hxZmkzBZznZGPt1ldfbWxupyCiImBKbR2PPXZ2ZF9fTjGhbuPtRnWjXk1TCwAeQWKpsVp+470r + hQK0jkvGFeKdXH27TTni1DaqD5dWGzUFlYd1UIIo75x3zkIYEEWkNe1lH4U5CKP9o6N9/QP5PJok + SkBTVgzl4ez8zL0P3n3rxrWvtjc2Y6coLIrKWRXFMFZF0KQ0TRydaNTO58OzheGeQGsoLVpDK1KK + Mhcxc+KVlr3IGdHeOCASImJmZ7FrbJBj9izNNLZSIFLGiNJOwEIwIXJtokKYMFcE6SxUCREmYhgF + klI+2D/Yu7O2Ua1aBWeQwNtypd5Iv147gSiIZDv6xYtokIZv0kya/U4QQiFqi3pP9bSzcmMHhi5c + nHq0tDk1s3Dnxm1KvXLNK4YFXK8/mp1Pc9fHDr0nLz92/mR/pEnrABQxa2EowADEKSeNgBAoUgAL + lMiuCyvQKjDa6GwzN4MZDCFFSmsDkIIXsGdvmd0uo5pAKswVCsW2PQIuZZ2HRkGos604PNhfyIUK + EO9BjNTV6g3rPCOjnxIpZUyALIwchBDSOuzu7s0XCs20fhO04UFi8tG+wf5SsQB2QIBmJFic9Wki + yO8ePXwjdZsdpt2wJ+ABD3H7R4cmJg52dBQajIYHvC9vbM/PL84vLPb1tvdFTqflB7M3btz4slF3 + QDchEJMbGho/dux4e0eeEHsoDSMZTPzXgv5fz0IADJjANuzsOloMezo7u0rtj52cnJt9ND11/8H9 + mdn5Wc+WAQ+LbE//zs7V6zehcqOj+/PBxHh/nn1jp7yxtrLgYiD7vkTLq2vvvP9BUOwwJOzSUk4X + JNbJdsgNb+NGrbK9uaMAiHjvk9TVY5syBPCpTSr1RjX71AZioHKFtt6e/o4g1/z82mh4hkuhfDGn + OttzUUhKgZWHEpAk3vlsJVAAZmHnvRPZqykjCFJrWb6Z697zdVnE42sstcBLEOaCICSAGUkS16qV + uNFwFh4QD0/w8NeuXZ9dWGWTIx2EkhrXCDnOcz0v9drG0tJWNYmZAGgNL86z9yICZmjfjNYL4H22 + C0XpIFQmlAw7rZQJI5ACC7xVsKFOC2EG7rVgV4vr5Vq1kcCHMN7bRqO2U67uZOeYgAAShIXO9u62 + jKpNRASllNbICCkK2hgFwIMtN+rl8s721qaz2acSgSwvL//qV7+qMZO4KFDkbMS2jcS4tFL3y+s7 + O+WaUopld75yPrXWAsHuyN7rK/u77okttdRSSy211FJLLbXUUku/sfqHW7d7OdBd8OI3HuubW7Wb + tegeYHgH72Ddndu3X3/jrfXtSgIoow8cmzx18vTh4RwDAaBhYZQOIza5GIEVrwmOWbM3ECXEQEog + HUDAmVELaP52BlVBEYSEQE0LlwIE7WrkxJHR4AhFTkzsDeuQCQV0hX4QE6MnLj357KPZ11//xdzK + 3L2FrSROgzBn2VS3ax99/MWr31+px03fVqsmeBJgEUhmmH7DUVXgjD3aBPJ+B+7tXutYVmXODBIY + wW72sOloZ1hgb9laZSXbbJ3xHRxhtTq/enOey/BlEhdqGIInqLCog04dtHUNjEw+fvZP/vBHZ47v + 6y3oACkEcH5mcXllp5wCVWsBhjKhDiKdWVMeopWCCUAe8A4+ATwKYT5vohBBHazIE8GxEmUyY90h + ivJHT5zoHRgAbXmBBDkRLj+c3p6fSrbHkG9sbaw9eDBbj60DKDQHj57uGhqPq+X1tdXazg67pLww + U5m/r9wTktY21je+vPbV+nbFIfBhBDalro7Js2f2DQzkoIx4aaSuEicVsMt2sRO7GBvLjzbXQA1I + AxIb5TrISsKZJ5gADQKFkSQVmAj5AgEsBFFQRmkKyEUUm2YY0VkiU+r2UUcW3MxDKR0QvEAg3ls3 + t7Bw5bPPrnz4UaUKBkiTZd0QzaYABGAHhZW1xZ42c/rwyOhAe6ABpQNlQhUEZALAZ7TTjD8gQoym + f0cCZgiTZ80s7F029IgBFu9FvGSDiD3YGaOMNgDgFcIQUVuaSNJwGaNBZQPYeTAQ5ZA2jA76BwaC + +w9SWJfVpKnUJmWydY0OArgZDM0wn+SFMxuXdh0g0C7BJLMdjZm88Oypx5763Ur9408+f+/yR5G4 + 8spKfXOzXHcscAKAUa2sTE/9/Cd/NToQHp14MReRJlIKJMwEDwhBGNYxkSYFDxCLEqZswgFgQhNE + ZMBACg92zcS+okADEmWOc8LOeiYAEgAGyghRxnfJZhQvMNrARBBXKpW6u7ryQWAAYQukUKlD6Mg5 + AuDBnIdqj/IRGU0sJvRCoilfyAVKGSBAhnwJIA6akVel3pKJCGkDFIAZnlAokgoSb1l5RQCRQAmR + ZAFbWFADaGRtXwGK2cDQPWOj+1fGh/vSdHl1u2JjQZxurHTfm54eGt8/3BnIdvJgeunOnflaQg6O + dJDvLO0b2XfgwHgpbwKkBFYQnZ2nryeX5hxGEJOV7CHz4h1IoVjqLbS/OHzomefc9vrGu2++8d5b + b0Rf+KXN+e1GWvPOSnbVBXZ+5Wp645MT10f620b6Dzrvd2r19c1q6rD3btubG9ubVcA05zpx4IYR + F339KSAEpbVzqU8boeKIHAlb9nXvYpvdkyy8hXApDDtKCAMgW2QKA9gQ1sHo1PpKpS6sA1KJGJCC + sHeJZM1vzCAFZ+CI2AGxggZCOHAK78g3b2zQ8FAM0aCcSJhFWi082MP7MF8McxEByic2aSS1irgM + 3IBEEFskSs3em8H9ORgDYfgYPg6JA4C4eRR8tiqnCC5VxIEhCJgtfKqUKOJsiMIxvDgP58V5sIHW + iAp5sINPIQAFxuTDAMpmRAhfr5V3djbjxNmCiYC6461qY9tld1EDJsRJ3pjuNgRokoIARYDRzf+j + 4IizfjYX12vVaq0ep675y0HgoNdX19fffRdaQQmMgk3gbJ7IOG+hLDSpiLSCd5lnHmqjGWy9CvTe + ZhrZXVX5WntLV//gm2tLLbXUUksttdRSSy211NJ/mvpOgAnczIGKBlHm2+4FTVX2wCUO4gCGeCT1 + hfmFu7dv3bl3rxJbCxRLPU8898rZybNFQAF5QEkKsCdtVWR1QRDDA3CBSEBKQzGQAm7XLQ4JKntk + FYYyUBCB9xCypBxBM4Sa+bwCKJ9hLpkoMIFnAAiaT34alBb62ydP73/1pQvpW5/u3F+xNQEVQKa+ + 3ZifX19c3pk42AGCiNtFHTprRVSelCH19VEhgMjBJ6AQOvcdHOm9hiKV5becNDNNpkkY5T0jXSEw + KtKIiANYhgWgNDiEFBEyXAyIeKSCVEjEhKptZGTimedefOrppyfPTI4MdXXkrLJVBBaElHSNKVGB + D5T4AAw4KQVRMQgCgNgpNMvJxVlf2zE5QCuwN1o0AR5GiweBIanzKUgQBDDFElRnZ29vWzc2tmAR + wKXYWa0tTm3OH3aDsra8PD39YKfKKZALSyMHju4/fCqubn1+5SOVRcbWF6oPb23OrQ11xI1a/f6D + uXKcpGS8cyh2lLq7evp6C7lcAA5EB14FXuc0CEgYiaTQEZTLsorZyPWOa8y7e+7hARjKh1SPE0Br + Q9oY0gF0CG+YHXHDsFOZnaHEkm5QGJuSBxRBe0CTQDOIlLBKoQ0Zw4oIYgIkVjycUgTNLB7iYUwY + qMCowKiACMIQUQw4Jsvk0WQjmEBrI144BQLJiLgQBrMWCYiMpl2CAkNYUeZDZkOTAU+QZp88AjiF + hofXGddBCxRBrCUi6ABs4UFQuUJBBYEDHMEpOKkrxKEke+sSrJA4BKSUJmV2Lyq15/gRoKE0YKBD + KAFpFaCto/DkxSdPHD/+T//zH334xhsf/PKXv3jrStxMPTLEobx559rV+/cml9cm24Y6c8LOJQKf + rQilAqjAmIi9WCvWU6BIM+As2AKAMtZJyhkWFyCBUsoDLltrUiCDMJBAQSlhwGkoBYLWWgeBs2DO + XEoCDEQBxCzsvViXTSueGOQ8Wae804ASeA6d16kzQgbGZlwHDRMaLd4wQmQhZQMoiLWUusCTdoCF + MFQIKqLqbOopVF5blWU8STezt8RQKagOqgmYQR5tmoJsthkYGHrlxad9/IvK1iahkgKVrdXLH344 + euLksaH92yuVrY2kEcNBPNKwWDpwZGx4fLit3WjAIAzAGkzCIA1CFt7MVqZ2c7/cfJU0whw0CzyR + ZlEqCPv6c7/92mtPP35maXn6Z6//+1+985Mb9xvlBEwWzBBlK/7aF1PnTh944vFDYRCxMrFrJvcN + IRVRwhwquN36SRBIe3EW0IBRTdqudR7kAo3e9nxBe+GEFRKlUoEAGk4Rs/gIiDT2GtZScEghIoEk + rEKlIvFarIbSsAzxUaCVas7ggAmlkDN5ImY4RQmgISqkCBKkQNi836VoetORtZo9KUJzhJGqW5em + KQmM8sYgUrBxI0lBBCtwFEAXIAbiwBY+ASdahASOQBqJQDGCbD5KE0QcGhUFir0Tn0BxFDQdzuZP + KCDSSodK752tjPJsgDAIi0C+XoNowBCEia0iF+WMKMSOa4lPvBIgUgg4hHeIkxBSDMAOXkOoaVg3 + SSkizFZntx/vlPggCIptHY2kloIdjCCEEsBDEwiwMYRBlHrxUB7Kg5RWymiwAzMJ8mFUjHJ5o7NV + MUW7WHn6W4SEb5AsWmqppZZaaqmlllpqqaWWfvP0naRuGeIz4wq7zuEeGpEACEOypJuDc666M337 + 5uyDme3tWiokYZ5zHTuJPJiZbdTqhlwg9QDVQOLlhUc3pmY3atY3szXe1usr8wufffoZs80Xw/Hx + oaFOKIC8aHY6eyMx8M1IIilRSARavIACr3KiAhHw7pZuDdIEYhAzbALxMB456e8xEwe62wtCPoEH + VA46hImE8tYHcYpAgbQHEmHH7JUKWWkhcLPpJduaLVpikAOZ72ZT59cRZyUEhs8CaYAAIe1FbrNo + JRETW5WmgCU0A20qF/WPT5480FWsFsyGtWmU78oXe4qlnjDf1ts3PHnqxIkj4yP7iiEhQAyk8BZK + HJnURLE2jawdSxmoQBrWN2ISBGBFrKDZQ4kzoYJmwCGtOxcTEBnE7LUSn8uHyhDvhqVII8oNj44d + PHL/4bVltho2hXCy9mB19k6S79/a2FhYWKonsEAuLPUNHxidOJ5W1tvaO0IN5tQ1tvza7MbMrer+ + 4tbm1uyjhUosXucgVBrsHxof7e7tyYfKCMMhxyYnoXZN3weBQi4o9PSdPfdYe6S0a5RCLS7xcSMw + KshpHehavSZMWoeiIg47TfvAyRMn2zu7PEGTAURLHEiGkwVInNKxihoq55uDXyDEBAutSFMQDY+N + X3z66c6uTpfEOszXYstkEBScihLRzvswDMbHx85Nnuzr6tJEcA7C8KwEkQpyhAYTGPAcJykkW3Ug + 2NQiCTKfg8XHsbepR1bjRzA6FwSkkAIWLiCGEmcT5zI2QQA2SMlbUmJyAbKcbJB5dQx4BpMI4iRN + 2XmC1WANmDQKJU8228ucXfVCQNYURkTCJEJf9whlmfEMciIMKGgCSLmODursKGC4r1OSoRCBlve/ + unV3ueo0wXukDan4cnl7u1pPuRPMIj4MjTLwACtABSDNknlWWTRvL+nvEVuQIUMWIqqZgFcilPEm + GCADpb0mgSIGSSCsoSh1zlqrFEjgm+siPhQPBZDSpJSwBhQ8iKE8YL1yPkOI2tSktkA6YBIBO48o + UqHx4oh92BwfChIAGpoS7XZsOfW15mwpAgqgwjAsBHmTUjXwDiAh1TygJCALElBdiBnwUEoKJCEQ + dXf1Xzx38qsrb9wFDFyMelLdvn333szS2vJG16OpxdXFaiOGA6BTU1AHjx4Y2r8vl4MhZJFjxULC + 0MJEWYfcbro/2wEv2fXLBl4pIeUhYGhSgQGMKvT1FzqifaOlKNrs7Cjjr34x9QjrFeuZIRoNNXXn + 0cP51brzUPDKQBNnM5eCYgwPDR45fT7X1mUCw8zMTrMNYEPFxN7b2GgSE4kOHfTA/gOXHj8z3Num + xDKxM0ZHiDy8g2NLYO0cW0gEB0mQaqJQRwBgrWWwGCWRlgheZ1amJoa4pmvMRvlQLAieAEEMlScV + GjaEYG9jBeBADkyQSJuS0aFRAGX+r04FLGwA+AQ2hkuUiABWYCn0lIOExy88eXC4J0Kc186QB1vv + khgmVZEE+by4wCXG2+2gr5wfPH/p4v7hwUIOShx86mwCcNiMR++ukcBg98QBEFJEBDFCIUvksGu+ + EkMciSViJuVIex16Ch3ATICKKJB8PgQ4QZgDNX1bFmjJhqHAQGCTbM+F0QRBI3EORgAHIxQMDA+c + uXDGFAJNzC7VYPV/s/fmP3JdaZbY+b573xZbZuS+ZzKTW5IiRVEipdJWKkm1l6qq3dODhj3obrsH + BgyM4bb/DwO2YcAzgNHdMz29TndNd+1SlbpLVEmlXaS4JZkkk2smc19ie8u99/MPLzJJVS82MBoD + LsdBIjIZERkZcbfHe+75zrEkqS36BXi6maTkaVbKWktEw0NDj8we6e/u8Xdp2b0jUcFD4XwddNBB + Bx100EEHHXTQQQf/P8BnFVP2gDL8Rx9HO7C71WzMX7u2tLhkLIShtCfgi5cubawvR75iSX1kPlKy + cW1rc/ne3bW12q5rYNpsbt5cmHvt1e9enb9Q7e175ZVXBh4b8QU2E+syFRBcCmjAd7mfJ3uAD8C5 + jMgSW0C3jQYUdrmTnL6xLksYBsqCSftRuVIlpa0DmOAclHhRVCgW/MDPUjgfSgFw1hpxxgtCC8rT + mvK9JRGchcusFygw/8PN8lm0PO16i7bNGh7Uj5IVMdZldlet6xw8NTk++e1vfnNm3K8WG0nc6u4d + 6R2cGBgaZAUQNMMjeAwNQBREwWVwADgMIs1KjAEstEC5pLHZatSMhVaaSEkeX8OEKAISJM40WvUk + Sy3Yh03YgaJSMfS9PEjKOUAIKhifPvjI8dX3r2xst5pwFl5xa331+vUbx4fD+1vNe+uuaWFV6EXF + gaGhyYmxbMfv6y4VPWSxMZw0d9YXrl8b0oP3VjfvLscNp8AKjsbGRg/u31ftZj/nIh209rUXZgZ5 + FLunWUfB5MTY7/z2b430dklS7y0XbNJKmrUw8KJSFET+2sZ63EzgUCz3IOq1YXV8sr9afGhc//2R + joc0YLtDwkFI4HvBgYMHK8XiM597SkHYC5txBvY5KCaiElHWifZ0pVIe7q309xTBDpkFnAgR2Pe8 + 0GNYgjNI46RZJxH2ACtpmqVIPR3m6ucks6mxru1AzNA6b3VjnYPN7zSZscYogJkcObgUkjFbX7ez + peABzsFaGAMoY2lzuxmncHuxgRwWooKv1Z5RSD6j2vXssqsSF9kV5bp21lZu7SB5YBjECQMkAucG + Z2e/XCoFle6l1h/Nr3ystTaOQAImk2Vx3LLWijgiBL6vPUJu1UEgOHKW6SFPzHweWoc0JeWx1pnN + wDn3IwT5pWj6hwhmEXEQK1nskjjICT0D6wwk1TDswTrJrHNtMSFABMXwPLByBAdiY60VpT1hMjDI + UkSRzyyZISe8V/MPAAzWcFTb3EmacZsidRZkUK4Ui1HoAZmBtQ9Zsjwob6C2IHb3WEcIpMqV7qNH + j/b39fm8AgeDtBHX7t25eff27ZvDwcWLl+8srbVs21g2CMMDhw6OjIx4BL17BEfSXtX3zHTlQb06 + 7bVUrkx1ECvWGWjW1C7vFyhGuXT0mWd6BtS124vb8cJGI7aOAIax6/fura2spkka+o6ZteexSvOB + x4R9k5O/9mvfmtw/WyqX0jR11iiXeWRDj8Rmzdp24HsqLCg/MqSicnV8Zh8rUKtmQVBaeQg0EgDG + KojN4riJsATAWbFECkSwAmuduFzV2fZiZs7PAPJTMSYCyDkYY9E+FgEYHhOcI4jaG/a7pxYAsw5Y + e7mrAIj2eogIMJkxRkS01szIAAGDFARf+MKLX/786YiT7oh9DZvFadqqW26J5xW7S0qoueNZsxMM + 1AtD5ZnxySqKAGfsrG3FsXVOK5DFQ17ID0b4Q0JVceIs2iFqgLSf6Mg5FoDY016oPQ9AChGkvo+g + HBCyZh2FQtu9AS6v/8hzEAVQYkBE0L5WoRPUag0HzaRFLLFMT0/97u/+y0K56GmCZCwOxto4rZa7 + dBht1euWWDETsdaqVCpOT08XQgZ2TYMfGnSfxqeX2g466KCDDjrooIMOOuigg185fFbU7f8dZLfu + VXue51trBVAKmYNJ0+3NjQ/efy/woSgjm/iQgAhZ6tI4i1vNxu6LkBNbrzVWz59//8rVy0oV+nuG + J/u+um/YCzz2nQ+KgTivxTYOLoOniZVPEM9jESdiKNfKKQAwFklqNbPHpJTiyIcIkAAsFJCKtBcG + gXJeKY41nM2SlslSJhQL8DXyvaLWKqdPjTGZ08pvF3QKoJRiCgEDoc9GKUR/f39Kn9rUykO3REpp + VjpvakW57adUq5WTJ07MjPm95aYxqfJKKqz4AYRgHZyFoweEEEBghSyFk4Hevt7u7kBzYi0khW1m + rW1nUq1hRefyT+0RnILLQILMbuw0txtZLUY9QUYKSlsnYRQUSyAGCUAa5PePTM3MLJf8M760Ugc4 + f2np3odnz830+TeWNzdTxEBQrvYPjw4NDY4O9dmCHRuo3i57zSRLxGxtrH589uMKplcXV3diNMmB + BUST4+MHZqYiHww4ESal/cgLi21lmsAksfNaJHawv+/ggX0BXKihJdMuUyypzWKTDAwNKtIkRCqM + udgCR4XdtjfWWCu7NhXt/hAhkU/JTIHc2hfEgHR3V0thZLMJhoB0aiHkOR0Y8jJoBxCR0irSzlcO + SMAKpJk1gZIkdtZVK6XNTAHOZ2KCtcjqNRWqol+CzQDA8ys9PVGhlCf1IbOIW41azZosVOxDwzEc + PM8rRIVSqZi2BDYF0mLJC0JqxigEUHm5t2QQm7txpEZW12tpprVHqRVYZo66Kz1RFOBhu2sCS1tx + TCTtkS8CwFpL7Fj5DCLFOcPkHKw1rBnKgwWS1IF7B4YKpTLxLrkpDmmSZam1RsQRMStlnRMnAKxx + oIycYTjaDZdq08NJAgDFcpqZVpztThMHcW3/51+eSvk3ARycKQW6qxiygxZoD0q0ooCdgU2X1zau + XruRZFYBTB5AKHdN7JsKo0Lb+TooQPnb9YYjYq1gBXFs48QDaWbi3GrFwFmEHmBMbNeX1ps7Dc3Q + YRRnHkDlnorn6yS2VU9B6V1yEA/VNjCgdingnGAVIqBYHJyc3j9zcHR0fuFORhCxsdtcnb984R23 + dvHdD+4srTmAtc9Rsaenb/bw4eGhvr2Xzhu23XEPL12Su4ejrYN01lk2sNBOKfJ8zW7X6VwpkIYQ + tFfu7jk0+8jZq3Vz4zYHocsUjAF5nlaB52mdKqW01n6QqhZiBwsEYTA0ODS9b6q3r9eJOGtgEmRx + IVCaYE1CEEvakXLswY/83HSVNbFOjWu0EDtkAmIH2FZjZ3N9vaunN9DKp9xvI9MmgceFQtTVVQGJ + hYXvwfOhtHEiOY0rgBNSqlSuKKXaUukszZKW2NRlqXvYARiUV5/UGq1mK83yZ4tAUAh9pajVSkIR + XSz2Dwz6QdtCh5k93xO/1FPtnpiYGO8vRyozWau+s1mIAkSVmHynwgKMl8XapJte704QNSPkTrLa + 8xAExrpWnMYWdrefCEIin+66NpxYJ2aX8Afajhg6N/nROoyiQqWrXIlopyUJ0iTdSVFzdswAACAA + SURBVGq0vbNar+/09FaIoQDidkCoENI0S9O4FERgQIzAAylWEGucOEB5Pkql4uDA0ODISKUUMTmx + GVvjkcAaS2pCB5ZUPtuZWTEzUWagGIracaD/0BX0H7gidtBBBx100EEHHXTQQQcd/Irhs6du6dNf + u/dSe5cl4gTa83LNERzgLJK4sbbayIlXBxaEUGTamqY9GSMxBDGSLRPHBgWoSm2rkSXCAsWAwO1s + bK9cX9y0NVtANNDdXRobHfREO0kUC8iKWFYAWEQzMRPAKjffAxwUAIEjWGzX0jv3VmqNxDg4a8E+ + tGZfF4pRsaiVzvkoC0iWxDtbW3cW1+otT1RXT99oX193pQvG5YaDTMT/8K7zs2hpQs6N0ada2yG3 + bFBas9IAiMGABcEagpSKhUopqJY9YufIt0Q5XZsnkLcbxGbIyQFigHzljwwNVysVFgdnYGPE21tr + i2trK1v1NPTZJ+VAoQK4nUu3XWtcXbi9UYsTh8QBWms/7K52V6tdpdLum4YGvGK1f2hwqFqK1lW8 + aRNng7XVlQuXs/FqcOvuWgKk5PX1DEzO7K/2VMtFggunxwZu9Xctra55yta2Nz85/0mZmq2VxRgw + wiAFzx8ZHpoYHfZVrrNksNZ+FBYrpUoUbLVSC81ksyxu1FuNula62q20gI2vTKx9jsT6WRCEoWKd + Z3IpYr3rHWkdFBHtVa8/6JW21PTTnCAIzMQilpTnFZQnEcSBtE8apEGeoXbldU6IaMfgDEJgDThm + pZQmcc7CZjFcgCyJ6zutZj3JrNba0wQlMA5Zurq28Yv3P7x9+y5JnqbHECEI5z4G7Wp3csYZk1mT + aR1aC0i6s7W2tHTr5q37U+O9xQI5iRkGCoB2qxt37i4vLq3X6sa5EKRAytOl3t6BUiGiT7VAm2qR + vZCrXNxn3dbWZpKmrHSl0hVEBaVULlFnVm3nalZQHnu+9gOwcgKTe1EzQ3EQBIUw1KzASikFAtFu + /J8zLku2N9Ya9R1BxThoJ4oZSsnaxp3r88ur62kG7SFF+/2QuAdv+5f5nzwUipfv3b566fzB8fGK + F3HwICAL1q2ubVy7vlBvxiafWdrjYrHa2xsVSsxwIKW0sDaCDM6Syyvx6xubl859cnRkcF/foUKQ + 2wAzrAFx2swWrt7YWN2Ag7UWLAi8an9PuVLSzJo5N4bIOdP2kpUHiQk/VFC+u/Iqjah46PCR2dkb + t+99Qg5wKdLWtblLev3G3bn51lZqASvc1dUzObVvfGKyXIZFO4eKaY/Wk921e+/4aZeLd3bx7lKS + oau3GpYDrRSDiCACMoCzgEApsBaozDhjnTiR3HhCq0JXuVQseIoVUbXaPblvam77km3mDCysyba3 + NgEpRKSYnPjkfLaRR6JYiEoQ68AGOXvbNlxnpYMg6uru6a7i/gaIoBRSk6yvrdy9c2t4vCssaQVW + pBRpQGFn4+rVK2++9ebaxpoVm/tKw8E6EpAVcJKChLTSvp8XTxAAZyWNa1sbaauOPZ8ga0FAamrr + m2fPnb91564TcBg69gDXXhOYwBqiWKlKV3elu7SW1A3IWivGNBr1NE26ukY1ZzBB4HEQBQjKGfwU + CMRpF8IkXSoinYeD7XYHaxAL7Z0hyUPC218i3kG5vpasYHd+ChM0iZd/OqX8qFAqlcuFYlhrtQAB + pWla21i/v7R0u3/gkUi1XVj2NNfESshbWV4rai5WK6Sj7u6esYnRu0v3Gw1LEJDJsmRne2dkbKpc + 8jSBJFCAx86mrQxK+WFuG+8MVC6JtiACU9uAyOVX+X8AHfa2gw466KCDDjrooIMOOvgVx2dC3e7y + tP+EsDR3whWBc06kq6u7p7e3Wq2GllJ4GfmOWVHElJJLfeEAmq2DyZxJW600k/YWLiegEIY66Iqi + nu5KFPqUswytZm3x+o25T94+d215pUHloZnDBw9GhacrpSJgfc8xG0CMSQUgCXy/pBnkgwGxcNay + dm1rwlZ6d2nj3Pmrq+vbaWaRteCHXiHq6e0d6O/t7gIJxAJkIdnq/aW5y5ffff/cZp102D85PXv8 + 0ccOz46RQFiIibUGqU/voP/TGvvTLf8p+on2CpsdAFaauU3WtfkYa7IsTpOWSQnWQTHnCkMLYiiC + 1lDInT2NEpsHOoE8HRRGh8Z6Kl1KoGCsieG2dpbj2zevz19bmJwcq4ShtdYPfJWzt7FZWl5//+ML + K1uNDMhfJCwUp/ZNDg32lYoPed3C94s9Pb39g71d60ubjYaLXeK21uezzbI2rbVV6wdivXLv4P6D + hyvlcqDAHh3YN3ZjpO/spTWf0axvXblSC6VJ8XYGgDS8AKXSyPDgyECvptyYlAGNIArL1YHRiXtb + V5vbojzVcFlta+P6tavTE6N91WEiWGuzVrOkQva9oue5XFloXKOVZbqkiirXZFrroJTyfAfV1inv + dgmLU+LoIXtG1w4JYhFLLvdaznXoDpoAEpE84k92H7TOST5xWEEUsReEodZKgGZ9E9pH3Nhavb++ + vlJrNQarEVggKYS3N3fOXZr7y7/57qX52wRo7RvSIPie8pgJEONIBKAszeJmqxWnKgIrdkmW3b99 + +fK5Dz/8RRScqIz1MJJACUhBaOHOvfOXrize39iOjUHAQcGxF0VdA32DpUKBH1bd7rYFfWpJEGuy + xXt3l5dXkszM7N8/NDRcrnSxUkyktHbOOgcmBS+w4PWtnWacOgeIBQFa6WKh2lWpdnX5WsOx0lr7 + nvaUIssCiDVJ896dhbXVGRyqGGOsiNIKRAt37vzwBz+6deduZuB5aBuWwjEs7UlI2323x006gEBu + /vKFN8rFRw8dqARHgh7tyIAMW2NayeL91SvXF7YbrQxwQvD8YrFY7e4ulYo+ILkHNTFrzziTOQu/ + AIutldU3Xv/bw2ND00N9E6O9rD0432QxG7O9Ub98/srq/TUIsrgFv4SCNzDa19PfHfm0eyjAInuU + nQMYwiRq71MI5VlqBEewdOjwI489dvdnZz6JYzAyJ+nitbnaQpKuLgYZDGAtevsHDx2eHRwYiAI4 + C1JQgMpfZHeUEpgeKrnPtagusxfOf7K93Tgwe2hgbKDSUwl8JcIicAbaZQQHrWGxXY9v3Lq3uV0H + GGkCilD0RkeHenuqmlmBhwaGjh0/fmPuqoFhgD3e2dm5dOnCzOEj/X19xSLldhS+0jbLrHXaUwBD + SJyzQpaZNAAwax2VBobHxiYmNlu3bQbHYtPm/cXb83OXZo9MlUs9DGgo0gq2cfv27TNnfvYXf/E3 + a004eDAZ2MLCETti41yWJIWAWPlKe8QMQCskJEhb6yuLta0Nm4ACiHMwBuTSja3LV6698ebbV6/f + FIYfFmLxIc5lKcEFvg/xkFKa2b6BwcGh4Xtbt1uJuDRBJrduLtxcWJidHikHBO0Fla5dATOcwBqr + 4ZBmMRlT0MTQyNcKR0SkPejgAVErwuL2ROi/BCGxJO7B1YQYiknnYnmlOSoUK12Vclfx/nqLAKUt + TOv+/TvzVy7um5ooeZXc7Tw3SrACm6bN7frl83N95eKJk48iKPYPDh15ZHarsbPeqBGLtcnW5vql + Cxf7+kf7ukeVB0VgAuCUljxfE4BYxHGilPI9rfVD5iAC54SJ/pH/YnTY2w466KCDDjrooIMOOujg + VxmfkeqWdouMd3dWf191CCFYAahU6X7qc08Xqv2Pnnqa/ILlIGNf+b4iC5fCJpRlyFJJk8311dsL + 1z/44P3bi1vGQQSFUtfg5IHTz7w4s/+w1tGTT57s7fG0RmOnNX/xkz/7g389f/HDhdXGlvVt1Ds5 + NrG8vPbc088eOTLle4YZaRrfuH41y9JyqX9keH8UBnsF0m0C2KZZo37l8tUzb3/w+htvr9ZSMMMR + TNbV3fXVr375wIFp3wcsmKyYdHtj9S///M//6q/+aqeeNlI/kZIfVb/y1a//+m/889nDU4USKQpE + UggRf+bC270qUpJfUt1qggWMMVackADGwjFIa1FaKxKXecykGeLgjDg4AWsvL5IXgMgqzXAKzrX/ + VFDsrfLI4PDIYO/iykbiDLTNbOPcxx/8/h/+2//mX/7uo4dmIl/BOZgMLlu6d++9Dz/+j9979dqt + 5cQRwjKM19s/8JWvfmVychSAtdAMMAM+l/uGh0ePHJiu31/aaCWxGNis1bAXry0o00x1BFHl3sF9 + Bw4ViwUFeEpmD0xdGx8izLFAsriWuqvXF5RNDBPIR6kyPbN/Ymy0v7sd3NWWbWu/3DPw6BNPLm7U + 72/cc2kmhK31lT/59/+WxQ70/Wa14hV8X1MRksEYUcoB1thWM5m/ttCUoGtwsm+w4gWQtk8kOVIP + DBMELMJwLJZzaoJIBGmWkueBmVlhLxtLHKDa3q8A0HZhzUeKUkyS20MTwEFUGhoaCQOfAAUxZJE0 + ancWrs5dvHZkpOfRWc9XMFLfbnz/R6/9zV9/597quhUoII0zaAOxSbORJYkCmFSeFRYEge97BKRx + y2mCF8HZa9cu/+t/87+l6W+GLzw1OzUEWGRZut36+S/e+/kv3hcOCU7gKVUOK90Dg+P9/YPF3JPy + 4VmfS8G5LbTPb+O49eEHH7xx5szluStPfe5zzzz73HPPPd/dXfXD0MESMXKT1CS7dPXav/k/f//C + xcsul4IDOvBHR0fGx0YHens1E5x4QTAxOVW9fuvW6qoiEFzS3Dn30fsnjk688MwBxYrhYLIr5y+8 + +sMf/+mf/cXa6k5skDioQFnWgGUYgqX2Gig5N7p7DOUAwGF7Y+Xi+bN/9sd/9Ovf+rWXXnpa+SQO + zWZ87crVdz86+8HZSzs1MLywUGoYW+2unjp1qru7SwBjjZ9lThBEgfIUKINNQRrN1tVLl3/w3e/p + rPUv/stfLxQVSDw/un55/u033r168drOZs1jNsQQA08OHT0wNjasALg8xEsBuyMaFtAAk6g96SeQ + e3MDDjBubHTq0MFD1W7EK1AOhg3qmzUXkxHNRKoAy2Pjk48+eqJcDPSuhDlfryHtXDa0Wb72I7kn + g7U2S9I33zzzzlvvBMXw9LNPnX72qaeeei7yuxWRFwASwjFcffXe0rsfnHvnvY/XN5JCpdKsKVgb + BPrJ048f2D+tiAjo6+s7fPjwa8UiY5t9tIybv3Z1sxmPTO7r7u6amh7Xqj0vCCAigEyabe40FpfX + as3Yi8r7DhwMIjATgqhvYGR0fGLu5m2bILUJsX/n1rWfv/Gz2SPTxeKxSrUsGSQ29Y2NH/3gB2+c + +dlODbYdXifwfURFLygIKSguFEtAzJ5f7e0LwlABXqRTq7O0tbV4Z/7y+fnLj548OulpBpHZ3vnx + qz/5zn/484uXrzZbUFo3mwk4gRaXJWItEyAEz/e7umePHjt37f7PP5pnv6SUZzN5842flTx7cGpo + emygUi5ADPJQMYYmEHPabK7dW7y+dbsR9JanxstVpInLKPOdWKHMUbbH9UKovQo9XAEjAEC5XYgI + 7T2ZCMzCeW8TgYJw3/6Zo8eP3lp8o5EAsCB76cLHYbF08rETQ90V9tujLLWyunL/jZ/87fe/89cF + rb/0hc+fePwUPN3bPzB7ZPby/Nzd1Vpq4Uzr+rWrf/gHfxCGXX3dXxofKVkDB6MCC3YMZ8WlGdd2 + du7cuW2NKRWL0zPTYdAe+ARo9Y/xth100EEHHXTQQQcddNBBB7/i+H9LdZtDBMSICqPjE0G5OnXg + CLzIsm/IBysmYbLsDEwsWZNtunj39tmPovlrc7S0xYAiKkaV8ZHJp596+vHHT7HS/f19xQJIkMTb + ayv3z509d/PKtaUmmtp3vLWz05iZuXRg/7FHHkFemZyl8bmzHy4szKeJN9A3XSmPFAqFarVcKAS+ + l6XpxvbWnaW7iwvzt859eP7e/VbGAGsQdKU8M7PvxRc/PzY2nL+WIrFZurG6Mn9l7pOzC8JoGUpt + BIquzOy/c/fuvn2TtkgkrEgT/WeKKaM94S390n0GzhhjnduNiXICcRaKtIZmUkRwAAukXfqa1wfn + tIwTy20mXsE6kAIzInXowIFnnzp95q13Vja2UtvIHC3eu/13b5wJSt1Xjhyc7O8pe2TTRn1z+drV + y+98+NHFKzfqiXEUQHRxaGz2kWNPP/3U0IDK2UtCXrKr4ZW7qz1HD84sXTp76XaiKd/X263tBsiB + A+ig1Ds4PrUvjAIFgN3U6OD4cF8AJA5iUzisb9UY1pEP0lG5+8DBQ0MD/aUwt73Nhx9BB+W+wZOn + P3f52s356/fqKYAsa9UuXzz/wx/+IEnSfWMjI91RTwiYpGVNPUnXtrbrtXptp3Hn3v1S3+jx088F + hSe6/HYUloBz1a3ba34RFqdgCd5uhxCTyilIAREYvBssJ+0S/FzdKLvqXWpTcdS+ixhhYXBwaHCg + v7uAZhOJS5E0kLoP3n+ny2ss3p7v76pImt64Pv/a3/3knY8+3qk1nIPivO5fQNAKCtJm4QRwLgzC + wPcBiBhIXvcvsl27ev7+D34Q1NcXTh07WI28ZCdduHrrb39y5uLc9TgFKAL5xngHp46eeuLpwYHB + KPiUR4rsqW4fDFSBOGvM9vbWrVu3zn58ttFoLN+/f+P69f6Bgb6+/mpvlRWlNot3ttbv3rn80Ycf + fXJhfWMbAigNqGpP9fOff+7wwYPFiCAWImEhOjR7+INLc+7qKgQkRpLGwvW5n772I3b1mcmhkExt + dfHse2+9//Of31jayd1ZrIWzDmRBlmD4AXX74C3valZFRGyrtnzv9ltn/s5zbnP9zuhYr3HNjeW7 + 5z9+9513P9jYggUYyoL9SteBA/uf/txT1e4QaBsHWBEr4uBAAjGAQ5raVvOTc+e0JMY2xieHiiU/ + rm9eOfvhuXfeWrqznDRAjsEOxbBvbOCRE0dGRgfbsVJCgBKo3Qa2gOSq23Ya3O4XIVdSBqq7b3R0 + 9MD+qTi9ub0BSIq0BZeJcMqBYx9Qg8OjBw8eikIoQPOuaFryA4Y2gZsfQuQjKb/LCoxz95cW5y6e + T2y6sb12886NuUtXe6uj3V3DfV3dWtKsubOxcefG7fNnz7+1cLtei2G1AwTFaGx85MnTT8xMT2mw + AvX19h6enZ3Zv39p8+O1HQdGs1m/eXPh1R//6P79pfGJydGRkZ5KyVdIm/Vms9Gs17a2Ntc2tlfX + t0j7UwcOj05MB5EGAFKjE/uOHjv+wflztaSWZbBitjZWLp0/98Pvfn/x7u1DE1Ohw87S8s359954 + /bXr1zatgyU4WOTqcUdWOHNiBfB8ZCn8YGBoeHBouLtK24mFM3AJ6vbDd96OPLX42CPj1TBIt2/M + ffLmGz/74ONz65tIBNZj5BOfoElInM0V96wQFQ8cODQ9sxAVzjQsxFmAV+8vvvvOO39UCQ5OjY6N + DvX1djMjhW45XtuuN9dX462NzeX7q8YvjB16TH1jf7WqFRMYxEJKeHckSy4qz3XlD6bh3g8WYkgs + tZ11IETCJEp2kwOhvemZmUcfe/Tt99+urWaJAIzt7ZWLFz7+yz//08uHZkf7B7u6KolrrW6vXrs+ + /+6ZX7zzxi+mxyYee+RRZIJA9/UPPH76ibc/eGv+9t0sRiao17bnr1z90Q9+tLm6OjUxNjDQ01Um + poaztVpTVtYbOw27s7O9tLjYVakcOHhgeHgoCsrtkfgZecV30EEHHXTQQQcddNBBBx38fxGfldft + ntiu/Y+HyhcfirjOXQw9BT/sK1f7xrV1lEFbosSAAU/DU1BiYJpKue7u4ubGclQIASgGyI+CYl9P + 38zk9NHZYZWLEwGXpsa0kqRZ26nFMRygtOeg4jS9v7JSq9WI4cQ55+Jm/NGHH7726g/nLq96Kujq + Gh0aGjxwcGZwaKBS1uvrd27dunT54uW4niZNGMDmhoFKT05OnHz8xFOfO9VVgrPQHgiwWbq5sR43 + m20BpRNAwEji1tbWVpIkmQlzw9nPUi/0EEe7W9/99yTOAojLrLMCB5XzEeIAa6CcVvA9JghcW+RJ + TDo3AYADxIoRa4lJEYMJaQYmMEN7jxw59o2v1e4tLjVa9XQ7Y5astrU4N/f78zd/ODZw6sjBsb5K + XN9amL90c+H6ylbccoDvQQUQPnT4yDPPPH382FDBBwk0I/dqEEfMXqFYmT186EJ/N8uGImfypPnc + FlMBUVDt7RsdGw+CQESguDAwMDg4WCqiFuefS1trbL7LB5fKlcOHD/f2dPuUc5e76UrKr/QOnjj1 + 1Efnzn/yySfJ4lZigCxxZufNH//4zTffeuTwwdmJwamBMtt0s9FcWt+4cu36/fsrtZ2GgI+dfsYv + 9+6b2Y/e3pzicjl1u2dTIWCIEqt29W4AwAh83Y4Pcnv9tTtXpO0xIC6Pu2v/SptFzB1CSBAUSv0D + 09PTE2O9K1fXYVOgBZaz775988r7b06OTg2PqMy+9947q9vrTeu0hq+UApRhC0BRKQp8xc4ImCAC + Y33f9z0PABNZ5OaknEd7vfmjVz95/63HZqfHB3obW633fv5+fdu2LFsUiSPWnoUce/T4y198ub+v + EKgHozDnoYmEHoxJ2buJwjAMAnGYm5u/emX+u9/7Xn9//9TUvtmjR9lXtUZ98dbNaxcu1Fa2goKX + OYCUUp4XFvbtm/q1b3/r6NFZDxBjIYJS+cix42Pvf6TpQiYgGJh4e/HOD76z8Ppr3/val78Qsrkx + d/7m1UutHRMCgYZlNFOIcZAU/p7qdu9tsuwa5xIcgfOss2ajceXK3P0793525tUnTh03rrl4Z2Hu + 4ietOkhDDDI4k2bTkxOPP/bokyf6CFCAzwSCOJsliTOm7fYrFhBovXx/6acrd956629PPvHo0HDv + retXl29e376/4xwywMDBuZ6B3uPHDp84cXR4KLKmBRKAIcpB7RbBuwe820MrwC51qxAUwdzb2/fY + iWOra4t3N1OyVlQGIpDO8mSqsDAwNDwxMRb40IAmkNtzXNmlggWUmzPsLeaUe5KDCeRM2ko/+uD8 + +2fPe8F3xkb3T04cPrBvmm26s748f+2T5bWbW7W4kSJ1QNoCeUPDg48+evTUqROT45FGpqFK1Z79 + Bw89/uSTd9e27390TQck8JrGvPb977322qvlcuXUE6emJkaLgdfY2V5ZuX9z4cb9paXN7bp1NDox + 9fJXvv7KN3+doZ0DOxqf2n/y9OnX3nh9u3XF1JDGJmvu3LvV+Is/+ZN333n7yL6Zbi9auDT30cev + +0CkEERoZoA4kMAYpFmaGWNce/13Aj8sDQ7PHDg4PjGxevGWsXl4IC6989aVS+ffPTh9YKQnktY7 + Z16v7WQq9551MBYU+MIahEAxQ7I0AzmA4AUj+6YPHZ4dn5i8sbjWqMUgD85euXL5yoUPJkb6D+6f + PnH8KJHUU7fVSueu3bx3fX5ndZmseL0jx5790tCBY+p4NfTgwUeqoDzoIPc+z0XuLJbF5h4In778 + sANbqN1LlgCOyFG+/OczmWlq39TJx5+YnJraaM3Xt8EMiFteuvd//C//8+jYxJGDs6Ojw7WkvnBv + 4eKFC8lmTXMogBO0kjTywkr/wKnTT06/uv/S/EK8vOksrIM16avf/+7f/fTHgwN9T546OTXZG7fW + Ws31+2u1S3O31rfjVrOZxa0jjzzyla985YXPP49qGYA4kf9HNSv00G0HHXTQQQcddNBBBx100MGv + Dv7TqduH9Xb/wL27YLCC5wNoZ47kCWWOoKAViOEcnGtn8BABJE5sZjPrjHF5bJCkqWnVW3Ci2xnw + UNb5HqJA+7421lgHATLrwBBiVsyKmMFQaWLq9bqnvMALGLAmrW1vZFlrc3NV+5o5Nabeijfr25kz + EJNnZ3NQLA2MTH7729/60tdeqVQQeFCAyeBzpohCP1CsxOb6N4CENDFDxCmtPR9aCLv61c8ADzF+ + eas+5M756T+hWHsesQZpVjkdk2fpZM4k4gxEgLZ0FCLOWcsiBAIzM5go5w0doNWeA2v/0OipJ05f + uHgxs+nlK5e2YzTzbiO7srL8UWvrMlLJ4rS5k8RZOyvHUlCpjE4d+trXv/GFL3w+8qEZWqAAJTml + zCJa++Hk5ORgX2/o3VAOefITiCECz+8aGxsbG6tWS1qjLV0Nw/6h4dkjw/X5pa0awCxtzRjB87qr + PQcPHKhWSvknJBGBECuAVFjuGx574cWXk1bzj//0z1trLUuOfCWO0GpdvTq/vXhjPoRN6i0rTSM7 + zVYSJwBIsWJWDMXQDI80XGoFjpSFkt1odxZR4rSYdgX7w9JTB+eERQgCJoDB7eApxbtULWBtnrgE + opwEEgiBPXjhc889t7OxduXOd5qJy2CgNWy2td24cq21uHBbZ3Zze7PlXKm3/Oyzz67eWbpx8SrD + WgBwMBlDfJ2nyQPO1eq1ZrMFwIkDCH4A7XEQhgU0t5a2N+tnz1285jMy1WhZ4wBo348Sg7AY7T96 + /NSTjx86NOH7+Qhqj8VdbxQ8RPzlDpochuHw8PDIyHBXV6HeaGYGJjWbG5txHC/cvuWHYepcY2vT + NhvCqLey1IGUz8wvvfTS17/5G0eOzHZXPAY8peAUwmBsavLY8eOPXZqbv31/eds1MgOXgVyaxD9/ + 8+csSVJfT5qmFNJw78DswUNrjfrP3vtIabZKQQwje4i6pXYCmOyZvwiArr6B7lJ5Y3k1S7J79+7V + GpuOkrRVbzQhDgR4nkfG11H09a9+5ZknTxmLQMEDFCxIckNPrYgY4hw0q0Kpt7t7e30xqW9QTJcv + Xrpxw4vr2+lOLc9jE4BJBWHw+Mnjr7zy1eGBKNTwRbdllJSrbnPvgIeo212GLi95FyIiBSGQV61W + Tz1xcu7yxxcX7sYpUhaQByeA4kJl9uixqanpQhH5CKT8CEEs2LU7Lv9Tu9LwXUWvYs/zgmD/zPSB + /fsuX50ziTgBxK6trezspFfn5pTLJI2brc04izMHIZAGh6Xe/skvf/nlV175q21gpgAAIABJREFU + 5329EUlulwqAgmLpq1//RsPQ7eX15Y2dNE53vVRN3GpeOP/J9auXxBiPyZi0Xqs1G63cT6NYKhWL + xbjVipuhYqeViqp9Bw7Nfu0bX8++H//8F7ecyyAprDKpvrNwe/P2UiTK1psaYKCvHwNT/ecX1uId + ccTwNLSnlNa+rxSMc1p7gA+bnX7yqdW1jZuLf2rqWdMRnIPSLkuuX51bXXCRJI1alhp0ldUXXnhx + caPx0aXrW7FDlkBnsKkiBIEPlyEziFMUSk+cPv17v/d7f/hnf/XuR5/UazFMCnK+729ubp0/f/7W + zRvMyKBT4a16K63twIrPCIOgVIgUbGpQ0Mhl/RYqdZzKpwwTPnWA9NA4d/AsBa59UCSAU+QUG9oz + N4boYunAocO/9dv/tfoP3/nxzz4wDi7NoJRfKMat+pW5i3NzF1omaWTNJEnJD4tR6dDs4ZmDB6JK + GQoQGxZK3/zWf+FU9Af/7o9T58Q5iAErZ9PN9ZW33z7z8YcirgbXahm1Xc/ijJ3J4FyxWOjpqWrV + vjxpTRA4l6fE/dOXxg5v20EHHXTQQQcddNBBBx38CuKzUt3m+Mc2TrtMDimIhRUQg/LsKM5ZAaIH + mi5C7gzrnFjnLAhMcEJ5Jg8TMxHlgd5kFVtFLvS5WIi6Kt3rwaokDg7wdaFU7Ovvi4qRdWCGIhUF + hSOHj9y/e2dzvbm2Wk+SuFFP6/UtK866xPMAgsmgAN9T5ag0Pj0zc2j28CMnXnjp5dnZmcBHbiqp + ON/uUqVUKheKoY9WlsudrIgNo6Cnp+r7mnbFmJ9xsSc9uJEHjre7D7UbkZT2hNiB7IMtbe6caiGW + iHYjyttsD8jl8khqs3AMyntnzxHRoVAaGx1/+aUXu6vFifGBDy9cnl9uxk3AiWs0lhtrvknZtbWL + rBEVSqMHjhw8cuL4ySeffe75fVN9mqEBRVB50hFZsHIg5YV9Q0P9/T3lItYaACyIAAVS0N7Q8MjA + wEBUACu0aWqle/sHHzl2/MpKk+rbTiyAfFChUOztH9g3va9SKmoByDkRhzypjEUpL1KHjz5CNmnF + ydnL127cXVla22nFFo7SRmO50aq5ljNIAUswgFKqWCoNj44dPTo7MzNVLkW0q0UUsIN25LWpWyGW + XHVr+OFWf3CYoYCcxM1l05zzhC7/fQLzg/5tl71DQRygod3h2dnG9vrN+1u/uHj3ylIzs1nOyW5v + xbXMFYQLxcK+A1OHTh77/PPPv/u3b96bvx1mJgPBZGJTck5RLiYnKBaBPGzZ4CQqlqf3jz52cvbi + xQ/uXL+8fn95J7Psstz6QVhb0MS+qUPHTjzz4ksnnzjRXUVumCwO9FCsEEHa1gkiyK2UCX7gHzx4 + 8PnnnrXWzs/P375zd3llLYnjOI7N+ib7nmMWY3yIBxiHkbGJkYnp8X0HXvziV596+qn+fs/3gL0E + Le2p3t6TTzyxUWv89Mwv3JV7zeW6uAwQl8rK4hIoDTwZHx96ZP/hxx95tFIsn7tyid7/CLlauu11 + az41ryTvnb0FC5NT+x49crS+tX3t0pWrNy4vr6xaZPmEYIavUOnqnRg7ePD4Ey994YUDM/0a0PmR + iBiQKCKCI3EsOUks3dXuZ555Omttr95buHzx3NbWttvIYA0byR2IoyjqHRw++sTnnv/Kl544ebxS + zHtnTw+p8uklhF1hLJHQL6luhYjAcAJ4Qaly6OD+wYHugn+3ZSFis3w4cRSWq8dOnByfnPQ8tM8Q + kGeutVOjdrPncmEmyy6jDTArT/vy1JOnA5uOnxu5eGP+1vLSTj2r1WvOpWKFnVGwjMwRoOCHmN53 + ePLAiYmpIy98/uWTJ2fKBbDAOcMMECMIpw8d/sKLcT2x5y5euXL91s27S0nq4Kw12erqMjkLaz3F + RHDWikihUOzu6Tt27PjRI0eiMFQEIgZrhMWBoeHnX3i+Hm8k9u3Lc4tbO2KtNUmaxlkrsz7QzcWx + wdFjx/rHR7UNuxbW33M7tbZHBOUHWLlnCbdnptIz+w88+/zzt++vvf3RhSu372eZQKykrXoziW1a + IHSX9f6Dk0eOHH3+pS++d25u7vbqVrwDa+EMO8OA2iXXoTQ8PTI+8fkvVLZi2zs0emHu2u17S43t + NRGJ4zhutba3doBcGa6EtRLnKdXX1zdx6NDJE4+ODQ2o3ROG/FM71vbBYu1YHMPkDhefvjKzkBYK + hHeHFRyzU9w+xshdn+F53QODzzz7/EYjET+6cv3W4vLmdj0Wa5r1nebOVpJmDg6KdaEwvW/qxNHj + L7/w4uEjs2AGCVjpsPDI8cdiy83UXb128+bNpbv3Vq2xzmatZqvV3CJpQYQJjuGIhaNCsdjf3/fI + 0aOHDh4Mg9A5iHM6v9w6x/8kd9vhbTvooIMOOuiggw466KCDX1V8FtQtEaTtRffw5oke/in3+FMM + K7AOvpdbWGpSFjDSlrCx2iNyNcSJ08xhFHWVykFmlRGvVAq6uwuBBzhY4wJPaVJA5nlhd1f3vpmp + +vbm9moto1Aq1aHhoZn9+6o9RWPg+fCDaHBg6IXPv8RCrSbOnru0sVFzQGasFQdwEHoglyapp7xy + qdI/OPSNb/3ai1/68hNPnhKG22U6CdCKYImU6qn2DgwMDgwUNmsxZ4olsKz6+nomJ8eDII8FB+v/ + TJvKB3rnX3YCzDWQnk+kmX3fhzA0OLORHxaKBU8pYWYwAAYciJiUgHI5NACA8w5hsDFOs4JiQOBS + FEuf++KXjp84euriY7//x38afzg/f68O0WQy31LRU0qsGBBQKFUKfaPfeuXbL37pa4+dflT7CIB2 + QpaARKxtWZAOAgcFP0LPQP/Q6MBQdW252TK+BTkhkPLLhYmxkcG+qqeg2sSaAvtd/SNHH3vizYu3 + bm4mqRVmBVAsfv9A/8T42MT4WDmnvUjI5WYWSgAHckK9QyNPV8uHDs2+/uY7P3jt73565hfGNSwH + YeB7KajV8j0oJsO+Y1UoFPr6+p9+9rnnX/ri5548Xe4qCGCtgSLSnvIjHZaDMBYGKCqEfqiVT049 + 1O3i2hJbIoJTcBBn2wbITqw46yhzwlp5/ED/COzZ3RKgoPxgZPTp554r9094//679Tc+vre2Sl4o + Srk4DhS6g+LI+OjXf/3XXvzmVyfGxnbub3x05l3lZ1lGsUKokPtkwAmI4Ad+6HuexwCBYQWJKZe6 + nzz19H//P/y3P/7xX595/Ufvv/V3SX3bJo6c8oNIOMqc+twzp7/1z37j+S8+pcNdw2Inzloo3WYQ + add4NR+KzjlrmRmed+jYI6MjIydOPPbaT3565s0z7777XpplzomwykRE6bBQhMmUsyF7p04/+dKX + vvaFl79c6RkMCux57SMGzqunmREVZ0+f7h8eS8Vrmne2mtdjS9ZZB0deEPhBtSv63PPPvPLFr33j + pa+c/ejs4uZmqVSIRVLWzvd9TSp/q+3ZtGuYsNdtxPsPzX79m9+uRIUf/s13N+obzbiWZHVjEiLr + eVQMqxNj+771jW//5m//btdghULkYVeMXP8rigHnYB07WE/B2q7+nq9+8xsjveXbVy/87//r6urK + ShK3HGWsnCbyPK9/aPjEycf/u//xf5o8ckKVOXfSMMYoBqBAWvmRHxbCCLGOrITaC0NP53baD53h + MOBgHJhRKI6Oj/X391bKaDokiYFlkA8dlLqqJx47OTY22j6RansiCOUd6XaJWso9mIUkt85mgJlY + +/Tciy+enD301NzFv/7xj372ztsXr9zIjBZ4nvIlS8laxVY40YFUqj1fePHlL379n+2bPjIw0N9V + ggJgYNNMBwRW8AJ44alnnzvy6OM/e/PtH776+vd//JOtnUZmjNI6yzISFWhtTeasVUoHvt83MDh7 + 5NgXX375meee7+2JtIYHwCmI0qXKo48/USjrvsGZP/jDv7p2faXRTKwjIh14UeBouGfw+LHBf/Wv + fqPaHb999vJPfnEepgbPwhpYS9LOIWRSqbGecxT65cGhU6efLHUPmN//dytbP2ulJksz55wfRNpy + yaPxsZFvvPKtr7/yytDo1E6qqm99sNG0ceoQ+gVfe4oAmMxoEKIi4FDwxicqv/M7v/XEU0//4NXX + X/3p61cvJ1mrTkoUw9dsTJY6cqx1EIVaaSeHZ2dPv/D5L371S8P7xyMFCBxEkWI/1FE5DOGgwSH5 + QTH0Qk28e9l6CMwq0kFXoQhjuYlQ4JcKXiEkrQyRbp8KECMqDe07+Bu/0X/42BN/9dffe+udDy7P + 3zDGOucYUih4ojz4QVd39dnnnvvt/+pfHDt8uFIqpEnL9xVYwYt6+kdefHHwyadf+O73f/jqq6+/ + /rdnGo3YZAmEtPIY5EzMZB37UP8Xe2/WbMmRnIl97hF5trvXrbq1ooDCjgYINLrZTc0Ml26OqB6u + TY1xhi3Tk6QHSZwX/TK96R9IpheZycZsTGYaM4lNkU00lgZQVXc/meF68PBYMvOce2tBD0kct6p7 + zsmM1cPDI+ILD4/ZZLFz5/bt3/z+93//xz/+ze9/b2trHrpuuVy62Sw57tjAsxva0IY2tKENbWhD + G9rQhr6F9BJ93fYdJiDDTwC5aIrpJ3ABnHAtIZAjkCuiiAAN4G7efPCD3/yd2XT/7z755PjsXMgd + 3Lrzzvsfvv7GfQDTRv3vAdS4ZvuNN9/+q3/3P37ydz//+VeXn1822Lt7/+jw/XfefOXurclEbycn + 5undowf/+e//4fvvf++rrx8/PTk+Ob14/PTp8cnZ+fmFc76ZTOez6d7e7v7uzu7+wdGd+4dHdxxH + 8zaYD1ICwITJbOvg8C/+4t9+8MGHn3z+1dfnckmznRt33n3/wzfefG02LzjwEsnsasVA22idWYaJ + R9Tdrdt3/vCP/uzmnYdfPTk9vew6me8fvPL6Gx89enRvMU/AL+fz4bG5WMAEJnAguEljLwjsAQG1 + W/sHH3700f908+jPv7j4+6/D45OL7sln4emnk+5s4tzWbLKzvTfZvz3dv3P08K2bd+7PJ0DCbQEm + AVrn4eCi4TBP0Mx+7w/+eP7ad//fL85/cUKn55eBeDJbbO3ffPXN9x69/Z6WIwCgBjTZvv3wh789 + 9Tdf+/lnj09OzkQCAa1b3H/jwwdvf7S7DUdA6MCBmYg4X+LEHtKhmR7eOvrxj370znu/8W9+9l9/ + 8fjs8eny/OwUp1/zxROHbrLYme8eTOaLra3t3b3dw5u3Do7u7u9tsQcDzntAbt+9/5M//rM3Xn/0 + 15989dW50Pzg4O5rb/3GDx7cv8UAE0IXugDnXQbXieAcRQsyBsMB5MAC4QI2TJ/5nQCM3b333//O + X/0Pd/6LP33yyZdfPj776mR5zF24Od+5u3d4dOf2zVfv7d27NZ/NfvKTf/XG7Vc+++LxFx1fLnZf + ff3Rb779CAHx6qQgF8vLy3bZJbNgcQhu2mzdOrz5hz/56Q8++vjTv/zZV5/9/fHXx8vz5f7ujd2D + mzt7N+8+fHR0/55v1NxPBY08++QwgYiRBIoJcEychHR7Z+eNN9/8i7293/3d3/3s88+fPn16fHz8 + 9Ozs7LINzm/N5zvz+fZ8vphv3b774PadB4dHd2kC8epJQLWIgBjsEAR+cvPO3b/8t3/5W7/zB3/7 + 6ePHpxdPjo9PTs8W27O9/fnNmzuv3L/z8OiV+e7+W+++/9Pp9NW333p8erJ0s+nerR/8s9+5fesG + xw5FAAdyQhyicSnBNy28ny7eevf9/+bmnR//wY8/+9UnXz/54uz8ZD5vdne3DnePjm7ce+XOo8OD + XdegUw0HOLSgDu1Fu7wkCQ2hESzbJahZQs7D8uDm4Zuv/PidN1774tNPv/riV19//bVv/GKx2Nnd + uXF4ePvO7VffenOyxeqDY8LwTYPQgRy2937yR3969N5Hv//Lz49Dw/5ge/vexx//1qsP7iSQLrpc + JgfXQDr4BvsHv/07v/33X3b/8//yvz09D5F7rlls77z19tt3jvaYIgofm0sVXtTSlCCzdDZCTP/A + +a0bNz766Ls37t/7yZ//9PMvn3z95PLpcXt+do5l64I0DR0e7R4cbrvp7Na912/efW2+vTubRFHx + Dp6nwGV0dQLA0db2zg9/67deee2NP/6z//Lx8cnx8cnxyenp6Wm3XDLRbDJZLBbb21tbi63tnb29 + /Ru3bt+5cXhLXZ9LLByBCM4/fPXVn/zk6PXXP/z0s7PHj4+fnpxfXLaTjh8e3b9/eHTniB89mn/x + +X8AyHsPB4QAip7LmSI/m8kkOpxhv9g7ePc783/3V3/1J3/+b45Pz7/44ovj45PF1O/OJze257dv + 3bz/4JU7d++5yeKf/4vfnu3e/MWvHp8GpsXuq6++8vHbrwDwjW5gdQCBHdgv3PTtt9/aPbjxez/6 + va9+9dnp069Pn359dnqyvDyHCDXT2db2Ynt3d2trdz4/3N/fvnVv//5rPAcBjlSDNDt7h//qT356 + 69GHnz5enmPRLHZv3H7w5rsfNGR+s9V3iYgLePT6O3/205/de/ThZ8ftSWh4un3vldfeeOvdg33f + eECCtEsiATXgZn//6MMPtg8OH/zRn3z6y89/9eTpk7OTp8vLMxHaO7xz884rk9ni3p1bjx7eX+zM + 2AmTty0dB3a+wZZb/OhH//K9dz/42c9+9uTp0+OnT46fPL68vJCuZaDxfra1c3j7zmS2tdhaHN64 + cXR0dHjj0HsHAZM6iifvogrdgLcb2tCGNrShDW1oQxva0Ia+bfRSfN1Wy6mRlZV6jySzmIQhAmao + yxmQbEU6EQd4CBaLmw8fLvb2jp6ePjm9PIHDbHv38Oiun8wVWdSb2yEATxcHNz/+4fffffeVT078 + l+1ctu7u70wPdpu5FwJEOghAfrLYu/vK3t2HjyDLy/bs9Ozi6fHZ8dnF+VlLaJrJYrGY7e7MF1vT + 6XQW3cOaF730Ty1V4Txm80fvfefhw1c//+rJ4wss3Xznxu2dvYPZDFB3BvwNLDUre2YDcCXDZQpU + g3i+tfvOu+/fvv/aV09Ozi7aTma7e3d29o72d9FI6RuQpPhnJ6c5XmPmjMkAoH5zA2azxfzoO0d3 + 3uzmX19Onp5cdk8/7Z585tvTaeO35/OtrV23dZMWN1oPochDl2wS0YE6Ne01lnrQZPbGO7/74L33 + TuWLczq7WAaiyXQ+2dqb7x42W8x60B0QeKIpdm8+mO4vbj/64Kw9OzsjCQ7Suq3dO6/7vWYKOD0a + LwIioliJoHxT/xvT2a3727fuP/ygxZPz7vFpe3JyjPOnfnnqKfj59mxrdzJfzOaz6XQK5kBa9NQI + NN/de+Pd9+/cvv3e8fLJeXDz/enu4daNIz8zqabokThvbqjUU9VnyC6BqiF4fcuABwVIB2JMpzzf + e//gwZvvNV+ennx1+vh4ecKCm/PdW1t7W3u7XYNzCCBvvf32a7fuffnV08dwF7Ot/YMbR3OvWxgg + AqTt2rZLtWEIkzjGZOLx8MGt1+7d6t7/4PGXn548OV6eX+zt7u3u39g52IdDB1zG698i3lehKlQU + HhRvRUtPmsnW3mRr7+C119/o2vbk5OT4+PjJyel5F8T5+Wy+s7XYms3ns4X3jR6mboFlLqVpHK0F + O2xNHryz9+B1enohj0/Oj0/Pzi+Xs63Z9s50e286m/gZZmjdzaO7053tVx699vT8bMlNs7O/d+PW + 1hQSQEEgAW0IQsTOe/ASQgRyHTXw072D3Yf3dt9879FXj798evLVxeXZbNZsbc33Fgc7sx0vaNUd + cYRuO4dLoAWCY5p676B4cEBDoeHgeb69ePjg1juPXj19/OTpl48fP3kq3jeL+c7uztb2fD5thHmJ + 6M3BA6w2tcSYLh6+98HOq2++dXp+HLx3+/PF0f7ubHuRtKg642DHDo6wDCDCdLpYLObzmejpB90D + OLh5/5VXb9+5s70FR+AAR2BJWjne+GdK2/ywRI+3bHA/MJlMZ4dv3r71BsnZpTw5Xj49vjw7uaAu + NOCmof0bOzv7c2Ef3KwzOSGTHMBBkuwLiDHhW3fv3rpz/70PcHZxeXJ6dnx8cnZ21i6XECwW863F + YnuxNZvPm2ZKrth2Mimz3sbTxe7D127fvfvWyQkdn5yfnF5cXLRNx/du3N7bnmOrA345eTIljn5L + zDWzOE5HGYic+pvuQISp25ptfW/v5nsfhJOzi8ePvz49PZs1bmc+3V1MF9tb8FMQI9Drr7+xf3T/ + 65OLM+HQTPf3925uEQFwPlq+IzoOYnI3Dnb2DnbeePO19rK9ODs5efr47PTk4vyUCK6Zzba2Z4ut + 7dl8ez4nouDoAriw7qAA+mJ37533v3v70W98edJdYOamW7Ot/fn21sQVDtGVSeQODu9uzbaPHrzz + 5dnyVNhN57v7B1u7e1OvruY70WsGxQGEyXzvcH/v8N7b7yxPzs+eHj++OD9eXp4Gwdbunf2b99mj + cWhYnbp35NVTiplxExzjwb0H9+/dD+Hy9Oz45Pjp06dPl5eX0sFz07jpZDHfu3HDTybee6esj0XV + faCeV6ANbWhDG9rQhja0oQ1taEMb+nbRS7S6rX4MjjbSIFiF8wBIzm1J2Oyd3MTv3FosbtFt4ZYc + AlwLApoKHVJyHovtue/u7m0d+IPlxE3VrSo6gXSdkBCr/1bN1XUT30xm0939/U5cCJ7gCWBOdqi5 + Lgr5DZaODAgmc+end7YPDoO/4ImfzWAOBx3BebCMsONlEJkZ3IDTirmQwDdTv3d7a//wCG2gThp2 + E10GF7AtCsQWBgjES7agR/ZROEyMZ3Cd3qU1cc3e3O/MvT94xV3uMi5BDt4DDrTQKIoOSkoXbcRg + DRJWczvAY7qD+fzWzcUtnhugHJG7JaBGtC2jI/bUYLLtJnxrx+2DEULDAEnA7ERwRvCiniWlqEeE + oAKwDPCtRMDbiZv4g+l8Zw9d2PdBHJYKu3Yd2DeUgJ0KvhcAcA0WO1uT6dZRA56KnwdmLa2ymJ3L + B5YreS3aq/osugolxIyj6TqpM1WGd1M/ubs7OZCDC7QIYYGmQcQ8GESg6WJBk/n+4a0z5nMPx4ii + KbEGrUiICFyGbiXQ5QUgmHpsLaaL6UM5aqXtJt5z4yQaZKJBcAg+WmeX5S8rOdJNMznnnNttmu3t + 7f1lK87DN977bJAs0UMCmf0jJzlUN8ESbbhBDrPJzsxP51tHzvkJaSsHLPXWN0fAzO/MD3YOD0DS + El3qJYdAWMJ1S3QtLpdtIHbNdAa31C0CCuSEvBDYYe4xm9+4gxtaKUFwcE4FVCKWyXpBGTogwPvJ + dDabLXKHc85NJn46deqxlWSxu7vY3r0dsOzCJdFkRs5w0oRTR/kRgjBcg72DgxuTXfbnaCCsAKiL + iiB0IiEIOdUNDNGS0v/3t5/8x//4/5ydolOAEnL7wYPvvP+d3Z2tiYMDPKXBQKKb6bwzls6qC7L8 + Q0DUdVh2cIBzxH429c3U7x240GLCaAggSAgBy8BOas2TwTg1kqX6YANx49n7yfbW1s3Dw+WyFQlM + 7L13zEmJpV4ZBS7vdiQ15ZvpfH8y3d/f6wQC+A64QFRq7J1vnPNBvU077SGdZ1FnGrE/seHLah3s + 3ZQ9sdvb3XHOkQSSEPfzVFk4t7PbLPYWt4HTFmcdZlMs0q5DiUMWn57RzPxitre/tyOhC10LCMix + 92DnIuBLsLrlVmBPi53JZHK074+a7cAuRBFMKtdyISZqMPETN7u7c+sW85KdEOstoIQOCARh79Hp + jgRDXesQJtNmMm329raJ1Ek0X4TJ5RJM8QIxQSfa7UhIEHMWdB3YgRnseWdntlg0h4d7BHI0ZTfX + MBfLJTlyTFzBzFEYN6Dthja0oQ1taEMb2tCGNrShbzO9FOiWBivoAY5Do0YztSUiiMmxYxZXhIng + DelRfTgGS7EaFUEQcEQ4HJrFtNkSdnoOnQFCxyBmTdYQOGGEAO4EeikaE/lcCUGQEEJwzryD1gtg + QzLsGTE8N+SFfTDL0BI2fLnXlBUWjLZ+T+DYYN0LIpBjJs/M8JFjAUHxrJwgK6RX1SuZqVK8eyZm + qgbUZousfG4YmDR28Jkiq636zkWrW7NbDYZvCyIe58EzEMATwKntmxq+eXPKK0C85QsI8Byrzgwi + R5AOEoiCJ54AXvE+MYRHpBRKcg2xQDpALy/vgM6RYwIxxX5B5AwiEj1ETWqvWAougzwcAQ2ooXit + GIIAHVoCcYhwxAoAM7XbOLxfobcOcNEUTm0hBY7QwJPCYkG7gwgFQCSolR9PFHcCIAgdWOOyc83U + NxMm2Gty5Dz7xsE7MKELcAxHnp13hAAECYGCIBACoaOI+LmxklM+BV/WRe8uQ7wMCsTsm5nzgZww + q5Fd0KK24IAOCB7BHK7ELYV4hZ1kIQcATBonRCQIkeOi3nF7O0faRlo451X2pqCFczMBt0HxTUAQ + yLNvQJAQpVY4gLJVYUqQFAkkRAXQdli2J+eXj5+ehkAN4Nh3QS6X7fnlRRc6ohCjBkHbsW+8hyLj + rG42xOs9jmpwC/KAoAtwDuRBDUPhX/tHIAlE5Ngls0U0HpeMxyc///knf/M3v1h2ivwxgIevvfrd + 7364s8We4LQJQ4j20aCkUq2rlns96S/BTwxjZnVhDRAxXGNbVgAROzR67r0PtkraSwCgAiMiEvUW + q608HDM1PnaDeIIeQfVYMcJEQ1nVFmTqX4AgaioMNkmZ2F4cAeSYPQlHXFoE3TJcXkjX5moi4bZx + B4qZJg0TEcVdBPVJbd7CSY/6wwFTD3WxEptEhIIg2CZhHG8QAkSv54wjkvp0FoDtFEX+r7JHGk+3 + OsnDAezj3qSU8m6amozPIWKyjiFEAdDuLOiChE5vqiT1b+6sdYCAQOhCx9wxB2Vc4+PJElXG6SI7 + 7YZaXJfdfgeCeEfCDiCyWwEBNL4RFh6MlMlbxwa93dCGNrShDW1oQxubsoQCAAAgAElEQVTa0IY2 + 9K2lb8TqtoapVlmcDnFe6AlaSus3ESCA4r05IpD62hUFhEUiZAVi8JS5SYH0vDXDO2YEw21DWtRH + 5EBSgRXlIyicEC3pSC/9SUUVM1VUQzeFlB3YMTgU2ATF+7gKE6kXplTUtJrPcEjmS7SsNIxbIZV4 + MVlkA8UleXFPEyUwSoq/+iVk+14y4CuDvgC0pYyzaS1vsexEOdBGM8V+hRxoYtALZ4APwuQ95bvZ + zWcCkRlfW1UCQge0zk28OdXNZoO5TFprBjUAI7SQDtIBLYGIkn1nVcAgohzVStjhapCoNwOG3mRH + QiCnxSeYqAxoDKldKSAZvXVmeKtYSNxQ0EbRGiZEHxIiV5gcUaO2zyGZTVLEVNiF3Izq+cBMGgVd + B+fBbMaIEoCOKDBaQaftSNED7aqi118TfCUFe4jVjDJESTFUTcyQ0VB3ElCE4DnAgcEiCAm6Vc8Y + EdYGqV5AAAXKSCJEyAlDPbqqPwcGOUgT4NqAtlOYj0EUiMVcbSRSJRHSXgbABInuUAOoNYty6uC6 + yNiOwAq9CgzGbFs7VE7atiGmF0Q6ii5plSkcfZWQgBzg0vYVJdfUIkBg6H2DkAAiApGcX/ztz3/x + 13/z959+dtlFuJgxnd5/8ODNN9+Yz7IHavPQkPYmzNRehV2s9vluN5jzawFRAIWoaswSOyO1bOw2 + GDFmoFifQaokuk0CkAhBRMFoAtQU2xqwLlFKLWsdENia1UFc7I/pnwqsqPcUz9yo5WhwDiKQlsOS + Q8c5+cLHrxoPE7EjBJEg0cVEdPFsBTPpTdsaWvdOhAWs7j6S3CbPFBG3VhFRVyM6UHXmeyUm5ZKk + sAglReTEGodt2yx1Oy2aBCLbAZMAsDAFg25bivizODDYV20WG1SCbmNFx8hx5EgwfNzXJHuWeich + bWuaUw7dDoIo9yuD21heddywsbvd0IY2tKENbWhDG9rQhjb0baaXBd0OaYgmrg+sC1QzqcpnddUd + KgehIBCwXnGFtFBnQEIXOgodE4EbIQ6d2Saiperyp7gQlRDIOYIXcIB0EiS0CF6N1xyDmclHu1Ey + 9Laukq3rpYsmVNEdabSnUltEorzkfxnLzwhZZNd/q2w5KZqPKt+CCCDEgcAOgMt4YOJKwZ68Ti9f + SUYBKPEXAkgXkdPs0tQQWC2o2YEZzt2DXNIDn3MSMVs/gAIRmOJpfUNA4kFaBqBGdQHUSYcWPHHx + PqsiC8OfUzt2cC4CoC2CgLsIiZBTBIJUOAQBQdT0O5uSCuIXAjl0kE7aduk82DVM1DDM+m7Q6iWI + WbfsoDXygwgTUwMnxl61DZcQuc8dExOcmsERQW35HACwcOiEiMgB5BVo7gK3Aa0oYqioTBvCUpJD + VDsgrjwWBI5YMQcEgVDeDykLv1bWCWCH0AURdF2yW5QQRADmQCQUUSESMAPO3JUIKIju5QQ4gNkJ + QjBnqQFQg1sGQ1wn8dqpaMZrngeCEIiF0EEBL0QA8bLF+WV7uYRAs2cR6boOAkdw6n5ANYbJOiGq + hu4yCIEnAaEDE9wE04Vf7M22DwL9YollCAImR242mXjnBOH88mzmp3BTeK8onURXsh0QQePoe1YI + cGBo9wViwyke7XRPhgJALNSKtEIswTtAul99/eT//Pf/11///JePT6MrBzC53Z27d2/fv3c48Ybb + Sgd0IJeaXKJPCbYGlbINYwOrzWmUwOjhJEtz0nsUN1toRDJsl80OxnOOK6I7EKTwnSG9MVlSXY0B + sCcARAHKRu/a4oAQm0/A6exFBxCx937C5ByR+EZaAVqPziEUm1UG3UoCIwlAF0LognOOOfFBm1DU + cYWkbUjWHSD1JcBW2QzrsmMQJFAXQggCErMvDgq4BmGm6J5EpRba/QSdGpYLi4LeQhawOvARREII + IaBhBiEEtNIGCtyQWsCqy6BYA5iZdGoz82khQl0gZqICbjV1B3OYHB2wU9qgiZsdOTk9/yEBIPix + 3R8iglZmQxva0IY2tKENbWhDG9rQhr7F9HKg2xqqGUcTh0+rRR9EAoVOyOu6WH2h2mJQosWoeSdN + KQhRIC8IQsFBCOJF2HsA0eyKzONejuZA5EBB4OOxbWK4eFjTLHa5ONCfb3pJh72zCz5mEMDRyIlz + 2ZJdXAl1vBAZMnoFv6VrQSAXMQICXDy9Wtg/FZQeDkwLVxFlQz11txkN3bwdsE2n+w0BirCGGSxn + azA71KwQL02MwUg+EhSkcWrnKGZoWuCyTKw3woHIwad2HEC32fDW3JFyQ+rbWPG9JUQUug1qmaam + yMSBFaXLJ4INQWIihnNEaPTYOBMMr5XVjV7zVhIKXkCfVAYWZVmg6H0y3t5DvrBVSyfwnYKYBHj1 + cxEchJmESAgCJmGIIzch9gkgdzPXTMg3cA5McAznos1g6IKaCkYUFwI4H10lDCtpokTpZ/2GQFz7 + WBA9ha4AHQXtcYYZJugmChpIwB0IEIawc9HwlhKbiEjS1krV8AIwE9q2a+HA1MQo7OAnzk/ZNQFg + B980rTjH5CAcgFZBz069bRMQPXxKNBJ2rL5RCdyAAkSwPP/6PDw+by+DOrAgBHKBOKhJpcBB1NGo + kAhzsnwGiSOzRyRRLdhx7HYJ2gacIHYzUTw5gNgx6x4XKIT28pPPvvhf//f/4xeffJW2TeZb2+98 + 8P6rDx9szaNfEZIO7TmoVXclASLRA0fsX2ZUHHG47H7UXCcLQ4qNCsrwZiEOBt1mLaTdPFloZpAu + yo+1eMw5mmumUJT+FJ1cDfyJbXRrrEA6fLQBjrVu3QWo6zpplyKBQgs5vwSBsWw4eApm6EuVxhJJ + EC6zY3LUx6QpCkJRJR2HmEDkCQEScvgQEpJLRI45n4RQp7EkKiEleyPgzeqlwwzAJXrbTQxJY4Vi + 3Zy8yQawQwMvFEAQO1USy8EkgexKx0LfMjx5IZbYR0siwKkg5uZNt9oBdvzELikFETsGUkdFEdFa + fPUQtKENbWhDG9rQhja0oQ1taEPfGnoJ0G0ys6rQxGydVAVLVK/6BKIr/eTaLhTH6lng9QC96KXc + ap4GAZaK5RIL4CTEE/QMqN9IBliv8EngmKGFASRwBmgyEK2m4sFqKSoWI4lhuWqRiQgK27I2ROM9 + jRMEInpUW7GJtZaI1yfJn4W9Y73mVdeOLA6G2BYvC9vZIhKNQW2lGa2FKpMyzwtIV/Q4ANF7QInb + ZrRGJIIW0TVlzyBaorEWGQJQgiZirjcrUKIAe7Q5oqvaAu6jWA4hJse1q11PjiIy1SEoPjVpmToB + iR12ttP1ovmrVZ8e5ScmtermAmoWgQQiBKJQnIvuNWKxA4FC5rRlxfxg5qdqnUZxMwOBIjDkrTrR + 6FQ6hE4ht8JUkIiYiFugFWmEwF7Ig705UxVBIO6AZfSTQOabOPZmJko7J+qN09n9WEMalXXptymM + l+aJmEokuICys9TGvkYtAHVoGoEzSTaBCAGszkcLthqAGE0fKRCsPhIhSPJT30xjA0qIeGho1Y2r + SCDuIK0QEyggAJ2EKADEFBE7coAHBSzptPMy2RI/A04FBHJeKFwupesA4YaFQegkiNDEDeS1Q0eA + F6YodIwQ4LhLmkw3GOQSsbQEjgi4BEjojs9OPvn083//H/7vz3910hnQurO3+/HH33314QPzlhAg + S3SX4A7s1b9KKNifXQVLllzTFWyqKN9oppbPEt2/5rAs0L0DxVHNRar+UrNuRUETRixZAxGSaBRJ + Js2X/KtEjSdgUjWg3hLi/VlBb65T97kKZ4qwBHbUOLhu2aLxXloOlywdKxJMSOccsppM4xtHCcq7 + UkgdN0DEWUc2wNIGjiSbVHnRTRd1SVQy1qMydhzLIvnuSI58INtQqrmTikWpF5N1RDAgord4xnBF + bCogeEkpskgc1GJNcgsntLVQwPmiSjInvRChZLhu+VlvL/J7GWPmhja0oQ1taEMb2tCGNrShDf3j + pm/OYUJavsaP9LcfxNbnxOSLRWbCaoF4c41er+3NnFIE6plUInrro5tLBiEwlhRtIJu4AC2hMkIX + EOCSlSz3UMZQFJf0+GgVX9JXSSVIMYKga9uWOkzEwXnzTPhS1qFiDhP0V/kGhn8RCCFf95MqjQKT + zkt06jVPXNgHRihACdjCm6tGLdIUc6qrFr/qqxTmzzOBbl3EyqMhGhepRH8IAej0/DyI2bFaGUb4 + MHmdTIfoJXRdCBH8h3i71yciJQraieJRwU4SJ0a46NWia9G1gLRueilNK2C9e63P/AAEibbWJKBO + slF2TFgC0InagjMzMbIdXcWy+ldmgP1Fid5CoUppREInXVCnlXaVGoBO2d0uue3IO0QYTKIfXPJA + aMFt2xKRd5NOWIidb6iFyDJcnnThou3Ozs7Pm8lMnZ8KLiFqCWr20Z0EgRA53xB7jEO3NWnnUcAm + 4a/xVcGG2OuCgEUoodECBC8kBkwCEv0dw4M8snCDBF3AsoOj4Goeq8bogNCKDxPvAkIsehfQtuiW + xL6ZzoRw2aIL5yDfXV6E9tIhkGOv6sTUwxIiXRfa4NAU/n5J7WkJzXmL1s/2bt2bbf/CuRN0BG4a + cRdPT9vLS0CccwB16JYheJ743OYChAC0EAIaYQ7RdL3rQiBSG3CTjxbhHG1AAMjrgXwAQbBcXn71 + +Ou//+Wnf/3zv3v8dBmitwS/f3Dww9/64aNXX5lGxdRBOnTLyG71kGvimEyfkRFVbVKrrtmwqplw + QBDpJIACqAMHgmuSgSZFJxsY9odOLTnVNFUi7hk3KrRrM1HcE0seVQXQ+8VERC2bmbgxEJURJHTU + hViXwG3L567jOc8xaSATSEvcONdMJ/OJn162AoJDG5ZnFNq4DUBc+O6u9mEkDg56Z1r0AsxETBI9 + aAuIvWPf09OKGafeAQi6zq55c9ZXAEGIXrStDaIyE0IL65VJfRAgIfpNYETHwaIHSzKnRZHa7Igg + GJxODHPjTQTyCSzvEEIIymUKYMV5vR1RSfB9b4wTCCMQJbcq6t4GXRdCQEC8Nc+RkEMeP9NAhprd + G9rQhja0oQ1taEMb2tCGNvStpG/CYQKAEZQywU8r3uvqVJ0bCISJZgryCOlNPOY7IfkuEArwUvg8 + jU4R0QWcCy5ZGmAarbvMWiiu/YWJva0649I7GomRgxRsSbBkAavEfxTtpUQi9Ksorq6DnaeGCCEd + +v31LUHZsV2GFK9+SshDMoSzg/+FQwmULRTseqcO2WAxgXTpMLp9kAAUQC1IPSioQaaBvblsAgpI + R8OjHwxNJwQEjmgukQFZyQRN3SISgdSA1CBAdepLPhpS9rHsEk0Qu24tYn8cvXkm8SCAPDt26kpW + zTeBCG6IRJ7UgsxmM5rgE8X6mR0pxqGGexnx7oHkxa8Sbewj5GIMEbAwKTos0ZgxQSPkvbMmjxiX + pcJgIYCbhrGENEe37/7ox/+yxU6gRaAm8OTw9u13v/P6zs60cYHQEZaEjhlMrqg0O5qkTYteBdZQ + 5EJ0eUGA7sZYB46Gh4Hgop9mTr4I4EBkl3sZK+LWgCSDT1hq3oOF0Um0RJVOKNpQK+LErdpeB+mo + I4KD83Cz733/BzRbfPTD/+xCECYHmN/4zsf/4uMP3t2aMlqBnIOX8AFO3QxICGjcNF2SB5G2a4Pr + xNEUzXT74NG7v/En/3r26rvf/+UXj1veCs3u7Vff/Z1//oM7t+eETo1qBcQTA2cRbV5Fb9zTQwOJ + wwR2Pjg7xx9534E65ZmqodACDszgSXPr6Nb3vv+D//a/++/DRSeThmYTv7V1cP/1jz7+6MaB7d6E + FtLCq/YM0YVJcYIgZiWUZBjF3kJUeQmRhGOCc+JJnW0XHVCE8zaR5N0jAeJFVYpeRpfMBuUnGE90 + Wyo/sO5NiHeniVAXuugRWNQlN1gdTzuA2IGd405aNl8UN27c+vjj7/9XP5N/9ttfnzsftrb2Htz/ + 4M3X33z9tSzWAyEn22ExJDuWjJFwbylEWnobZLYXYgc5stdeUxHqtKI0tUXMjgB1uRC7AFFA3KZw + ADM5ST1FzJe0Fih0oQtd553z6nRFUmvmDS2I7YrFtu1AHViYGGAW19lFdUQgUm+1vpRIowB0utdF + Vjp1scLqycjul4w+cPL+VmbSr3no3NCGNrShDW1oQxva0IY2tKF/gPSi0O0AhErwU0k9S5zapDDH + 6oBWAASG3ZeSwtgtNcgLO4oWWHo4VjHSgABcApeEC722Jd+MQrBL6ztRB4i5eLqK7ggt0EBcgUaI + ectUBDOjb2IF07+KKelKlREYFI++dl2ypXph6iOsmXkFvqM/KTrkzaHNei2IRbCzqlw3WkQcgA7U + Fggq1wBuCh5BmwDuzLsuomPHbG9roFuGbgF2iS9ScNVwgdQIES+VzHUIczKZJmKiVo0EI6SYXDtQ + zl5b03yHmk0n4vFyfWB2wAJI4SMjMjY7TY3eOwY4FhBS2smujfpNNg57joC6JdAFoLUNjij1tTNQ + va7LkXIhWCETK0IqLOBAfrK7/xsffnRw6zVyWx35Fjzf3trZm0y9EJZACyzV6wjI9QsIY19xX90q + yt3IQDaKDSJQhLmw8qTY16zzq/F8boHMmZCw3iQ0Si4dSjdANxWOEf1f5AQAYpAD3KPX39i9dfTe + xx+3xKHZd4vDncPbtxdoWuDyEmhBZkpJjhCt/usmpE5YIC3YTxY37rz68c7R3UfvHZ8uudkNbme+ + c/PeA3iHgBMtg4Cd9plgyZAo7N4VDRY7teMsXjHbFtxCHMjcc+fyyGJ78cZbb/3pT/91gwlNG5pN + /PbC7dxodmf59sawjFerqTsTrQj1WtusbyHpadpcKfx1qHcYZginGFZ4mNeB0mF00vLEoKINC3tO + VRwBEdfNWVPUaZLcS+tulbU6AbkLmkNaAUQoBOlYvbJs7zx6tPMH7uDxMZaTabuYTg73H9y8sceI + /Z9IdxbUENyyqnx+pNGqYr9tLkCCXt6l7FKUMm44WiNbQ5fdiJAqoC48hEza1cJXu02xm1jIS51a + LpwCs6Jer+F6LZ1UlbokIrSktvwEc+FLLLZlAujOAYmDFCdRIgVgmXtZdFukzrbzLqIUnqgrKu3x + 6+nChja0oQ1taEMb2tCGNrShDX2r6KU7TEirq/5iq4DvegBVAaNI6IJAPMG58mowIITWTG+F1cVl + stSRnLhezu7U0SG7sBSREjVV4yhmc+ZItlhNRz/Nx2LhnZaKyHarTkZK7YclpSe5wWZCFW+h4ZcC + 3Y4ucfsv2tAJhF00VKwhQhJQGHi8rZrH7qrJrhxzoEH+AoSgFm0E5+o3FXwa8RXFs7lkub51Cq8U + /i0VLolgHfsSbi1uM6vLosa0lIy4DdspLIXVu2L2h0ucjwyDErRIAsdROBQcYjgGgNDFe/SEEeJF + YZqWQhqhTQVw5Mwj8gi/DUuq2QTUIpa/tS0kBNcwOZXDZKSnd2kZRGJuIiShWoaNUvTfy4AHu73D + nd2D+wG8DLjo4Ccghy6ceQ4cDSZTSaRfmgy+CepNgj4JQhCBsB3cVsRUkA9tG2ikmHmgWL/MOQE6 + gTNQ15kAUW7byu0ogZzZcDMXPqyLRiAQOQcGOsayw9bu4d7B4YOHlyEsMRM/a4GwxHJ52ZBgMgEx + cCmdiAfDOe9lKaQYPoOIPHsgdJAAaQO5ZrpzY3uxe1PEiaMO6AjstIDC5HQnI6jMRayTAOZ4SxxT + 2UdS8QUi6qggVZwQAkIH6th5ABLC5cUFTWlrd++Dj7+HzgnJJTqZ+OAiMB8th/VCKu8gHboQj+3b + vgT1GCaV6+axRrfjC1rQTs14VTEkR7dJ81fJRzcIArNdTWImIhKiG9cI8VIVKStpUk2raQdz/1oI + cUDw8CDbCXEz7Mxee/c2ZNo6nALnHlN1gBGQi2nxuxC1mLPzC8UAYSXKfh4SIN2HVU09plSiF2aV + hWpTQAQSRKQLJOSIgmPo4QOOxxeyfXIQBLGrI8V+MBERg4nJMwfpOgleBU5InZoHRPScABfx9QBS + u/VCFuwIQReiQyJlURoq6u0DGAdhgHN/DBF0QcDkUNfZEouZSsqmEIsqk16qK189J63Pz4I8R14r + tebVGY5h2rkQppnXBH4xyhMDKWZT6Z36PyFB7Ie5NHmXQbvmYBjHIKWo5cfadchAKl/odGGga9Ls + pJdmSAWPN5Oai6rkhRvxooUUpXLGFRBsn2glrdmUKFg0qGgOIjZpHebS28GhwauxWdzzU1/mRzaL + qsdjL1emfP3wK2XgBcP3WZYFIP6ywU5F1KWGKaMO+p3UfKMwaM4VbCxTyKJLQPb2Ft8UYvxszf0M + nJR+pcoSxVHPet+QhdWvtapTRr7FRIaRwrC5LHDJ9tFOJnkDurdzPFr+QhtIed3mSp6vqeV1pP0F + 9fdQZnpZj6S8olijY9YLjn0vopSuM/I+hwpan8Jzp/MiVI251yvByi62Zhiq89IfOl6+xJnV1WPH + qK5Ym9AgjbFIUoRcMVKPStT6uo/oipdBzzerLKMnWtnB61lZIpaaoQQY8EJjHE4LuOL11SpYQ3Ax + CEo8YF1zMg0wCsJYGHftjvBPil42dCuwvlDxU1tAMvAWw0rUQNZUCu2Infi2BBiI15PV81Hpz04F + IMMAGWjY+Vpjkd3qFA9k29NAQMSzyIEAV3TtiL1FIDAKWp75x3Q1FMEThJNGIMDbgviFOqEgV56r + jGteQeDYGW9yjsZ2TjZPFo/66RDMAyzrpeFF6ozktxLWruQVVa9ZiszGnCwx2Fk0KtxcgBIP80qO + c0fV6OZuVVMvFDyRWX9ygjOLSmt1hNQm1VlNLEcPN4u3l5EDxIMIaCgWPhDUpHcS5+TExJ2NZJyP + A2tyDs6DWpR6xY4Gp3qVzVryVr+LVbgcCghgHyCBop1q1o+6U1GhfwQgUCxbAEdbRJdR+wkgYE/M + DqAA56LsB3Z2oFnvcvIAR2ClnL5JaqyQGnR0MCaAeTCeRYBKkXmxHgZAWPc/yKlv2SRB0esngYGJ + yVdmZu2NGoA3U05Kh7LjSweAoTbfBKjD1pmh9fAOBA/AA97DkQcU01TAP6KLAY48GdQfU8o5slNU + 13kHgbCZbQINwYcJkbTWsAFgZ71cGpC2BpOauieR1VpTufXhgQmIdfsERODAYJDMJhPWvtw4dTnR + wAcXW8truxKL2yJegjuAQQ7EDPHWi0zXmOcVqh2gWLl8YZdLKBz/qlsaSscVtN+mHk8pWuZiT0dS + 1BGcFL6Kcm7PrP4q+21EXDQ3O5gxJZBXhjLicEAN2APwhBnp1W2mrrI0xbI5zg96Q4A2nj0h3b9L + 62cu3NtW8WIF7crMYrJjnBewkFCTK0pp28IufDPms/IBJAA7sBr4ppISogsOLtUpxXMiYIkImcky + ArhDIMAhqjqiCNpaOkVprT2h0dEkm16Cy4iWFUUbJS3si6UzxflZZFHGvyl+dhXXy8Eg/9VXzz21 + y2ueMt3cCDlUGSRrwdXjfQn91dPlQQR7TTk760pSlK1Xf70JLhfJ4CXkHv0cazAZ/NR/XtvDbqAD + KJ1gUjSrU2WWMFHIUmXYLrpL8ikVCAWA1HabgYkUWZJehBrZYOWJBYyIcTCzbsQba/NOp7FFkm28 + caYDLoEG4lUlBYQOwcORUPSyRHq6K5LTG1mDbvFKi47BDo5s3yFk4EoShyRXMmtZLa2pw8xiqlo/ + 2BW+PiqqSsA7pFKmDo7ESX3l8nTEPntN26MsH5XUVNIng/dFmw6Tp17gWrxR7EZR+b4oB9U/JX+F + GR+Md/7iMsWURQ/ZiHJFklmWG0Mv4rSWCw4tZKlrh6SVSAToQCzF2tJIN0vN6zuTtpmOyLD5UCi7 + atVeaYWcAuvxCF/0mg5YAg561YdVe9jT69+jbTgWsOiJcX1P8XJT0UEgroH0jhJhPQllJ1ck6gqx + TZ1CT1X5C5B6TG42iSEJvWYea64iKXX+pt3O5ddZoWvfB9DYRpEALXTwEgfkKZwrtEagENDFPis6 + /XFSMWwNY7HiVaXRi/FkfU9dQ9WQkdNMwwSVGw8olVUqjUUJhTiU9RwZR1YPM6NqYTyRFZS68cAU + aawI9X7b6uxGVEav+fr9qG9DVNOzTjtWUC5D6hUjufSfpr7TE/ayZdOYmyoS80pQm0Av3I6dnlI8 + Wl2SsdKnryZIVQ+WFVGiztNCpplCjE5FREFEcigWv8eQQuJRXxqUNU+hYEvFZPnFLlLt18YAQeLy + 1JUcGqWV08KVD/tMXpugrPheBaxlurhbJj5jQbwQW4nTXC4qCRe1UWZRJwgCDnC6UMxTK0Rt3dnh + 4Lyu1IOeeoM6MFHna3Kp42lxUXO8rahT7Swdwjm4AXE3RHm/BfRNXlOWyGTPMLrc3KqD9FonHfCI + uLI4MrHgjAz0X9oXsaOXzgZvT9HNYY/MYjcWJBQd3gEcAatM2dyn3KLpCYquDSRaNdrbwrTUSvqC + ApaTH6omqFIhLu5n1xzj2j3NkKq9pmpYTq2j7hEc6unzSP3Z2YzNIBt9P9KZeqeiKXNJfxeVSaLS + RzNWzOQ5tWtyhBAHCYpuQC2ek9jCVkAGz0wtQBB8hPCRkmttbu0CIxD5qJQ8hHAJBGAWkRpFb+PU + XBUOCdAZNl3UsawtwRwTSyUlUp4tJo5+GhJSHbknuoyz6PGNM+y8A9RoXRw6a/2m5GPhdTi4LLLO + MuDS6ihr9/xLahlJI2LVaMO+WJw+Tw2e/BgQXJ5N6pfWeDyJ/E0jdTGGRMte56i2dU9ZGewVi0oA + MXhSllD3LjxUdhI+xgKfneuChKg3bTQowticfD9Y/1Kgk2SCID5ej0gBWBIcgQMQPEScI59qVVjP + pO2N+EAcMIUWLT7qdMBU89sYzeVYSSyiTnBMMgU6NVBW9ZH2mhgoLNxJTMTLmaJqvVzxxMWMSHSp + IEUHzi2Q2EempSQLMtU4Q3TpUDAVRd/JG8XB6ouIfwNgp7yK4gmtGswAACAASURBVDspRDcWxpfM + tsflrLdnUDeYM5V9gXP1VAEP9GHmWaXj0nzHEiSAJLp2iSWrdg+5KKTNu6mfpyR5dEE1tuoVhjh0 + miiVdrOko0ALJlUvVrkEo+c7GovGNC0Re0417PT5ZsiyxB/BNC1FPuThszgJo95EkggwUM7Dy1VA + qZeedfDNzV4Mi1WKRaMZ5kZV1JEsqyj2m+q3VP0Sy7bAyiSNTeizPbFB19hsPbeU9Z4mvg5nhlF0 + 2i0gF0BdaiqJLdeaqkHo1OF0BDElobFO7d5r81EFQVOhgoFQkxIyo6jSpNBOqZixISSqQgE6HxlR + +GthArhcshAAdMCFqkVtnw6hReu0SCZ0JfjnjBkglcsOus9hzZ9CJnEoGjm5ZMoNhLxmjg2nX+yb + stYskft79MEOVBiqW0lxC/gIq421/HCArsRiKAKFPbUMghR5lAzL6+XiUXE2IjOpyztMNdd6pA1V + TQ2zNojpFf0CubApL106UB2M4s2mQDqEEd/YljgAcVgCFxABZp2N0abJYANzyRY9skW2hoWuWqmA + bgufSAXilDkTdKQritsCTGLrHQrABTABnE5dJCdUKWHpNVO/GakXpQwayrghwKUq+2iESnrRpxA4 + bepoxKLz6kOqssn5R6d4Et3j5cGF0jxESs7ELio2gSjq3MXRLnWcQiECMOhWw+j0OAhai5WbBsm+ + OgCsuzutS7dKFAvYuu/3uFoG6XWtJINicXthnmMgk5Jb5qeubEnJXdJs6+pWNs7mjpOTHS3S6mGm + FLYhXat2UlRNklrpy7hYh5deXKrDjRcXZTXLVijrv3qEf65px1g5S3d/ebAoKY8r0nsULFrVxaRM + vEi9SFCS8b7Nq9VQKR+CUZuDkgOFjq0SsgeFPCeL/CJMKUxSsc4mM3lPs+ISYlpdLliuWRHSNGHh + tK2QHGvxOFWRvMSUKPoJLEqaNiYsUVdQnrJKqmpfAMZEYtgX+pK2hlYmKL1kK24DZfEC0GYfkdQA + eilxGROQDqKzfjubbspDqBN0Ab4DU75tuZKzLk5B0gMdCgXUtMCFwnYQyDLmWevSFB3SQs4hAE0U + un05p9r/8dA3BN3S4HvcJ157jkyHUJIsWuUeC3JzI0kgTGtndVVkYTZTpfTm6GkDuBzAqUy6V4v1 + Hcg0zmioVUPUs1LPwmJc340NR/0ZOA3eSP1vLO/6R1ZzWMWfQTpjcO5a5XTFZtN4QmIzC0Fa49Zl + H9sotIPiCIyWgGJziLK1uCBBCDZ1yKtHYx0DzKmFCrGtC9MbfvK8qmhkKk7kWUbCIxOGtGFqk0uT + ZjIjNn0cOI7EhTVzryK5O6QW65etDpS5fVXTrHpJiJbyocihHEyB3iFAMQb25JIKg/LS8qGaXmYx + tMz6TwqDJc1FJJskpmILKsCmzqTOqEzcuJkMImNNMyYqcbchDpycZ9BUJSqxpBSQZ1ADhUb9pyjm + RgZxumQCk0TctEQstTmqrnejLE/SIL1OnxVNyRgCakP0mGksZ1Jzq3p/HXOdkpCkm2Kg6LqhL5BW + zmISMJLsUJMU+djfyGWyeqwb9AozB7IC9KZV0gtg2Zb9t6rM+mJbu/fLlDOjAr2NPnHrQaJKkyzJ + rHXqIZdK7pd5FYlWgrtqAOrHh+TOM9LJnp2GclpooTEFPhZ3ZLztBVMhKRv3CvU4nk6Ny/X5O8aD + OssXptxHqW41UbEJOVgoag6Q2Aoh7+3EN72ix7SDGcHlf/2QVQckFEvBBI5mxZJwnUIEbeCRtPXV + f1VUMv8pA2XrWyv4UMRrSaFeOitoIB2DXkK9V8WXLBxVEw3lbSgeKwf1kR6XZwgjEXvmkoOMxuiZ + 5NTSVwdbA7UwXt0skqN9L2DUzZJkWCBk06OQ9hSzqMQRsT8FlYwoRuyyEL+qSiNKM/e2WANLOQxi + h+FDGe4ejtAVqm78TZ7TYFAViK39+t1b0rS5sCsfyUVgF0IjVT5lmyNKbwbSy66wZh68jgmFfqRk + Y12rnjq6YjomSxJXEuUEp2j0Ie9GylFwouy2iU/P1Dssxri6KbZVcub9CT963bkKuUKbPNOItipC + Tx9dEeKbprJrPHMNX14hygM1g46CXpvVCqkYcKUSilViKWnZeZ06PyNTRsre+173AunnUGhjqlpn + PDgi92K99EliSzGOjRb1yhoUv/6TSUdN9cDTK2D5lyoOI9mcSS+2mj9LsXBJf6OxQBKYkR1dyRq2 + HAHzDpJoMtGUsyy6pLmgRezS1SxFDt8W+oag2yEbc6/vr5fjp7M1Xuw6g1VxP04vaZQ9MEsGMFhK + FvHKqN9oP+utul9y0r0vdabPVrXRoN80dzAqHM+fEtLx42slWUihxE+KD4rhLoumzRxtyuMhSLtD + BEiW534W9l2ivVJ+N1JMK0eaaCb9VtmvDftHKe/DGlyXHRVFrtZp9qKsTtyUK1G/IxZjrCZLFU5Z + J8r9agzzvaok16CCe0kA4nCT/KUQUFsnRkmzjMMqhq/qX9d4tOYxisYpw1YFGFO8ve8jkCyAAr6/ + zsLvOUm3ca08SXetGZHH27r3dKXQr2LH6kdrQxQFLsebq47y9Gq4rn3j335qo2MtVnHn6oyu87qf + OwF2pVs8VyCVditngBg5s1mof8pWdljNupIPtGJD8BlrksL2GSo9vTeeWXTIs3ZveiSv9RKexYP6 + Xa8vAysEfrw0A018zXGhjpKq3GdLDRBl5pWPuDCovErqi4+imsMJlRpBZmHLajx9CAax6kA5kxSU + oouqvjZd1SAmv1c1A617mZ4XrKU0CtUOdPoT4OwHPr01jylu1aWAL0jDFFeox/RzXG/bt7HZciWA + VL+pRePq4imrZGRzN5LaG1VCPSw7A9G/jnCVAgHiIFJOCwupIklCNdJ91hR7/O3Ywdxaj9rD4anB + K/ve1QVIFZNkJJX3stkut5DibKPti/f8fq3JkSpdGHvlVbOREREbm7oWLJF4niQ/Ejs8dY0sLNhY + sfo+MKpAUswYpTxPY0uNlMoL9FzKzVWmWLKg+lZ0jTXKbvy90tXDzGrGXoOo6pQ2i1ihV9L0Mv0c + TxHrJ2RDEUpDeL9nrUzjWWhF3GdKsmxiGtPCo7qijFgsAMp46JnWrSzZeDOX7sxWB6P8WSvROlZ6 + 0RunV7MqdcOh9BYTyiJ7iVI0mj4VflpssKUygdFKDetxVai1lRlLsEiWYKBF5cTWpNeuER7TxpRU + 0hWluGpGV4mOHZXrTdSKDNcmM6a4vjX0zTtMGEy2RlgcXQpyqUFsl/I6LVIdWbbRrhx9V6G3veJ8 + o63/gokPotduw1cMiuPdYlWqw3GpDLViTPwHSHGwGXm8emSof1Vq3VwWUF4JAWbUQNFrAgAqZypm + 3DeiVPSQaTAnE4NCDIUyXoHQm/ytpLFExzXyICZZqUpO9YViMFii5lhNNU4z+rqeSqJ3GDxReSo8 + F9gejE7crkkjM4J+IUM6dm/V708g6vD9Z2Nzl+sWrPw21tOviLrmUd2uKyYA9rFKP7wsGjTflbnF + 1i9FcWWnGol4ZXmeiWhQhOtGu957iipmEOMqrTb+9Jlq15PD9NOqamht3N3oWV+naweQuqr0D7zH + JBll+tVcriqDpIDUL9NzVvEKovrLCC+fIzeqVshXZF3B4SMyMJCMvk6mEU37rMXt/aTS+tteC3qF + ocpu22KpPw2+qrdQVYWo5ZN1pfaI4qwGFUZkI7Mf40GN/IxwJr/sozkYaqqigDRYvVRC3FcQvWAy + iBSnrlL+CBxVQdH3oENS7hfRN1UAhMyrw8CB1zdEfe6QjfOjXrTGf6zsZysyLDl6TV1ABmUMRp7s + F7ikChkoYjhzsNRRthWiODMcuPiK91zW+ACVmnFNXUnSq94kY0wiV84VB8yVKu+1RSgLEIWZ7MRa + un4kLt5I78UN9ZEp7iU0LMt4VmWpaWVLQ/r1uLJGOTGu3FxWO68B5T0fdXwTcYIqp0JCiiEy+SUo + 5YqKV/32olyZkY7z3DTogUnHUNk0KwVsRY8dob4jjF7E4Vrj2eh6HKHq48qo63rMeIFfWsOsojVL + jTV0RfftPaksDtLDgnvSf2VNe50mHA8zgpEC9RyeqsDohxogtfWC9JrFqZVP1tm9OJr4yNasJWLq + zZJ/RsFYFXx1H1qXznAxomXSilfnRy2W8dI0wYjSGYwcBekCecWMrlIffebT+oRHkxnv198WetnQ + bV+70+C0UBWKAJCY761yyqvLPxnbT16TcdIjPZGtf4zLUK0rvlGI4qVSb2TN4pzPN4wsNKqYKcag + r/eiVM//4bKIVtsPXjO6ykAURfV5wyDSI5GRgqCT4hYIfVidxjIBkyqAnRigUF1LW2SfJqqUIsfp + IBeh1k0xBnVdGb4OLhG9XbG9X44JRRa9cbYeCNdRqlseVWxUpJ4w9n+jGvjr6M9JSR0MEpGEDlB2 + qjmYwBmskMVgsBIfTlXWNMzIdKdfQ6paozziXyyiVtFAVGjsZRXwSjG6iq4f+5oh+9p8XB+ukcOX + qcRG1edzZFAq4+IhFV4mBqk+k9IejobjLTu0NawQ2TLJkBalUrwFYGesqLTJLfagsqM9zaiwGDR1 + N5xBJPOu8aqvengNujZj87cx6RqXuH74WlXS2PcVZRgCLTFklfiQcS+RKmXWU/p5/Eq4bcW1ZHW7 + rgmLtKkOox73bPpaqSVJY265EE2DepVbkaIFIBPMrLszHjdU33V8Aih5fx7wZZA9bKAlVK3Z/1lI + jcR+EXFYSofAkaxwxYBdc0QYokWNvbreoLCOxgJdofWyI6MVYjkuqtcfcMaDXbuk5cOkonol0AMD + XIZmAOJMZkJ1zFMqQbC216VNZX1JA9Au5xj/jjTZYPguf1O5nup1hPFYIwmvCFX3nkKk1UmKOqGV + oLfeShwWgrmhza6LizuUVpckzYXjAGHWELXIyKCiUn7WT4fJIxWIB5OIBEvnuX2vwKkLjzKsaMqw + cmEyRKvigzTkp7fPDXSOdiXjbTR/qxxXyMqYwx50/X76jHR1janoJunJqpDracX0vxKU0UXGqogv + kVIW11jl5AGGequkod19TxGVqaTDAWPPqxbva5WxYtLo17GCy/gaM/WdwcorLwCtYDQW1gpH+cA/ + jZQrK5P+thvlLKo6ClDbHb7E84nPndCwDdIyllA704nKUkom9/fXrKKreqPYoEZrxirTFOMKmnuh + xqpk36517uKfML0odFtyXnqdoHKIEwOg38m1/eIybDjKSo63ZhKhKVWKaHAzwMBwoiryWEFfEAf6 + hogqPq5T5KqKxxRoVjDotdkIYIBRHn0DLHrx0c907YsUi/rfJd8SxtUEW3SmkzOLVz8XYK42QU6y + 9K0cbPKKYTMm1VXcbq5NyetqVutH5FbSciTT3ZxwnbFF6tuol4kNB/ikg/u1HRZsJF7xtx59U6Tq + VGP9rRLkoiFeYH7bK2AexYMWTuyup14esY/kYTEXfzBurSSqPq4OD8COHQ3EPnX/q2gwc7lO0VD1 + tvH0ht2wmC0NjA3HGu2Ze/HzdPtq8dZ7UAa6ZobPWoRhyqMabFwW1jRvrbR7skpD2V0jbP3ntRDE + pOJ14WHsdu8A6eIVEySqKAvfjkklDjcG+gvdYjwbXQO/9BVUpVEGY0OR58qo+j4MpiPIfF8dN4dd + EaBXpFUje3r7Ukfsai/Bche7MrD2KFlYC8ajhcKgVVZsvYIbyJsXUyY+mZP6LySHCTX0QMUDShq8 + zKPOLjcNlUs1yg/7ZTQ2VMZIdeJpUlpE5rpxR9YiRdElZq23URmiW10vI+VMOOK2tlyzs0Mvjai0 + 0kulHfue+LmK7SUl8aX64frhac24MxqTRl9GGcaYioqSjGQqTiqTdlQWq08KlsoggCXd/1uUfm3D + pGQltXE9cJnHhviI7Y7AyPTcddaNbjT284qiSYQkKRqbCcxDOgSlY94aNiCpZ7cFjegpGpy5sg0S + GQtsaCtGeFwrwmKYFLDAAULpDqIM5qb76nxqgJ6oj4BBVQmkkqjqbamdRhlclHLFgHAVrWjBqAkp + ezkSAnHp8XdNP7Fva7vXCPXKf9W4dLXG6jGwx7HrULkYAfoXdvUKOXj5a6VnOjZRdhxg0Lftyapa + cO0QFhgK+arIgxFyTdgkaUORy+Oq9FrZuj+VrUIjZkdr9PHKimubh+HDyptKv46EEeF4HmlZHefK + Kf/6JwK0AMTuWaSqaZKvQho7TYW+kpPUZloqLpRGb98y96I6QUocrV+NOF5IxbLgLm2j/vo74X9y + ejlWt4UWMGHW4TjqujyCVwNlHMnc8MqUIuGsUmXc4qi8lWhQoFyw4fgwFnowi/iHSOM68RkDD4c5 + q7wUfEfVZPagt9p4rqnEKlrRRmuoKH39pE51TYJjerwKKIwQdH4szlRVkBK6JegVjQQniJdT23PY + HQdpRlRiuKurKfVfO/+I4cgpRW9D6nB5SDOnYv0eNOgXVDwep/KF5GdRTEZirhusy7+qKSglkjkX + 5/6JY9l8b5jcC/beEVGIeFMXO0j2zyNVrArFD3Hwqos1Mt+h/HVYDhqdpiXwuF/Wsar3WyvN0wrR + gOgdfWMT3kp154kJreB3zxvwyAxkXfu8iCZZqzTWCoW97Pe2dQmWr/qJD9cla6OPfh/TSCtl5Upe + S+8zS08hkSPCWTwffZV0mQNKsKNUbRawMw3GpQYEVJ6T4W067c56SUKR7bisFoVaq0ufh6isx0pL + xbHJxoBEKk6Xsn61xhodz0bFBQJj4MhcqacMnltVFhlwGmgsNYXvA4HjQ0Nya1L0dsT7eT+n2o+W + NXyHeGtibJjazEaAfIE8cmmLX6bO0krP1nyFzbeYyKboGbIbFNS8dhavo0BSPa7BLq6WPm6bk5aK + yXG4CQmfy8BY7DPF3R2UF1+xo0kXVzlX3Jq4So2sJOoHuUYPGVUkicp6r7SxGkQZtsjqUg07HWGA + uEp5x1ft7UOnAaJ+AGzQZ5AHdUAgLAGf7oBNQ6dpNgFxwtPLI1TXdYNV1ISox9jeT1dK7rMg9uPt + NRrOlHnsOdaAeaaQmjQUc9eioaU3I+nT0FUJQP3d/fHCl/LcH+0GkYUAg24L61oSMKVaAiCfSp9Y + Wumf9DRVTCDm6KQvzFnq6h5f/Lr2wdM1tLaTUtFUUR2y1AuN/gSg6kT1XOMZ6Bk0zTWIchuNFmnd + tCxLIyCA64WVLD8vMmy+INm86LoF6E3nJU3mYU+pqPaQZXZvNpXjjQl1NctfVVaMhilYOJTsXp8d + 6fpRkRblFYnDtY3BWdutHXkoapJh6U2xVUsfG7otsiBkC9yx6lP+Olb51fQ8ca6RFAGIhx9YXZjV + QQlIR3Y4ufqp2oKGGkxKHK+e8/fnvChGuqEizoMgEYhHjWprRZTdKg5nAP/k6aX7uu11utzhB5BT + B+g2pgv9/gELqwuR/pJs3L9rEYrS7caDcHki1Xvz4uPjP17KKj1Ou3rKYtAo32w3qdtofcP0yyPV + tLH3BsUgjUGIVeNJtLq1A/Cag95sGKc4hXlRlxR/L2NUW3S0ql5laeqyx5pVN3MXRawpXQGpL6ol + Yi+s9JlIxZshVdymYub9LFIh9d+yCHG05CyVUsTSOyUJ1KCGbvvleykSKuM/bAlevc4PKFVqhEau + DhkGrVtioOZk2N6jmfVnp4nh1cVLep26TxPWWidKmsIMdOUaPbBKS6yWk3p2/AI0VBprVVbd6ddW + bNU8c13211OXq2o8lJR1tCL0CENGmbxmApSfD4fnMrdiNlh1AAmQAMrWH5JXsVSU0B5LAHmIqzWm + 2Lwzymo5f5c8KxhlxnMT9aqyJvHVcivjEkEjins1SYHJljft9iiYbwrXK2kpigOd/wxUqmMC1MIO + SUiiq9mOwDYsBl3g1PxxqRClaI0ViQKYSi+Tkq6QKkdDMnUV0q/EIkrJCwqpQRp9aj4lJV0fN9Wy + 0PAAaWoQph50a9MqAokpWDs7zj1/c9QfRPJzsTziZLgWyb6A1nt7AoQVY+UofZNzu/VpJw2A+nbp + UkUU+qUaZ8shc9VEblS8KP0pWmIQM+utVEimanOJERGF5BWgVkkikA4A2aSxhm7Ve/Eqe7oePpCK + UU7VetM3TkZJI1RpyuGQNch9HaUddetWAkiEAchuf5c+5wW12K7Moxp46r7YD1rACjQyR1o5vlVj + lqM4/UwP2ZpLZ0qTkclflbJ9L0ZZ+5rNjnPtpRyQV80ESyEvtfh1iAZfcqKxoPGKixAVDHkxDUXF + v5Ek18zo1tG1plLXo7IL9FIu0ryWKhBb1l1nZjvK/DXXHr4IFT2l2sko3OQMo1AhaSZg6UAl1Ywb + jDm9VzreRWcoNUI36AyDVGQYxqZr/bHPXg4T0MBVVWMnkKhfDMi7clZT98sV7yTZoRdOqCXxUz8V + m4rzASqaKJXWIlQlXl/Atb16XEsURe8r2UH22rOdjEpPlF6GcEqv7v4DM0vqdFyL7puyLKULyKqB + aaBM8oNqi36FAyHKBaZ40uPb6jThJUC3RU+Q7OI9U1QZ0nuADrQESDDpzHLHYRCz+iqFFNaDWk9I + 1/aOvn4d7yvXn+teh66cs1438JoZ1ppEhy0SJ/fxdZ+JKxJPvbk3MXopvCr38dJMb42qqkollsKq + 6Yn9kFqK0P9aipB+EAAi3XuXdDUZqW2F9BOi8ldS9QICnE3dks6sxtBV0wFAveIGwAlxua1cljRz + TyTahsSFZ3mbJNVjSS+bUsuumSCuGjmq18Pp7Yo881Bp43AxqKQ7ZwRAgARIC2KIr62Lnp9Wsr3/ + VHoPa06u7RH1oDWcao1MrsfHOalytDejnWRkJB8JpQuSJUC6hTaY/oQEk+UJFNUlKQ3nqMxZY6Qu + Us7yB54W6q74rAploN/KAq0YDGq2vgAVemuQ2vXV9YCqicvzMuQa8WgwP6O+nK/pZdLPS3qvrJsY + WCGSZu2F8pQcRqKug3Vw5BS6ct5ZylOR9ZrCvqCq6HW9K3rfMGJfUK7Mqq9RJDqQNOOHHgcIMCNL + VgZWOsLgU7OmuaaKoOG7qnRUBpI0xjE6hiMdiaqlTVWqlEjxtro+TIAAF6HoGKgDxSukQlWFJGZZ + pJK6yScg4gQnTRsAFKe3ibO5YjEcEpL6W9GnzDiTK86kKKXWF9vi9av6J62wH4sHwfMdp73eWXQ0 + ytXKucT7sdf5ul1HK2RltSqldU9Huk5Ar9PXo2yU6ErHmNH/aO+SWnfSMERdLCkTyhvexWZXHMP7 + WiY6jsh+UQLFSYFVIpjFrgtqoJtz1PP5gYBsITA2f7KSkcWUnISJgeQqOlQbHsWYnBPr1X+UKaso + MwvlIS8thQRPFJ3nRBOuEkuWoket0tg95bSm4aRuuPGQtXdO6aVtHdWl9/ZcfSa0oBYg3TsU2GXs + ljJnpVrpuqLNZMjxVXMTSOLQMFDZ4leO79XgsDo0gQhBzJqq2BzrDXW11aYUWVxbo1yhIp5XN5Xp + U/VlVbUrjSFpiL0qLNVZ1NSfqV1Rl5Kb16ChdqumIkXAMHjV126Wgu069lMRlPN9IB1QSepDRmNl + rieNaXJU6/FhEU17FVCAqF/B0ryP4mZwboL8MpWNyhoX4ktFx+o3XvmorgWl2kjmoPSZWb0ao4Fk + joV4MSo4VydoX0I6/5A2tmuHGmSTB0soTzLC4JkyfwkAaKRffitCjB//961ubWpGyb+PKtQRb2mg + LHIM8qXDhBfUGv/o6KU5TBAAI8IssEPloRLxAIoojEA6Gye4J0ZFKinxsu8NA5XqetCWY5OVOlZ/ + LvTroNHqruvYeQ6W4vaU5KDfInPF2ihNPQa47dqiWs4Zvc1zthehpPKLpkjI57p4afZQDhpjLSgm + jdW0qtZRxYv8WCvIlPbeCcBErO9IP5qUzVKPzVwIXFlKqsaaskDSFdqWBFwYdfSzMNLVu4B090xS + MP7/yXv3X0uu60zsW2vtqnNvv9h8dPPVfIgUKVISJdmWrMRjj+TX2LIFYyZIbCcDTDBBJpMAwUyC + /AEZYJAgQPzTIIiTeDwI8sNgbEuRjRnbsjSWLfmpl0W9KJEURZFssslmvx/33lO11/ryw9pV55zb + tynao/wyLhDse++pU7Vr19rr8a1vrb124QOPzQl585J5wB9nO3nzW3E1YxBMCWVORoWAtJwqAGm8 + FVbQkrO1b65uumy+2/GXczrXfJnvcuYNF5b9gf1NTpr+lQNPuIlw33iSHDwn6wuNQEWr8Vyb0nYH + zk7dZjy9ce5B89fuzM3J2t/z/+bHGwnODWfe8HT71sRBt9vnLf4Vj/XZ3Zjpfbd/c/bkwPkU/OVH + uGkEDr6A3PDTG3g/q4++ywvkplla+zumHMxahcLmaEhAGKBP/vpGbmYqBffZ71xXgwKsuRjfK0du + fnW58Nde0OYavlHguPJtZ4FcXwg33OHAY0NuOKnGVvieH85IT9NNE7kvz4kkyazuxsl4r0ayqSLe + SOfvcxH2nTq9gtb+QlC1vTKuvxHuu+P0ixwsOfnMutni3cGalctzLnQNcfF51eyPSMnVqKcREdQN + qqwQtt49b13MbppDWUN1NwMJ7kOTpz/W9L031ejmFMw/cvPXfGkS60HQPItTw4r8ecWFaCM7MOVx + 4O9v4rjBBuwTkNkK7P/rTa6xMVfzxbj5/gBZ8yumr09SNUvXAbr4ICnff/LqWPPKNm6HNTO+/mQy + ddPwma+1YeJJsM5PETPe0F5bKFz2ydrGYFaPxtUExdSdcP+8pqrdv7qJSQ+vifFBHQnWH/Wgg/OD + HDDS9mEAAlrI6qWutW9Yv9CB089Jdg4ewsbLbDO6+ckB31szJu3/EzN9XzZx7Tkn4CHXLA6sdpB2 + oYZEr+nbfafxJs978Fj3HWtv5E36RxsDOXABrukNAJPtmHoY6fr5TXjamsAKdl/N6Y0ieJOHu/G5 + bjQIb+bYdyJXQNv86RuaqwO//gZzyzea/wMHftOnuVHSUy861gAAIABJREFU3uxTbxjkg0DX5rcT + 867aq7e0eV9SZAXOrI1hddZGUem0fRGnex9wUZkFZWNMG39Y09VrZ0wGej1LuyrGP/BRp0loRV0r + Dbk5nxuKGm+0fmZrktaYm/Znn6HhWup59Vxr93sDITnghb+ZJX3z401KGjODyDWzsWZL91uC2VfQ + Deu672pJ/dngte2f/Gme1pySWeKaEt7QNqsdz9aHs37lzOYrbvbY/74f37OGCTKVW2ws5hZYcKM+ + iGjEQKkzdaJuhmFr0raeUV29ozd6W29Khg/SABs//Luto43jzYjWvrG90TNMGmj6cUMnbqC3B7lf + k8qVm22ocON4bvIIBxvqv/LR3IubmJAbr7+hQTZ7ya196YaHv9HOyL5TN41baozWdoUVMgDMhTP7 + 39PmHyvPWyblH/uHICv6LQ/IQWye7FM9QkLGK7rZjYZ/8zdfe/86W/IDBWu/+7Ph/N6oqfcLxpv0 + Ir/b0YydrO1xxNVHs2eZ+R7Mtmddafz/r8E37dGGP7w50tkgbX4ua/8/8Lr7PtKD/rjPOP67Tf7M + uu0xTzv3PedmqJfKWGYv+WYp5g3cdv7qTSkV80U2he8vecwu2g2a7yaXu1HN3Vwp3sxfnz/9Lp+9 + oXY6UN/eKGHf/bi5YbvJ2dMwNqRqc+YO5IkfbBKmS90whjVvdkPRrl+JzTxFzeLyNUeQk6xWoF+7 + 3PrFYtKu38NjvvycTlq/8Qqx2/eup6eibASE6xPzpjXW7JC0vhMx95i64VHnWRIQcVBdwkz+WjcH + B9vJzRng5gfzF9cfdiZ0pMGxtVnZV2X5Rq7H5pAD2GjKxvkZFTLxGPfNgDjWsBhZuTuc0b9pVO3V + zfwiAtNWRZvPf8MQ32DoB3lcXHtqTuXIBx1rJ930jpLZ2f0bgUzfd0jOCvdfRw6mPfwVjvXp3fgz + p5Bw7Ub7hAerR1yPf6flv44VrPTRjb4H1uZ24xKbs3HAnW8ynvWvyMYmHCvdL6BSEieIBueJBQqn + ZoFNmva3dYsJF1uVD8wpB1klOTbmZ72N85pVk7UJWYcsBdjPd7j5m54wxo1eBm/sVLCdP92HwDpn + NtbZyBEQQDoXjNJIORus203K7QH6R26inNbH2gR8VjsHrb/Vn/axzae1cBPcdm0outYwIVYnr6RD + pKk4wdz0+qAh38QNkX0jb48m8zxtOAqTjV3XwW9w3NSL2NThMuklgAyBYx8D+cZFdPM3xJv8/ObK + h97QOtzkRmuK4ruM5yb3eFMjWx03PveNEnSDHn/Dp9o/ou8+oJnTs8+U5MKKG2eC+38J7Gv6R6zl + 2tYSksR6YDCrXd546dWy5OoP+e/my1q/79ofuIbQxkQDXzOiazdjW1EBBEgeAC6ul51wVjU3n9r9 + M3YTvDg/WlutWLvJ9O9fUqLezPHGq56b54D7B4V5IrmW4N24HPfHrSI5A03H3lC248A4N0PbNHnT + zaV9f967bG0+V3MoG8Smg2d9dY4oaInXy8ZN/7oc39tet9yvNyfD4+CY/GZHqd5qhjgi4BYO9dkH + IQCS5MrLlAkUXrdoKUBrf1hzcJYjKiCKvptPyjs0nz0oKnpzcz05FRCStVYRUVVVdXeSZiYiJN0d + QH6U444IAHm+u7t713UiBqLWEBErkneIcFVJCkFEu850/3wckWn0MbkDq9UYocLGQOA8GWQIRdY7 + s86V+doCv2itTIDw0LZTqzAcpKiSTlJNVxMbQVLMQJIQlaYqW283zpt9uHs++/wg63sp5GkRQVJV + 54/WVUy0mjHIioizGXGldGi2VpGptf6qMw0cFkBJfR5TR5SVSMZUrKZQtucwRDBEdJq4dqR+NoEI + BXTE7qVvP/OF5y98/vnzj7/vA+94+P5Tt2/RQ1m7ItOGPQ5p9aqB1gFSp45SAkzvZOoBNrnQU5dc + ki5RVQkfYm9HWF/6zrc/9WdP+qGTJx9694/8jbcroAFFa8Q7DzkIjYoYoUAoEDDlVG8yW/hghKcY + r17NZAgR2apMJn5LTrhM3i/zLyGiEHh7JBWRCF44f/5Tv/8Hly5duuWW4x/84AdPnjihekM3Gpne + BCa5iUQlIGqAOlA5dVAJbnwnKrwSZacc0QWyZMIrVFBsOoWhqiRJ6oy6k7kwIyIicmHmaW1Q02kk + RTRdgrbocsXEFEgGAi4aomuRCMGAO0pHqALio4Nmk4plEAJd29uiKbkbe/VMYj6Pqv0xba42aQ4n + IDptm+cBtQ3dG6vYJJeyND0ylVEud6+//OI3v/qlP/nOmevv++EP3fOWd528dbtxdQhoQDxbNDpA + xyobHkENbejA5oZtKfaMNp9NrFY+001M7KQAOL8vEBtnc17qU+zSlLkHSDWlVyLUNil6qcBhaiYC + BjxoJgQ8wkwnxRUioroKZ1sjK5E1QZV1HJvuksIdzIrJNBsUxJQd2/d4AOroIijFpmYgXJ0iAjI8 + VC07he5zvGQysTKvhqDqughx0jCT/zWfujmZqy8g76grySRjWu/jOJqZ2QyO0SMnSiNPmqanxbeB + gIcUaIt3ax1LHVvxLtNutWYI1dEbxEkhzQhY4rZXLn3n5ed/61Of7W+/74l3PvL44285ul2IEFRw + BHxv8FFtq0NMPb3NAqjuIdKp9PNajojZ0KSxNrP9K2vzSA0AafMhFCA4VhGg6JogGkQCEkQNjNVz + nkznaIT00emiKiqAeLiKqhhbOQHlZoOYhSaBsLaSHKzrfcoCQCPrTZeJWs+e+eznn/zm6Uvj4taf + +tCH7zq5vVVAh8BFYtpdCQCCiKDZ7HeHpMDE5F6l7p3URUzGao7oUkmCOTGzE8dJmOvoXI712W89 + /+SXv3b65bPXru8V6x556JF3vfOJ97zrbW0Ds02EoP0YRAgMMeGzrXqLAXhcOPfpP//CU6d39rq7 + PvSzP/fASfR5kZ1rr7/ynd/5+CfiyP1ve+9PnnrrqeOH1gSeaxuLrvYeConJPwiEgCqaBppBTnhU + ixGUxOqPAnd6jF0xAuGeK8U9IFSlKhAOVtiqrIF0qXu1Lml9aOeAlXY/EA3zm2FvJgqmERiJUlLx + OcSn3g7t9UcaPnFobaWHIS7G9bg+uXQHdpbatIMA0kpaqshcUBEekc9YPcSMgFd2RZpYeW1WUxWB + CEI7qMQNN5w8v4AnSVygbTvQnZ3rzzz9zSe/9EzpDj308H0/8L53lQIRsrltU+zWVoCDhlgVFM2S + CRIMqDQG6HxPgcdkLqU1qZUVgT3nQbmvQqbJvU69EQBWxC5UwEUVDUqBFLEIUBBzgRVhuU7oqJWy + FcUSuq2rfb49fKlBWIcAkf1OpiPoQog6A/ROZ8882vPk0wkIjBVj9X5h6buZTJ50PpywrVidJksk + qpMQVVEjUGs1M9WpbJkMr6rS4nMGmF/XGXjNGXGiOnoFlsvx0mv/9hOfeOEKj9z79vf80I88dDdK + yziR4qIIcHQXtdTOuTRN0Mzi+u5lGQ2uiXEEItipSNqLzGSQsK5pk2l112jKzDSfWBlVImCS6g9i + tDKb6klyAAIOLxmNyPlL588+95XP/ekf67EH3/NDHz5x7/23pWJJl6y0EDXnJCdllU/KKpFVaS9m + 2cQKAlFAqldGKBZFwYCP6PqY24Hk6xjHKmDfdREhpNhkkiK9VYS7muXVVE1tani1chsa1xKTdgmP + 3ohwDLuo49CVun1kABTo8iyuVlX+lmHkKmSdHuqA3FAEGc1Tasoz/SoE6eGQ9LzySs1kp+OS0fT6 + TcIjA/DZwZmC02wqFyoNRpe8lkPTlM92S+YlDQaIUGudH2Llcs7B0HzuPACKyGQO1kzWHKEQTBBA + rUmyarhnDJXvKzJ2nmpXg6zjWEoxtVk+wl1FoYqYYNJ1OmpTcO6Ej2HaFRHLGEECFkDAfbnkV7/6 + 9FPPfOVbzz9dsez7I7feducP/40PPPHYWwsH8eWARXT9qPlOgKZ9AIZTV9nXtGIqgM0I6Qgs98bD + pTMAHpj0EGSO2HMyvIMhgMqvfeWr33jq6Z1xfPw973783U9IQdE5xxiIChKmEIuAZT2219ASZj43 + 1fQaoJQ+CIuAEMvdPR/30Ov29pY1zShkNqJpq2NqRB+kB3RyhNyhgBlYqwhXARVTnDWac0/UEWyt + qCJ8yWFZx+1ua6F9rDQ5wjFK2EpLz47HvAQIQHTDR4XIOlqy6XlNody8oEDNSiSSHqLTzgIkI6q7 + qmkp4QhpEROBls1f7p6/evW3P/N7r+3Yww89+s7HHrv7tjlGzbWtEIHBAyFo8TvDfYRZNuyaNqEl + 4Ni7+q2vff0Lz5x77nX/4Z/42UcevvvWI1MTBBLhEEC1RYKUdbrcvMLSELuHM4oZs7UaCFk5JEJW + DxFQVQQR4uGlFIBBqnwXb/rfs+N7BN2u+Uqbiq6pMwcrRQVB0F0kUPewd+HV8xevlp049vChW2CK + IAwNGGorX9LdmPkjTe9Ohn0dtiKc8Li2s3z2+Zd2K7cP9Q8+eN/hwwszkEHxeWdSUjwouf3FjTq9 + pXEEaPjsbDxm2BG5atxFJPEgSe9yMjb56TAMZsUMiRQIAEnYN/Ir7X7M1ljSQu8WDQtjXu0bnHUA + ZEgagNYMdGY4M8QSAJnzVFnEQFAiG20BVBIRLYgVYQQBWo6GripgEBDVDGIs13X+ADRtNfvgQUy2 + Fvth6NUPIg1T2/y7JFgY7bllCmImb5NT07CGFAemsJfTqbPzFREImGuEE6EiDEDSWLapa7OSOGQ6 + PQEQEut85AlapaQOAR1x/Zlnvvw7n/zyr/3br/ziPzhy25FDd99+yrlbAh0WCAOIcCjBghR4Nldi + 6l4wLY10D/KBODtHAQYTfhXEuHP16nlDfPOpJ3/l//rl7o6H3/vjOz/4H7y9KCxWem32w5i6khWB + DEMpHW2VnG0KNSK8WReSMx4rpiRrdbMJEcqXHhSBqEjDbRlB1ZSqmNwcifBz58595CO/8Z3vvPDA + Aw+8/fHH77j9DtXJGU7JnjMwkznLESEcQtEO0ADcWzi2kQyKimtXzr505uXdsrO4476H33brESwK + IlwEbKEFOckhE5lYE9DMuESEZ3wibflwU1DTjKYXJM33zrE3Dz3oAtqqNX2QFgEPasnEiLoH2FCv + vP7c+735wmlv0ARgMm0k0BzZyP2NGaRq9iwWnWR9UiAKIgJeXcRaekCmmUtXUqZWfHOEJyCxs3Pt + W89842Mf/bU/f/J52T7x/iP33nrsVGcwIgjVXOWMCbqFojVEU6dwiuXWoNuc3hywCSARnECwtqr2 + WYh5AldIeTRHhGybBcS0zXP+MEd6IAUIdyBUS4SToTale0ARJRssC2nZBY8QtSDdY0YsGWkPbJLO + nL3cZ0WaIZpjmMRYIxQQlfAQg8A8WogyY1+TpLcvAajupmhdX2dwYXqjDEZQxFYaEKsJThQiSNOm + 0clgQFRTsDmfKpzvGNzICzb5mPDZSJydMmfb21+gJKu7isAs7xZkDTc1IekRatR8NSJoSSSS1Mwg + goFwj+otTapN0iVVqadKFGdMtoSg48qlp5/6xi//8v95+8Pv/vn/5MOn7j95ePsYEYhxefn8mQtn + Xjnv3dFT9586eahHpznVVTAm6k2NyR1hrhGZgKdYs90bfh7bekwlkNZWBBEwUCHuoULNGqG0RSoU + JXHpyrXzFy6eefW1Y8eO33778TtP3FEUioCSUSNcZUpCRYgq25sStMWdwd0sd6sYENN48jVi1U1i + jWtHou01nyvEL5577RO/+9uf/Nw3eOSed/3Af3jbbac6hTCI0JZvY5raCESEqnG2QqtCKWmYCSgU + aYofmEzt5KHACQ0iG18l35OTcve63Buff+nMJ//g07/5m//6O8+/eO367la//YPve7873/mOtylR + NlQDAwG4wshQWi75Kmt+KgPwqxfP/f7vffzjn31uuXX/E9//Q/fcfkfa1p3r17/93LO/+qu/0t/x + tv+ou/PoyVPHDq1vOZQABzOJPTV8CtKBknOaifdc9SkKwmjGyyQyKo2QnHNRRq21alFSPFw1hMKo + bIsiJJIqzkn9oo7j9QuvP//CGZfbto7dd/e9dy62YcV7EAFUgRnZ2qYGYSmbLu4oBoLCLFkzrGof + 5kR1fgRQIm0JWi/V5l2sJGxT/NMPm+1HeqgRqpr+wWw6cwUlhhtADS+0SSkHokIVNUgGRcRIW6eG + ThFZSIpKeKTBU0sw5/r1a3/xF1/61X/+a8eO3fGTP/3Bd3/fO9UktxrINS00abq5cSEzO94wBWnO + BkghEXP0kMkwBsUD1VGmpLKsTURLlqhRdH05TvyvtWI1Ovwad4czl4fXdwjpTt5y/MQtx0RLdnqe + iakUiFfsXLvy6quv67Hl8XsXtx+9xVA48c4YrEMDw0OCIbYCjlo62eAkgiV1RQRYAUIKKJCSo/Ko + Q61arC10ha30SbRnRCB0AkbT7NBUGUyzKKoCYQtqwt0RaDnR1otcKaAkwN3moxI1DfSwd+W11/7N + r//6509fPvXeHzv+1nfcf/dtvUOckAilk0FUp4mkCxREJrdbj6wGNaThFepqRSDRY88S6WkSOMIB + saQpiE4p5KAHVUSltZtlEAyhgjXCoRRKbsy1+o8QIgLBtmAuXDj35JOf/xf//P84es+7Dp98bPv4 + fbceklR9VCoEAUcGVWI5aIhOnD+Gi6YESq6zthRjBlYkyFoDBMQpxoC7W0T6fS0UEXgNIEopERTQ + mlKeuBSE1wqIqLp74kMxA2kyi4FwykA4Ud07Vezu7J4/c+aVVy7Zbd1db9u+4/bbt7EQgHWFdOZj + kQHEDKlgErAWv0FEEGR4C3UZ1vyo5mghRFUjI2hV0aZ55tBQWiBETCZ7WqDBIEwn7qCkEyQqGaXC + ZlBJIqlUAl0FIRt6LyIo2VUIk2O3tr4nH1tEmnMKBKmygtzXUwzTLE/fAhmRYhfuQappeu/V3cRy + kgSIiNGrqKpaRKiIEOEhJisNJqswftJDvjtcP3f2yisvnzu0OHrHbbeduutuQe6HEoh67dreK69c + /uQnf/8Tn/rtL375s9rj2LHbT9553/Fb73jo/rvq9cuXXj9zcTe2jt959MSpE7fYGjUjzWJkgz4l + NELo0FWTQAIeGGs9pF0ToyRT5SKbtEIgMvUIEmP94ue+8JGPfuzKzu7P/+d/78HHHu+kTGlSMNJW + Jh/BIoJ0UUdUCudKhRJkrS4oJY1jgPXs6ZdeeP3qldHufPDRe++649ACSmgqumSZMSQEUCiaj62N + txRBCIziDGXYTNGQNNkqmR4M0l1AhNfBnz/78pnL56vHQw88ePfJuzWmTXWJCFZpkBExaQCuyjAa + vSJdzYhJWjDHgLkUQNAmCz4vXyJIMGb4I+iWGHUa8UBURxEjgkFKS52iAWl1b/fsa+c/8tGPPvPa + 7o/96E/efvy2O2+7p7W5dm8LT0ws7wXL1+M1vEI1tVr7B0zo9skvfe7X/83n/+ypc0dOPHTniWO3 + HjnS1AUJ9zWgVmXNFSCjYUKNARLwkQStOJObmHKz/o0KgCgwq9DqVZWayjozsX9tju8FdMvVP5zr + hFZeT+IzqgIHeoGUAlkOF88/+/QXPvo7H78o95x8/Ef/5k98+OGTKGRXKlRNJMDqPriXvjMxhTkc + gE3pDaw0JgDC3feWy73l15569p/+L7/06rnLDz38wH/33/+3jz/+yLFjh1TE6e7RlU6hItjd3VMt + 21tbALxiuRy3Fl2qpoiAhEqBUEUWix6QYAzjUKyUUtKLBdD1XbZ9rbWqqpmVyYUSSETUWufoq+/K + ONad6zulWOmsFPOo9CBYzERVgLFWEl3fC8Sdw7AHqKl1XQHggUrA0AFqpuFwhztUYAVQiKpprQyR + GTOqgaIZigrcwREKQAmzYrUGo4rCVJOBq42KJmMd3X1rsSWqJgLRZvUzSbJepki6u3vtup6M5XKv + 7xfJTY5Iz5BIJhNFTaf5cXev1buu09I7gYmW2KwmoZLOnYbXqLV0JZe6e4hArYhIgJlqTrikK8ox + lrs7/aLXUiAcx6UzFlt93tdkJfYiIip1rCZmVpq6CqIYpHFlw1EregPCMVy9ePGV186eOfv6pUuX + ltd3lsvqhzr0KJPspzfrEYUGCFRXmyeuvBygVdOquPtYx27RS0OXWQwwBcdx2Ll29eLR7X5v7+rL + L794rNx+bW/cG7HVAVgx3jhdNXO5cKIOoEE6RlTBOBlID4ChAuuLCLzWsVYzVS1mCsDJ6lWtF23h + evqMQcIpAhMRVVNlRDDSGyExDkN6PleuXr565fLe3q6ZljI9cXqixbQ5QIEVepKpPV3jbaErGdII + 1ODpHwmWy9eefeaX/tn//rnnzuGOt/zX/+h/eP/3P3Hfye1iBq912GO4WrGuq7UCWGMLAiJe6ziO + i8Wi67pcxQCSORsty2JmlsuAgCrcQad1RYQMdYcQYihSnHUYRhGBiLCamXVQiid0r1K6QqKmd65U + nXyCdB4k0TQBSY9hHBjRL7YqvTIWfW/SkN5ax2Gsi+0tUwUlpvc9Uw/Cw93DA8VIDOPQdX3JGaV4 + xDgMXemsqKh6gEEzqTXGYckYBEvAx1rrlB9Lmwsm69YDHYDSp3UPjHvcyjU0vZfV/zEONRCpwQKI + bGc+RcizW7zPhPg4juNysViQUt27fiFmKkLAK/eWw9bWwmyVQAawHJZRqwr6ritm9Goq0MLI+SCD + petK1/f9Ipj1E66qXWfugErXl3lIpZSE9MHMUpjoahNCUiLJI0AuEwisGCKiepBGBWIcBi2l6ztb + IxJmKDp36+wXvaI5bQwnw9A4tuNyCGKxtZ0JjZiwFAjGEQxf9BaMcAdNVVVFRcO9jmMpHckIL1bC + vXrtuj4lYG93KYrt7UNodPtQVQSru3adqGopSkEwvMIUqmrmESQXi4VNM+7uI6OUhniUYrVyOXCx + pUGYE2oQU6iI1OA41K7r+q7AtrG8Th9leyHacim9odV1lE4lmWAZcjh8iDqO1ffG2Bu9svmA4cPn + P/sn/8+vf/wvnnr1re/6wN/7u3/3Pe947ORtKsQ4LFWXfb8NaIRkoUwqqhmiVTNtE7rK5DdYREBi + uVwCVLXSdRDUwHJv7BTbi64senjFOIaPYkX6RXrYIvK1r3/99z7xyY/8vx971xPv/okf++Av/sJ/ + vL3oitAANfSlg0h2Fyuly03k3SkqORHjGOM4bi0WmdjO8FGn9quyXp8hbQ+3jEgSZhWRqFU0O38F + FBr16uWL169dK9vRbW1DMFZslUxRM2qlCkqpFSLoOmO0JaCiZNRaizVMS0QYTBZeMtI9VsKci1oN + lvoy31IkehusSxHu7lz/2G/+5m9/8tNPPfXNRx959K6Tdx89eksd/Or1nWHEVtmvFJbLZUTtS9/p + IomHNTDqGsKLAF2jImo4K6XCvKlEjsNyd/eaKiLi2s7ucohKlRn5JTEOAL3oABOLUkRFiioIVLhA + uqnTUVCbq4Jxd3dw3zp8ONQEYTYngaMU1bIVaPok0wKdFkIqfbkctjpgTvwT4RivX//SX3z+f/2l + /+3MeT72rg/+0//5fzquvfpeWfQxuC7Dtg9TMESL3RJILSY5XdVd69JKi+S9AgIzqMBEkTVsYgA0 + S7wEoyOQaXmPyIqllCCZD8ha/4iEaEWs7wTiEe5eShHTTlsdQtd1CeL3fZlwEQDJX5Xh+k71OHT0 + FhcJbjBaGcjyiC7hIzON8JhLwejh586df+qpZx54gDs7SzObOhAIACeHvXHRd12r9K2MgnQwCLfG + V3Wn5WC8gg5RaMmCleVQYaVbrIYEaVuS0R2+rAFbbK/TJicWBOettwWABHYufuPpZz7ye3/yx08+ + d8vxEx/+8Z/80Ac/eMcdJwLYrdAORVFy3LvXL734/K//xm88dSnk3sd/9Od+/t0P3Xloa/Z3aDIx + YQ0KdffKUSl96UU1d1pIbZa4bdRxuXttuzcsDidqmQ5+1xcpZayIChNoP5GHvQIZiAOkR1Wx5JNZ + KQlYDGONQNf3mVJyD1FRgQq8jl7ZdaXlTus4wqsWLS1izresfWJmLuOyj1EYA7kUHYlhF8UCvaph + WYeglG6hE59CFQj1GIUhoJoivFZfDrX0W93C3OFIrg3MoJmhJaECH4CKro+xDhBRU2n1jFaS6ta4 + 1eHRsCIhVBpzrVFutBIBlIkMo4YMWgVwr3XYMa2m9Ih0pGPElknS90xLDd9dDmWxECi8CpSEj2On + pl26P0piGEazhRnUMI7wAYsFRmcdxsViy7S0FGEHK+Yx+jgmHg01M+m3ejrHsRbTVaJeRLSlT6SV + TaHve4gGMQyjmqXfknq91koxsRbVWemg8frZ1778x3/4r/7Vv3xpeeih9//0z/7if/G+x+4aUA2D + qaKUGIeglMX2XFaZjJB5oXi4ieaEDHt7e3u7XVf6RW+lhHtEUFC6LiJqHbuun+2yiHiE1yqQvu8y + 3HZ3U1W1mdYiImaWJrGOY0T0i4WoiRIiCjAB3AgGO1M1M1kjLcv0XzKcmBValiEqmkOOqUSMHrFc + LruuE7NhGE1bfDB7sBF0D4Cqaqat5NTMSsnASVRB0ENz6ZpCxCOCISEZaFd3MrYWW6oa4cu9Zd91 + XSkZPjfl3ia7AR4egNClvvzKS7/1sY//37/6L+++874P/a2f+of/5T84cviQdQpw2Nt57rmXPv67 + f/zx3/39Z7/z7F133fXQI/cfu+XEhUs7w1hPnz79nW9+5Xd/66NfeOr5h59479/+z/7+z/3E+9Ki + zdhwMR0BJzCiWzVnaAcBU/SHt1tRXka5Xsflbi2IhXbWB4JAVwxUVLL6a2deffbpZ4fgpUuXa3BR + VjXBrYSXAMLdGVlmNELFrGHrAYy1WkL2aLkbLPf+9W997KMf/8xLF3b/zn/693/uwz/9yEP3by+E + kHEcOwXMRJS1uof1W2paFEEQMEFWHUFQSmmp8cg0e/plAAAgAElEQVRsmxLiCS2N0Qes60DUy1ef + e+mFf/YvfuUPPvfnJ++887/5r/7hh3/6Z8zZaavf74qEdphADMvlCfUIQERFVCNY65jl2nMoakAG + YWamogS8untApO+bCyMiJoX0WquqmKpZyYU/LpdqZqVfaFPsxVpsvjdUEV30Ao9xWF7f2bl0+epr + 566++PKZnb1lAMMILJeL2MNiu83IGsITdZSoXelCuxFagTLRmPKdCMdkcwULWyUQ6S7LEaWgyEyC + o1Mk2yLCPYJU65KCD6+mMC0UjJXhvtV3BFN1qFlR7YqB0YAWk04XjADDVNe35PzrcHwvGyY0UuYB + sXkDmGpgKxccWYfdixde+9IXP/e6nHp066H3jbCCEgIEqu8u91546fSVa9dgdv+Db7nl+K3Qtn3E + jFJh9vmbYESwug87O9dPv3j6hZfPqXDn2k5UzxRKi4EYzogQMwN0GKIrKoJSuhZFKMTU3Ze7O13f + qykJryPJBm6C1TPCT6YAEHPNEiCICI8oZqXYYtGnSRIUVZiVrqdp0qTSX25eRiNhJlxB5o/FCiAy + 2WhMXNrsB4Dmkkw8tKigUksajNEh2uqPyawFDEl0Mx3P4LBXzTQL593dnV1XUoGOdQRhVriqwMWc + xZ2Tc6LNnaJINkGAKM28VoaX0onkhoWNxVPH0YqpqEcFoSLFGvMtH80nAUocs2WjSEDULDvc5TNF + 0GMUK5DW6CCAOsZCVbIqIqox+1kYKDEVCk15HEbQ1DJCS4e4TU67WES4aMFcuSCAupkzou4MY7Wg + ZU21AFNdfQqkg16rjS3XhV5RDB7Q9atBwoNtnslw0BuiAQJUUBHh47i3s7Ozazu7O3t1qIiSRQsS + ExtxteyS+SIcr1559fyV0+evLm695/i9Dx89ViwpGMEIgtXMJG8hwvDlOHT9QkVKKS1nODFNM/uV + /IsQURErBlUJd69qmu8REBOJWiM8h+PB8Og6m+mAZGbbMt2mU1YcrY8tyxgYFIsZlvYALKA67KH6 + cm/3pRdf/NZzr+m17vWLV3b3BmK7pFCkXJik/4SEZZPbk2tr6l6QnNz0tCxdw2AxU1WFMJJBbmrw + QPIC1DoRa6IseQUx6yDSiAB55Zwr6YEiigjUymaak/NFwFQFLvSoyFyhoDOjqiqUqoSHg2EExEy1 + dJaAfLTqnRXikS5tMTUVQwDoTE0YwWE5nD59+sKlSxA5der+O06cSNcoOSmiaibgqBxVKSpinSiG + ZeJpmCgIGINLCitK0NxRiiicPtS9hW0JJN9netZqLU8xesRU2raWOD3IbgAZGySIYFAyopIiaqYq + XVcaMqxYLh2IRd8VMwoUKKYA3WspCikCTapR0kprjZZgF2nlQ9MEClCri6Cb2hckT2SmbQThTm01 + RxmzhldvpVeqyEY6k4LoikElnDVctZiBa/WDHnCvnZUAfRyTlWWtkJAJGZu0FBsD4RRrhkEVhNSx + itKSlUEPb/m2THgJRFTbm0WZ+fhWOmhbyAHUiC6RaQMEjkDkTk2SDLsAvXqGwCpKd9RIZZTlre7u + NUrpikpYMiso2sErlGoSXoXsDZ000BXai5bsXJOGOIGXUECMzV+e8DmGEKQ4LaCci9UVO9cvvfTC + 89/61svdLQ9fvHi5VleoKKQV1kdzQzjZVZFxGCJYupLMm3EcJ9y2Ne0hI8PCUgxoxPy06Np1WXui + LZrXhnesFbvu7Oy+dvbsc08/c+TIsdfPvUMFRVU51uWyKGFdqFEta94zNhQ19xjH6DoT1VK65ivP + tUWyvjrW/lvuXrt2+alXLl3k1l13nrz3jqO3bpuYtFoGAgylS7iqaukGZw102gJ8iKhKiKYXlqZ8 + Tp9FTBQnmaubRARCZiil1qq4G3IRLahM2mAbobTKGFHlsHvh3Pknn/zqa+cvPfCWhz/0Mz/72COP + Hj58bHd3OHXqfutS5YdknhIgwsxESaDWWtBhIe4YgYVNs0EHq8QI9yBHSg1xItDYqYpg1OrjWGs+ + aXX0NhPXFVJEjekPIFpNpadzIyGoE62vszQj0RWySS9aJ42orb5KdC5JFgDh4AiKWKdSpBSIA95I + DQJV1LFevnjhO88/98KZujj60JWrO8du7UuxGrUTsVIQpGXhptRh1Dpqt5CiJsgeOSwdjEmmbTUG + dQITE4mEQNQ9aqAG1JBloNQycY0z68YNnSwTw3NF0gOyNnLqbkUwE5al650ImZnjIVHRNCG7UjLz + lWHrJBwZokNhlszv1A8iplmF4MEwKyJWK901XDxAd2J0Xy4WveqiFNXGFh1ERpUeCnqawsnWNO86 + SxVS2QcoEFppTSSccEICvTVTKiqgpQcxlaxR4I2t3Ojk0+pgXV6/9NyzTz355Jf+7PNPb20dx94g + u7s/8zM/e/jECS1ILlR+kV6H61ef/tpXv3hmp78Q7/2JQayZGAUhU/crj8SeVURFlQm6egWksyS7 + eoRBVHXRF8awc+Hct557aRhl++iRex98YPvYEVMLW+Pb5qHT+AUQVVJEPVjHaqXT7IrRKlaQtXOt + JEVgpgoT5iCdy72XX33t1QuXr498+NHHb7vltkXXbhLAEOh8NI7qA6OO4aNMDPdwBGACVQlVUXc4 + UawVa4dXE2jGeQo17foyjW21c01ebByHThwFUNYrl8+8fvHM+evSH7373lPHbz2+2OpjUqAy0U5V + lHTJxnHikIAwPC5f233muZfGWg4dvePB++7ut1LHwYHI+wpUnFwGq4hkWySdW7ER4RGAdV2y9UwV + HmQUy1ph1uWoJlBJRC5lNU0wCZWuFFMtDWYPCkUlcZ9CMaA4pHpWk+eKygp8YYtG28OaWRDhlRC1 + TkzMGuDOKWRUaWU2CYc56RF7uzsXz5998flvfXvnkN7z8t7o1sHCbIoh22sQVHenWNEg6HQ09vR6 + Ezwrtlj0VpIGRBUR1SSZmipZcoFaaaUDIqrZTLBZMqhKFpVm2auAtbqmw5W+zVqiSUybX9vMUESE + CjIWRuv9UgD6UK0UgLUOnfaiGt7ig5mYLwgQgiiaLhi0tV3I4rZ81BYgTTZURI1zka5IIrZJuZ36 + gsDd0ysWVZLjquOikRGkFVPNQroEpNCUEBDjQGyJTZFj+HK5+/rZs08//cy4hwsXLsYUhgCE8OLF + C5/97OdeeeXVEydO/Nzf+em3PvbgkWO3n7+48/Z3vnOx6PZ2d149c/rb3/7W1u33XLu+0zyMOkbE + 5DEiDWtnEArcMQwoJawLwUCEoJtrKDN2VulKQWFtZWPNZGSAKqVHYLm73PWoNSBaJxfCkz+bmhCt + x4VQ4OFXrz579pWvn7588r6HHzhx+J7jWwZLYloQtY4Y9l5+6YVvPPX1ly4OP3L+ok/cfTLUlKwS + RKM5SGRJgWBchppa36IcBus4qEYxm7w7q9CaetSqpIoOMobr16+efun0008/d21nuHz5GphuPMER + QdFOIEtnJ+hbhJvGKJt5CEQkS5mmrptZy9J1RVWFVJGJ2wQzAXQmzkujk5kaW9SLyX1GbkGSXiaT + nCFqahmgK+AQUYLOYfRr1/fOXbi0HKsAZijFEAKN3G5zWEIMWqBcdVpka16KrKhrWhIjODIiQiOM + U2sbUWntwxhDjK7Wc2rRSEyZEpFWVpCdFBzUms2YSicqEi010oIiOhAiJVnYCHAYeo3SL2YX7K/J + 8T1smDApzebwrD7j1PHA58INUqL6sPvqmZfOajlx5boLGq5E+ri8cvHiZ//0T0+/+urho7dsHzp6 + 5OhxsHUnieaFTXBg09Rp6WGFhw9tP/zQw93i1lP33HV4+5AlGROEZC0FgxEhfb8dLsPgpmqGfupL + I4SoMcblsFRTkRLkOI4QHFocksTzvGagm7x2gk20GCIa4V5dADO1sjUOY62uU8rQrMvWAiRFRagi + hCS5vbXmnHxc7Xpdn0yR1kAwEDFvA9KyrAEPghRLnmJ1TKBDo9KjdVxqF/Pgchi3t7esKICx1vBq + pmoSxDiOpeu6rmPrJ8h5ymPOzGGqcxVJtqIIINr1/d7ubkRrCpxIg2S1sldVoSLcM1eZ1MjmZgUy + vtWZC0ISWdoMbc2DMnwSRtQIkxAr6Qx5wKt3FkYvBtAZEOusmEJjqieeLVwEFRBTKwr3VfNKnWp9 + Gcj2NjZpBmPfixXDSKIX60W1debLDJdM+gUMn/RLhXZQReTmItqC3AT6RaWU4lE9AnRTnQoPoxgW + nYE1fIjAMPreGJVTQDVpqrkLIQBks4UiFy+c++pXvvFnT37j1GPf931bt3VbJ7a2muEI9/BRQRHt + TEVlrD4MQzLO+q5wfQWLZCwRocRU4BEJGWmMo0iolVJaelxFillXChleq0dYmVKPM9DcUAF1hKSy + b9Cte62jlr5DIKt2QqwAMozeA4e2t++9556HxqNx8pGtw8fEWgpDJPkSE5HELDs7SHIqGCKipsWs + 1ppdLxuxSJURALvSZXaBnnxHJSWYfm1rg9pWE1ijJsad13Els3Q4wopBtEka4XSDAVmzQxAZlkHg + tYrQxCCiJVUxVUWh4d46VhUR1b6oEx50SpkD1KTLMfm82WDBQRQVgF6H69eufvGLX3jmW89tHz7y + gQ/86PHb70hyRnqzZug6UwmVfIOqXS+GsaIToF/lD2r40gtGLqIagK5APOjjOBbpimh4Nj7VJDok + Nlfd89VjDWVuArVhOQAQpmZdqhUz84ggmOZdZdFbazwiGMYBjK1F13UF1NZKiYnpSOrDLLWWYu7h + HoqmmnTivurU6cHdVdCKgpLvo9Ic+AZ0UgWmWgwCCWet1VSKtar5FnsxACldAVHDfRxRWt5rRuLc + YxzGsqVMHrRZKQabDI9HsdIqyzh1cSXyZ1VAdBzGTqUUi2B2TzPpJNkcU3YiuR6mGhEJRvSLPogx + QlUiOxCJQLSIVoYzEGHNhRYhgqxJskvyrwdrJYC+qBohHsFai5VSRE1GByG0Moyu5MLAqApYV4CA + +1ij6xcoJQMtgCYSgSCDkvmhmHvJJGYqAhilT8isBUeG48cO33fqnrPXtu6599TW1iHLMhkmVzgA + T1LqOpuwVq8t9ksiQ536S8y9FBpUVUqLikaPtK8lefQeEVGEyBOCqBVFM8g7fPTIXXfd/dCjj566 + 79Sttx7vSilFpWKoQ9bPBoVSEvIm2wIcKrOPcDGUMiHrG72qiRXkxWb2d669fPq1T/3h519dlve/ + /32Hn3jk1u1bVbRFPCAYRi/CUoqUbgx4No+fnRtVBUYiRSbh11zoGeuqZdv3WJ9Fdw8QmbtKU+uT + 0clK9pl1Oz9AKeOV3dfPnn3hxdNEeccT7/lbP/Whd73j7Yu+y10GpILhjDFzT1TxiFIKxVgjxnC4 + sUxPPvkHdNA1xgRPK2WE+hQmmsJMIsYIjwzGs/G0oT1tAlFFEzMcvfYGUqQ6pBMTkcaNZaRWIFDR + oUdXiZDG/2V4eBURqmVnJGJGMAdCQYNq6RbwXTByGCFZpC+HDy3e8uAD/RG75977gyJaisHH3S1b + QDp4wwcVCMYwLLdKt8I+VQULx5ixoBngrds7tZUpZb8Pj6jjGN71C+QFgDJ7cutyNiEm+TuzUh4C + BkU19UnOPhljHVXEuj6IVimV5s3d2gKiLPoEiScnJ2vfURprUhQFrA3xTwwsnBFkmGrXLRb9YZWe + lFpp4s46jsvSqelW36sQQXcfzMasJp5yDXAgWR4JRTfoltOCEitFWqvZQHXkss7PRQSmqqvmp4mn + zpS3Tavl4+7VM6e/c/bsq9cuXLymyz/64z/B1WtPvPOJtxw7rkc7n9YtwzVcYrx47rVXX722ffxC + iNnEZGNQxDFZTdBQoNnHJN+jRyWtZJdJyfS/qOrWIq7vnD372qf/8NNXrg533H3nTx47cuehRela + QY60UIytBSkB+oQdtOL90R1aUvOYiah4IFGDrmjbhEBFtLTV53Xn2pWnv/H1J7/x3Llre3/78K3b + i2NFSy5qBxjs6AuD+p7X5cgYk6ZtGQEGYKpGaXWE9MT1mm+oGfAzgFCTvluAGlwhtvkgJKLWKrUo + ILxy+fxXvvyVrzz94taxO3/oh3+k397utvqYkOosFdTMgLpMTZ8qpMKs1nj99df+4FO/v7vUe089 + evstP3VL34HwSp/EQBSqER5kzRcBgXWTMARiDJp0peQuxqZt6qwoJBD0GmSxTotly99URcichWkp + lkY/hMGokjxQU0gnUJXixFgjGa+iGqCQopp7N1gDRsX6DtXdPYgiZqZW1rZqIpKEFKqpVCcXl6Xo + LUcOPXjfvVweO3HnXVtHjpmiqCCmTSRMVQog1d0JLen1ku5QleQKTkvEumLd5NuQMBXSWoZfuhWM + W6o7CMs4fIYPpDUNy6fL1+C1wizL10RaC9ts/qaizMRr45louIMxFXE6k4UeMdbltN9MS/QSELHm + 7QCSte0MAfqu0S66ZG6iMTlMspWaiBrC01MT1Sz4Kqt22MQqE4bE6SjoSk/A6e61lJIMsFbI23WK + qTVNUo2yyQ9Ya4VEJt1DwIiuK3ecuONtb3vb/acevvPOu0rpRBSsYCX96tWrzzzzzDjEI299+y/8 + 4i/c+8CdW9vH90aY6d7F87fddvz+U/e+9fJw3/33Hz52S2CGuN1K9vyAEzQUA0JRHU6qUzpXjJVh + 4tpC3tbLrBXBZfVN05nBbCFf0FlXOhP1OhIiZtnsWwXuwezFj2i9DQmEAHHutTOf//zTv/VHX37v + j/x4+f7H77/9YYipqGcgGS7htxw9fO89d3e32sm77jl85JiVDL5YVODZ5EdgqqLVswlk3lE57TUW + jLEOpiymLbJCVwNVsQAmj8GBMPFFX+666+6HH370rnvuOXr0FkA0IS7WNPMhXa2hKiyZ9KckAw+t + 1Db1t4hk777qXky70mQbSBZXEl80RDPLCELAomKKRkNipLOSixoAvQqSXgAfRzWa9V1pzQ2RFkWE + 0OrY2RurZ8wFWxTU3EE9GDbW0VBaNCSSeoqUmM1gQ40dqMJKMkKDFmxMC0juP0PQRx/Zk+yhU+ch + YmL5wAnN5FM4qDWAbmK3kwIRM1LIUdwhAWUAla3JA+nA1OX8r83xvd2mDI3xMjuHxNT9BASKwSRb + Io1FuN1rX8TEYAsXVGAcarHRx+XlSxc/+YlPfO2bT99z6v7v+4EffPSxEht3af8EIKBKs8y23R2y + 7ccee/Sf/I//5NqOLLb1rY/cf/hwyb47Iq1mWc1gJlAR2Wqd71pAEoSP3nVSSjly9GjGLUUA9CAF + 8Agyuq5L0ZR5NCLVvUb0fW+lQGRvb7eUbmux6LrOXcJXg9/b2wOi64oVJTgMy1JKhO/u7i4Wi64s + 1moy2pzO351R3IhKHzWiLXYtKMk4M89VkzjAlKjRlpNsb8NrJfrDhw/pdE7f9+42jGNJJWcFkIjs + NKSgVI8WOkw1KA08bID3hKQTyH140FpxZzV6KcXMFossk2FXyuyOZY0zxepUzZ0plkAmk8UTRC0Y + loOodN1CVDvtjKBKEHXiXCwWnQ97EmNZdMkka/MlqtKso5WssCNZV3lzVQF9GEwFpSTdTrsyOls7 + ynQADcNwfW+5ByikF+sEcIRHVVF4hY8wwKiqXcIhhPbp9KKzBgvv7uwVk8WiL6VMcJKJaPYhHJfD + sHPl8OFeRLpiEt6ZbW2Zlo7aJf1gDi6I1Wpr75cO6ukXX/ijz3z6t//gT39oVx/9/r+5t0TtQIWq + aldQBO5AwEq6Jnbo0HIcg7FYLCbaRfbJIgBTEZXSdSTDYxhrV8xM+q5j1KhVrYzL5d7uTt91hw8d + 2t7eqrUC3FosUjJKae55K0NtI89SxdqoyoitRRkBAmOFjGNnmoyL/tBhVL/jLW/5x//oH7+C23Zv + eejOB+48eRgAwsNYgRFeoR3Lgiq1+jgOi8VCVRmodVTRvusyZW4JmTWq6NpuhCJpFNsWXR1K36WI + BDHWoVgkYOQEa1YUWim5TEeBQVArlku3YlbQb5UIZ0Svlj4kavUiMCmtDQpQPUPmMbyCYdJ3fafJ + s21BV/VIindMcXYqV2krjgBRB/cAYF0Xddy5fu0zn/n0pz/zJyfvuuetjz727u9/V4pKqpFkfx/a + 7k29jnsiQjEBtrfRb2wMJH0pUbDdy2LsMFSMS7Kyi+1D26iMCOuKQSJiHEctpXVE0alybzpW0fCN + 6VEGfAxPR0JKvzCzgCSdFwJ3Aigl6+naVd3r7vXrh7YW1pfS91O0qsM4uMdia/v/I++9muzKsjOx + tbY551ybDt57VKHgvS2DKlR1V1s22T0UGRqNgqEI8RfoJ+hF8zwhhTjkkDNB0yS7utnNrq5GoeBN + AomEy0ykQybS23vz2mO2WXrY5ybQlEIv4pN4IxEBIDPynnvM3mt96zPMCSZXPzUCQIqEurXH9zwi + 4856itvi6vkERBDeKi4OumXC4GaIVinGOSDqJDHGAKCfzQJywRkLfAffa6UJkTvr6JY2yo1qpODo + IGSjASBNICKwWitjCZjne4BgLMQJSYlSgO95DK2biJCldPshIEcqYRw5t8YgMmDYbIaM80w2IIBY + qUazmclkhJTS899YNCMAMCE4JwBlVRxbjiSY9DxA51PmfDk4IBikhAwiciEEE85ZjyHIVi6E5R5a + bYwRzqjRKpcDI4MMIKd0bpca23kMDDDbEpHYN/ARuY4LGUfhc+ETc6xGC6SPHz30v2w6sNhsw8Ke + Tevz7TkgA9YaIRzR2gCktFmtDWMoBA+CgAAYorGGyHq+n5aV7s5CAHDW80REjqOAiErbJGF+AMIJ + HsnyNybTRsWJZByYMMa+997BTZu2fPbtz/P5/Jr2ghAcyQIHL/AADTLBmDDALYE2FgxxC0JyKZ03 + S3pnukfiLdwWnKkrUCstiSwYHTdqM9OTt27dmgrZug3r9m1bp9fmJecuTMNqzYxGq5FMEseU6CCX + ZwKUspnU5YLAWmUotlwEgmOK21pyEyD3xFKSxFprIvA8z+3ayDmlJBjQBiD1SVhdhdwKlZA2DkHn + pMHjUaOxvLTcaIYasl6QzebyfoueFyuIa42OrMd8npYR1hqtkEtETHnIFglBSPDeWiYcfoTWAGlL + ZIkZ4G9A/xZHkjHGpXT1iieBAwcTQzMEpawPrOAzDkBc6Yg4IzI6SaRMGwlXOzHkaMEYzWyMXAOI + MCKSkntAzjMnHRtYbTRx4dzAfQYgCAmNNcYKzgG0BtDgYZJAjOD5UCgWzp05veF/3RnBBq+wf/PO + gvTBgvWkQOeQylEbE1orJM8K7uWygAiatEX0gAA0cGWVIOsxcnclF2lpBkw65A0IOBeCCfeQtp4y + zhz99631t1XE0pslAWGVmd6iILmlGJCxIBMQkbYtFMl9McY4SxF8gNTd32ErlHqGuhX4rSKW3mD9 + LusAGQLEcayVYShVbOPYWAKPCymYH7BUHJEuFrblf+jeMRWZJQrQUM5blZtZMAoIQXiQyujBOto4 + AQrwGKDzIksUByWQwBNvnZzVCcrbO5j7F0lmOVpkCJls17ptulIeHhp8/vSJ7OjcsG8na8k7jDFo + tQArkQTjjEsQnvu9Dl4GrYBrx1yw4K4fKK3AIvcy0pMM0twz5jhgUQIm9DJoTbJSWr516+biYn3n + vt3nP7iojUoM833PWLAaMp6rEIzbYclYEIIYB0zr+UyQcQYoKYRPYA1xhu5iJomyJgkCLy3PjAIV + 1yrlhw8eXL3dvVCNjp24sH7NZoFtfgaIAwBIgV7Wh7xPOorjprZGWUsAUkKq0QNgrbAj4ZLd0FFZ + rCeFeyMyOk4iYyCTKxCxRGtAqQ0josBHh3j6gWeVUs2GzPBabWV4ZOirr25lO7as37yta/36QnvB + hZlgy6zMFZrIGKAAtKl3HAdt1MLC7K9++YtKjQ4dOXfmxNmN7Ws4Ay7R80CDqxmMMTEAcAZuc0yr + bgJrSEWJ9DwpMXmr4OGcE2gVN6VkwHzfzwBKdzEcOwBTQkBLSEqgEs05eI7qaY01BCCBkCxpsKal + 8EFHTTCGyAK5x4YxIUgp5y3kWAXIBLjcOfOGd26MQqM8T6ahcQCMgfSYILZpy+ZNn35y7OA7s3Jz + sv6wt76QEGhtJZrWdpluUcKTKUzCgANjLYSHWvN1IMdDtmAt46w1Hny7q6UkiYExT/rGWgDm8mCc + u63TYDg2IgIIKZwtled5KW8xXaLSOpOzFvDfOsZV2o8x2vn/CYGABAID5jnpZhB4biQuuGgtfOAU + NuxtO1trrQXm4EAAIYSTeKpEM8aFdJ1ya3QHSMhc5oHgnIxFANFKS3fT4tXTgMg833eEEHJpBOTC + CwgBpJSACNbqOGYcmGSe77u7OHXaY7hx44af/OTHlz/4HKy3pqMzlwsYA6t1GNVq9XKpVKpV67nc + 2vUbNm5YvyEIPALyJNcEQRAcPnRw27r/+cchEx1b8ht3Kws+A+4Jbjk4EyVOb5pMx5DwJHKXuA5C + ogIIE8st+hZBiJRRhhYADJjQxJJLDoIhB8bAIGhnscy00kTIZerysnpLAGinK1SGGWMFxGDV1MTr + 3t7H12/cwfya3evb6NBuNFoDGs6RgRf4wHP//r//o4++94eRtya3fvfaDs+RlZExbRLh3FfcJsfA + GVMjQjYjtIEkAU8C48CAZzIBWWVUwokcLGAJzerqj+DsxVnW27N755/+6Z/+6H/QHV1dG7s6jbb1 + qN7mIXgIAsEaS9zzOBpIlPaEQBf0btJxOAC5w0viGBE93/eIiKxWKjUtNAYoJelqpTQwJiRLY3Qw + HUS9wU4skkUEFJy0Vkoxy4UQwKXvydQQME2Z0qgSnShrAJkQQTZfbGdCOhu8NCPHEAiOjAcZiWm1 + a5ibLCIjRN0SRov0klkAjaSAiIDZtyFUa0ErYAw85glPO7KjacFYCEopC5xLYSwwYxi3AAaYlMJL + ECwAWhCIDFFrAyxtosAaMsYwbhl4HkiRFSizlrcAACAASURBVDYCa1J8+d/M618RuqW3cNvWq4Uo + vQ0WALghFzG0QFpbE2ujLUAqmzNAxhpVr1XLy8uen4vCmCwgS8F69i9+OWH6cDmFk8S29uKB/Fpl + ABA8H1pxS7C6sDsinrEGQKRESFitQIEQNFkAY60WXCCAMZZh6h2LCECYahlc8himTwdzKS5Gc8Y5 + Y1JIZGjJOaYxIDQGrAUhQHDhomOsMQDE00Eik1I67wJLliwAWWR8tYpODf/cBMdBTk43Bm7ERcA9 + N7Bx7Fx0DvQWkMAXwICsNcytRegIv0gI2gJax1oC5lISnE+Kc5BIfdItWQBk2lhrjMekA3ydy27q + oYAAiDrRBCS4WKXgurEJXyXlukA053OaUmhTbDo9cI6t3Rew9T3OBUNiwEQ6a2pdftccICCmScWC + A3fwAF9dWdCZ1TMuLDmbeYYMkAEHZrTSVvueRLBgLUvV3hbQAuNOEIlvUCwAAKMNWQtSGrLa3bjp + c2SBpQLAdOFBZhGc6aHRoA0Int5sUjLOAND59CPjzFoDLQsOzoTnBe6mT2LtMURiZJFAEPeAtx6D + 1Uft7Z6CtQwfrI7jaHFxaX5hYbm8snHb1pSFpAmsBqsZRyAilbjzzpgQPPXrceQjkwIKCADaECII + 7qbaLuUcmbuOrTwThsAZco7G6CgMtVIOYDKWXFumjXOFJ966d5ExohbcbBSYmHmACMqxJLhgjFGq + LedgGASZbQcOrPM3r2Q9YCDTS7OK/6RwDAE6HoAx1lU5AJwsKaVcheRMrDhDx5F3E04Hq7VIrW9Q + fee0jgiMITFlHfpMfFX/AmitTQAN8sCdPS4440AI2qaW/Ubr1EMoRWkQXAqwtu5HEYAzriHd4VtL + KYK1OpX8MMtaVB2TMpgYIFidYl9ILXq4RWvI6Ea9vlwqgfAazUgTIEsHECl3jKwxCQPDGERxHCtt + V1dCSGspg9odSBpAuErjB+KAwJhbsAGdbQK5dVYZA4CcC+OG8f+vO8fqFsEYkosRc8fgcrTIWkNO + Na+05TxdWbROjFKe5OkVciMR4zg9wqWovVkn3n5MWgAuWecRyVKmpvujNZAhlFYIi6AtgE0BSidz + MsYwkVpwp52MG7Uhgl0lRDEi58fi8qCIMWQMmINugUlnokGtgHWH0SK2ZgkcoOUxi8A5GguoQApA + Mo7C4mpeazRDTL0N3DoFQERgkQtHkgWXHy49nwlBmAqQBQBKbq1VZLQljpIhSiE0A8uduipljKQH + gQzTlCZ0nC1HxUXGkDPrkpgEopUIGqwBMmn4B3Jg0ry1NKHbsIAYeppQpzAMtlphC9ZYYy2hBU7I + W0IGw8mI9vzutrVr9dpIeFkJ0lX7xoBMb1Kwhog4SxXyxp159xbaWmtcOgoACM4JXNwiEjH3UcmS + MgqFxwVz9gVOySKcoR5YMBqIhPN8BGAMi4VMNpfpWrtWSiGRyCSajEADHEAbsIlhaJiAlr8KInPi + Qsd7deF/BGk2ebrJpNkWq1g2ARlAS1o16vVSqbTYYJVqTRvDUnsPQHDOPZaDzWczUsqmts0wNjbI + SMZaQJx7pjhvOZC0nml3Xd0POfcSgDQb0H03XRgQEEFrcBxmQ4CpJ40FBOQcnKuCBdAGLXAmGPPI + CmPBUdWti30H8HzJBQAzAGiVMoQ83R3dnoNAkCRAPhCAgjQyzhn+MjCM3DLHCXjLBMAtaBrRSc9J + G7Dp6U0zs0BwRIhiRb50lu3u46/6RMUxWAmCpcfBEBAt2EhbCZiD1qqMTsBEro9mxoJ15Su6gwVE + d4YZIHeTb54G+oEUQnZ1HGrfYPjWCJlurbcMEMiC0iAE58zNSjEdxBIgSC6JOcBSKAqQKLVCdtQb + x2RgngEJQNaAcFAPASgwBCQhAWCEEp0cNkXeCcgVaa31BxBaAV6prVB6olzcDuOcMUbEtHU4c2vH + YAhGA2ngjkBorTVWcOAMW07fmgBWu4DfWZydFhuZc/RERoQEHJFzjpgqOZgBjaSdRQgw94sJMNVq + OWdSxlNTLbIGjEarAVa9xt96P5ZKJhxuiwYQyCle3kay3Wb+VhPTciwiAK1JW18GUvjZQvvR48cX + h/prU2PfXPsN7+jKbdqeK7oEH2AtCoM1SmuF2ihNZrWMdfuI1UAGVsE5IN7i4qWFMIAyYAxkJXAm + EQSAMVo1G4352bmFxUbbmk5jDeOOfe1qOnenWnJyGXdeGAJzVq8IyJAzS5D2JvxNBQ4uQi2VtbY+ + u4M/wDTrlZVSaaWqomZiCFGmVxYdCyqJ0ERMkudxTwqR2sE6EJEBMSJriDtmCXtrCbIOPgBCBkIw + bOnvOWeUWi8iQ9AKrEoyPjDufCt1HNUrlfLC4mIRCtpCKvSkFBlPWbYEvmjddW4kBgQ2iaJGrbZS + rVZqdWg2mkppsqtV9CpFzHJmPQGMgUqUm0YoA4I5kZlwRckqxu8aGkTiAoASMAx4zt1P1lhgljFq + jeV9IjDG3aScARFpSxGDhKWeMgwxbQOdnZKxoJNEtuyM3PYPRJwxY62KE5YGL3A3bEsSzYQQEoAB + EkNqXV9KDd4crw6CANZ1rStmOoMdpWyh5E4SWw0KInf0iSUQ3putiqX1lyUr0xkAmSRhCCmB+i2w + EgB0kridgrmSCIC/FQlIaVOc3psMGBE5D1zOGDJ0Q2shvdTN4+0OqBVTwhkIp9F06gmyLStrBYDA + mU0iAGR+4GgpaXmJ6ZMNhFolglsAZo1JqYrO2Iyc2wOkeacIxqReNNa4DRvYG/2SIw4TIHOka2SM + MefhowkdrzhVM2AKRgMgpRFyqQDLUS9bfSWBddNTYThn2UyQ3dy5eUPGKM6RpbZLDKXkQnAAaoZR + Ls896UnpEYEhA4wDghd4hTWda4tyEyvGQUcoVs8kAVgyTpmUbliGQKYgQ2IN10wwkXbDKXSRro4W + wDmdunbNGWEZBA5kQVtQyJH5ns95SERag7M7tq74SYsMAsS0UTIAKrFWNZvN5YWFlWotjBN465V2 + jmA7tmzu2NJewXblgSdatxu+9WUNpPF3whK4wC3eShSwFoiMm2i2PGPSsaKrbFNsHgHQggRfZvft + 27+Z+b4PHAA1oBUAMWgFXLr4UIbOcF4YcnMpaplkAqWiGmRcrC6wZMm02hDO0vBwAGJIbrNz3zOt + YEOtDEfgSM5eAwBcdpkQPFVGg2ar/tdpX4vAmORCSg+AE3DGJbbIiynowVMJO09Pi8viTncIK1tz + sDfXwAJodNsncACRzr+hVSwCAVmDJm066Y2Eyik/Eu06awagQStAgX46CHEHTwTI0JLjyjgKwZsl + haglS/w3BNsC/Kuzbluv37m2kBJIUvti5/jFgMAmZK22OtZWtaBbXSkvLZUXF+aALGc8iZO52YXX + r+eEn9EWg0zQ1eUhAF99DyfGTwENA5xImcpK1ZqC9ME5zCA4ARVZreNEhWHUaKgoMoCeJzNSBJlM + LpeXjqaKwCxoa7RWCWMcAZXSnnRWyhDHSbPZbDQabcViNptths1mM4zjmKzN5nL5XI4xBhKlEL7v + J0rVa7VmGCWxUQnFcSI4z+Yy+XzW9z3gaIxlHKSQABY5z2YyAKiNadab9XozjhOyAMg5E77vZzI5 + mfGtSD2eGbYm40rpWrVSDy2xxEBskUSGBXkvk+HSAwYMrGTMIpHRHrdgEpUk5Xo1wWw+XwQgo5Iw + bKgktlZzjpkgyGaDTCbjAIgwiuv1RqMZEqFS2hKuWbsum8sJAdqAQCsEWGucxGNhfgEQOjs6hRTW + 2DAKG42mVgoRM5lMNpfNZXPOTE2DrtfrjXojTmIAZDIT5NqCXNFvcWwwFS4jEQkhSOsojsNmMwzD + KE6chAmZyBUKQTbvB4JSMwRItcxGVcuVROlsoci4NIBKNau1RjOKEdGTXpAJioWCNdpa7UkBZMka + 5lwvrQaiMFLVqFpvKlJSUBCg7cyU/ExkrUHGwBPGWqWd+YIE0GBVSjaxVtXqy42VimIheRxsILnk + 4EvB2wooOUPwApk+GlohcEQIm2EzCpMoJKMQrESbD4RNmkmkhcfJoNHAkBOTq/q/1dLl7RoGrIGw + aVdKlZUVsAYRSqXS8Ohox7qN1AyyFOU98AX6Ahn3AECrBJlQJm5GSRwr5dyYAJAJ6XnZbC7IZHyP + WQNkLDLOMLX/IQKyGhkBWZczJDjzpECAJEmazYYxWqkkUWqlUlVauXtbel4uny8WC1IygNQt3lEO + oFpaKIVsHY8LnTqBMAyr0YpOEhEU/Fx7V5YxV+RqqKlmKfEy7eA5wQ4QJDHEtWajDpmC39alkeI4 + rtdqjUbDWvI8GfhBEARB4AsQ1to4jp2BjtFGJYklkkI0ms0wDLVSQGQ5B84tY2Cljpnk2UzOdHQK + jkpbEjzD3hJoEJAhbW1oLVVXyOiM54tcERkDpZQvncpMc7AUqVK1oiRCLmgrFkFrpiwPhI7jMAyb + SSxygchlYpU0qg1dbZCxoVUx2Gxbe5DvlBnPdbHWAJfpJImMRjIg2KpBvKpXlkqVhfl5o5UUIlFq + bnFp7PW89LMW0Bewpj0fCCBrkqiBoDzJ4ySOYxUnUCsDNEJtS4QV4TUhs8ZvzyjBuXa9G4HgrhQg + IIaQJMnKSjVOVKKUtlb4PufCALR1dGRzOWMstGD6f7ExvP2ySRI2a3EcCellc3mdJM04rtbqmkBp + kyhdKLZls1nf9z0vBaK0UmBNkA1AK7AaGFfNRrUZNROtLILDerjwvCBfKPq+52gdrhVMEqhVq/Xa + Si6byecy2VwAzrkJCazSSRKZuBrXYpJceIEvA98THBgDJKaMsUZZldRrVc+TmUzGz2bTYApryVht + bL3RrDeaYRQzIQmQGM/lcvlsNpcJ3OdljANZq41K4rDZsIak5/l+YAHqjWYUJ8aikH6hrT3Iep4H + cQJKK84QwQC59gCBQCeJsWSMbTQacZQorS0BlzIIMrl8ngtpLChjCVB4fqm8kmjtc573/bwnueDG + 2sRoUNrjIJVdqVQMR5b1c8UCd30/UhzGphmFcczygSjmCCEO46TWbFSqhowQTGZyMt/pFTKMOUk9 + B6WBFACYykpNCy0LfqFTclCxChtV1ayRakoG1m/DXJcs5Dyxig0CWANaO8qOS1yyiCZF5S3oJIkb + iyukgi2iE1JZg0OvwACwJI6akYkSrZJEaaWU8qQMgiCXzzF0EzLrmG2ccxfsZowJw7DZDBMVJ3Fi + rM7kil6+08vlW7AC4xyB2RQjQ4aB71AfBqgs1OvN+YXF9vb2Ytbz0FrQwAwgmdpKqaET8DLt67LZ + nDam0QibzWYcK86F5/l+4Gez2UzgNjpoFautNiadzxFYA1qZ0tL83MzS4kKcxLGSi0vL8wsLs+0+ + WOMFeT9T9DIMwDKw2cD3pKwTVGvNKG7zBcwuLdikgTYiRJlt94tdkkvgb+pwxNVhERGRiuNm2AzD + SBsDyAC59INcvuhnss5PyWkKbZpJQeBKLEfQJgYqWVmYK83NN+ohAE80lVfqs3PzHYUMIZN+4Ofy + 7TmPgwKbAGGz0WzG2hBFSaxIc+ASpIfSyIB3dZoA0LTeC1JTCDfOI2AWeevWSf1unDG70ka3jBSM + 1kAWPAGWmUTPlxYiFBZCooihDgwPDM/ywHg5JbOymOE+UFozgtVxvTxbbpa9/HaZz/vO/Q1NOlTT + qhHbcg1AFDoLIH2NmAABY1mb9jXGNGulRg3zWyknyVXcWtWrYQh5xbtEDrwgxUrjsEnlSFmCjiJv + y2mry+WSKZeYsYZ74AUyVxT5IguYRuHabSQNVoFJgKzWvB6xSpTEzaaKIl+IgKGHoOM4ZBKyxYTJ + rIdr2vOOQk6t+4uIGLSw+xavDaxNElWprkRRrIwGJyzTulAs5PP5IFfUlhFDwVu1B6KJwrhRS1SS + yRW49BqxqSeVpgJNLMjlM7m8L1MCFgNCrU3cqFcrUWyMBeDoBczLMCBiyMkiQ8G5dOmUDgUwpJnl + gkEUmzguh/Gc0TWb5FEXJe/k2azflmEBCOmaTQtaCzBgE6VstdH0M7mg0BETr4SqVg+VJelnirkg + EOAxkIyz1C3zrUE9MADhnFjeWHdgeleRth73OJf5Qvux4ycnuHm+OH7n9jedO/fvO3VJZrsCAQDA + nZmr1aSV1pq0ibVVlBL93NQFlAbSwGy93qzHtTBpWDRIjAGXwhPZXKbQFmnLjQ2YEALByqRanp+b + mZmeqtfrUZSEYTg/P5/tyAHmuChmsvn2AgCAJYNWc8bA6CRR6RZpLCDjTEjP9/2M9H3OpSOoOP4c + EYC1nHPHiQVrwChIwqheLS8thI06WOLAl5bKs3OLhoQyJpsP2jolRXEcNSGqcUl+RnqSc4YaoFIL + 7fJUQxnDpQLGvUKxcw2X4IsUwCUgrTWRAjKAFMdhM1SJWjIWLQlkvvRz2UwWA89o0kkUCOn05JWF + uZmZyVJpKU6SxFC9Gc3OLxgwAJTL5bNB1hOCFHAAj7cGUdYAB7C6VCpNzSzNzEwyBp4QWpn5ucWO + jChI4h43xTZbSIXInIMUAGCjOFYK6g1olOaECZlFTswPsljIYTFjW6UOESEQEwBaGcM52ShkjahS + jyqGYkJr0XiByARdWb/T+SIHvnN31NZEia6pGHTMAtmWbe8Mm1EliprNJkeQQgSeaCvkhSddUqsx + utlsxHEchmGtVpde4Gcy0gsyubz0A2Oss5FNKQVMuK6ZAG1rXigAwGpQMZSXS16xzjuU7+i9LaND + hrrRWGnEpVrTcp8xAVz4mWw28APfI2uQSEiGjg2ilCc4SK81GyIgipthrV6PVeIHQbG9PVEqjKJ6 + o2ksADLOebFQCAIfEcEQcOSMMQ6WjIqVI0IlcbxSLtfrjbQ4AYaAnudlg2wmn0fJAZ2ALaUiESC0 + IiyBEWgFFgCYSkJL6AHUGmGjEdWbsSVk0gsyuVwun/WAgRIAwMgoFUZRkmgCls8VgkxWKV2r1+uN + ZiqjASi2tWUygfBkOkJnbpdyFgIIxuo4XKlUmlForCWWOnoGmUw2nysUCm81EekuhghkScVho1Zv + NhpkjSGNaINMwfO7pJchBM5IcgTAZhRWylVfFLJ+4HEfAZSKq7VKZaVUrdaSOEliVa3WX79+7eWQ + edlsoSvI5jMMECkOGxVtCLI667dgawtGkzVI1qCqxXw5BKzNFW0llyw3SWi/XbZvLK5pVwAIIJ2Q + usXbdJSgUrVa1s1IK2MMEWRA5ikoYibv5wFAcCG4JEJtYNVxBN1crTUcZsgIKKzWqrPjtcpKHEeQ + qGqjsbi8NDE5KXUDvKzMtfvZnI8GtYKwuRLTVK2a27BtTXsKDzr2xEq9nERxPpeXQhKZMI6qtajR + iIT0g2wul8tksx4gGK0QlUqaSdjQUQRaxywPhU2UD9ADD8ASMSfyQANoo0ZcUSA8vy0DOR88noEo + grgGhCtxvUr5QscaIcAovVIuRWGotQ58Pwj8TBBkMoEUAhhIKYCAHP8PAAHiMIzjKArDJEmsNc6T + 2jCPREajRLAI0NFeLGQ9pQ0xQI5pbLK1DtZlada6NVppEzeaYb3RNESALMNMZ5aBIcElADOEhpyR + jNvV3AATAa3WSSNKGrV6HIccLLcJJ03cZx2beFuet9QkLSDWIBgEAOQE4g0Q4ZaPqFltNpZVI0Hw + Ik+GnkyE9ckreF4x4DJQRjuTX9AqXlpcDAEKa3lhXTEAyVOTJs6FNUZp5ROZZnWpuRLLNiy0dWQA + tJFWA5O/u2v////1rw7dEv3uP90fA6AB5Op/EJHVVicMQUrpBRkmwQBUVsq3/umL7sdPB4fHBvqH + y6XKUqn2n/7T//6zX/zazxYy+eLRY8f+6I9/P/DfZPXAKgkCjIuwmJlZ+vM/+xlQ2979O658+n6u + KI0Js3kBjKIo7Osb6H748P6D3snJea1ZsdCxf/+BU6fOXbp0oWtNe5AFzpBAME5Ccg6p7xullYwd + GOjv7X3S19d35conR44cGRsb+82Xv7l9504YNq9c+fQHP/jB/n37PN83xjDOl5eX+vsHvvrqtwP9 + Q/NzS9ZCPp/ftGnjJ1cunzxxfN/+PSI1NyFjtWODMsZWVlZePO970P2ov29gfn4xCuNMJrdv3/7L + lz8+ee5025pOADCOeAIEWpUW5l48fny/u+fl0OjcwvJKMxHZ4rY97xw9cfLU2XPbd+3qaucMwFoi + a4FRvVx6PjDyT7+5jX7Hf/gf/yQI/KmJ17/+9a+ePuldXJxrbyuePHni7JnTp0+fLrYVVaJeT0zc + v//g3v0Hr16NMyY2bd5y+eMrh48c3b5jZ+BjGjhmqdFozM/P//Tvf5oJMp9/53Pf9+fn5nse99y7 + d29yYhIAzpw5c+HihQ8++MD3fUdTvPb1ta+//vrFixeMsU3bdn3w8WeHjp7cuWN7NvA9iau2DG73 + X15aHh4Zvnv33vPnz0ZfjWlD2Vxu7fqNZ86eO37q9JGjx6THmQAOoCIFcU3r5O//4e8np2fOnL1w + 4OBh5PLWrbu3794fGBiKonjN2jXvvffe7/3wh7t3bW9vLzAGZAwgAVpQiYpCA/Ds5fDVm3dv3n1Y + Wajn/fYdm9ZePL7mysUtWhvP80ArLjkXMjHgcUFWJfWqJxigsI3o5o07V+8+etQ/stRUzKpCLjh9 + 8ujF8+dOnjy+prOdS0nGIAIwJj1BBEkST05O3rp959rVr8rLS0Cmo5A9e/LYiaPvHX53P+iYDAru + AwhDTNNbSvq3FIiuSmqUlsef3Pvr//qXfSNTk0vVJEkGBgen//y/fPGr33T6sKEgv/3hhZPHDu3a + vd11KVIIAhwaevXba9eePHs+PTPbDGMC6Fqzbv+77x47dvzgwUP79+/hAq3lcaIlT7NPEQGJASl0 + hsVuRGaM1oozzGayge8vLy8PDAx++dVvhoZHKtVqLl84evTolU8/PXzkcFdXm7EQRhEg+gHG5VLf + vZv/519/ce77/2HHqc+CILj55Rc9N6++Hn994PCJCx9e+b1vXW7LCKjWrn5z+9ZYY0Fu+sFP/ujg + VlnIADI2PzPd13Pvxo3rOw4c/vQHf6BQvHjx4urVq6Mjo9VqhXN++NDhDz/84Hvf+37YbAJALpdz + Ho7aaM/zGs3mq1evrn39dXd39+TkpCc9L5flgUecM8oyyrQXNuzdv+YP//jb6zZK0r4vpXTc85Rf + AYzTSqX8emzk5z+7WSnj7r07vvWdD3fuWu95HpEBIs+TzXL15ZPnf/OPf688tv3Avj/4/T9Yky/6 + vg+WRkdHH/f03H344MPPrly68vHC/Pyj67cfXr81PztXSyKeC947fuL46UtHT55fs7aYkSC8N3PH + dNzt7JaMgTj5+qvf3L3/cHB07MXAYHmlnJSrf/Ff/urrG3f8bD6TL+7bufX3v//59k3riGwch2QT + KXkun7fIJqejaz//xfN790fHer1MuGf/2sOnL5+5/MN123cWPaDQJWVY8BhjaEgnkRobfX3t2vW+ + /oHXExPL5XK+2LZ+46Y9+/Z+8NHlw0ePZrMB+5fuiv/3TQOmp6d6Hj3o7n7w3sFDP/7Jv5tbmOt+ + 1PPbq9dm5uZrjQYBO3Ls2KVLlz7//HNrrQFijPm+j46PliZRmIGB/pt3H1y7eWel3lTKxFG8YeOm + Awfeu/zxJ/v27l+7bq2rJZSBycnJ2zdv3Lxxfc/unRfOn/nog0tkNaIFZCC4qkcT0wt/9/MvF2vJ + 6TNnz5w6uX3bOsc+AADf96cnXz/rffzlr/95x/ZtJ0+evHD+nAwCMAa4SKJ4Znbuy998db+7e3Bo + 2AJm8oWutesPHjp07syZc2dOZfyAIZHWnhTWmGq1+rd/89eVleqp06f37t1bLlf+6Ve/evasr1Jv + bN66/Q//uz+++P4HQQaFBOQSbIyMwPnoIQIyIeXc9ExfX//169eHh0cWFpcIcPOWrYeOHDl37vyO + XbvaOzul7zejaG5h4S//8q+WV8qnjhz55IP327ZtI7KAKDzpeX5Urj1/8uJvf/p35IldB/b/4Ee/ + 19bWxoXwkE9PTT1/+PjW3TuHz50698mHsVKjfQNPHjx6+uhRpboSBHLn3v0nLl05d/nzYkdGeOAB + Ov4h6OiLv//b56/ms+t3XPn+j9d2FF88fXzrm98OPHm4sjDdlgs27Tt67soP3jvz/o5t7W84oK75 + s5Ygdc5Kg3UAgWFleuJmz71rD2c7tp3//re/tWOD154B7nmATbCKErOw2Hj6fOKbb26Oj48tLi6G + UVgoFPbt3fvJlSvHjx/bvn2bs1OAVo8Qx9HExMT9+/fv3bs3NDyUJEkmCDrXbThy6uLZ9z/ds2dP + ZwGEh9YSMxYYpb4WQGDIogXOl5ZKD7q7//pv/u7s2TMXTh8/dfSgJyQQASXPn/Y+ePJyqa4uffyd + g4cODQ2PXPvm+t079xYXlzJBdsvWLRcuXjx79uzB93avMpOMASCNYFPfPyIwWsdxUi//zV/85547 + 11+X4+nplZLO/fJX/zz6vHtjUSRRdODQ0VNnL33+/lnJOUeqVVeiKKIM41IuLlaeDD/95T/8t8lX + QyqqdnZ1HTp1/uwHn+w/cKijo01yEDxlXVnjWgianJh49uzpvXv3BoeGFxeXlLVdXWt37d175sz5 + oydObN++y/OxRRRtlWGOxA4MjA2jeGZs9L/+2f8xOfJysk4TkzMNyj3o7lHN2ppCwKX8/Ps/OHry + ZNvmtQAWbKIjtbC48PhJ/9VvvhmfGC+tlMFCZ6Fz/659pz+4vOfE6TV7Nhe5y7VLCzGGhI5Wj5xQ + pAdBwBmTgpM1TmwsREqYjKNYWOX5DCqV0amFuy+Gvrx5d2xswEJIOgwMLzJ/z5bd75w4e/HT764R + Oz0BggNZqDfqsxMvb1z92dPB0qGTnx859d1D7+aBNOcaOAcOsxNT3b2jt++/LHbt+ujCgSPvdHR0 + amACkLSCsBlOjbx81n3jV1fvnfnkIu/BbwAAIABJREFUJ4fPf/f4wQIgTr0a+c9/8dMmbNu6/5Mf + /eFlzl3bSq/Hx/vv9nb39J789PLpzz5eLi89/ubaw6tfLUxPRYZy7Z3Hz79//OLHB06ekD4AgVLa + MxGiBmHB6MW5pbvPh6/d6xnqf7E0N5MPZEfW68x5jHTI88pvX7t978kj7373W5e9vM9bJGunXGtx + rlcrCarX6jOzM3fv3Xv46OHzFy+0MYDg+8GJkydOnTl96syF9s71fkYQgDGAZBinqanJJw/vX79+ + 47Nvf+fQsePVZvLTL3519da9WjM+d+HSx1c+u3D+nJ8DayBK4sri3KvBvp//7B8Hh19Vqs1CsbD/ + wK7jpw7v3fdeHCeMCURhLSQJgLCMW0uGIyJjsdJ9ff1Pnn7z8NFXU5ODlWVA3b55w7vvHDvy8Xe+ + s33vnnUdMkmsh8A9D0DPvxrv6X36699ePXb81Mff/t5yLbp+t/vLr75eLJXfOXDwO9+6cvHMqU3r + 8oI4aY2kgQMx9pZ3XsvrEyA1jQUAsCCE5KJRb0ZhAsxv7+zMv/eOqE7dvn13/PXY4NBorqMr2wGt + BC8QCJnAy2QY+AExYVv4jtWaJSEIAJUsleZ+ffXunQe3nvc/1aQ87uUy+T179h08dvLiRx+vWb8p + nxHWADColspf//aXD+9fffRocHZmVil/aGj4P/7H/y3Xleeyva1t0/kLn33v8/cDaXxpfMlBqYXZ + 6Wd9A7+9fvP5y6HFpRLnsqOza+fOXR98cPnY8ePbtm7RBpQG3wPu6NzOkwcRrAEdh9XSyODAg/t3 + 79+91dPbN1vWirf9lz//y1/88jfZQhE4u3jhzO//wQ83tnmeJ5o20RRrbeMwjMNochLHH17t/s0X + fYOj9WayYeuOQ8fPffqdH23ZsS1oBwAwShMp6QkEbDSqw8NDj3q6ex4/Gx+fqtVDY0W+0PnOu4dO + nTx18sTJzRs3FvJ5ZKpeLk+MvfzVP/300YMbfcPz8wvJchj81V/9N+FhJieLheLHlz8+ferMO3t3 + iQCYAWNApNMqArDLSws//8XPHz/pfzEwMjExHoZ+uUzNSthVkHlhutZ1nbjy2YXvfuIzQIZEWiXA + VQIAK5Xa+NCrG7/94uXTh+WFkse8o0ePH//g0qXvfMsvZFxBzhiSMUlU9wQxhMpKtefR4INHtweG + ns4uTtSaFT8rd+/dcfzYB59e/r1NGzb7XppNIgQDwfpHB29eu19bMe/sPXb5088fPem9evPWr7/8 + dSGXO3/29P/0J3+Sy2YCkIyxKGzOzU4/6e191NMzMNA/P79oCQtt7bv37Pvo8sdnL1zsXLNWvmU6 + 0yrckbdcy7UmxjRUKuHE0C9+9vejsHXDhZ/sPXdqfbu7060jhj5//uz2g5473b0zi6UwVtlc/vjJ + UxfPn7906UIhl2EESmvgTHCWyWRSRRFLac9JGD148ODrb651dnUdO3H87Nlzz549u3Hr1rVr39Qb + ofD8dWvXffc7n589e3bPnt3WamdxKzhnyDzfQ4JGoz45Mdn94OHjnp6hoeFGvQmA+Xzh0MFDp06d + Pnrs+LpNWzJFz5OA5AasgICETplEIBAYkNYqTDzPa4bJxMT419/ceNTzdHhkvBEmQSa/fceuDy9f + Pn/q8O7tG4BbAJC+fPr0yaNHj2dm5j/88PKpM2fGxsbv3+++/6B7YnIq0bqtvf3S+++fv3Dh+PFj + jDMCiBKNCIxzn6E1tlouT05MPOx59Oz58/7Bl80w5FJ0rl1z4tTJ02fPnD17TgiBCBxd7haRNdZC + 2GiMjY7eunnr/t17s7PTxqpCMX/gvcOnTn988tRHa9blhBAWTGTChw+f//Ln36zt2nzq+InPrnxI + Bl709f3t3/3ZzMzEyFDJWlsqrdy4cWN8aqDYFWzf/c6FDz7Z/85BKojmwviNX3/x+NXSO2evvHvu + k2M72tJVjiHjwobhzMLMrccDNx71DT+6IRuznVAP2tdtfff40YtXLn1yBQLPrYtJAkGigTNgHGrV + kelXX3z96xtPHkzOTHMuEBmF+vx7J6+c+eDTy59FzXClvBJGETLmB+CCLgUAFwysYxlbMDrUzXoj + fHzj2j//7Z8vzM/3zkRg7cNHjyuTg9d/8dc5jDds33Pqwoenzpwrbu4AKZ/f7P6md3xgLv7kh//+ + 0vl3PQ6eAGtMdaX8xT/8zbOnz378+z8+8M67jAe/+e31W7e7n7/oZ9x798DBixfPv//+ha41HVrF + QtgnT5/+4mf/MDzQH9ZrftuW0x//6PCFb588sjEjwRKZsCG5AYiMVv/wj7+93z+9c+fuy5dOH3l3 + F6NYMAtx7cnTF90DMwtN75Pv/LCQy87PTf/zP/+yr69vaWGhrb1t3949x48dO3f27NatW/K5DDjd + RBIHvuf+/uzp08e9PY97emamp6vVCgJ1dHZ5+Y4YZGx5sZDfv2/vtz779PTx9/zAQyJ09kTGktEI + aboOCgkMdRz39Q/cvHX72jfXVypVIb3Na9u++8mlA7s2N7wuRM65rw29sYMgA6Sc1e30QvXBw57H + PY+HBl+WF+clmDXt+b0Hjrx3/tP9Jz9cvynwUqavg241Q+s0RvQ7hrME1sy8Hn8y9vLxq4G+kaHl + 0VI0H7WJtg07Nhw+c+To2eN79h0odnQigziKktLir7/4x+s9/V7Xtguf/vDDC6dlhnPGXEge5xyF + aFaXb1798h+/upVZu/3Y+9/+/mfnClyA85L4N/b6/wzd/s4ZI1r9L/qdn3gbZnXcSGu01QoIkCHj + UqfJV3Z+fmZ0eGhwYLhcqkaR1mTGXo3NLZa5zKzdsHnDhs1ag5YtmTK8DQqkwspms97f37eyDMvL + sydPHsrl1gohAHF6aurZ8+c3b95+0dc3OTnvBwUib3FpqdHs1RryhdzJU8fXZ4sAoK0BNBKFE5EJ + KZkjcli7tLQ8+PLl3Tt3isVivV6/cePmw4cPh4eHjDY7d+6anp7eunWLH/gqUcMjIw8fPrx39+7L + l0PNZuzJLGOsXC7Nzk7XG9X5+dlEXd6xc3tbsWDJIHOhijgyOtL7+MnXX38zODi8tLjMmIyihCzM + zc4tLS2PT09++/vf7dqwNicZAi7Mzb0eHnrc/eBF7+P+l8PlaoPLQObapqenZ5err15P9g0OHj95 + 6uK5U9s2rckH6UMVReHs7NyTp08TyJ04fXZ6crK//0Xv456FhdladUXF8cLCwuLCQpwkmzdvjqPo + 6tdf9zzuHRoaqVZr2tDLoZHF5fLcwtJHH13evXtXMcuQ0JCNk7hSrTx7+gwANm3aNL8wP/F6Ymho + aHR0dGFxIY7iSqWyvLwcR/GuXbu01vcf3H/8+PGL5y9GRkc44+NT80vl+sx86cL5c4cPHZQycJki + CKCUmng9/qT3cXd397NnzyqVCuMCkVVr9aXSQGmlMjkzV16pHjl6bP3GDkDgHDXaKAqHBl++6H+Z + yxVn5xZXao2bN++Ov55cWFxuNMJg7PXU5Ey5VP7825+9f+l8WyHLWvlExqhSefnWnTu3Hj558KRv + aHQSFe8qrp2bGC5Nw+LrzmZzeX4BwShtY0sWGRjLrSVfoKotjY/N3Lx5v/vhs4HXM03mGe4Zm8zN + L929W5mdGRt9NXDp/LmjR464HCGrQQg5Nzc/8PLlzZt37j948PzpM19yjiCRaqXSxMjoy327BNih + vufGAHNJV/QvJyRvP4NxHC0vLQ4PDo5PLJQjSBIWr1RKZnx6fqlDmHJX7uSBvXEUASKANc3mwuLS + w57HDx72dD/qmZyesQRr1q1fXCrNzM6PjY+Pjr56NTb2Ye2jPbt3d3YVGQkLAKvhNtBi/6ZkBmIs + Df4ql0s3btxIEv3sxYvRV6/mF5Zq9TrjvFQuLy4vT8/OHj9xYv/+3ZxLQADQYbM5MzXRff9efsex + eds+NTX15M434/29tVotNDzftfFbH11s8yFuNPr6++89nSvldnzyvR9ZcKF/FDbrs9OTvT0PS2Gy + cde+50OjL56/eP78+fLycr1WU0rNzc2VSqVms3nqxMmt27YJIYzWACS4GH89/vzZ81u3bo2MjCwu + LCJCZEy5Xp0rLTWTGG2ukF23rmuFeVvqjepaahMywxhaA1oDY2+MUy3oRtjoffJkfkYlOj7//kmC + 9QSGrGGAyLnWZnFxsfvBA+XzWKI2TtLCQOmlpaWXL18+ePAg19XO85l7d+6OPH0xNzIeNZpLjWpd + x5OLSwvlZjWGU6fOb91UzHlgnW0rEK5KGlMXACwtLoyODA8MDC4uluMoUiReT0wsV+rMCzrXbsgH + EjlnnGmyAGS0ajSbs7MzC9dvhEvLz+7cnR8ZXV4Zs1CamZOvZmvzNXbswueXDu3NUupeDYiJTlZW + 6vdvdffc7+15/KTeaChtmBDVarVcrQ6/Gp2dX5iYnPro8uXOzs5V88D/ZwwXYWVlZXh46OHD7kaz + uXP37jt37/U+fT40MtpoRtV6faVaXVhaWlpcLJdLFy9e3LV9u7JGurQ3a+KwMT019eD+vSe9PU/6 + Bl+OjGULHbl8oVqtLZXKY+MTk9Mzx44eP3rs6MH3Dra35xmDbCaztLR86+bNyYmxzvbi+xfPp7E2 + 1gJCZaX8uKfn9u07c5Uo0Xbrlq2bN61jAoCcRozPzMz0PO65efMm++D9Y8eOGmskkDGmUi4/7n1y + +87dew+6K5UqAWpL5VK5tFKZnZtbmJstl5bOnj69af06wRkQaa2jKOzr65ubm+/s7Bp7NTY+Mfn1 + tW/mF5aUsTPzC5fe/zCOYz8IyIEGjIGLx7AGgJk4np6euXPn7vXrN/r7B5JE+UHGEoyPjy8sLmlj + LeKJrjXIQRlTXqn0Pn06MzsTcH762FHAVJPFkHEQkbWLiwt3795lGV9Lpo3BVN+FcRzPzc3dv3+/ + ySwrZkfHXo0PDL0eHFlZWqpVy3HcHJ+cmiqHs3X7/kefvLu1I+s76bSFqDky2N/zfKxtS112bPIZ + 3b9zY2yovzL3mqn6zFh5YGLhdSm6UFUXL53ctXdzBlPVoHMVIEALLPVAaakuKyvlocGX3d2DO6K1 + 0eUPlfLIB8cLS2qVyenlr75+eL97sP/lMGPIGfc9f3FhcaW8srS8NDU5eebs6UOHDjlBCQCsrJRf + vRr98ssvHz9+PDb2iogy2Yy1Znh4ODRS8Vyu2J4L1ngeILbEdW8WPTdztXEcz87M3r59q1DI7d2x + GfEgOOW2NdOTr5886V2qKZ7pnJ6Ze9Dd/fTps5GRV2EYGWuHR0cWl5ar1Zq1ds+uXfkcX13UiVYp + OARkjVVJEk9MvB4eHJwLsd7gYHBxYgKaS4sZq+Mk39a1790Vaw0IYI7IQdQIw77+wScr0wOPbgz0 + PiovzqioioxNzJdezy1/67vRkcOHtm1ZTy2vWwSoVioz01Nff3314cOHAwMDnHNknDM+Nze3vFKZ + mJqZWVg8e+78kSPH/GAVLwVLljs7J4aAjCyFzXBiYmJkaGgm5HFsgXR5cbmvr7/gQZDLnTp/PozC + xGqJujQ33fvk+eMnA4+f9Pf0PpGBLzzOiL1eHl+eW3q9UNoyMLz31NlPLpzN52SGpSUkI1pl3dJq + wB0AtBwLGWNCtGydCIQQqtGIl5Zuf3Pt9tOXT8dmhqfnorhSLHq1yjLUkzKJ5amFwan5kZnlQ6cv + HDl8cO/ujb4EIoqjcHhk4NatwYZes2bTqcMH8i39PwHAzMzUndu3r9/u61w/35FTOzYd6+j0V52y + GeMvBwZv37599+6Dd09/23dnzdpSabmn51ETFkPc0WhcDnLAuSWgpeXFgYH+e/fuUkfetucfPnow + cP/uxLMnOmw0EmU4ny2Vp1fixcg7dOTQlnbwnZ7BJBA1Xvb2XusZ/m33wNRyM2lWfS9bq5VLM4sD + 1XlmkzhYi8UtW0O+YW2Xc3lapXiDs9pYXZetTeJ4cWGx90nvnTt3+/r7pqanV6qVjs4OpfXU9HSl + Whl99ar3ad+FS5ffee/I1o3tqQo0UZWVlbGxsVu3bq3buKkZq0dPnl+78+DF4CvLZNe6Dbv2vXPw + 4HtZr2iSePRl39NH93vu3bp3506tHgkvMzUzvVSem5mf2LlreGigGkcKkYuUdcsQgCES2Pn5uee9 + g7dv3u3rvzU3N8B4yGwHWvNqbHS6tPR/kfdewZFl553nd865Jr1PZML7AgpVAKqAKhTKoHxXtWU3 + ySbVlEiKlDjSaLQzERsbsfs0uy962Njd0cZoNENJI4pN12yS7V15bwAUvDcJm0B6pHfXnrMPiWq2 + InafdvZldeM+IPIBQN689+a53/f9f79gIn7m4sVTx47U+d1CBZmFUbFU2tndfTb6DBDnq29+Oj7z + ZGx6cmYuXyhRIF0d7T1dHVVuC08AVajA+2l7/DykAcAI7FP69P0AIdIBAWJYkyucc47jheYDrU6a + nJ2dXF1dvnnzTk1jh8tsNwjAdB3p2r57ChhDmAKiDPR9IAkGjPO7O/Or0ZGJwJNnC2ubK9lCxmgR + CeIjoWg0Gl/ZCC4GNk4OnT/e21vrdVEG+XwhEAgsLS5ubm5rGlU1Lp1Or66uYhPGnN3lTre0HkUI + EMEMqFSWl2dnpkafPR4eXd8JZYoS4QRNVyKR6G4okkpnd0Lhc+fO19bU2u3W5xgzeE4ue947oyyf + zW5vbiwtLOwlsmUZVE7c3t6JJjO82Yw5Ul9XXcjmwOLCiGlMVUHVKVNl+cn9h3N6cmPsdnD+WTye + ZowPhRLhWD5TZGcvv9jf3e62czxiFc7Z9ubm9MzUo0cPNjfX4omMpiNVA1mRIrFkLJ7a3tre3dkZ + On1mcKCXYCaVy/FYdGV5aX19LZMFRcVSqbS9syspBY4Hp9PZ3n6go+Mgg/1A7XM/Oq2UFcrlwu5u + cH09sL21VSoUJElT5NT62nqU101Yrarx1fccZQw0BpRSjmBCoFDIrawsTy4losGluYkHe6HNcrZE + KEkns8F0KloqHD97+lBLs4ArDUJGCJKkQmg39vjRwujw4lJgWmUFlRVVKhVS6cJsMhLK7sWkUyde + 7O87bLVUWCMyz+vpdGJ+YSYeLqUTsmh2fHHn9uNnz5aWljCAQeA3Lm6YTQaTQVRV9dmzZ6MjT2dm + ZmLRaLFY5EVRU7R4PB6JxdPZXDgWHzp3vqGpyW4zVkYRgVIAxgh7TkipUDWxrmuFfG56anJZi3TX + nag5chwcQAFAkeLx+PLyyt1798ZnlsJ7aR0LgDlZzYyNj5eKBavV0tXZ6fM4dGCUUoYqVAuqa9r+ + vDkAITgSCT95/Li+sVFR1XQm8+Dho6npmbX1DVXTNZ0twqIklZPJ5MuvvOT3VZnNJkqfizAR2dnZ + np+be3j/4fLycmg3JEkyRoQxCIXCyb3k1ubW/MLSsZOnjx4fdDpN/H6gcZ8eoKkawgwBBswQAUJR + OpOcm1v84vqthcWVxF6WcEYAUi7LK6trmAhIK9X7X6o4S5mm72xvT01OJvZSJrMlk82OjD5bXV3b + DYVzhWKhWKKMpTPZVCpdKpe7DnU5nA6M9wGuqkZT0djsxPj169c3NjfT2QxgpFNaLsqJVDKTy4aj + 0Uw2e/TIkfr6egZM03WgjGAcCu8uzs7fv3dvZnp6Z3ub5zkGejK1F40ngzuZre3UlRevNLXZRTMr + l0vr62u3b9863NXfWNcACFRFTe7tLS8v7e5uR8OKqoKqlrVYvqQmLU5BoeRAV29Ti4wRp6pKYHVl + ZHyFuBuru44zsOtU5xgFoEqxPDUxcePJ5LOFzc1EAeWSHqwU1UKiIG0nS+ux3Npu6NSF8w3tbWa+ + osIhgFFuZ3t+burmo7v3J4cXdjdkXTWaTBgTOV3EeU1O5FPR9NzsnKbpAi8wBrIMPPflB1XBGlQU + KwhjrOt6OpVaXwsk4vFEQQAws2IxFM7K8bKNaEUVapraDxYKQG2AUTC4PTb2bGY7f6D/oqIerISx + dEqLpdLK6urIyEjP4e6dYDAUTkxOLgQC27uRmKrSWGwvFoukUnu9Rw7XVHuHhx+OjDyaHBtNJ2Jy + qSDhcLQkrMX1XOrkqd7mZp8RcwSwDqpaLJYDq6vjYyu5vHSkqx1jIAwDgK6UtjYC42PzO2lU29SR + z2enpydmZ6ef12Fha3NrezuoKMqpkye7ujorywhCiKIo4VBoZnp6dGR4dXV5L5HQNU3TVFVRotFY + TmFlnRCTvcbvMxoM2WxWo8DjCluY7ifDKqCd55NuO5ubE5OTT0dGp6dnVwIBnTKEuVgQ64X0Qp0X + e5qj0QRDFvT8XK3AGUDXoCwNTy89Hl8Ym5xORGPFQp4wqlIlXMhGEtnlUL59M3XpyosH6l1VVnhO + pHweRgH01ce7YiYT39y6ef2Lp0tTa4ldCRhf5ImGY3vRSDqyGd1a2V49Pjh0+crLZovVCCCKwl4i + Pjk5mYeVqpbDpweOMiOB53MWGIGm66FQaHpq6vHjxwf68YFyuSK0B4r/Hx4s//+8/befuv2/fRF9 + 2cKuJL0ro6xM339CQVjVgAKIomC3WZwOm91u20vlEIDRYHC53CabS6PE5fbaHU6ACv/nq/OG7Pc7 + ppqu5vP5YDANWMnnchhV8QYD0PLKysqHH3708NFDSllDY/vx46cFwRqPJQNrW9FYbGU10NHVUQU2 + BqCqKmCN5zkGDCNc0RQxRhljkiSl0ulgMDg+Nrazs3Pzxg1MSF1dncALdrtNKpdlSVYUNZfN3r59 + +4svPp+YmGhqbD506Ej34SOMoe3tzcnJidHR0Xg8AqC//MpLBrGZATUYBECgqMroyOgnn3x25/Zd + o8lU7a/t6DhIdZTJZLe3tu/fv7+xs911pNvksFp4M2V0LRC49uknNz79NB2P8aKptqG5o6u7obVj + bGZxIbA9MzMzPDo6PTdPNenqpSFznQ9XqnW6LktSPpePZpKPHj95+uTx5sa61WKqqanFtbVra6sr + q6vxWKxcLrW0tKqq+v4HH+RyBYPR1NDUnM3mItH4tevXCyXJZnd6vV6b2Y4x1hnouq7ISmIvkUqm + Hj1+NDs7m0wmeZ53OBw2my2bzQaDwb3kXjKZHDw5qCrqT3/6U9Egms3mhoYGYFCU6e07d/cyJWDQ + 2tJitRi+lBeXSuWnT4dv3bw+OvqMENLZ2Xl84IQgGqKx+NzC4vLKajAUiSWSRovV5jgumIDwHKhY + 15VsNh3a3VlaWkw+HQ1HE+FIwlvlb2s7UCqV9/aSGxtbszMzQGlTY8OBtmajiQeCAXSpXArubL79 + s5+Oza1KILi9tXVVdT6Xf2NxZnVlfnelaLXCtuwAZlA1Sdd1UiG8UYaMfGR1687te3/zN/8oy9jd + 2Hzi/GmLrxqovLsdWJyfunHj84nxYV0rNTXVOR1ORnVZ0RiwQGD1ww8/+vSzz1PJjMvpaG9vNwhC + IZ2MRmLB9Y27N26aBE6XS4rMeJ2xfUf8/qL6qxce26+jIoMo1tT402Vd3iskyjLH81any2i2uwTd + 4zKZLRaB5yp36uReYnJi4h/+/h9mFxYZInaHq+1Ax8CJkyurgdW1tcD6xnZwJ7C2XigWX//aG3ZH + N8+BqoFGWQVfVgmF7adnEQYAjBBHiKoqoVDo/ffeyxdLyWS6sbm5tbVV1bRkKr27G5qZnQtFIrKq + trW3CiIBAKCqpirlYiGdKq8FAkt77O69+wZa9pgMtXX1SLQWSpJGGTCQZCkWi4XC4bzTiglHSAUW + qjOq66qSz6Y319ceP378xZ0HqVTSYrH4fD63253LZsPh8PXEtYX5+b/6q79qam5mleuZMYzQxMTE + hx98eOPGjabGpkNdXZ0HD6qqEoyEy5NjmfCuXKJ2a63Z4rTZ7QwAEWogAgDRNFAVnavEljBCwAhh + hEPJVDoWl7P5oqqqFJiua4gytA8kYrIkJRIJ3cgXikVCCML7NM1ysZhKpfYSe9PT03uF3I3r1y2M + a/ZWN9U1RLLJjfDOxsZGToJ0GayOKqu52+Tl9QpNtIJiRWg/doAAeM5mtbicdqfdvpfKMsYEUXQ4 + nXaXV2XY6fZ4qnwOp0sQeTXPeI5QqmXSMDc3F8yFImsbNkBVJrOv+mC2EEym1x89fLgdl9Oy4XBd + rctmAlJJj7JcPr+2tvarX/1y9MkEwdzBQ4faDhyob2yKxGKrgbXxycmNza3A+kZNbe3hw4fdzn+W + C4Mv12rPT2BJklKp5F4ysbC48MXnn1+/cTNXKFbX1VfX1OSL5c3t7XB4NxqNjI2Pmc0mf5XHIAiE + 5whCjNJ4PD48/OT//Ov/EItFGRG91fVdPX319Y3pTGZubn5xcXlxaXl6eu7Szq7H7bFY2gwi+Hwe + k8mUTKVkubS1tVkulSxmA2BUIZbtJRLPRkc3NjeiWRlzwtCZM4cOHeTMHHoOXwqFQstLy+FwSBB4 + v89XSVQqiry6svLBB+9/9NEniHD9x44NnDipaHoimdraDc0vLMYi4e2tLYfN5rBZXU470zRN11RV + zaQz4VB4Y2N9bm4+uBPKF0veKp/RYlVUWgkpV/KdjFJBqITxKlMwrFDIj4+PffbpJ3fv3nd7vN3d + Pd09vZTBamBtfnFxNRBobW/nBB4h0HVWluVMNhtP7EWi0XK5DIyhfehdxcvGFFmJx+KcxVgoFDDB + hCMVEFtFg5uIx+fn5sqYjk9OKrmCXTB2tLfLcjESDm6HYw8fPlrczVhcfp/1iNtnBQSga1Au5rPp + vUSiQByxG7flQnp9eb7abWtrbal1mgKL0zPbqc8/+yxSJioru6pe4RwmAFZphhBCAPDzwtz+yQJA + pXIxuZeIx2LufB5jouuga8ARCpoai0aGn469887vllZjfn99/7H+1pYWu8M+OzMzNz9369atnWAw + noj5/X6/328wGBhj0Wh0ZGT0l7/8ZaGQr66uPnb8WE1NDcZ4dHwqXyotLS8PDJ5Rqj1MAIShkmmF + irYcE8AYMaTrOjAmyVLieWcgavVUAAAgAElEQVSI7ZPrKFA9m0lHQqF4Ti0+fCSMjs0vLBDC+f1+ + o9G8l0xGo9EHDx4Wi0UAcL7pMJm8FRYNMLw/TPGVhQ3G4HQ6PB5XLimhogoIwGCw2+1Vdh6o7vNV + 2W32CusWAeM4gjHOZHP3HzxMBpcigelGn93d3kblQigcWllZXtrcxaLJbDY1NPig8p50ShCLRCJ3 + 7959/7331jc2LGbzmaGh5pY2QTQsLC4tLK88fPAwmcqWSlJdfYPH6xb5/aELSnVCn2MeCSGEN4jG + Gn91Ph7JJ2WhLGtUxCK2WGxOMzHbrGazCWGkKBLSCyuri7/9za+fjEzHEjmjxXr0WF9DUyNBZH15 + bWNl49btW/roRNdioM7j8rY3GG2GL2M4iAEDVOFZM/gS8swqEQeMMS/wUIGfUjAKYiZWWJ6Y/PnP + fv5oZrnMmxu7enqPdDY0eKPhbWkvJygsuLo1Ozt7/9nsUCBYLLzmr/qa4CQ8zxlNoqKUw+GQMDff + fyqBUCvZB6fqQFkkHJqYGF9bi9gyXINfvHC6GZh7v76MgeeF1UBgcWGxUCi63R6/n8cIqE4lqZxK + pcrYnsvliiWwqiASxoAVCoV4PB6LRmdnZ/eocv3mNcikqkSurrGhIEuRZGJ6enwnQ0N5weyo81qc + dgMGikCVlXTs2ucfvnd7cmI909l/4WjfYFd7Y3hreWNhdH5su5AHxZRye1pFo000mDGp4AArqMCK + p558eTfWNS2bzU1OTb3//nsfffyxaDBUV1cfPXq0o7OzWCotLC6sra+trK5++sWNeDL3NSTU+k9W + yOmqqsqynM/lotHI/Pz8bjj64afXGG/yV1fbXF6Xy62qWrFYkqymUjb76NHj65+8PzHyyGoyNbd0 + 1De1RqLRTD4xMzMzOTmfThlKkoEyRAjPcRUlC8bAFeTC8vLyr955Z+LZVKm8237A3d19uKaqCxT7 + 1MTG5OLi8M+mYpmMiKnv8nlm5JiuVbI1kiRlMpn1jfXh4ZH3P722lyvbHQ67y+PyeCilsqyoqm6s + 2K8qeMp9Bxr3lasQA2KAdEAaAK7AvYEiYASAA8RRBk2N9S129cYN//DiTuzGzTMXX66r6rW5QdM0 + XtMY1TVV0TTGdLpvZQdgFVUGRnNzMx9+/viDTx5q2OLy2o8fP+6r9jId1tc3tjZ3RkZHbt+9H4zE + BYS8Q2d5HmuaBoyZzUaXyyUrJV3HDFGn08lbOYasdrvdbLYIIvAc0hUtvZe4eePm3RvXp2bn27t6 + unt6WtsOqKq+uxsen5i8f/9hYG1TltWXXnrZ7bbCc2kJQRiQDgCAMPDEIApmk8FmtTjs1ky2VNaZ + ojOnw2X1egWrFQiyWG1M0xGlDChFmo5UVdNL+dyNz77QMxvqXqDKRBsaG+xWz/ZmeGlhaXUrphKj + 08KbOhsEExCOAKirqys3b16/c+eWwSA2Nre1H+gyGK3Fojo3vxpY275z587mxiZi0N3V6rDyAJQy + 3WIxuZx2GfSMoum8aHXYrcgsithqsRiMxooHbL9FgStaO70yVIyAWcwmp8Nmt9n2EmWCsdFg8Hq8 + NhFEJLmcTpPJhBCoGlBdJwTxPISTyeHh4eWgJOciIir6/H6xWtBK2u5OaOv2ndmtDWwxNng8VoeN + MYoRIyIfCcdHR+d++k+/C27neKPWf+JQTYPLaOaie6HlwPzs3Mz8zE4iqntc9va2OlFkqiYjrEhy + PpVK7O4ms0ktU5Qej42lCvnOzs58NoMJDkcirS1NsmJJJ1PXb9z4/NOPU8lkS0tLd09PZ+fBkiRv + BXeHR5/df/hgcWUVczwnCBZrK2JAGUVUBwT0OU0TY+AxcEAYQrqqxGPRmIaaCsXKIzmlTJaltUDg + V7/8xdj4RE7SO7v7Gts7rQ5nJptfXF5ZWl5eWFio8niqPI7KhBOllGCk67qmqRgBQgQwJoIglcvB + YFBnNJ3JjIyOrqyu6gCtba2CaEylM4FA4M7du3vJPafLMTR0xmK1VPocOtN1TZuemf74w48/+vBD + jnA11TXd3d1eTxVjsLy4vB0M3l2/+/jpaCiWMlnc3b29DgfgfQtppShFnwOjKXCIYLK7G7x1++Zf + //WPPV77gY7u00MXzBZnoSgtr6yFQ9GF+QXppQsmkwEYUmRpLxEP7e6UysrE+NjMzOz4+IRoMPlr + ahqbW9KZ7M7uzsTkxF4ymc5lRaPx0OFDNosBADQG5bK0uLR07dr1n/zkH+0Ox4HOzqFzQ6LRmM5m + 5hYW1jbXt4LbweC2IAh+fzUhmOo61XUOkYX5+Y8/+uizTz/FCDXU1ff0HEYEksnEwuLq8NPhtfVE + Q2Ojy3fQayayJMUTibX1tbqaVlVVgYGqqRhjh8MhSYVSoZiIZQHAYDDW1/tEM7Pb7QLPC4IgiAJH + SD6fi0aj2VxOUVQGoGqKCDrTtd3dnWvXr/3dL97LM0PNgSNDJwbaXTyfD62H9p4tbi3cvn3r0eP/ + yWwye9yuKjfHAVAEOszPzX/6yae/fP/XkgEZfc4DLQdtNhtCuJwqlCOZqcmp+Yn5dLYIDAxGI2Os + WNYcFg7Bc0J0pamAGBAsiKIgCDa7raa6WlNkUaUgI2Szex2k2qA5eL2qqko0GBgwXdMIR3PZTDgU + 2tpKZzIZne5LSnSdSpJUyOcT8fjy8vLW5ubE5LzZ7LJa3Z0HOjK5QnwvdevW7d3d4M7u2ZMnj739 + 9tubmytuh62puUmXpe2EPDE5s7ir7kVDNY63mv0HsEEApoGilYqFbC6XTGYT8ZSsaDwHiHFAQdek + 5F50Z3trOSxPTk5vbATGx0ecTofP7/f5/Yl4PBqLhcNhgrHJZGxpbhJ4nueIIAiZdGpubvan//ST + 9bUAwejgwc6G+nqz2VwqFUdGn8VWtrJ52Wt1u9xum8NBOF7TGMcjxihQnVXqmoQDqKBDKVXk6emp + t3/69sTUFANUU1vncnsoQDmzNz8/vzRZUCw122nC/F2EE563RQEYgFJO7sW/+OLzD6/f20vlmhsb + D3Z2NNXVMlVKhneHJ+dnb999srArmu2Ws8c97VXPvxrp7xUAgPftGMBSqdT09PSt27cmN5Y0C9cz + cPygr9ND3MHF4Pzm4tTU1Ozq/PZu7MDB7obGZquFIw6HxWzSVDWwFdgNhYrFktMiMsQqeXSGQJbl + 9bW1tbXA3l5iwOlwuT08fs5t+Gpm6F/G9v8R6/b59uXsCADsr/KBUAYYcwJvMgj7I1wcx1fAOhz/ + 0suvHO0b2Nzc/j/++m8X10PeuvYf/Zv/vqe3X1J0q83hcrvNJkBkn3X75ZPN8z9Ggem6rpXLZYEX + PW6P3WbneV6XpUwuGQgEFhbmEUKXL1/63vd/ZLd5Oc6s6ZBK5RDiHS6Hz+/XGWga5Xi+YovSGaUU + OLJPrsYICGKIqlK5ODExUdfYfPGFq6cGBw91dQKA2Wx2Op0ejyebyczPTt+6fi20u9vd3fvDH/7o + SG+fy+nRNV2SSsnU3t/9/Y9n5mZ+9e67tQ313iqvz+MBoOm99Pr62t1bd2ZmZmvq6l5/4xtnh85W + +6oxwuWSHI/vDQ+PJvNpQrCqyrKC5Vx6anzs1vUb0Vj8zMnTb3z9m81t7S6PlzPaLrxYXNsOT8/N + vfPubzYDqx+8915rY63P67YbRNCLGHSjUQSMtoK777z7257DXT/60Z+eOXPKYbfpqry7E/zFz95+ + cP/u7Zs3jWaL2+M9e/5Cf/+xjs5OjEk0EpmdmfnFL98J7ew8fPS0r3/A57UTDvECz2GsqbKmSBub + G6lsrrml9ZWvvf7SlStmkxGAFfO5H//4vzx8PPx0dHRuaaXa779w+YWBgYGOA+0Ggc/lstOzSz/+ + h59EdjZGR55cffGK3e2yGoAwUEulaHj31q1bE5Mzgmj4kx/+cHBwsK6+HmFcKkuxRPLDjz4em5x8 + +vRJx8FDDlfV0cONjOmMqQgxTdPCkWjy1l23t7qzq+cv/+3/0FDfaLXaNU0befr03r27T548WV/f + fPB4xFNVVW2wYdAB68sry5988tnm1o63yn9k8NylKy8faGy1CkY5u7e5cOfZ/d+MjU3H4xlAPk4k + nMDpDEQOeERALt67c+2zz27IivraG3908bXX6jo6eZuVQ5pczkxPDD98+PDenTuTE+NtrS3nz51z + 2O2AIJ/NLMzO3L19uywpZy+/8Id/8FZDTY3NaARV2l5fGX18//pnn6iyIhfLioKxzhjab+t+yXX5 + 8hKo1JWcHs/AydPNDfUPRieu3Xucuj/W0d93+Vv/qq3zcJURbFiqc5s9djMwHeTy7OzM2z//+eLy + Sm1t/auvvXakr7+hsdlidxSK5VgstrG+/tO3315YWvnwo0/8tY1V1TU11W54DglHABij/YpGZZCB + abqqyiWpkClKqoowd+rM0JUrVxsaGk0ms6Ipq6ur165f+/Xv3ltaXZ2aXzgXjrmdDotJBIQwRsB0 + RYanT59ST8rj8X7n9Rcvneyz2WwKEgSz02q3ASnwPEcwZlCx0FBAwBEAlRJgAod0TZ6dm91MFjt7 + +9/81rf7+o7YzGZKaSaVfPfd34w+Gw9sbC4sB1oPdDTW13EES2UpFgmPPHm4tDjf1NT81nf+6PLl + i06HHQEk0slj0/3vffTB3Fzw2IkTly5+/cyZNr8fBFJgQCnVEeZFw3N1IVDKFF0pa4qiM6YTHvNi + xWxKCI8IQ8B0TVMVSVMlpusMBApE1ZGiUsIAc4QjCFFVKhWmpqZi+dzLr37tdE/fkbYOA89npeJG + aPvn7/52Zjk4+nS0p+d4ra/K7agzVFRJDFfGQvaHhBgFVTt34XzHoUOhcOw//u2PHz6bcVY3ffdP + /+LM+QtlRTNYLD6H2WIxIACOEIHnBY6XJGn02Zi34+rrb7zxytmzzW43xxeK5dDde+//7L3r4XB4 + eTUQikRrxFqLgQNdA1XZ2Vz/6IP3VwMBn7/6619/89iJ442NTRwvqJoWSySmZ2Y/+vjj3WDw888+ + EwXRfaIP/nlbj321elspIwKVy6XFpcVsoXxs8FT/sWM9Pb28wMuqkkymfv3uu8MjY7u7oeGRsRpf + 9emTg5VGFCJobHT48y++iMQTHV3dp86cO3fxBU9VtWgwUsp2dkPTk5O/fuedRCz0+MG948eOWWyu + hloX1ZnHbT90qCscCkXjqaWVtYMHWiwOC2AMUimT2tvZ3lTKJalYWl1ZDkei+aJktVgIAkypoiiR + SHQ3HCW8we31+fx+XhCYIoe2N3/77jtjz8bsLtf3vv+DgRMnGhsbMeEUjSbT2es3bz56+HBmZmZ4 + ZNTtcg709yNMCEaI6gTRcDj83kefYMIfPnz4rbfeqqmuEU2mYlluaW2z280IgBAgBAPT98tUBIOu + ZzPpZ8PD2+sbXo/nhz/60fETJ+vr6wGgVCplcgVJ0aqqaytiZMY0SnWGEMMcw5xeOeaEYEQR6Jqm + ME0liIoCAY7TARfKil2jIkd0qmmqrCsloOri4kK4kDt46NDQ8RMne4+6LCaqSXuJ0GfXb90ZW14I + bNz44qaHp21fO4cAA6VUlTHTstnMQmyWd6X6ujr+53//v7Q3VPtsHK/lE+GtOxOrf/uLj5cXZq1W + fHrouGj0u7DGs307EcNYB1SZqX/OsmdAdU1VKYDOkKrrsF/rpEohPz899V//7seJlHZi4MQP/+TP + mpqbHXa7TunFixdWVlZ+97vfBQKr4+PjZ86cEUXR5/OpqrK9vb2wsJDJZk+fPvXNb36zra3NYjED + oCsvfy1TpiXKt7W3ikZQacWwygBgP4qJcWVUUSQYU4XpKiCEOAFxgl75ZxkDgo08oZq2sRVk0WJz + U9O3v/1Wb09PXX29LKvRWHxpYf5Xv/zFZmDl7m3hzOmTbq/XwAOHgSAEiHxJIgWOiEaDiO3f+/53 + h/p7HswECp892VXNV69cvnr6yKFGD1Dd7qyyO6sIIaBplGqVx9ZiNnfr9p0Xh/r+9K1/f7it1mrk + dDm/ubHx0bVbn1y/e+/WzWpf1cCJE0YDERAAaMV8dmZ68mc//3k6nenrP/7DH/ygqanJ4XQhjC5n + srPzCx9+/OnG1vbTkeHOQ4f7jg/U1zgr1zKqnKC0Ys/EosHU3Nz8b/+7v4xurj5a3P1f//E9wM7B + /sPfe/PVjsYqzJOq6hqT3cYhZXlh+eGDR/fu3TeY3a++9vr3f/ADl9ctGgVd0dWSsjy//PPffjC+ + uhnc2Hh4916TeLGqu/35pCgDAMQQY/gri0CGEMMVtymjmq5LEug6mAkoxeLs7Owv3v758vpmU2v7 + lW++1XGkv7HRZ7PyipQlkmbU8dby2o1HY//wy9/NzkzYreaBgQHRUGs3Gmpq/I311Xa7LbC2sbkV + yuXAbtIMvAbA5HwhHgkFt7Z0nWSyufnFpWTqLKhOIAh0TZGFUi4djkRzRcnrq7FabQIPCABzhCeE + UqpRXUdYNAIvAgKsUZXpKmKqpkrTU1Nb+eyZoXMXjvYMdLSKTM9LhbXtjf/wn3+yFI6NjE5cvJro + aXGCAUAuS9lUIhpcnJ8ql8tXXnzl4mvfO9ZzwGs3MDm9u9L/4Jrr8f1raeS7/MbXe4a+1tfVZLZU + 8o4MmI7Y83ATVKyJmiqVE7HY73733tORUYvN8aM//ZNjx/r81dV2h4MCy2Qyz56N3Llz67Nrd0ZH + R11VDcf6+mwGbOKAN4gEI6CqpsKTp8Pe6vqjx05cuvpy77ETQARONJptjtpav1oubKyt3L97e21t + zeev+Tf/+i96jvQ53VU61ROJ3ZXV2Xd+/X4imhMFR7ks50slRQOBBx4YAm11cf7hg/t37t1vbGh9 + +dSZP/j2Cx63aOCqQLefP5d9PDb+j7/4RWBl+dq1L3q7OsTGGrPIA2iIqsAo5vip2cWtaKa2vvnr + 3z57auicYDDyBqPFINTX+ASe6DrjKpBXBGjf4v7VaZ7n0lKgCDQADRAlBBsMBoSJogNFxGy1+hz1 + F86dSWnzi7vFe7duey2k9vxhwAQEwWA0UQaqRjEFQTSKGDAApSCXi3omfv/O7Qf3htPZ/B987zsX + XzjfdqDZaBIZZblsfmF+4f7j4d9+8NHs5Nhtp6P3YJehuqq6tu4Pv/vdV146PTe/87/973+PiN7U + 0fDHf/a95s56QXRjzul0NVaab4lEdGZq4uHjx3vp/NkLL7z+5rcO9R612Z2UQTab39nZ/fnPfzEz + M/eb37zb2NjY2tZqEL5ilvryMOg6Mgg9Pd3VPtfJY72/ff+zR2PriYLwx3/8pz0D/fZqr870Kqul + 3uuyiAyVsGAQAMNeMpXITzuajp09eeobV/5dnZOziDaOcg/vDd94NHb7ydT87EJnS83hg40MmKoo + CNTaat+lCxd7e3t91b76hmaLxYE5QVNRNlO8cePOBx98lEymAxubm9vBA621bk/V4OBge7N/furJ + Z3cn8g8WRXfrW3/4h10HW31VdgTg9VS5nK4K/ITpz+VjiAOsAcM+n/8733lraOji5MTcf/m7X2by + QnPbwJ//q79sb/CaiEpEbPDXiDwggDKHFUWRZQiFy6HyZMfRq0MDV88PdjuMCFRaSOX+6SdvP5yd + 3kuntncj4WjMZ7NU5Cigy9OTz27cuL+2uXWs/+rVl08fH+yyOTAQXWXlxdX5Jw8WPvjtvbmZmQf3 + az2eV2rrnIQzMFpgmsSolthLraWzazuxs5cuHj892Nvboyqy2WSo9ftsFmM0tPPgwYPp6WkdyNVX + Xrty+dLRI70Go4kylMkXXnx541e/emdkdPTxowdVfn9dQ7PRgDlCMCKAgCGsA2js9xo+RVEKhTxQ + nec50SDqrOJ8RTrVE4n46OiIxWo/feH0d3/4ZxZXFeEFRafJVJpqem1NtdPmUFXGE0IQYEZ1VSaY + EaOhMs8BlIKmEKoSoBvrG4zjHU7nt976Tl9fn89fDQh2Q+HJ8YkP3v8wForev3O/qbGppqbWIPJM + Vwv5zG4ofP36jdv3HohGyze/8Y1XXnyppqbGZDQBZbls9tmzZw8e3H/0eHh6asLhrXb5/EaTTxQr + GSmEKlHrSqNEV4EyXdc2Nzd2dnZ4Dr75jW9cvvpqR2cvL1o0HXK5Ui5XsJqY2WoFTAFANAhmA8d0 + dX19bW0zWFfX8N3v/6C7u6euvh5hUpak7eD2f/pPf7O9vfXo0YMjfX2e6lqrxY8AVKm8F4988P57 + Tx8+9lXXfvf73zt77lxTSzNlVNGUslT+/PPPHjx8MPZstLu7p76hsaP9gMjzJam0vLZ+4+bNO/cf + mG3Ob7zx+tdff91mNXMcUjU5kUiPja8srYQsVvPz+UZkNBhdLq+ugyTLAGAyGfv6j1bX/o/JZOz+ + vcW//Y+/MRh8A6cO/sW/+75gYZxotTm9TleVpqYzqaRUKiBggihiTthfbDA9m818/sUXo+MTmOPf + fONbAxdePn2oyaLs2bR0kXLDs+sf3XrywbXrw8MjNp+/5uIlI0ZY1bRsdmR0dOTZeElWXnvzzdMv + X2ztOIAxRgwZgZdi2Y3Jxd/88rd7e1lVU/QiwQgbTZwGoH1pnkfPbeOIYg5bbdYzp08frLbNzEy/ + c3/ug+vjPUeOvD509MpApx2rxOwQbW67y004GTRF5LHRYGAIIcITDgipAFypJksEWLFY/PjTz6p8 + 1ecvvfD6a9+oq23ChM+kM9ev3/zdB+9vb2//5nfvP3h490B702uvvXRyoN8oEKmU3wgX//Pbn44v + xZ+NPN155XS+u94uaAhTAJ0C1XSqUgBccUUDgA5UxUwziBxjLBKNv//hxz3dXX/+5/96YKDf6XSq + qhoMbt+9ffPaF59PTIzV1dWcPj3k91WJAseomkxEVldWno1NHGhvPzd05g++/abZZOAI1qnWebDj + 01uPPrx+f+Dk6ddffbnzQGtTfR3PI9g/sREw7XkOl4Guq6VSKBRamJ2dn583Wyynz55/881vedwe + QRCYlF+bm3j24Na7t54xxQIM7fsPKx+BrqRi0QcPH03Pzii6/uLLL79w6eKRw908AgExuZC7uLL5 + i4/v3ptYuXv7VqPX0t1e9eX0DdpXhXy5TAcKzGAwVNdUv/nNN181In9nk9Xr8RKPRbWoaXk2MPvh + 9Y+eTDzdDe3OLS5ZHN4ahweo3N7W1t93dDV8b2t9bXJiwnnutGgzYWAIMaaphVx2bn5xJxQ3mu2H + unsbm5v2nyj3i/5f+un+RWz/r0u3z5kFlYP4e/vcVw4i+fIbs/I6QcAqnvqK4ojplFICGoAqWGx1 + B2wOl0+EVgcOGjhscfkOHj98og0pYOAAkd9Xqb7MLlIgDHiu0gbXNaTrTKcV7hnCHCBgFEmymsnk + U8k0L4oNDU19ff0AIsYCwSArQCuQQx4YAEaYVDyZgBjCtCK9BYoQJUgTtaJRSQlqibc6nbXtZ1/6 + 5qm+7vYGP2ZU06nOkFEUtqKh5YmRUGDB5a27dOXV42cutTc1GAjoqg5Y1XTfwnJ/NBO/9+TZ5PpO + x8FUtacGNFVOZBeGp7YX1qjK9V+83Hfp5aPHj9qNRGCaruJSUfO4vLF0rKbGazRhXcoHF+eD01OJ + 3Xhz29Gj579x6sobVR6bYECA+CqN+eqra2tcO4G58ZHR1Zmp9bWd5gN95jqzQAo8LhBS1hDVBLPR + UXVsYPDly2eP9Bw2GHhN1TpaGhcmni5PPUnsRYzmltrmlpPnLw0c729pqMdMK8Y8DWJp7q55aisz + u7wVyUMrBR6Ax5hjKlFyvFZkAIpg6zx15dwLZ48P9ll5BkwBKb8w3La5uT6xvCObq9tq2y9+7a3u + Q51N1R6bgSj5lNuIZh5652amEruGZD4bp8AD2JimJAKbS7OrqytUsAwMHDt19mJvd5fJbKxgNxrq + a9PJWDYdm5mZnl9aqu/s7jrciJGOsUwI0yknKyaKjM3tfUMXXzx77gWf12EyYtA0JxTF7O7m1Eg8 + sTe+Fjkh8zbgragEqrSxsfvw8WIqJxzt6n3hpddPnhxs9DpEYKC4D1SVas35YnZvs5wqFHggDJiK + AQQEIBcy4a2NhZlQNFrdcejg+av9F16wOcyYBx4ox3wWrCl5afjh2PZWanp6qbe3z2I3g6btbW/F + lxYT4UhT38DhSy8evXClxmq1cwCgtNbanYYiK4d5wNvrGxtPVwFpbP/UBEz3vZMqAAMQADgAjiLg + beBp8jqrWpOp6rlRk6i4XdaW9kM9R5v8RjDpYAQJqAxyPh0LLa+ujs2tYIvncP+Jl159ra2l2eb0 + UIQZQFtjzeGmqvDKRD6XXdwKT6yGO3b23D63iAHTr1JtKvBHCZgEWOYxIyrPyzZiwEf6T55+4dLZ + yxc9NrvI8UCVJr9VyW7NzDUEM+WpYHRybXugy+AwisB0goERpDLIFUveJvtrr752+YWzg91tRiOv + ACgAoq4BLSOQMFIJUMIAUYQr3koEGOkIKRqTdY4X3TVHz7107mT/4fZGm4hBU4rZZCgwF47G1rZj + S9F8TzxXXUcERIleYvlIKbSkFFOHBy+1Hzt9oLefB2og1F90GkBaGn0YWN7O62Xmd9a2V5moZlAr + UGRGOeDQ/vQSZgpWdYcEBh3pGOcMrCgQiVKqg4EQxnRglIDGU0mgRYI0DRtl7C6BQ0GiiBhGGg+y + kWY5LWMwNdS1dA5dfePEoUPNVV5OV5hebm3yJkPbpbT8dGJ9eXKpq6W551Cdto/1xxhzqDLoV8kQ + c8RaW2d12utd5msucUYQBdHjaxns7m+lFHgM4r4HlGGGQMVIFQgCo73q4JFjL7z0wum+g7UWANBA + 8aLixnIg9NnTlVA8FdgNt9ZUWSwi6EzJ7SUDc9OP71GNNR05cvbl19taWtxOM1BADGqrq6u93tWF + hdDW1sTISP+Ro0ePHhWE/YxxRTfEIcAAeL/or3Og8kxCepkXzc66zv4LXz8zeKSl0Y+phHRZl0vp + 0Go+ldnciC4sRQ4dDH2+BzEAACAASURBVJ88IVCqUbWgS5nAwrPFlWVm8R449crF11/v6+6yCDwF + xoD5/d5quyhHA49ufLG2tTwzPetu6vHXuXik1fmMR3q6YsliMAkz63vehhYT5jDV5VIxF49FN1as + WPUY5EQmH4pEgnsll89iBmBqOp/N70Qi0azmqu901TUbnE7gUTm9F99cXJ58qqp6+5GB45df7j7Y + 4bQYOQQIk7KkMKon43vTkzPT0wvtrZ39Rwc4DAKlJr1k1guSLO0V2MDlVwZfujh48aLPaRF4UVE0 + QRAxeW6VZaBpmGABYR2wRjVJLuXS4ZCezlpsjkO9x1qPnnDbRIFpAAqjYjKn6UTUKHAYeJTBUKIA + CjKq2KRig4Yxh4AwKjCV02XCCmbICEgqE1uRc+SxQwKeA+AZE1nJTFMGPcMRs8XTcOz8104PHjvS + 3mImOrBiOV+l6qVUSl6bvB95NhdsbSm/cE7gDRw2YIMRY5B0LV+mhw90nh46f/nslSaXnZgpaOna + tgbZ5BibmJhbXN/bXFpfDpjsnpoqEw8qECZhpmImcIgQwJosAuJABaaLlHGAGRAVCyomOgFKgDA5 + EdwMzs5sziU6+jpPnTl1+tyQzWbjeV7XNJ7n7A57JptO7MWDO7sTE5M+v99bVUUBZXL5WDwhyarX + V32450h9fb0gCAwYEQxFFfISM1uBfx5uYLqONAkQBSwAEnXKCJUwku1KnFPLAEKROEvYXsImjCs3 + q6KJSkBZXkL+5s7egcGLF1/s7mx2e52SBJl8vtZtnXpwbXVuIrq+tBePZyTqEffDDABYA6QDcMAI + kgFTEEVXc4sZ66ESmK2zQkmoqavtPHjwaGc9pgyISAFjtQz6/nwZpQyJ4sFDXWeGhi5ePlPvtRlF + AK3c1NQQC0fmh58mQhvh4HY4W3YbLE5QSTmcCq6sr0wtra52Hz01eObymbNX7RYzJyAAqKtRzUZD + Ppv87XvhYHDr2fSct6mjusbJAxDQAACQAJgDIIB4IEAslqr25iqjEpExNhp03umsqT/Y293X6qvM + vTEGuozmJ5fHR1ei0dKrb7zywtU3Tpw6xxkRgEZ1TaC81+kulWXpdx8uBDZnHtzd6GzoPdjMcRwA + AYYIYMwI0gn6UuuOKroMHSOgVJdVBRAYMJh0JRJeWVhefLSwxttqjxw7c+WV1+sa6l1OE490BmVB + 0wBBg8+JDWh9Y2F2aW0jMD+9tEpctYesgmg0NNdVtbU07Y4ld+LpYCR3oFE08AwUOR+PFUK7pVTM + 6mzMIXkrHgkmyomc1StagSq4FE/H46FEosB7Dvcc8/p8Fg4EDQA0nnJAOZUQiRCVB4qAB55nWGCK + QLOcnrWa6xubD5x/4Wvnjh7qqKsCtQhqoabefefB/Uh2LRnfSSfC5XwNtlsAsFKWo9F4fC8lmJwD + Z04e7utua3E6ROBVh4eXcD6yuTCdSlAQiKPG5/MLCIACIkAx0wFUQBwwwvbFk1oxvrM0Pze9sCbx + 7sET3RcuXj16qM1oNhODCJgDveQ2M1IKry8vJPeS8yvB9Z1Ea73b4BAB6QSrHCoyBmWGuKrms699 + 6+zZ04cOtAEClYGOsFGAUDgUWp3cCczygnCo/2T/xVcPHjxgNPACoeVMdZPfkgtHRbo+PZ8FjDVM + SxgYUg0gYZoPTY0uTown0vmLr586d+Xyoa4jNiMCYgDgXF4JeG15eWJsbHxteX4ztGv1+cwmHvSy + BeV4jslISOi8mVjPnrt0+fzZ7qO9vAgqA00FoaLFpggoeX79YA4jCowC0hBgBhwgoAbAFHQKWAdQ + AcqA88BJGqYScGUqUM7schvPDZ0PhuTo9sjy42uLDY6+nk6HkdiISSYWGZko0gRiFLBQsZZzAMVM + NDg7vr4wK0vS0ROnBy+9eOLc2aoqc4WOw3TF63HwRF2fG07EtlbnRzd2NgWnt9plrbUcdFfZMmWr + YPbwJc3prD58sPdQb5so2hiARoFQXWD5XGhx9PH9tZ2EzdMx9Oof9Z0eamqqwYhxmOiq1txYu7I4 + G49sz80tbm2s7MUjNbXVgEADIAgwwohxmOqAMfAcclirLI0WTn344LHRYOY1a2PL4cO9PVV+rAEI + AAYGiCJgmOiIUMIY1pHQdWzwwpXj5873+EyaQBAoZYBCqlB48nQ6uRXfDgTLsi4YeREhnkGT12s2 + WFQsWlw+p9OGgWIEBCioqpQM7mx0fnHnaTRbjuaUao032swmAzE5Ba6wMzm7wYlmYvPXtRzq7elq + qTYzChSAIiCwv+/TMBACDQEWOdFdXWv3mDwoW3Ab+KIs8i5/bc/xjnZsxQA6yDyoAAi0DNN0ShQK + mAeHt/bkqbNXzh8b7GkyYwW0slrMbgS6dqXk4/m1QCS5E0v1trYDYqDJSmFvY3FqeWXR6PJ0nBw6 + c+VqW6PDKJQoZhowk93LMd/Ig7lsYnty/Om5Cyc81U4TIcCwRWc8JSWFqIYqX0vXyXMvnDs/2NLo + QxQw6JhpIOU3dpef3vkiFEtYatpPXf1m/+DRtsYaYDogTtKoz18dWJrbDcwH5sYDvUf6Tp33iBYO + APCX9A/0ZY0eASC0r81jjFFG938GpihqPp+PROLd3qrm5qbunm7BaKl0NaT6Bl2ngkCoDhoDTIAB + cBRTTSVEA85cEdkjJgGVLFqaKIViGXlb2weHzg6ef7Hn8CGXwwwg1zU6vQ7j3PDY3LOF5dGl5It5 + WREEHgkgK9mtmbEn84uLGVk4e/bq0KXXB88MWU0iIQCgA5UdVmom6e2NiUhya2Rq5MyLV721VQ4R + YVxxVSFChOcuHMaorilaJl3IZQuMQV1dY1fn4ea2VkSAMlA1kGQApukENKRxIAMrW/QM0soFSXPW + tbcfOTH0wktdne1Op4PpVFOV+mrnytSR+1I4EFqbXZj3HTjS3Og3gV5Obe4sLywvzuVVevTMhWPn + rxw6OegwE2BAqM4jJqf3yvHdqSePV5dX51e2mls6LFhjmcja1Ojy4kq8iC9dvnriwitHB09xQAli + ALquqC5PXWPbTmOr32wWMehMQaCLAudQNUFRGcOAsO5w2xzug9mkZ2NdQpyZN7o9/vrDvb1mG6Ug + KBrmeYJkTaCyoJcx0wHzKjFQAIEglkuHdndGJme3k6Wq+uazZ88Nnjre7rfxZSeiBeCMgqMmGMve + vP9gcX6ppqXzxVNDYEAgJSPx8OLW2nau5G7p7Dtz9uzFS16PBzFEGDZjnhaK9W5HIZu9+cmjmYU1 + VRcIECz+s7A9MASs4oTkECCO8E5fldN6uFjOeRbDgJnVYWtobjrad8yEVSCiVskcamWgEsckhBnD + goJMOgPMgGPAqbKoK6Im6ToUmOHwodPnX37xxLkhv8vB64peyqmJ5eU5/5OZ1aTEqkT7iTMXLw6d + 6Opo4TBVpXxjIj81MZUJb21sB+PRYCx/TKyyGIEBEEBEBlJEvEJ4hilhALQMVOGoLgLWgZc5i9nf + 2N1//MqVC90HW00mg6Kqbc3VWjG+G5ianZvf3d3diWVNrlqzkWEto+V2csn43l7p9Lnu7t6zhw4P + ANYAy4ClY8UD65Hox3ef6kgUjZbGhga33UTQfjACY4woD0wDRgEzQFRVpM2VleDyaiFXGLhwsv/C + i31DF50mo4ARKNl2j8GL01vJfGo5V8S8ygCBJgBwVGWqEo/vPXr6LJLIOHwNpy5cPXZysK2xgaoK + jzHVVHtt62oosbm5Hpx/srHSmswNeqxExDwwjAFjhAADI4xiUAAIgNlqa21qr/NWM6fR2VKjAzGD + kVMFUMDghJwU2tie2s3ktmKpZpk0I2RBXEN7W//Rnmu37iXW5ubGmwf6j4sWk4EhHpdxOZ5JRKfn + N3bzJndjjb+pze620sotCuBf4NQt/m/wO9Dv5cjk9y2U35dX8Vd2hAH2g5iUaTqiQHVd0dRK+0XF + ANgClFlozkMKIoECFdLIKiPgReDRfsHoy0JwJWxMAevAA+CK0wNTigFTCoqiqyoFBoTwGHEIYcYw + zwmMQbkkMbofvRMEMBhAEAATIAh4Dr7ydUYAc2z/PVFAuqDlDXLaCNDS2nb83EvHL7xa09hEEMEc + FnhB4AVgEN/ZXpkY1rPJzra2Ky+94fY3IFKJAigIJJ6T+451tvccBAoLwfhGMAoqBhXLidzC8EQh + mqny1J67+kbtwT7OaKCgM1YkWLNazQPHj7zy0tWWhjqbQaBSLjA1llheFhk/dP7V3qE33A01TDQD + 6IA0wuker/Xo4dbLJ4/01HuK8djWZmQrJqsAgBCP8lTPq0y3VNWfvvzShctX+o8csfxf5L1Xk6TJ + lSV27nX3T4WOlJWZJbK06qpCq2q0hkZDi8XsiF1ydmhrxge+8o/wgWY04yNtZkgb2zHDcGdngAEW + GhiggValtUqtQ33K3S8fvsjqAjh84tKMZhuWVRUVmfFlhIf7leeeExoNREZNT7RPHVk4uzjXCHHp + 0nNf/ua3Xnj19am5BQcYknaDL8yEF2aThPyj1d21EQ9dZXlFSRHYQShpq9s9dvHl1776x8+/+bqJ + EigBF4js8ydnz505jiCZf+6VVz7/jdfe+fzcsUMcJiAJaub4gfob52dOtEZusLwz7K+WGHnAp/0n + V29+8KutrY3ZxZOf/+q3Dh49HsXxGL8Nn4T6wtkTZ44dEl/effTw1qPlDPBwzIVWAAVBOD07f/7t + z3zz8+98Z2K6G4YMFJDBqYX222cOHoh5MEjvbGarNhoxeS7LrP/oydqV6ysOk4snXnj1jc92p9qa + ARlA9lrzk6996Yuvv/LascWjCI2H91JogoEr+luPb13devKAtD7/6pszz70UT9Vgxg1n9vZAt3ti + 8XinMb2zld+4/mCn189tUWbDJzev7967ZwSXXn3j6Ktv6ukGJWMhhdps67XLp/7833ztv//3f/zF + z7+hASIvhGfG7USAAnAAAdpXU70BfA2IBFZzL6yGuR2VgrHmNSx8hnR3+eGdew8erOyN5k9dfPG1 + t55/8aVmq+mrgwHEsZmd73z1jedfv/yiOLr6ZOf6w9XMgSshcefhy7ENoQAM54ZQg8BwIHFdZuYm + j37jW3/6+hc+152e1JrhHNjOzLU/efHIm68/T0l0c3Pv3Vv3eqMsIMBZJkCxZzQ73ecuPf/n/92/ + P//cGW0METTDMJgy+BQ+ZZSavAYpoWqmGgQiK5J6FNOHDl3+zJdf+ezXTj53No4TIk9U1HV54fjC + 4tFFcPxgzy3tpk4piDc+TdxelK/XjBw9dbp24IgPUIK8uFjjYKe+kBgl+c0nd28PtoaAIo084pwg + bKmKfx2ogC/gJS459uwUj0Lua0qd+FKUgKxj50A+ojKm1JADR7maSCm0CqIJJFrSyO/FgrOnT37m + i1//xGtvT85N5RqZCCnptqMvXP7EiydOmlTufHDz0b2HSqGELyEW8MqADURDFNjYIC45ANnIDaZo + WFOqcEnfTxSAYuiqugHP8OTgcpFcRyEuvfjq659755Nvnal3qspuDiNnF2beevmldrO9O8juPlnd + KawLAIXB9urO3WuPrt7rtNvHL7544tJzUbuWewjBORdpc3LxyLGDC40wuHvj+tKjh4P+wHl4wAKZ + RbUVx0GbeMArX2ifGpSHFk986it/cumtrx44ctCT8pJrysOavPnC6ZcuPQck9x/17t7bKHO4QtJe + b+3xnSf3Ptrc2e4cPn3q9a8+99JFExlFUETeFklszhyZ/dMvvv726WkabX/00ZUbT7ZLgtL+0Gxy + 8eJZU+s+3lEf3d/azCSFsvD9fm9ndXnj0cqBhjo+E2uUyyurt5f3UsBBbLa5tf7wycrqTq6OnHt5 + YuEoJwlYeturG/ev7izdn5iauPTa21PHzkethmflxTlrA8WXzp0/d/pMFNZv3rx/+/ZDawEH5V3N + jRLbV1qhc+Dlr//bt7/5x/WpaRMppZEkRiupOF8rCTprSZwCG0Cc5GTz0PvEeuXZcdjncOBhUUJG + xNLqhFEdpQMhN7SnOfUkBcU5Yqdip+AAcjZ0peIyxijxu+z6FjTS7Sxo5gwLMCOmrOa3I5cePjh/ + +c13Xv/idxbPHeOARBG0xG19+aWzL544Om2R31nafrDeH6BvCSpGUmOGV4xG4+2vfO1r3/yjQzMT + ymhQgLgeTE0tHp17+8WT56eY+hvXr1xf3S48x2CdsxuSK1hEs1bENg98BrFwLgZCHYB0QaZkbTWc + Bnz26Nb11Rs3VIEXLp6//MmXO1MdNuzI6VCD0Wy3Lr/yyszsgV6/9+7vfre8vCICxcp7FNZ5oaJ0 + aW6tkLCGCgSIDLoNCqpgg0AE760tUkCgdAnOPMNmsHutfE3bETgYcGvAzVShIIAMXBnakRJC0Dr/ + 6pe+/q//3aXnn5/pdjSQxJidbpw+svDckelDiZXBxt7uzl4uY3Vy8QIqgBQoQeMeGQEVhj8KQSit + K4rS+Uqce0yYgrFiA4HIOd/pdP/s3/zbz3/xnYOHOzpUHgRjujMzpw/NXZib6Po87feX90Y7gkIy + N1pauf2Lx/evpEX53MtvvPTqp5vtulJUcXsy0+xk5/XLn5ibavb7ex9cu/Fka1BpTGuxAIS0cOTJ + VKBEECA5/IBRWqVyHaVsLFX4Dq+tNYWl1F597+bNa0tGdd9868uvvfl5z8aBPUQpVobm5ma//dUv + Xz5zol0Mb/3mVw/u3VvLMq81WAmRIiZhOKZ9uU6QE1h4q0hEXF7myiAmKLu9fu/D2/duP+jnjVMv + nHn1U2cvnZrsJobAYHKAWFARzNZe+sSxP/nam2fmk93Npd988NHdzTwHPHBkYer8+TNhUlvZ6t++ + /ygvLSDIsp2lpdHyChX5/EK3NhVsDnv3VwbL2xEkgZQ8uLf6+NrK5nbZWHj+rS/Nzh9IFNhmsLly + DNEl6VSpjMfIo0AFEdkIewHZs6dPfPHL33zt7S/OHTooHMAYJMHMVOP5C8cXD03BDns762lvB+LB + ypVuc2M7K2yj3Tp57nS92yANBlBKPUjOnzrbrrf6g8GdRw82hnsFYKuAQQC4/fBBHAAIyO48vvP+ + u79Z3x4cOPH8O9/805OnzjWbTU1jXhVWcnxx9s1PHH3jxXOa1aPlnWt3lvZSK8SAY84DDIkwfWjx + 7Ktf+PQ3/uzQ8ZOaKNZohNwIEEjRW3uwevf90c7SwsFDlz/15e7hc6qWWGUcXNyIjh87/N9882uf + fvUVhpgwQKgy5XMUhBT53soH7z68ecPUm+de/fSFFz9VFh0ZtVFG4hHUaGGh89bLzy20zO7myv0n + SyvDwkEgaZ36gUYqgZk+efr5N9750tdevnC+rhAAMaMeohraJVX9MUAAr2CJnQekhM/FeiGIhgSw + RNYCJWQk6DkaluxzMiNvCh8EtYmXXrh8+dzJw4ksf/iL67/71b37D/YKpIiGEhaqDl3TKqm4Eb2F + Jt/fevK7X/xoa/nx9PTUN77zx+deeq0zW/MMC3iCNvrQodlXLp387MunFuLR1tKtW/dvPe4PR0BB + 0dBzv4CYJkxTEBuOQxgt3giMF2N3Ybd3H330q5/9aG/kJ46/8soX/nV77pAjzvLMucJo6baSC+dO + nD15xBX9laUHS0/uO+dKj9yjBEqgJC5JgbniEwP72Ahs5j0J1a3EvhpJxIgg4wzaiRTCXsVJa2Lh + yKe+/PW3P/9Kq5kYnYAs1Ojoie75s0e6cZJvDTeWN4ejMgcTazAnnfbhxZNHj51rdCeFAuu1Fw8p + IKNjs/ELF08Gcdi31Hd66MMMCtAQH/uREeugUm5YVSevAoeQwBZiwYAigCzsCL6El7IU+ABUQ6mN + UJt85HLr/J6YvuaRRkrIBRCEsAH2lC8hgRVMTs9ffu3tT3/2nU9cOpIYwAPKmi5ffvno+YvHkWX3 + N/rLm/2x7vpwtLf6ZPnuta2tjSNnzy2++Mn5k1OsDZwjz2XJne6hs2cuHl88hHL3xvX3Nrc2BrkX + R2DdBGmnPGozJ174yh//xSff+uzhwzMEKHgWC5ci3R4s3Xzvlz8aZeX08YsX3vhC58DBMYuvgJTu + dDrnTx27eOLgxqM7j+7fW98ZjHyFb6qQ1B8n7FXAr5QKwgAk1tqyKIihAOd8WRZlWXoPZhKR4XBY + WFuB/AJFoVFagRSgPrYmJA4+A5wIisqDclG321z0gyA6//Kn/uJ/+B9Pnn8prNc8QLDtrn7uzOKx + mQPNMlq5uTzcLkvLzhGoKPYevPvz7y2vrLYOnPjit/7d+effrDejiqkf5KDt0ePTn3njzIXT06DB + jXs3Hq2vbo+GOSpgPAoPTxpkAAMVEgXes7fkLXmLdJiPhqmzGBMUAUkNUUOnUCkFEA/bj/IN5TId + 1s689Kl3vv1nZy691J5sk4I2HBg92YzeefvFT54/gKJ39cb16483LABJ7fqtu+//Ynd7vT0z/8YX + vzp74pzU1AhwBCaG2PNHD18+fbxpeOnRk6u3H+VWUGZu8/Gd3/1qbXUj6h5+9Yt/tHj+JQkgiiug + hQqi8+dPfeXrnztxeqHZSMhDcnKF9jZyLvJQQvCUj8E8XpzjwhlLiaPAeqEqZCPSgoB8I6AaWxbn + QBkCDwSK872NB/fv3bj3cMi1o2cunH/u/MJ0k7wjbaAjkJqfmz++eGhuZvbRw6Xbtx/Z0sJnZbq6 + tHLn0ebaKKidvvz6sec+MTO5QFCGTMSRAptasHj64J//xZ998sVXWugkqCmQV+U4jcc+DZJnsAEZ + VNLLRDBKyDlYkHdwDgIew072dSQtkLMfeF+KCjPEpQMJlHXGFjXyoU2VMgsnL1763Hfe+MrX61Nd + rwQYqaS4sBC+dP5IvVGbOHzq9c999e3Pfvns2QtKhWBtonhmovb88cmLB0SnqzvbKyv9rGADDgFm + NgXpIZlcaSEPyeBGkBLitZAjE3Tn3njnm5/6wpcvXjjXiEP4kqWYnWycO7nw8sWj3ToN0+GTzUGv + ZA+B26PRisuGgmRm7sKB+TOofA15UDY9E8/OT0KbR6vb9x4+ZoJhX40euTHklca1LxGw2LK4e/36 + +oOHgQpf+OSbp15+I27WSDM8QVzt4NRbl89/5xtfOLgwB2UK7+GtAZRNbZ6ur2/887vvDUvMHz1z + 4aXXujMLngg6LNjYMJmcmz9/evHiYne0evPJg5tLGxtDTyBdlW6pkgpScIwScEDSbE0tnlg4fu7g + 3JEAIUE5eEgJcq12+MKF47OdqHTF1qjYssEAKEjPHTl84bkzU/Wg9+jWtfff2+1lvRKFFfgUvccb + y4+v3nyya6cOnXmlPXswqI3n4Kr53//ayG7/36Ju94f6/m9g2GeWkZ5F3T7zQyTE+3qt1QxSAZTE + xpY02ArSTfbsg2TAtQxoAJq8J/4YYwEvcAK1r2RSjZFDEZFA6zCMYhFyDsrw5OTUoUNHFhYO3rp9 + 6x//8XtA+M473zh06LjWURQTM5wHYx8+vF+79SBf9ePFsbdAGUpWk2FdY35hYeHEed0gaNBYboAZ + 7PJ09fGD+9c+qCnfrjd1kOyNPEoX+TRACp169J0feiXQZrmXbu2OAGBY7C1t3Hn/GkZ2auLAgcMn + uBUWQAQhtqjGuDxBxErmqZBitP3kMfcHE82J2fkTYXc+BwyTIU9wTETw5NOJmp6tq0Rzv59tDagE + ACtuz9q+hedac3phsdHqhJogbsziR36imRzo1kOFicnu/JHFWneSNBwAWKgyioqDdWmGpsjN2p7b + HWIqBoBQoRVxXft6o9E9eEx15jxXVV0HyeCH0zV0mjXoKJ450pg7WijYKlR2DlLUOZsK0xmDR3n/ + 0coypzjTAmD7y7dvXfltWRZBoxO3JoZZubs3VHC+zBWJMeSLVKM0mvrD4U6aCeDgvKTOi9ZxUp+d + nD42NXO0NcE6ADMgOdwAlE0bOxnisY/LqDs0YUYoymx3a7XXGwm1JqdPdacOm0gTg1DCD8ApyMOp + 2emZTmcZ2QZrhKHWAKPM+tv3b1zpb62ZoDuzeCKNWysDRDZtchaKHQz3qMgiHbUbk8urK48erRal + 9ySSp6sP7qVrKyGroN507UlHcAA8UOYwOdX8/GydJWgkqlmDMyxUBcqoesoe3gIKAjA8wRMogA4A + UZo0DcnDubx0VJV3lQbyHFKA3fb68sbWdoHg4MnzC4snZKwyTaWr1LMtkC9ONw7OzSKoLe1kD1d3 + MgtnwB5iS7ADWIS8giIo40EjOEulavDkZGd6buFI1Gnl4qIKSuYLFLsTiT97erHxwcNlBE+2B8Oi + hBcQrC2ttyrAocWjJ0+dbXfYmIrVU4Q9yBvt4C1TwVQSPAux7JsDAcERFULSmJg8eOq5oNPyjLIo + Ay5BFoHMduJWqwUV79igb5k0XFFK3tfFTlhsSzkaZMXAmxKIA2JhQJqGaz7X5Drz0zTRyIAigykD + igOjdQoIrEFpYAEPDwyLbGcvtyVMUARaxXFkCALFGt4hTwPlE21dPiocpb4+EpRVp9l5KYZc7DYj + zB2YmV88iQh9gDNMVJT7ZXmwUzs00Z2qtUfbw621jd1+HjWUga78N3siD0BDqRLKwxlXymg7SLeU + daQaKTq5ICQoZNU4EURpVvWo2Qg7jbqdW1hsTx/QujqxVrkhJO+Eem6iE4VhRiYnlRFnhJqirL9b + bi6XPUxOTDan5zYGiAjGl8aVymYRXBIFkVa1wGSD/qDXS4ejpNnQDAEqXTpfyRKIwDtm513q815s + aGrmwMGTF6mOIZCORhOhh3Lwo9l2MDvRTWqd5bXhg4cbWYp63WTWLj24PdxZMVF48NQF6h7uA1pQ + 81AKxighmMAvtIOjTeoE2NraWe0VGdAgP9E2Rw7PR0lradN/ePPJ1rDIwYppaXlpbWnZeLz43Alo + f29le3V94/ajjdSf6DBcsbu8dHe716OovXjm+fbsQYcASLc3l1fuXXMj22w1O3NHdgpEu0XN9eta + 4MQVZZE7cdJowHYzfwAAIABJREFUtPr9dHt7kGYQuGg0CsphImmr1Q6OfaJ17Ll4OoaqUisr1jEU + KFCsABCDAxAAT84XbGRyonXx7Omdm49+/uDx//Q//y+vrO99+3OvRB3WPPI2cqbuCdqAUCr0tSqV + 0Z7jzOkCugR86eOK6taX8EMuttLBKK/7XDfLAJbhBBBXDLaKnUehYG7x8MWX3wzb7Kp42buAC6ay + 2dAz9fiAjrIsd73SeRSE0kL3enk24sA0Jg9MzB/qTMQGgAMsBs66iBrN5BOnFu7+2N7f6O1sbPVT + KgFRpjBUKCkZjoTgAnjlPWCR59pJqAMvPLTSz3NHoSNn88Hyg7v9paUJg9nJyaRe29jZZmLFbLQm + Qa+3t7m9VZSF97K3t5fnBTEz0dzc3LFjx3/xy1/95Kc/sx7f+aM/OnnyZHdiIq5FtD9Q5ATWQWkE + RgMhvCtLlypwhXwo+8buBFIAOuV6xrWMEAMgBe9ClzHgOY66hzozc2OZbzcOiRKNgxO15Tr6vZIg + JSm7P/gv+0U1DQRQ48DJe+tslufWe2KtjVFKMTFVLNdCxnt4AYiJgyCoJbVOpxsnWlBlOQwoME3W + 4xNT7QfsyLkMeqSgoJLELt/97dLDmzoMo+YUB43tHYQAULhyEIU+zwbkcsNWxG7u9gZ2HMopsQ6m + AiJWgdN4/g4lJGVyZAw4HFhXeC+wJELel8N0a2V7a20Pkhw9+tz8/PFOt6kCAOzgPBwLNFMziY5O + TR7vtq7ev9fv722ko0YnbGpNrEgIHuRZYywUCTgST96Ls8wUxpFUr8T2R5uPt3Y24XX35KXZ4+cE + 8BYAlCZNGsygAshroT+20JppyPuPh0/WNteGrgDaYXhgZurIodQEV5dWVj+6cvXF892pBot1j+/c + y3d6hw9MPH/5otnY2Xry5PbDtftLxYUTIJZ85/6V9361Mxjy1NmZI2eiOGbvQDm8U56ZjAOnTPnY + eQMOEft6YGsBup3m1My8ClHBYgMQxGrljh2ZnZtpv3tjfdjbzoYDeKCwZV4450WksGVv2HPsWcE5 + BIrAuhgVcAiCqNFpI9IFEI3j5yrqy8Ea8I6gnUU27K8/Xn782MEE7fnm1IKQhnekdcUOr8iCy8OT + 8eVLZ395bW8zlbsPVy+eP1aiEUJsMXRFjxmLp8+eeunNeCowAYwjiCXvFIEk7208XntwPYSbP7iw + eOaST8wQ0PAKTrGHpvmpielWU5zLyzLzZa6sRlnaXrC+NHx8L+vtNrunbdQqAO8wGsGOSh8OCuwO + B5sB0lhSV4yWNzY2RoUFFBWq2C7ykej4wKkXL7/1mcmpWWO4GohmeDvWsTDjjSsMAI4qxK0o7yGk + yFfM8h6AIikhDsiJR9CFZxEViKmLikEhdHjh+OKXXr20t/rk8a2r//SDH7an/qRRM0OnJWg4GgyH + WTZMxcexgfb5cGf93vWPyiEa0/XphYOq3iz3/aMI4MpQyXQ7fvn8keUPwt31wdLayuxgdBh1BxIT + 6bhZis4tOW/EKXZVYa7UsJAhhmvD9fvry48oOKs6i5LoXg5fDJRkZTbU4iAOLosDSiI16u9sb21Y + b3WgKhNUVbQCNoBFJVBLjshGhgHOCsqsss4BTiNTlbkCAGXIBBTUaiaeP1ybmNZRdTVW8NBlUnfN + ummGoZJYIwAHXhM8YziCzxC3RFASACilGSVc4Xtrtrfs8p4VX+rYm3rJYS5IhCAuQhEwQDqnxFEk + wnAOQobYPyV/oAJa4EorrE0MxRCABaWodBDYgkiVJhlqjIBAkGhoBYURMAo0TJAIh53O/IWLL3Un + a0aDxtDVAhjU4iKqaRhjgxpUNRRI5WCwdO92f2PJukLXG30OtoYoy0Hb7qYcjESXOs6zstOsh6rc + 2V7f2t4aZuV0opE7neYoPAeN6cXnXv3055uTFUxJiBzgQKXbXR2u3R/t7EaLJ+KpQ4UJ9zLA7mq4 + ErqAVi632Siiohmys8XW7qCboa4RVWH+M1wgzyTrAoCZtFb7erSIomhionv02MHHjx///d//Rwpr + r771mTOnztSSWDNKj6KoME5jCb+QoMMAPoV4YuWq4N+mDd9vGOT1djIxX5tomxpIV/37nJG1atF8 + d2KhMZ0NNwNKiKE0YLN059G9m+8N06AxP9ecOWZqoScYrqZ2BchhipkOv3Dh8JWl7feXs6Wtze3h + 4ADqfvwOlRNhqk41QXEYyNyBhbnZhTiKfviDH5VOffPbfPDIsVYnoaqmwOCAXBWlulHsdrVYz6az + cOrImUscjx04CEYrE+v5yWQqsb7EYDTazZALUAzzjQePbn2QpYP2ofbkwcWMzeoIgUXNjeouraNA + nsZSxpCtja1HSxulI6Bwu6tPbl8ZDvJkfmHi8FnTjEcWsVSlDZY0dQHrqOoYWDgJdWI4tqWOok4Y + 16s6eHVwCRAoh8Ai8jBCJPAKCLVSAHtrfGl8weKdRw5tAcCXo73t7a2t3iicO3T8zHkQhru7mU1j + ssYXzhPpKDZ6Ye7A8m5WlrDWepsVo43l1Qe72VB1p069eLkxNePGK6Q0NGDhCo8saYQzkzMHk8OP + R1s2L/rZbhzHBtG4DEVczdNUzKtMABgkQh4sMGxCY4KAGPCqYjcVB7gCVAbsmKnwVEjgARYQIVRI + WGoscZQ0Zw6ZmWMUVSNCAl2i7E3H6cHpugkNNSenFo7puAmASAMexFr5hhp2sR47eJsNvc4BB6Og + QMorAx2USgkJUMDlkALiDLEyMTeS1vyRWntCKTA8k2cDxa4eYbKpa4FTir1Oymobq9z2V4rRIAxb + bCaZm+NDKR7I03RzMNojrThIdBAZozWLEzgHUvCAL6CJoNmVaeFG/d7uyuPH6U6vljSmF47E03M5 + EACmOsw2Q009d+poUvsteuSJGT6AJSW9ne0nS8tPlteT+VP17gyFdc8qLfze7q5nBQ6Uy/LhXo2G + k7GDG23s7s4UE13NIOWdlGWZF4WVstIM89iHUasIkqd5JqFhMDS70aDf3xr1N6TsE0OCJDf1Aigp + MEHQbNYunj5286Or2ytLDx4uq+nZyQ7BlXbr/pP7j/upxLNnjp//ZHvqQDhGiXp4DxGo/4/ZX/9/ + dvsv+W7pmb//4Dv0h48TMJaYAIjEV/f2qStgvTgPARQzvJOnNMTyL/yC36sSE1dDICLee09jiKKY + MDxz+sznPve5vCjW19a/+93vbm31jxw5NTE5c+7chQMHFuoNrqQaMK4F7bMtAwC8F/YeDC/wQgAm + JycPHpwPq1x6n3TXewGQZfler58XuH3nzne/+10fNGLkkc8CykiNPPXX97avX3sMEZtnZZmBAFcM + Rv3V9dXCI46jyYmJKKjWiAEGKzgaM/dACD7P8/sPHq9v9FCb6XSa9ToqY0f7oGQClFLtbqvejJ0v + N9Y3tjZ2BJ3xjLJ4QKIwmJ6aTJKYuLrqOO1LarVmq0WEJE467XYYjLmQKtAFTGjCWGmNzBd5WlbI + S+cB0saAOIqi2enpWhypMXh0/BUltaRWA6RZr3daraewbPGeBMRKm5AYzvsiz50FAJR2lOXDUeqc + e/Tw4T/+wz+830qaIWsSW2aKJDTc7+1++MHvyrLIsyxP08yhpkTAzpcCGKPr9Vq9XosjqI9jOEIY + xkligpBLhjgeF+j9cDgYDYfii2az1m41o6hqStO4rUwKypggNiYAiAQiYxnU0trdvV5R+sFg8P77 + 79/uh+82deT6Dc4Db13ajwibO+XK2tpoNMqKsCxL7704t7W1NRwOglB3O+12o2aexa2DoIO43nQF + OAhNFIhiqnTKfu8gYX9goGLdqfpv3gsEJASl2Gglfv/0VAS1zi8trayvrxOh3Wo3m02A4L2HJ1Li + K91xrtUb9XodkN7e3u7ONvyYkojGSC8BiQgJCRED5MVro6JE1WpJFEVGaaqEC2SsU1xvNA8dPhLH + MQbpxvpanmUAwHDirLcCTE3PzM0djAIoAnlU1/RUEhzICfn9g1kphFRbUwFj3ZokiaamJuKo6sSM + JZyhTZLU4zgGQPBVvZSZ2ZhGs9XpdpXK7ty+dWZleXR6Kg7gnEv7g3t37j9c3/ZCB6dn5+v1CIA4 + KAfNVWQh+ycDsGCArUgZkYO3pixYxFbSCNVaVSqeRKw1BOLdGC8mgLUCMGtWSOKkWa9pBgNKgZjh + AOKg2Wo0m9roXr/X6/XKsoygntZAx8NvlbGCq5bIe3FeRKTiVBUPGps4GbMbEisdgBUzT3QnWo26 + xr5Bq1pYUdxstbXWBGGq8IAAYZSmg1FaAEvLy/aXv9ja7UfwIVwEhzw1voiM+dWv/nlza7ssrXPO + elvhGvjjys4+wFCqVgQpZq0ojoJWo2Y0GBjzsoHhEdabrU630Wiu7eX9fs86D+KyKJ48edLb2wt0 + vDA3224kGtCAL8qSSgpJINA6qbXiqAuOVjZ2VrZ3+xmaEeJm+8hBc3SqtbW0uXbjvZ21t4bnGob5 + zpO1B2t9XTcnz12KYj/3u0d72zuP7z0c7r1aNsvBoHfl2pWtzY16ber8mTNT3SkAAKdZujfa9YSV + 5ZVf/OSXN++tt00au72adnDwpYeoDz66MRwM8sI5VwJgJlJKaeMEJjCtmemJdrumKv6RseGs5hif + OjhiSAnnCmgQ63qzc/nV1/vLe7eyn1/56INNE2YrN45PmvPzjdnFy625s0iqpVYVtQqLKHEsFuKk + ulzVsWcFDy8UBFBKQcQ7YBw9EzOzUgACbWpxFOgxToee9lCjuD0xMTM39+hRb5imuz3frLNiISUG + ZaC4Vm+GtYYJ95EwADMLVBjFU7PzQa2RFb2t7e10OHxq9qrxARJPImNVKA8QC8j5qsck4j0q3m2h + nd3e9l6vcPjgo2sr6h+i5j8zkWJWzCQosmxvZ+f+g4dFUQ4HwyxNXVEqrQ4fXHj9k688eXj/6tVr + P/3h98kVJ06cOHjo4NHjJ2cWjnSmppTZ36sCkIYOYYt9PUZgTDpenRZHsAS7P4JqQQ7kQZ6oEsOB + 1mOESeVMldLtTjeu16WXPS16Pnv7/UcIxCLkrBPvIeKdrc4OUOlzYV8nmATErMIwaLeacQR69lJE + YRQ1mi0dBEopxbz/K2gwHI3SjIg+/PADSrNfttoRgSSz5SAMRXzW72/du38/L4osS/N0VArk9zv0 + 8gcveN/bQJw4C+8qX1o16oaj/u7eTlkWM51WHMdaP91RVEU61XuoNxu1et05NxgM+v2+c83K/Tgv + 4gXwzFXkUz1REQKIBjM0HFsnBO93t3f7Oz1YzLQmpjodoHIdUo0cwmt4A1syR93JmaBWK+zm+sbS + oLdRymFHtfrE4sEFmmuFw61bj2763t6Z4dyUder9e2vLAzN/+NQrly7izrX3OHt0//r9x/cLmQ8d + rWz0333vSl7YuenJY8eO1JIQYp8JZFFp2dE+fAHOjr0Do95oTE5OmKfhuQjEE6HdbCRJbMWXIhaV + ppaJ4nhmqtNuBI8Ge9c+eH/u6EXfPQBC4bCxtfO7j25s7aZx0pibnW0l4ccqEf6ZT4/2zb53u3t7 + K6vL1pa1JOq228YEkALOi2YBQQhsgrDWbLaV0ulwuLK8PBwOPCbxFMNB6Ha7B2amowBqvDMI4r2I + IvQH/c2tLSdoNpvT09P771HwdJ8qrYwxRjNDEYVsGGRLi9GwsNY6OxoNf/yjHxaPn3StRGla6j0X + DLwa2t3d5Tt3lpdXvY/SNM3zzIoNQR7sBcTUbjXn5+biKNwHkox1whTzx2shgPNgBuBE9hEdSj5+ + jVwBdKtIm0lX+caYNI4YxiweP/7K66//8v2r97Z3fvWTn15+6fLE4Y7zhWjv2RU+t74AYg1ISYO9 + dOnJWjpEI4wmp6dMMjaTemy4CdBxkHS70yZupPn2ysrqoNcjTBO8JhjF5B3DMQGVLl9lCliBtcvt + YJj2e0WWDO/duvZ//NU/RLIZYyuAhSvYWxb/8P79mzdu2tIOR+lwOITIPqLt6TGmMaplHHxx5RaY + K2Fz7+CfMgGPDyKzBweBrnXb9SQKKlCd94oFYB2EURQGgRH7seFjqgZMLIrsyXrx4XK68eRatvdE + uZ2w7PvBxt7K3d8+THd7gynHeWkZMASIB7FjI0oTkybHcOJKOIEyTBgLxAIAg6uYWJGq6G9l34BX + CZQwHPlxMlVZFanY/cb0TpwkyYEDM0bB2n1zRwxQGMVxFIHJMFcUlCB4kSwv8sINh8X9+/f+6Xvf + 273dbJVrjXItJZ1RUOjI9np37tzp9folmbIsvHcgAxFbqXiLxFEwOYEwqNaVxsk0UV6UozRLM+Q7 + O9evXfmrv/ybLnr1ckuLK6EsmZD9w6u/vnfvfmlLa22WpZBnWIyfcQrPALBYwERUOQYCmDms1Y4e + O/6Vr3z1pz/72dLa+t/93d/de7Ry9vSphbm5E8dOzCwcUVEk9HvDtSCAFEhJBd8RgbcszmgVxnFU + b8X1qgY27loxFCmdJLWkFrOiqhUnAtiiPxysrW/keXcmDmdnpmq1/RcsQlKJmhoVJLV6Owxrruzt + be+NBik/tSbVconfz5CItT5+7NgLLz5/59695ZW1H/7we8NsdPDwsSNHjx07cWr2wEKto/0Ypg0o + GAWlmMjESafd0uppzlFxYuuw3mzHzaYyyLM8G41sDgilud3a3snzfHV1+Uc//Kfk3V9zEBmXxz5N + fFZDQXn/0fUPhnmurCvzPB0Mc5MOhsPVtfU0D2px0Go3kgjKw+xnWtUGIPC4Q0tstNbGKK2sLew4 + FX/6wdK+PfYfn8uneQioYt2rHuN9T57lxWA4LG0x2Nq6cuUKl2kzVFyOEvba5dYJmeju/cdrq2uj + 4bDIs9Fw4CMURbm9vV3keRROHJidNVqXKEMwAV6kSsRYaYSR0tqTuHFtVAMVHeUzifl+rYjGgSDJ + vvursqhnct/9YFHIOm+tFV9hl/axqEQiIkTamE6r3ajVzHifC4jgRQdRq90xWgdxNDXZDYzZvyiD + NJTWWrEWD5TWurLqYxEIVE0gsGd4AsNrQMEDsA4lMaIg6LY69aShCIACeSYBXBhwrR5qU2XPBF/F + QyapNeuNugn48dLduw/mXzg/HQYFSKDMkyeb9+8/cqXtdlsHZme1DkSqjx9P16zqyhMLo/C+WFvf + 3N3LdFLrdFq1RJWAdfvVGyjoMK6HzArOOluK91VpqcjzdDSyZTHo7d25eeNv/ve/nqiHIcp0NHJQ + DopdtnTjd/fvPxylubXOWwu/P3EBFu/FexJf+Q56alp6e/e3nnywdHttsOP7hSmIsnLUX95Yu720 + tGL0AQWvSSrtHjFJuzv54ovP760s3d7auHrlo9qhS6e7DGuXl5au3bielfbQgflz589328F+yd9X + /ui/Ns6E/2KlW/r4399fQdkPq//wGbxPRFDFg8A+CRqIWRtfJUgMyLjoIFUt9v/xE6pcGhMxgLIs + iyIHkQDWuwA4e/Zsu9NOs+wHP/jhhx9ee++9a+3OzPFjp779r/7o1VffOHXqOBG0AVMVsfn9vINo + nBoJAV7gvFiLZqMxPTWpq3jGCxPgnXM+UNqYgFj1B+Uvf/XLn91Y6ZfKlMPQZxopeEjK6xp61EGj + 0agnUeWTxZWuzPLMEhNTvRbv+579mGn/LWpiB8nz4sGDJ8ub26Q6cRJG0dP3ryv7wlAqCNudVlIP + 8jxbW1vbXN/xHmDFSlUeIDC63WoZrb1UjmpMoBJGURzXBGBWxgRPczsZFw1ZiKvkuUpaBXDOOe9B + 7Lw32kx0OxW7f/U0eMCLCcIwjOAlicJaEj+tP1YLCyJi7QTOSxAYzbBAnmUCjuLEi9z64P1bVz+M + UEbkDIt3BcNrRYoh3modRFGkFA0HaachzMr5wnkvEG3GdN7VayEASiEMwyTRRkvuXJljP1wryzLP + 07LIotAkcRSOhZdpzLIsgFBpnRfAGPHOFmVpRZQXIS9QSm1uZdf+9m8R/QYYsRvEfqC91WITraCa + w1yHyVS3O+HFO+cYMkpHRVkEgZnsdlr1YN+HAboqszGU9iSeFSlNxNVh2S9wPHvk9v9vrbeOtbXW + ew8iBCaIwgAezkMq3KMvYd3y8vLm5iYTaaUUs0BcaR2xiox1gPcgsDZKa1iXDQej4ZB5rIbFWsF7 + QIgrVVmnmKsVDqMwikQbZW0h4jQZ6wrlAEXQplZvTk44rTSGw82NjTwv9reBd2KtRb3eaDc71ceh + GMwQL96XwpbICbyQAETCJLyv5aMEJOSFoA3HUVjFnUqpMXSEyASBMQYQzaQVA2Ct0WhEswcWDh4K + r2z99re/PfrRh5eOztJkzWS7Kw/uf/8HP7p676FSweLc/KFmOwF0RVBHT+eriGAAguQgQYAoUnXD + sSspS11RZhWWHaKJEQTOOWt9FEXMJN7R/vlwRUnEJgi9r46DkCAghKbKJB1EkCRBGHpxw9EgyzOu + VhuQylMyjbsPIlY8M1XRkjwtM4kTB1IYAyugaV+cvSydLV2tlsTh0x1FIIYAOkhqdWYmiGKuCkQQ + 5HmRF6UAH350Nb+7B/NdIkkYjUC50QD5iLzzADM1m82kVlPMT2X1nmYLXsDjAylKqygwJB7eKfIM + BEAcxoQcVuAEUVKvN2uNOg2t9955J8J5kW+srw8GA6Z4ot1sJFEIBASX50M3DHQITSERTKxUUjq9 + urW3urO3l6MbSK1WX5itnz98YOmj5ft3b64/ur21Ox21p249Wnm0mTanDy+ePNtqyNGjV377cPj4 + 3sOdzXJaDTe3t37z299sbm12F46ePX262244C2j24h1ZFeDG9ev/fPevYBqE3Uh2jB+RsBIVBIn1 + urBUb3TqtZoxCA3DRyoMnfcQadRqcWjM/voQQGO583FRpfpyJQrJo1B7aF0z5994U43w2PN3f/3u + uz/7ye9+8B8OxOWXXj3/zrf4/CcXGgeaJqjqDso5J94qsZoF3km1mavgEwwBKd1sN4fGOFuWBSBj + 2dkojhuNJoDRaNjb261MpQiq5E4gZEyr250/fGh1/e4wz9Y2NsPJaa4zalGsoRWZMPSkvXzMzxQF + YQaICqJak8Na6WR3dy9LR7/vy4W9QIRZgQReoLQHF2VJREZrYzQTGKyNKawdpr7n8Z++95O9n18t + qnYJQF5IJNC6Ua/lo1EQhIqVs67I80DMofn5TrMeGfWXf/mXf//3/+ff/NX/Vm/UDy4svPnpz15+ + 47MXX3q9M9GIAig93qSAggoMB8UfWF8SkOeqdDt+uCrdOsCLuCgy9TqeetLqxsy1ej0IIy9ZVXD9 + gxv9wV1WxFzR2Yh3RZ5ba70I72c54P3yrhdAjNKNei0M/+CixEqbINDaaK21UlTNqRQOrLU2IqMf + ff/7P/3H74dARMRUeDfSymrtlHKlLYLWQhJH3tl0hFbtGf/zhzHeuDcvUg0Pe3pmKwu8dXY0GmRF + qjV7b20JpQFdWSfFUFAKmpTRSikPSbNsOBg656HgQU7Ei8fHlwWEiLTisIqCHFlH1hPD+d5uf9Qb + wnM9iOLASFWaAe13vjS8R2lAQdxocRQVrtjZWR/1t/LycBmEYfvg/JxbnGnfu/N49e5ob2+jZ7t5 + gQ/urG6l0bEzxz9x7mxhN/4uoaUHt+49vDMqXufSPlnd/d171yg5dnBh7tjRuGYrlV6CiK/U3UXG + woOVmbfWeRGw9YiTpNNuK3567qsYSYwiInjxKgzIhCBAR8125/ixwzOTzetX1n/6gx8cPfPJI90O + atFoa/XG9Zv/8IMfr2722/NHFw8dmmzWq96wCLyvKBT3GdMxrlcN0+Hm5kZRUhCoZrMeRQFQ2qJQ + 2hARHEDaC2dZWZZlmo62tjbS0cg5BwVWWmlDBKN1oPV4FnsfQerFK5Y8L4aj1FkYrZM4rOjTqrAC + HnDepZl1LopCrZUxOgIxlIgUpTVhCKJ0Y/3Hf/1XP/aolz4pCqt71gx0XMQeGGXaoXn0TBRFRLDO + VXEAKwURJmjFz6JAqjTdMPunu8h5KQoKQxBX8JHxZvl4X1dBCY8T5nHGIeKcVPGWNvVDh15w7sLP + fn3/J7/94NfvXn//g1lzvC6lIyvKkfakpSqiudyP+tnuZj9PobRpT7R1iBKwFokGMwxpOAdR4KCE + GmbF6tp6mo4MYMCeQOJIvCIxmpnZEzkvrIhYQxnrpShdUaBfbO/+/Mc33v0x+e0Iu4bKishLERgg + 8UppxQxBVY/1+P1bdWqdQEScOCfMHIYmCDQB1lvNUv3I/n6uJHUpieNxBf9pGkUEpbU2SinnKvSD + CMBECBRSO9rZefe9h3/9ww9+/eO/21q6xvl6IpIIAot1YODbmcVgmJL4kLjavY6MJ8VEmr0i51zh + HRgaT4uAT8uT2ijSAFzp4ZxSUskFVKV8Ekd+v2teRcsktC+KKwKtdRJF1iLPIOG4xQSicXZDqMia + ql660sYEISs96GPzvfdv3hp9n3dbdqNerKWkcw5yFUReElDoXefAVBhFzPxxYRBwzpZFnmeQBET7 + QvAAQF7EOW8tlpeeLO/+8MrPfkZ+t+l22FtH2quwHrDJd4Ii40gHQagUBebjhP9fyqJJiGS/O1Hd + YxAltdOnT/+3f/7nYRT/x+//5/fee//n//zbVqN+9uSpf/Wtb731+a/OHT0GQHkEDAUoAawDEUh9 + zGTqnRLLBG0CDmKtxt0TEaeIGRpelFZKKxEPEhkfxCLNsmGK0hbG8NQUkhjeV5lH1a6gihA+y50t + RBwNe2melk9Lt/vx0zPVTMXHTp0AUVmWf/Mf/vb9j65+eOWjMGmcOXfum9/+zutvvr0YnnSKw4gA + wKggYKM1M0Dh/iZy3pMIiwdBBXESNRpRgqIoimE6DgiJ89KWtnx889r/urQyTtp8GfgskiySIqYi + cNYrnux2283WaDAcmeEozfqDflHWGxphpAONgKGf1jWNUYqqIVwFVJ0TrVQQmNFoNBwN/6UPVvBx + bEF/+Ch1rpitAAAgAElEQVQxiBSRrmTZgdLaIi+YeOvevf/04M6P4sD4gotRrLxxeWHFk/bQKUVe + 17Si4WDgO4n3fjAY2LLUMcVR5J0rbJHoRADvHVRF5RTAmqzId4e9HIUJTC2oWzh8XND5uG6LZx4V + L957OCveifcVs08FHxi3zwV5UeZ5UaVB/IypLq2zzhNRvZZEgcHT1rkAzhOrMI6VUmEYNBoNpZR8 + nKMoMCujWMMDrnS2EF9xOBCIRSlhJUwCYcDAa4jAlYXN/y/23iTYkivJDjvufm9EvOnPQ2b+nGfM + qCoABRTQha6hq9jFJrtZTXZTNErUZL3QRhsttJC0lUkLUhI3MpPJuCAlcUFam2ikqCK7hq4JhcKQ + yAQSQCKBHJHz8Mc3RNx73bW48X4munYSd623+sOz9yLiTu7Hzzlulpy4XqdXFdSSawAiBZLz1Ol6 + ZiNSBlShCYDbu2//3n33fcHvnf31njl95UuH53tWdaMv6w/OXfzg/Y+gevDAvmPHjzmHlKBmLApS + qBcHJADCnkvAl7K+sbm1VaM70+1Wztuk1tLQMyYhQGA8ntRJDZqauraUpg/ahKgqijv379/4+c9+ + 9cavvDUVxbIoG6U6glPdx7Cnw6BWFEWnLHwb0dK0kJeYTKZVHKhiEq5duvSTM2/8i1/827c+Orf+ + +V1X01zZERqOh2NymD91EBrFAqFIgHF3fmnllZe/evGdX7975eI777yz+sw30qmDmNRXr145e+79 + qNXeAwdPP7l3pocCYOSWvAKWR7nSX47XvwPo9rGM4zdR1S/E9H8hsm9tKg0MzSa2Hm23YldU7Dwo + mqYUmxy6ZJGjPvooeqwctfs9BBARee+8dyE0BhTexTBW1bm5+T/+47/90ldfvvDxpV+9+e4nn1y5 + fOXyP/kn//j8+Y+++a1vfPWlF9YOLHsPVTUyIdHd688mzCAiNuIQoaqmqQ7OBMRkdQ0pfVECaTQe + P3g4IcZrr7329b/xH3Xm9/Yo+jgquYEMFdsTDduyMCmXV/Yef25pDjHAIguVnTKFmFIITe3QnhPQ + pDHucn8SJYOKuMHMfK+a16pbFC4jVE1IQsm5IkekqjoaD8f1CIyZwczsYDYDVqCWRWWqKYYQQowq + DsgPN8YQYkzJFCGEejIxBbWAHeUtL8SkqkwonfiWLGNJNYSYkoHBRJnj+YjbZUgKVYNZDE0KTa5e + qoLFwcTa3lftw/YMDzjvm5S2d3ZU9bmvfvX3v/dX1pZm+gWRJsfGZGSprsdeSOZWtL86WDsxN9sp + ENRIFTGlJjQhNClFUySDApKPMWYijkljDD42UCUwEZVF4RybJdNolhViCCG6ENqaVUjZDxxqpkpA + 4QmKpBqTRsXavvnv/d5/uPDEaweXejPSVGmHU2ANiCFaBzIjxdzsgjtyZH9ReBOpqpKY6sk41ONY + x9BxMVdJmXPhOijIF0qyPRxxjG2d4zcm/aOX9+w8OLA4BSdFjDHFJpuVkaElZYqfm18Y9Admw6yO + Ck0QA+VCIQMsCGqUI3ljYe9kusAwrbYYYNmi3JBMVS3FFCZNGE9GKUUzVTLvPciAAJamiesP11OI + 8K7f7RXegwgxgi0LQFJKMWhTw8oW7GDOLVQiIRm1hy8ZkU3xdIgBRmaEGJvJZJSm5D5YdvlpGa6w + 5JgkQ765dll1irIC0XC480//6f/xzk/+9b7Zogg7462HN69eGnTKV772td966atHVxdLwLuM5KtO + 40IDYiLXREhCQZ2Ok1DLzkS3txkgn0eHYQRFE+KkbkJI0uHC8ZQgB3FODXWIIYCZCu9ShPq8xxFE + oA7aRE3J1Be+rAo/1XyaJs/S9pswEJEnZzCwUFGxK0BkljQ2GoEiFyjbI9cUTRNDpmDmMtd0A4UI + Yl62mnm7hXdO2riIRVi8AC+99MKR137/Ky+8LLHxKRTQ+W7ZIYxHO+PJhIhmFhb2Hz02OzfrPfHU + 35am0gAWZhVQ0pSapokhMGlVOIutKo0yJ9QVaFId4mg0EqmKssgRHTH1+/3Ce52E8fZWGO8Y+qTw + ZTkjlJyNMGma2saxbsSo6s8uzS6t9GcBlIDrFHjh1OHb7168fvXerSsf37i5f74/9/m9jc3gD5x4 + dmZhz+KcPv/c8+dvnl+/e+/2jZt7Kzzc3Lxw8WJRLB85fHBxfqbfQcHQiBBjk+poeOGFF578xn+8 + 98iTy4PQc5uiQ0SyhBTIqGDXESkOHzrsHcxAKaqqK0rntKknKTTTrnsAiDKNKiM3OfxOcB4iJShG + Aydjlie+9OU/WTv4ld/9qz+/ePHSez+/+8k7759975Nr/+C5t2+8/J2/97vfXlOmJqTt7R1SLQQO + 6jg3fkYTo9dIjsDOSEJMo9G4rifdLjxBgWYynkwmTQhqmJub3bd3NUVEgxAMCoAgCHFze+fuw4eT + mMT7TqdqfRwtITYao6nlddu+VEMI6hNIXNlTKRNExD1SRSAnFgZNlmJOoEEM46jWhJhSYqaqKjPN + 1Ig63V7ZKYH6r3//94+++r3+wnKnqpwIUrQYyaxwgpS88Eyve/LE8V6nk5HnblU+8+Tp/+xP/pPv + fvPr586dPXfu7KVLn/1f/+efnv/4ypfev/RXfu8PThxbm+23i4WSgTPVbnfzzevGMuuWKUyHL6Hd + rJSglmIMCNlLQSCEaIgpxZhiCw/Zo238i7nmo20+xBA1Z2tl4fu9bll4EEIIJEWb8ouQOFXEmFSj + EyZDjMiNhIUMBjOLZkZsZikGMggJsQxHk9GkLovye7//hy89+9z+pRVJkakRjkxNSpOm2e72u5jZ + p/29+0481++hVaHaFw8gPLoTAzRGuOSZHbfDBQILOS9gJA11M3FOyjL7/mQ9cIpGRX5ySaMqsUhL + Es6IMGfOUz4NWx4KcjrNZk7VQmrYGQDECCNPnlyRRnUzGjHmLCMAKRIRZ3MKlOAyGteqRtbrFv2u + KwskVFIuLS7sPH/yQH3j+vq9S/fu3ujemd+5Gy7fGzu3vPfgicVB/8RS7/kTa+cubdy+c+Pug82t + yfqNu9t3H+4cPrrv0MEDpYPLcyRLdbLXJBlP6Yr5calZk7QOSKrElJVfBhBLJq6Od7YnkxGYim6X + i2oSUHGBoqhK1++4MBle/eD9f/gP/v4//8cLvULiaGt078a9y5+cPnboud/+1ksvvNBdLKldglAz + eTRg1g5X4VjYoERsKdT1uGkcKnJVB+xgGSpncWW3OyiLqip8r9OpqsqJJEsiriirokDTNKPhjtlq + y2yQfJQRQL4oirJSQwghNI1pixBpznGdl7IS55KmlEJsmgCUMGYhX2xu7yTV/adOvvTX/t6rJ0/v + cUU/huSHyQ9r3XBN7IILozi/d/74M70Dh71rkCgpJbMQo6ZIZl+4ZQDIknpqAT5hKstsUkLsWrgH + jy1FAvKBDgE5KGXufdNMYkrtTiWuNz//9W+8/undncs/eeeNn/x4pRx+5am9jTYmVnULKaStLpuw + CZQ1IUMDxiAgixs4bwxBU9SopFyIL8uy8uJy362mmYx3tlOYWDRNQZyQON2lrZOIK8FOFXv3LB39 + 6re/97vf6rlhSducJoWQn2baZJqM9h86unboWJHZJC2d6XFmAIMdTNQ4GZoQmmZCSN5JyaXkTgq7 + 0C2RgVPSejLWOD3xmbI2C2qqqqaWbQDUtN1HbPv99/7ZG5/+bz8+/8l2Nb+w+PpXv39sT7U6cBg9 + 3Lx56e3r4QfvP3BV1/mCydiA2KCud+oUkiWNrI0gVYXj0u8Oc1Jw5tkzgzg3cxXnIAxLIFLTyWSc + Qi1IXto4WRXCICJTjSHFZAQWEe9dr4tOJ9fzMiQHyoKmECwFTTEmgBFT2tjcqpuwsOhO/NZ3jr/8 + N798eKYzubXEm1b1g6+2gtpo3COqDNKffeqFFweDKsWxOMfOg7koi163MzODzAiMUZ1FRgKBWUjE + gKPHjh589fvf/c53ltx4kDYcUjCOkEqsCFtV3IbvDI48P3vsicL/RUSe2hJGO8QGUnCMaTwaWZpO + +aZhkbW1tT/8m3/r6S9/9fwnl945+8GnH3909fKl//2f/OMzH3323b/xt08+++LhfQW3KbzBO5gH + eOq5QWASUpg2MY2bNIno5Bk+NT6IUdVMNU10nFLIy4iYxLlOB0XjCGkyxnAMGPolhBzBwxqAjJzz + HZFSqO5WvUKKXahyN29v5wE0f+vBA2t//a//3uknT3/w0YUz595/9733r1699I/+0f/y81/+7OXf + ev2P/+5/4H0HYYLRVgoTIlKlmCQmZLmX47JFB5RHdRinZMz1pNYQCwHYKySBjHDiqdPf/6O/u7q6 + d9CfLUgp7FAYShyVHCuEwF2eO9Tf98SBfftmJna/6riiACNZUAtM3k+xPxAgrKYhhexub2rbW9ub + W5uTyaTb7fQzIflR7IApQtuybnfDK0MmTGXolmFG06501KZ7WD1x/JWvPP/qi19anum5NCk0FIgs + RVH1JtEejBP3F+fWjq7tW+52wtCQYoLlNnChLIquywJH4rYMRFCgbpKZOOeiT2qTWMPB4GmX4k9t + rdLy1pMXF7ViIpchZmn9oRIRVF1SON/tDXq9nvBEBMzQabGwDk0TgmoSYTKdbmg5sXBBbTQaZyQn + hgzNQrPOAgzjvIchiw0SZ8oQdqvRFqEJBpiHlIADa9QmxCaFoHHa0CPrIEhBkSgxq1qjmggkuRjD + vlzeu7p6q9Mt7t2/+a/+9b/47KM3VhcK58L2zt0r1y9v2ezXf/v1V15+8cjhvTlwFyGQRZ3ECCce + ETGFYNu+GoqgKEqRKioxoRTqiVQGyi48YJhEIxaHwvW6HWZqnZ7NUor1uN67Z+3kS9/43l/9awv9 + 0mkzHo2NC3OlWBzQuIdRiGn24OmVA/u7nQrInF4SEe9Ucq4CAnD71q1P3zz3L//5n/7qk3N3wtaX + n//S8e8dWe4t9F2RwsMH9y7/8Mc/uDuZWGrYYoZuA3zZ7Z84ceLwgX2lv3Th44+evnpte3stbG5e + u/751evXV/Z+/eDRY0tL2fov+/ZnEcxfLtwW/65Yt4/VdKbo7Rcg1RzsPPYbkYI1q5AAMpWWZY3Q + wKcE8XAlCcjUkz2qOz32wY8lOI9iX4Asq7k58yCVKcuEWUh6vd7JkyfX1vafPPHUgYPH3jtz/sx7 + 5z744MNf/vIXKTX79q0uryx4L0RErSB8Wq5q9ziQ8+xLYyTVFANbi1zSrmwvKYuUHZjgyNGj3/rm + NwbLa32BT3UlATxKtj0Mo6Gbj91V9n45ApMahfeVZ0eWLGmoJyPRklrliRBk97YZPqAxYH5xpjPg + DatHo0kzBs0AIFACMvTHMIzHaTwWgltYnF1YKPIQqBWmnsBkKYaJaZwyEBikAKVkMeWS1iOIrn28 + xCCXSAxEpmSxrc2yABzUUj4UTHmadQAZvZWEzBgyi8FiyLu1KiAMZQVHIxWw+BQC5VqdL8SXLI6A + fXtWX/3ayycP7Z/piMVQOBaGWZyMdrzjYn7PFoqRa11z1DI1OAfkyaCP1vX0hwgkU4I6Uk+JjE1T + URaFd0BsmuFkMqwjnAPIgRzYQxWU3e4FMbKpUOvlr4qy6oJcp9N96oknTv3Wa08eXuxRLOI2aXIE + jSGkwrjPUrpSnR+LjBtYUZbsJMXQ1CNrxoLBI1yNGUbjoIWTxEUCs0FMdx2ip6fQF5P8PMwp01Od + GVSTpeAIgqm8wgCz/mC21+tBNdSTEAIRsYgRJYVwHjVuosYQQZjpVjP97qPDX3Mvc1ONxkKElDTr + uUJq6jiJqfFeHMv05E6IAZ7Gk3Dv3sPYBCr7q0uLZVG0s4Q5X1dOxNvqf0ZMBK1KiUwJ+piW5jEU + m3ezBjZtVW+Ptghm8cwMzZM2G70bjSaTmzfuPtgoq+6rrzx3M82MR5t3x+subDPp2tHjTz1x+pkX + X3/m5Ol+F6QgNjhLuVa6m9jk9txE8CSFOA1VU3csuRyuKMisYwqioqykKCdNE2PMm157kSLEkkkK + ROz5kYYo81FAgqaZNI2R9Wd63V4XZIosHW+pGKRteZdAAU0KUdiRFCzCljwl31KbciEGAJSQDAkE + IiHLX5qhcWploZwy8xEmZDJV8osr2JcKrCyvPP/MM9/73W+7aBxqSWGuW3aY6smoCQFA1eupc+q8 + UBuCmrVKDAKYmLKGEa1HOcM8m2uB6NxOQ8AezXA0qcd1XVQz3V6XmAzwzs/PL1RlRx+G4eZDneyw + 9VMEmJ33hoZgCZyk3EE1VO4MZnu9rgAESRDh9OTRtU/39H8QHt65evHG1WMH9hy/cX9rm7ovPflc + b2Hv/II+99zz//Jnl26s37p68aM93fl793du3X544MTpJ08dGXRQMMSQgpEUUnUDsLy89MJzzz77 + wtdWFlDxDtIOm7BJM0ngwhU9MxZhnT6EoBaNDGCo2K7slB6b2NNdy9rpQo4TTEngGOqxsufU6t65 + 48dXTp+4emThytnFj978szc/uHh/8m957vTLL3zfzyZQ4V1ZCBcWKTVsiVoyeh7lvMY8sSOCQHdD + oQxgGMn0y1Vy53E8Wl8p2ca4/nxzuGXsq3JptjNwgEZEbeDNDM24g8YJUoQIwOyghGZcN3cfbG1M + iHxnfm6hX2XNgWVKIpORRcuUc2ota4wdsSRNpNExMSFBmxB91S37M0L3jp184pXXvr52+Lh3LlN7 + LCak3A6QHMMzFVkOqhFk3rv9e1b2Li88der4yaOHTh09fPbse2+def/TTz55uINjp55dXlzqd0vh + PF7uN0YlM6MBKCOwxaliNLULkow5c0xhbldQ2kr/QtJkuyC9foHc9xdw2zYPckVRMhGZ7jquJNM2 + 9UkJQMvHMIWmTNI0nUZXIGRs1BBNzVRgSIADudL5rrBTrU+fOvHbr3/95KH9aJSoKT2AJsbRZLzV + n+ml7soWfPTTj/wLCPOjS95l3SZYdKxMLXUU3IqjnGeQjifDJkw0wRX5qHhMWsXUxDBpajUty6Lf + 7QpLfriWy+mUcqaX/SKi0cQ4QMioUKsUDgZDp9/v9DpgHY23JqNtBpwFWPtdyK0/xSn5UZ1SZOeK + ublBv1/k+Fhcb35m9rnTR2+c+fWta5/funmN9y6MNvy9Udq3sHf/0acGvZlDK/MvPn3y4pU3t+5f + vfn5FYzuXL2zs93I/oNHjh456ADZNdfJhhcwgmWFQX6C4gQsCRQUUdU0PWaVshuMTWnC5JUpMcBa + 7+xcvnR9uFUvz88fOfylLSuHO5sPh7UD5jszL77yta+9+OwTr31jbe9qmDYmyslrOx1beNUyrlFU + ZbffoYejerK9s7Whqx0wQ8RUFQJjkAtRRpOQkpZFsby02O2UGZtVs6i7G5Wx5aA4XzURM0DOF2XV + SYa6aZrJZKraRVLN7CGIB1GMscPETEkjGMzCrjR2LNLtVF95/pnvvvbqXo9eghbJivEwPJQ6dslV + JMNqbtztjQGGgMTEEwvMhGzq4ZlfNsUnLYcTbTXK7RpwyCPntOl+18IgRoADvKrASMw4j2r7VAmd + 7nNffv75C1fePf/xpY/f/WitOLBcjidmVghXZJL7ypCL3Z6bW+g/vD+MSTe3xxJgHjlsSgakCTBW + DdvjydjEVb3FxaV+p2JAYGIqSJ5UMmOSSYnNTJCtcFw0ARdViaJ0xw/u+avfeb1XxJJHSHXp2JFx + PnTMFFR0BlJUYXo25XNouiXlwMHBPNixeGJSiyk2ZsoggyUkCprhUbBL4KSqoSENDM/5uGmnHLf6 + HVJmeC8AQjPxo+H5c+/96M/e+PDCxtKz3/72q69+7dmDR1f8Yoel2bpx8f3JmVs/u/QW2DnnSJXb + OMxFLhKYCA6BtGYywBBDcjKtjezu1ZRL9kIEZSQFgUWY4RiFKCugbexhOVomBkk2E0gp1ZOxEJy0 + dl7tZCDHYKhSitCk2XGTmcWLK7vdtGd19YWvPP/dF/d3660F3rSqV5PfUdCk6QCVIblK+r0JI06C + uBb4hipDJbtSA46m/g4GY2FXFhV63d6hA2vf/Z3XVkr04qYny9CtR6p0p0xDuGpUrY4qiV/MlOmx + yKIdDEjmajhhS1MUi4Qdw/tjJ0+trB06euqpQ8dOfHj2yAfvnfno/Pmf/vSn1eL+Yn7fgdUjkJzS + K5iRpK3u5u2LhYkBSqpRNSbAPWqSZlMxCDluUCeNlBMCYVcUVcfzNkI92trcDv0BV7ukLYEx4NR8 + XSNGOHLzM3P97iMxCClyIgigZV+rIQVflWtHDy2vLh0+euTo8aPHThx798zZN996++233ohEr33j + WwcPHYInEBwZAU0TkooIKHeKg6VA2S573OiwQVDqV1W/9IUDjFVKKTvsZHl14dVXXjx+6PjS7BwD + ZBPYBGmMOPEUuJytMRddOVdCUlX4yvlSScdxPK53NM47D6TUMq4pV78smSqZEOdgYDIZscD5L3ic + 5MO2rYROIZOpaxsUBHJGotm6TVsPWBZfFCUBczODkydO/Pbrrx9YXXKp9hYKC2Dnim5U2gyg7mws + 0FUQbRKLc957F0yHW5vQ5CCGQGBTq5tJ6VNrSC9kAo2mpmb2yOvo0TH3hTmZ54WIAKqx0dZIscVz + 2wPcOLW+CiqWWjUimYFSqxOAd5LH8RF0Ky4Z1000M2jSGPDIfooIDCIlUmqRyNzdIAss1BIskjXQ + OL3QAiLIEhIYqbJqi5nr7n0p5f5OUCAxWYua1mF878Hde/cm9fCJJ55e7HO9ffvu/Q3VJsbR2v7j + Xzn2/DMv/85zTz896CEFJMlEbGEURDyNXwKRqJZRR67wUliDMB4PU4pe3KMzTxy4SKizzKFbFEKU + rZOqsqrKyhT9buf44UPf/fa3lmd6nOrRaGy+It9hjX2adDCOMU78oCn7pUxhFCUyEyhZQIoGr0g3 + Pr/xwx/98Fdvvrnj9dVvvPrC61978vCppd68TzYe3bt/59L7H567fWPCGp0FartgUOXK/srqgaPH + 9u377MPbn9+7euHurQP64NalO5sbo+YrJ0+cOLw28CgAQtKUwIJcPP3/odv/z6/dLHP31ykKg+mf + iZQeQbeMxC0pDqPRSCaNJyFXsiQyq7y06vvpp/7m8fPon0YGIiG1EGMQl0N9iG8F/KY6GMzMzC4d + P37qlVe+/u477/39v/8/vP/B+Z/8+Mff/p1vPv306R66jqe64umntjGHgaVwRUccUkqxqb3rSL6y + ogBEVTWE3mCwduDgzdt3e/3+wsJC2UNPUFkpXII9IF47hcwF+AQU2dGqV1XdCgIjSykMh1udNO8Y + BAIX5MtcvTEFc6E2NsPSnvlyQDtbW7dv392/CbcH3rMDGWLUBBI27Ow0oxERFcsrC0tLuTuFqRUp + CUHINDU1sry6faIMcWZIyYSd994711oNAEYgdnAFu4KYYDGFicb8Z8ci2jqKw1IkSwRJmkAACcSB + pH2kGk0jAVBYGx6QGkUlCDvnm8kk1UkgcL7bH8zMzoncYDIn0u93Z7pFCk3hhYRgqVOVTKq+KAw1 + EBIiqwdlawjnWAQsxtwGCm00odaoKpFzVHoqGNAUm1D4oigckw53Njc31ne2MTsH7wTchRqgcB1w + YcYIgc2EGEAMEeDZuUV2ZRylFEKvKuZ7kOgcFUyoyoKIkrpxDRIUBRPKhElKqeyUvvRqsR5tWzPp + YFDk1ZISGEi2PaqrsgwmvuyKCE8LpI+vN3r8l/xgmyYqSHzLSrL4qDLFgmAaUrff73Z7MN3aXB8N + d7zzZKbKoYlUuCz92xmNd4ZDEJYWZpcX53NRzQwhBC8G5hgCebDALLu1SkwxaqOI3ouDAKopcdNo + M+E+7Qzr27fvhkkzM9s9uLbWrToAIELE0zwPQuSldXLNpVLKfQaYtDWMaA/BqWFCS8MFwQmVhXO7 + KUdbb2bxhRMHqMXGUsj/XV9ff+/Xb3988bN+f+Hv/Mmf3I6D0f3r9fptjDd6g96zzz937PjxvXuP + eMdNDZ0k60RizQEBWrllpkcXkAkELOYtzUBnvHOEBoi1mdWOo3ckg5lOfzAcT+rOxGLjqGXDgdn5 + QnwJhqmZpsJNbXSzQDphuD0ajscgW1icG8z0Y0qc1IsUrVwzN2SUXDkZj8Y8rgdguEJECFp5qvxU + pJb94QADG4mRGIgJGdw3g5oyCOwASQqYkSVoameaWVF2fNlJQIyBoIMuOiBBRaGikFKKZVl1+n0A + o8lYuPBtn0O0+dpjOziIoCQiReGFcyPZphB4ZIltToZle1hvbY/qpunPdmfmBuKEmcqqXFlZ6Xa7 + Gh5uPrink6EjpJCJBjAkgbErQ9HbsmKrsZn+TFmWsa2FiyAcO7B8YrVbAXevfXbt8mdHjn7p5oPt + iZs58vSLvYW9MwvxmWfKpfk/u3Tz3oUP31vtH968v7G1Ew8eOPDMUycKASnIkKKWVa8/t5iAEAKn + MN/HoAONAnOFKztFN5TJyIsjEEJEM7GqJDBHw7gJIcTSSdka3QIgg6mqEO2O2fQHhaaAZOK4KBEE + kcC8tDh4een0bz19YONrT/9gqbxy519+dOnS+fPnb916fVAWvujNzy12vBcNaTLUGHKLzzJLNFJK + ighm56uyqgqfGhgggC/KoqhcUYKxvb394N7dw08cLPOQtAOoMaXNcXNrazymoup39y76sjTEiDpE + FDCk0VbHGi+oG3QZcBAvgvhwOPzo4uXbGxNXDvat7Z+f6eUKTfayFSKx1PaTyuRrZXJlUXU0pRQb + WCK4pBrqxlXdajDrO/eMnPhqfsY1Cig6DlQKVEhRMDznXkOmsUlN7b3AC3cqNp3rVS8vvfry1766 + fvvWP/yf/9c//cEb5z/48Mrl6yePn1xeXJUCBQOugEU1aDYhIW5xbQKQBJERpthsQnYlJ3NM0KAR + Uj7aqZnAzCFpArE4ag2IHgU4u3n1dKkQnC/KqtvtMlGoJ+Od7RibbBEFIjM0TSwIyqLMZllhYEww + 26hPMeMAACAASURBVGXaE4iMKBE1KaqqZ7IGygpXzS+s9PqDerIhZN1uOTsDrZmoch6gClp2u94V + rna+UERFylPkNyLmR4u7LT4rEHMPzUcERjKQVZ1CHI1G21tbG6MRZjt5R2VpbwogGk/GO8Nh0tTr + 9eZmZ51ziEja3rcgQXehWwTQRBFJmKQCV6pOGSTzi0sz87Nml7Z21nc211En0prZSVEApNCJWsfL + WLG+OWoadMrO6vLSoFdOc8jObL///BPHPlrp/OqTrevXL4XVRW2WtpOvlo8cPvlMr8vd5flXnnvi + //7RW8P1G5c+/bjeXr9yZydJ7/DRE0cPH2TAMbJbAmDJzAhMELJHVRDv2TklUcrSk8CP+Eh537Sq + KApfAjIJKapyAVi6efv2z/78lzev3Tl57Jn/9L/8L65thNs3N65evtvvzJ46OPf1F5/YvzKwudVN + gk6h22xIkQ2lATNLahGWAO0MekvLi/T5zs72xsOHd01XIA5mIaRIKJlhMhyFW7fuD4fjalAc2L+v + 2+0QIJAmpnHdhIjC+15VSEZBBYgKx8IEI1+UZaebFJPxeDTcIWvvMQa1pKQwzULXUBa+9N6SgkHk + pCi7g9miKNe3NgvhmQG6jDIBXsx3pJqRSl00kO9UvQjU7TQUkkJcwUyeqfDyCLJqo1rNgS8zrAmk + hMK3b+BH78tQaJsQZLY0CcybChRs6kUk039IYAHOLZ04/uKLz559/72f/vwXH5/vHl5b2h5G1Y6q + ixEpQg3wujDfO3R47d7tO02IN2/dKw5hsQsDoiHUCToGTVSbu+vr242W3cH+AwfnBn0CBKly0q+K + TiGli8IAWZx2X2DASBoF+3JmthqFidPxyhw6pStoJlvIkyXTRNk2lBjsoyE2kaeO5jQtsgLUhvHm + xJW+7PiiEKYmTJpmoigBtRApBCtKYjH2yVg1QpNYYnh6LGICuRZRI2WhqlOYYTzckc2HH50/996Z + d4u5U69/85t/8vd+b/8cuuk+NdsobODSuXuO5ExSExGNgRLDCaQr1QBSeBFP0eLEUoModQhmTgvn + M3hnAqKkmamVUenc7od8UXQ6VYdcIWYxaZDHGOlMlOePU6N6Um9vbWZDobaY0uL4QmCYsSmZZgaf + eD87v9AbzDA3O1tbbDo3wMxgRhIBEhP6narbG4gZkoIkCSwixFgKgqEOsWnqUI9DjTqhKNCpiMxB + FdGInSu7vX5R15PRznavg26JTuh4toJchDgkbwzzYF+5IjxWN9zdpB/L1c3QZuXO+Zl+lzTGVOQg + AGZICeIG8/On5pcPHzvxWy9/9eKHH/zD/+l//MHPz/zyjV8de+6Vl589Yh6gsAuUJ53uX0Rgyha2 + 1gZNu1Mhg9Isrqw6HVf6iKgW8wQWJ76our0ekY2HGw/u3Uqrg6pq5ZkwhglM6obWN4bjUeOlWFla + nu3PtIit5l0zv5lALX+yGY+J2RdSdMsjRw8dOnroO9/9nTfffvu//e/++7PnPrh29fInFz6em1/A + 3hLoVN5BdTyuk5L3GZ0zWKrHNVFHhEd12pzESdDDi0srC3NegAaQqjczJ6UXR51SZqpi1iFG+E7F + viT0RuN107rTm7VJiZSNDsVJWVQdpZ1hvbOx8yDU83BAjBAGC5iZSYgnYSJkTmRxcanf70/qUUqN + 7bq4PYoYLPcVbGsRUzS7HXkRJVG1lCJSQLaiLspOtycslpKm2O92Z2cGHMtCDHGiTUyAL4rlmc4Y + aICuACZOfK/f73U645Tu371dj8cEU1MQVHVre3um78oOw4kxRaQGjcGExcHJIxcQe3Thj9B2cr5w + zoEs1ON6Mta2CggGiAnRodbxJEzGE0sBGtp7NRi1ImkiKpwU8kVypjglDkkz1cw0EVl2xjFttYUG + U1IjMLGQk+lVJU2aIlJj2QHXADiQQJi9iHBm2z22zLITqzEbM7JiNHsLxAjbGv767TNvvf3Wg4d3 + //Pv/96rLz198/JHN65cqCfjleXFJ596eu3kc7N790UHVVjURCYsUHgqOUsLBGwoyn6I2tQPiqrw + FQ0trD+4v7OxvbI4n5VvLAL1IF8HxJCgXLip7x5hMBjMzc4WLjMptVcVZUGSiqqsVKAMqKvQJ3M+ + JXJdx3B521AkhaZkKVBqkKKZiylcv379z//8z9c3N176ndf/q//6v+mvLM66vjNKO+Ph9mB2xg1m + 5unGLYGKJQKiIoKUhKveoWMnnnzy6rnLv7x//dNLFw8M7316+e62uc6zzz134sj81AU+aYpGRWuV + 8JcLuf0iy+P/9Ws3Hv9iCeULP37huAAMrHDZZJWnrFsH9Hvdcm6O5xe57NR18+D+3dRM8pJrmmYy + qe0L6iX6wvcYYKQwI4upacKEyEyRmtz1zAAiZlMLdWOKxfn5p59+6oknTi8szG9sPATUTZsm5DWC + v8DDjpoMRgKGpqSxaSvsGR42mBmLLC4t7z94qInx2rVrH374wWQMJkyVRAxyTkoAo5RCLgQ6RlMX + neLpZ58uO8XtOzc/PH9u4+EOgGCxJQIAwx08eLC9M5xA/dz8/DPPPbX3wMKo3jl37oNrl+9k08ig + 9bjZZtYmjG7fvv3B+59cuXLfuc7C/MzsXNsKziwbQjLDmMwJi+RkU6EKYmIhltY93OyxsWtFLBGs + ZqYRKcCmlp3ZcDy7aWp0pNJyBvMhwkaOmWFWOi69MOAZhWvtFEBSdQdGvm4iE/m2aIiZucWDhw5X + VXn75o0z7769tbnJDO99jDE1AWbsHMQZTAgloRIIOZAjcsREZNY2Pt2dizmGpNzfhAkFWSlwBCbq + dKqF+dn5ucGtm9du3rw+mYSWCxIVxqhjuPvwrXfPnv/wYwBsmkIz3KmF3dzcwv4Dh7v92Z2d4Ufn + zz+4fVs1CxCdc76JKSiM4YpWdwggpZhSXFldmVuci7G++PGH1z69MNFW1gAmKO7cX3/7vfd//PM3 + 3jl3flQ3KaXdOsdja8AeX1k5JqKymp1bWFze0+0hpTTcWn8kgDKCeO71963tX92z6p28f/bsubPv + bW1vaUwiUhROk6JpMGneePOtX/ziF2jqY0cOPXn6VOHa0rX3Hq2RQmYCkHcFkzMiKXgchp/fuPaT + P//xhYsfObA4h7Libg/Jbt2+f+7c+Y2H64NOdeLo0X63CwBghSWzjCNZSo6QQQdVoO36rIApZUJt + e9e0S7VFC+RSZqNMIS9koAU8bcpintsMmohC1PsPNtY3dobjCcyeOH3yr3znO9///t/4W3/0R9/7 + a7//xPNfnlndx8JO0SP0vRBZApQoJrRVibyHZpNsz65ws92qCs3GzRvr9+8Na5QdKjsVi0PTnHnz + rZ/+4o1J3ZipkLm2Rguo1U0Y100TcPHiJ79645cbD2MGRrKZPSb1J59+dvnq1eF4uLpvdd/a3l63 + U0jxKMLJSy3vcJBetzeYncP8oq+6Icb1+/fieCcjs1FD3Uw0pbbjGAvYE5GmCA3TfHZ3zxbLRjWa + Qj0xTQxA3Mzc/Oz8Yulw/dr1Cx9+ePvm1qQBA05QFOLLgp3L6XBVdUR2S3QQwPEuXw8Ky9ccY5yM + x7HB9auXf/aTH927PVSDIyYSKCHYpSuff3r5ymQ0XN23evjI4W6PxVNZVaurewb9mXoy+viDszcu + XxxtoXAEJwAcmGCbW8Nfnfngo+t3UQ2efu5Lx48eKdqMxcMz5jpHlzsHZ7B178YHZ878/OdvXL+3 + jv7ywdPPlzNLXA727N23b3WppPrj99/99Zu/fv+DT5uAleXlwwf2dEtogzBG5auFpdWlvfvJ486d + O59+9OF4MyJBiMuqwyyTumaRXE9ICiZUHSIBiIxEfOW8p13KAHbX/y5Uvrt5KZpRqMcsYnBBzZqI + 1u8CAhSM1eWlp06ffunFFxYX5zXF7e2dpkll1V9d2VOITLYe3rt5fbS9qbkTT2azknzy2aV3zpy9 + fef+zvZ2CnVVIMeEGgJA4gtx+OTChR//8N9ub0zj1xRgSVO8fPnqpRu3NhPm1g4u712tBA4TsKHs + cNmLMa7fufnhu7/66MP1JBgF1BNAE9J4a2PjwwuX7mxMyt7ssWPHl+bnOB9QeY+2xJZaR/X8KBQJ + TCxMxFCopgQi6nT7+w4cml/euz3GxUtXL1+9roBj5MOcAGY4h2RoO3kQsYgvCwi3hYzYoJkAihjE + 0tOnTx8+eICMzDgla8LUJdwACNEuV4QASW0imRiBETmHAu1mlUvJKtBs253HUHW3309GfimfJvji + 6zcDKHa+2+0XvoCm0XAnhkAgcY6JiVCURbYDziEDk5EpE0QeL3GbeF92uwqKKVqMpYNjB5PVvQeW + l/ekFC98/OHFTz7OPXuJ0NTJYgLIFRVYAHhGySiyGftj1uv0+DVnqhqBoETqBUymSDHUMC3LYmFx + 7vCRg7Nz/Zu3b9y8+fnDhw/afay1r+XRcPjZxx999PHHDzbWjx0/fvDAgbmZGQFDEY0gjpkIiTRQ + e6ozxMGXyo7AhRHXkdVQddYOHFhaXUIYfXLx/OXPLkhKnkTAadLU40mTkuv1RrDLN2//4Ic/vXzl + ZtXpPfnk6eWlWWudL4ScP7K2cnilXwk+/uj9X7/zzrmPL46t7K8e23v4gJRd1+sc27+y1Pej9Rtn + 3nnz1++e/ezGejlY3nfg0J6V+ZiyKtGm1as2BhEkNqgiZc0isbEDZ+Og8AWG96NWLQySpJRy00jE + re2d69durT/YTk0z6Lhnnzz6nd/55r/3d/79P/j+3/7aa99c2bsPTiZNTY9TbqeS+txxy6DIGCrT + yp7V00+erqriwf3bFy9+PBoPEWOcTIRd4QXsMWouXbn5s5//6sH9B3Mzg2eeemp20M9EQGIBu5DQ + rpNHM7o9WGA2Mzu7umev87h27do7b7813LEENDEUvqCiQtB33jt34ZNPzGw8Ho5Hw9gEg3lf8Oz8 + 4vJqWVW3b924c/P65nrDDDiAodDseQ1fwqgO0XZRKuJonJKZqsUmhqbteGAGTSk2TVMbEsGgur29 + NdrasjrU42bcmE4HLKtA2/md8V5jqMCcmUAhQL8qhSXkOM8XqDoQHDu6/9u//dUDK4NbN67+8Ic/ + uXtvM0bSxELOCQoPcBzMdE6cPFJ0cP/hw/c/uLC5aTQFi50TeELYuXHr85/87BefXbstZffo0WML + s7OmYGhZ+tl+t1t50mY82hpPxkHVRLJO17jozyws71lbW1urRzu3r168fPH61vokT7aQUt2EEGKe + i00TVY2JvHeZPbbrYKM6TV7AUFaSbn9QdTssFuNENUleeL4syzL7ItUxKYlzvipcMa1PJE2atdDk + mIUydJpCaBoyOGEms9iYprm5ucXFxdlZOAYJw3sQNSGOJ03V6bK40WgkGS+FIZpU/T1rB4rCpcnO + ZGfDNMK5suq4wuU2Zbo7hExKmATEBMtbuTA7cYUzjXGygzjOtQ0ipKh1aAAQCYsnFudcVRZeQFOd + cYa8iR0Tw8zBpC1NwftiYXHJF53xeHzn9q2tjYchImXP6/yc8yQ1oA7j4SgleIeq04FaMvJF6Z0r + HBcOnQreI8ZkMcIMLEXV7Q1m+zNz29s7t2/e2FiPmuC9y13SiMAsEAEITYhRAcRHLavoCyszbzBE + BlESYnbMnkGGEFN+fCnEfG6F0DDRwvzcM888ffLE8fmF+c2t7eGkVoNFRWpgCaogISfT/c6QUlI1 + ZP/foiim8bklgTCcKaJmZ0TJAjlAQTSYmX36mWfmZme2t9Yvf/rxxvr9x3IfBvl6fefjTy6/e+b8 + +sOtleWVlcXlmX7ppvH/LrcDsLa9lEjR7fiyyNkrSFngPa0sL7z26st79y7H2GxtbTV1g5QwGo13 + tuvxRMRdunL1l298vr7eRG3IrFt1nGBrZ3juwwsXr95SuNOnTh8/fCAzTvpzK088/Vx/MLhz9+Yv + f/7nW/fvFoyuh8VYN7UCKi6KjGJiQTc7lIgfzMydPPXEwtLCuN65dOnCwwd3ADzOTB0Oh3fu3Nne + 3hmPJ82kGY/HIQZpjfAUu5FSmx4Z5b5/7ZG6myzAiEFOyYFIKPOIwSyDmfmV1T29Xvf+3Ttnz5y5 + c+dOUzcuBxDiuCyZ22Di0XFv6Pb7Rw4f8d7fu3v77Jl37925Y0gFOQE752Zn55wvtja33j1z5uLl + S5v1psFIGKAGTcRvdFeb5nRt1bTX7/V7EArNODS1E4AYihjyAiSwc0WnKEuCkUW02TGBiMRlRAKm + WUzWfm6m4knhfAFACKX3ZO2Bam00zckstR3hSGjaWAUAGZMKqbRmO2ibShKUjHI9wYxt9/yg6f1o + Jv3DEpmSwXsUvcHNW3du37nd6RTeY2Vl8bWvv/oHf/gHf/hHf/ytb3/viSe/vLiwJ2tTPKPssLGG + lLL1THs5LjnPgIOVzlcHDx9a3ruQtL586bNb128Y4IQ4985Jev3K5//iX/3ru3fvAZrqug1Gkwpz + p+rMz89tPnz42ScXbly9OhlOvMutkWCYesmShxSmiAmAgQW+AouZaqzZoiPzRFCdjMfrGxsgKspS + 2O3a9ElZGejOnfujUQ01S0G0yYkhMxl7sFs9cOjJJ5+Y6bg7l87/9Ed/9qOf/uLKvZ35PfuPHT+2 + dxm7aKEXl3vs2W7z8r80r3+XrNvH1du26zXQBoptxXr6VlaS7HhNBrEoU9atc0BRwlVFdwZ0Z+Ph + g4d3bm6sH+z2YZOxEbPzNG1/ubsftXmUEUBqMNNsppY0JjNS3d7avH3n84cP10+dOjWYGRC5ZBpi + 09STJtRmWlZlWRROJCVklzp6HIluEQaKipCJ8AZokl14iLJ/k3nm/QcOPv/lF86dv3DlytV/84Mf + wPXkxPHVuQIAlNR0HOu7G1uX724v79nf63e6pQPbwtLiK6+9cunG9Uu3bvzoz/6NX1hcLZ9eLFE6 + i83k7u2dDz+4/GD7zvMvPbW6f2HQ6x05cWTfob3y/p1zZ88tHD1z6uhvH1zjXpnMbDIeXfv8xvm3 + zp957/2treb4idNHjhxcXupNT7ACVMCILDG1fRuhpqZ5JRIzWMxIU9IUyXZRhJw/c6OkamTJkcoj + D0MyEiOGGmkSUwGYdk8RjhA1AtSzZfaTZE9xNRgpJJEPcGooRJzFLHZcWN5z8vQTi4tn7t27+4uf + /ezo2h6Pp1eXl4A2XQtN2Npcv7U+rBb3+dm5QQWaXklOytWiWXxsmrYAtJIYC6CkdYHkWQrvudNb + W9t74sSRd8599smFD3/5i5+9/MqL1WKXUrLh5vXPLpz59S/eeufsrdt3gK60vSqIWXqD2UNHj68d + PCLXzr9/9r09p361d8adOLBSlMTOqVGM2oTwcH0Yo5Rls7hYCqPqdI8eP766b1+MZz84e6Z74okv + HT40u7qnD67Hw1s3L77z9q9/8IMfhQbXr98ZN6lSFVV5zFuQ2mTsMV+LvMyc6/ZnBrOLJHj48OG1 + K58Nt15qKohqxxohoOys7lnbv3ZgcWH+5ufXzrz77rtPn3ri5NH5pT3ki5T04frGw2sX3vj125cu + XZ5ZXXr69IlTJ44WfooCsGTeCDOraTIVyiRNVdNJGI3uPfzJj3+UBmlW/JGlPX128f8h772C48rS + 9MD/mOvT+0QCSAdvSRD0JEgWy1d1dXdVj2uNRhppNlbSjDZ292HfFKsXaTQTuxE7kjZCo+ntnu6e + aVdV3V2OVcVi0RS99wQBkDCEN4lEAmnvveecfbiZKPbEPG3M0859YCRJIDPuzWP+8/2fqVbnZp/e + unv/4cNHFKvJRFNnNuN2uYQAJATjmAsqABhjnNVwQzfbqBMc3E8SIAug4FD1wUKowaZHSAB1anTO + 7K87LvURiC3GmW2DYNI2ZsoFoZI3EESyurqcO//VueDCVkvYEzQkYpVqQmBF0dyesDuUCDSFDLfk + AQaYOURbBLBN4q7XSxioouhGOBRWpPW5memn46PRtqQnneCc5TfyS1MTX3x5+uLla6YtdFJvAqO6 + NRACTDiitoDxiSfi1BeKy7errzudiPlkqFWqufmls+cvPhp7LGtSti3V0pqQCTi+m/XPRwgRDACc + cwaMYgyyAqYsay5CaaGQW1+d31jLSF4Aq8YZUE0CBAJhgTBHWAAw20J2DYNEUGOmCywQqZsfc5tb + Zt0SC2Gv19/Umu7qic2USg8f3Lt65dKugf50c8KtAgjgXDDbLlfKpVJpq1QKRMLeYMBBeHBj0AKA + AL6NSjphL4LD7LPp06c+I0aADfd2pqMeRTDTLuXzF6/cuHXnLiCRTLWksylJAcAgq2o01pRMZYIP + F+dmZx7cvna/Nby7pzOkS4AFImhzNT/6YPyT0+cezC96I+Ghwb7uZr9WZ4xiIBQMLdQcbutMX19b + Hr//uFj1V8o1fyQUb4lIGgDhqgu3tkSCfvxs6hGYaxQzlxGJRuKRkFuRAFnOV08CgVhzsjfWFFvb + 3Lp980JnVwewrqZEYLsBZtv2VrGY3ywKgTxudyjkFg75hFAsyRhjbpuImY3p7Iz6Rlvr64nNABwZ + NTaFvZkrzI3NRPRAJNlEiYZlBxxjtVqtuLXFGaMUy7KECSVUdRk8HPBrFK0szI4/fpRpS2XTLbZl + ljfz+cWpcxeuXL1xp7AFyMMkLPC2XYkQHMDRxywuLhSvXE6d+Mja3defiXlk22SV9bWl85cu33s0 + amGU6e5MZVOEAggGoh5AwSyrWty6eP68D7vd8O2YW/G7OVjW8uyzO3ce3Lr7aLPK45lEOpUKelwY + nGYFAgGIcyIYqavs61wtBoQJRAiRMGBggnEAIStqSzLTnGpTtPNjj8eNy5ezbZ2RcNjjrrMzhIBK + ha+vrliVss/j8rkNTVOAkLkn4ytLC4l4LOj3UsNwhDWWzTY3N8vlCiAhSViWSZ0Z4gxcxjhQx9gQ + AAEiDEkCEAgggjtuNhg5awNlSBKAgTMCNmmEzHAuuKP3Q0ggwhB9vhe1PT/QNsrWKKIAMMaSIisS + JXaturK4sJ7LFUtFiVImmABKCCcCLEDMSQlHHLiJhL7NFnEuJpANQBSZECJsiwIQIECUlmRbKrXg + 0u+OPX545XK0vbUlEYu6XZozPwUXtVptLbe6xSQ1mKAuRXfS2/6O5nH7DlCj8SO4BExCAoPjhc4o + JiBRl8fV1d05NvFk8tncjRvXgpG45j7i9kmSChxEobAx9Xjyq1OnHz56hAnZf+BANpvVNR0DA0Ac + EUQkhAAJE4NFkOQ8W4wck1DBgVqg1bhmC4lISqIpnm2OBvzK5vzYw9v+6wM97clWf8CPCeHcQsgi + tjk38/T2zRtnL17LlVG8vaNvYCgaDjR2nSpQhEJhf3PK7TNmJqesYlUzNnTVHY0FfUEAYoAeDITt + pnBgNTc7evtaoYpKTI61ZqPxhM/jpDo4yz0AQk6MFALhOGyg+rlDcIQ5pgKDAAQNeU0dahEYhGQx + zDkDVKOo6jB2gctUknW3hiRYWFo5deqCGk4aRlCS3RiozEujbCvs092JTDjbxijYANI2nfRrM0HH + ZRABRuF4vKe3x//ljbXVxRvXrgy1Nek9bb5gmCDEbKiVitOPHl+7cefR6LhhNLVn0+3ZFrfhHLhB + khQqq8K5FW43yHEAmACqp9qGQpF0tj0QDKysrFy5fLFnx5CM0z6PZJn20tLCwuiDz06eunv/ic1M + 266B4AqVKAACCpKa7ezOPM3fXrh36/qVjN+r7zvQ7HfLLuCMAUGMiepmKbecyzPsS2WRSxYgnMqT + CQRCYMGwcHZIsq0awAiQEJZdLW5sXrtwJbe0SlWta2Awns741Lry2glJrB9R6r9HHKW2EAQEEOAK + JQQjxoWEMZC6V0s0Ht29Z8fVK9137zx8eP/+ZgVBIEy4LYOoU0EReIKhvl17zpy/MPZs69KFC8G2 + fSFjZ1MEVAyIC1YpzT56eP7ylQdjE1xSU5m2bDoT9Cp1fQYmmiL7Pca8WMutrSyvLEXLCa/Pu1ni + ABgo0xU9lkgNDO54unDl2dPHX5z48MjRgx2d2aDPjRxvUw6bm8Wtzc2V1bVYU0swFCEy4Y0nU1d6 + bN+1411KZF8wrBuGaa6vriys5+JNCQmgIgmkIBkACc5rFgciUQoSBorqLUkhOBeACQGHlIZAgC2E + zZlJkEwIthg3BRYAZrVcK25UimBotuKIzxaXbt0fvX7jVrGwEQCmUERIPUIAgFDF8PoCEkHFrfzi + 7FRho9UKKgww44hLskSAcQDBCSYOfstB1D3U6rJ8STcMsV7byC2vLc0Wm7q9PihXAOOaLCOn8W/Z + wDl2nBHqi3n9qWBAVNSF9eCYVzg5saCokVgi0Zr2+3OLC/P3bt64EdcGUsGEB5CuYIQBeLVmVvKF + Ur5QNK1oa1rx6JQS4FhggghGGCgWEnluL6iXxYQarlCsqaevf/nhwuz05OcnPj423NufjhiaIrCM + MAjBK5ub1fzS+lZZCTM9HquvyvAbm0rjhQBATpQ8Y9yslgkwSgAYKuTW19fzubW1ZDoVCEUdFxHb + tre2itWaKYSQCFIJkmkDHORO9VCPhq43bTiqCMoQxhgUxOVtgRcXhGAAYtm8ZloWswg4lTEwYBSQ + 1x8a3r332njlzmTu6uULcZ/q14ZjQZ8mYbDt8mbh8YPHFy5eG5+YUtRwX193UyxoaI2hi37TLcFZ + 8Ww2MTZmmrVoU9zr80qqDgJZllWtVMrFErMZxUSWCCEAAgGjIFSEMCDr4ej1E58UFWv3UEe4OaLo + FG1u5h5PPLp4+dbTqU3NCHe0tyUTfoxAYOwOxLq7BuL+8+NzSxdPf5mONauG0dIcZpZDPBayhUrF + 2lx+mrpisifoV8FNsRoIDuwcujpXmpvM3f3yTA9lSWlnyGuApIDJl9bWRqcnp5YWevt7k/GYTnXL + YpxzKhEhGOONeIgG8ujs9gA2qkv3twVcqK57RgSQA9NzAgAIK25PPN6USaXXRp89nZi4fvWqocQS + +AAAIABJREFUQVFXW8qlNA5+CEql0vpybrPGZHegLeYCAEV3tbQmo5EwTK2NPrj/8N69ZHOwNZEQ + iEtCUmR59tmzBw9uXjpz7cHogwpUGVAumLAZJnU+6tdkcPF3jPOxy+1x6TpwVsitra+tlkumAM4E + Mi1m6KqEMQjk+GhhJIiwUV0RBaKOA1AhgNsW5iap379wtBEcy4hIAIIgIVMMjoftdp9bIAaECcl5 + XgS2eRXE6exQ4NSxqdkueoTEOBUCkGCIm/XN4rkDrOCEcdygGJv1/yTgcrt1wxCc37p5Q8FmLOxR + qSCICgYIpojh9UQSwabmUFDWKAgMTpRiIw/EBtygJCBV1z0dnR0TDx7cnJq6ef2aq7m1rSmW8HoZ + CFbcnB57cOnc5TNfXVxbtSEY4lYNCY4wAo6QrEQi0Z2DO64+eDrz9Mm506fsfXu6O9rcXq8QYHFA + ANVyzdxaW19f130BXzSOsNMOkRGWARBwmwCjWFAAjhDGCBNicbNULq+urhJDNVwqEaS0tfVkcurk + qdPzC0sAMuIMc8uBYikAIApY9sRbs+0rzWFPZWni+qXl9fImqFqyvbelOR4xQKrX2BQTcLQTf3fU + /CO4/gGh221m1XMHkEarGsFzTxYBAOJAOFAHhSFgUwECwKwCl+pObLonoGi6Obs++eTx5ERET4Zw + bYtIsqSoBCgiqJEUu11Q1QlEgBCvZzobpmUyziiGxYWlTz//9MaNG3/8x3/S199n6B6zVltYWLh5 + 6/b9e3cLhY1kstXtdiEElmkBYpiCTBXxfBsLISDUFlCzue0EKiDAAJyBbduUYu4UJpRm29rh2PFz + Fy5fvPdk7Mc/EkRV4NXI7j7OwGJWtVZZ3Vh5+HTuyr2xXcP7/G2pYDQEqtzU2nz8peNnL168NHbu + vZ//TA2Gmlx0oK1FUsj62ub58zfef++Tpdzc/+b7X10+I+BV4ol4cybhC0w9eHCfu87EAvzlF3tS + rYRQvLyyfP361U/e/ezWzftxd/ORYy8ODvQ2BTUMlgDBhQQgC4GQ4OTrAFYhvs5ewgKIzYVl2bZl + AgeEG4UuR4wjkwEXgiKhUqC4Yb0ECBDhAgshsGBE2AQUqR6vgQCIw9UFEAQYAb6NCHObYUAMSLnK + TEYwIYosEW6ZVRmoMOKJgUHS2nrx6c2x01+eCrg1sKqHDu53u12UEMbstdz62ONHV24/6Bjc09zZ + ryb8XBIYEVG3VbI4Nzm36xJx5y4wAUwYpgJjxmxuVjA3ZVCorAHF2Ux6355dT6YX7t65Vf7vltut + eQc7GTYXJmdOfvr5j/7qv+ULtapNgRgUC0WiLpdMmAW6EWzr7O4b/Gp05fydO6byS1LNB7/zFvVq + WFZkTSvVaisrG3fvjlarLOCXdg93hUOqHgh09/ffymSEgHs3b/BI03A22zS8W1aU1aWFzz8/dfLk + r7788pFjR2AxLDhsG2I+d6wX6PlJ51gbYSSrhmp4LRtmn83eu3Nr3/5jfuqv8C0Xrvk1glQpHI2l + Uul0MnlvfOrWrZvvG/Jvvf1Wl6QquodzNjs3f/qTT6/fvFMq86F9h3f296SbZNkpCpwpx7jjOm/b + FrNMTQUmkGlZNbtWs6uFav7C+XObUJBq1htHX4wYntzq/NkzZ06dPjc2NpkcHhns6erIBD0EwAZu + MZtjJiTOgdk2t6uoAYdKFJhjKgUEBOVC4s4KDzYGC9XZgc6S4mzSwq7V6nsbOKAPAWGbFrNtC4BL + mDvEW5vZmm70DQ6Fok3Pbt76r3/xF0A81JC70gle3SpbtmQYqbb2XT07R3Yf3tE5GHO7bQEMYQxY + JvWPFI7lL2aAEEiK5vK0tCYf3J0dG31869oVb1M45tNr3Jp/8uT0px9/9uln4/enBAFVkXWFYidg + HAMQIquaorsAweTk3GThxPxKbv2N1954+bgcD1Vy6w8ePHrvl79+MrcVS+/oG+hJpVpJA7TlnFGH + +SxRYMy27ZptKZoMXIDJFJdHd7lKC4Vnk+NPxlpIxiOjLYyoJuuCOGIywgAzxpllgm1iAAkBQdh5 + d9vxgBACmA3crvNMECL+QHtnz/GXXv2bE189vH/vg1/9kjLLJVG1Kcprll0tW7Xa7Ozs9PT01LOZ + A4cPDwUCQgj0vFYVQIhtOimSJNkwDExgYX7zaf7zxXx5ff6I9u1Xk/EgqxQnx59++PGnF69PKN5k + R2c225apd4BVVY83DQ7uvPk0N3b29pULZ/wSj7gVFI8Yuowxn3r09OTJMz//4GOT056hg7t29HXE + QHKwEgcNpCjUHNm1d9fNjz+bfDQ7Oc+NaG9Ta6s/4kRsyEAhk2pKJtxjj25s5de8nlBzc2c82uQx + ZAmbVJGBAFjC44tmO3b29O85/dWty+e/kCTM7LdefPm4SbEqKzKhhY3C+JPJR6Njiqq3tWV9vh2c + 25hzRGUgsgBgZlWY1ca0RgieOx06gI9j8yATSrAFqFypTs88e//dd/sy3ce0YwEckEGxWTm3uHD7 + 5q0vT53agKhhqNFoVFUxQjZGJJ1sjYce37n/8Py5M26fJxx8q1bemhx/fOnLTy9+ceLpvSmTgUdR + NJmyWr0diQnmAllMmAy2tipLjx78+Z/+h+XvvOH/Z7+dTfg3C+t37z14/5cf3Li9idXMwO5dPX29 + QABsC2wLmGVblm1aYOJ7X5zamMu7kOulQ0e8Lr28tXXtytUTJ85eu3nXH2tPt3Umk0mfexsPdaIz + GAFOt4cMIkCoDcSyuUSJQjAWXHCbc4EoTabb2rr74s3Nj0ZHl62PA8Hw/v37OtrbJQ9FCKpltra0 + +ODu3XxuNZNs6WzPtjTFgeIzZ86cPvXFKy+9uH/fnmRHB9gMGCsWS199dX509BGhhm6obpfuMqDu + oCSA27btBD461Q4iDDlQmCBOw9IpK4UDNMtcYMEYBps0Bj0XXDiMWAf5BWJzEEIg+E0nnIZauTFj + MCCCMKVUpoTUKuWpp09mpibnkzGvx21aAmEJuzUXti0OHBGEEQbOne2bNOozAQhQ1bJK1ZqiG6qi + ALMwAwwYJD3d1t3ZnYvHr0yMPYZa1aurLx452tnV7nEbAnilUl1ZWb5x4/pqyeoY3B1NthsBTdDG + /vP3XBgwdXraVNgUGBLcyZgHACBY1bXBnQNT09NXrt/8/PNP1zeLwYinZ6A9GPMwm01OTZ89c+av + /vIv15dW+rq6X3/zzc7OTrmexoh53YKJAzcJWHUkhYPjS4CRsAU2uVwDmQEAlvRouKetdbi79c74 + s+uXz6tY+vY33xnaORAKuoELZhVrW+t3r3116ouzV28+aM709e7cN7hzyOUCCqAAB6gBBXC5gs2p + WHPr/bujuZkcuAqDw9+KNUWoAiBkUHyG28w0J6bHn47eu5UXHk9r3+6du8KRqC4B5c6oaOS3Y+zo + KbCwiBDOXwCAC8QR5QgcC/NtvIYLgYEAyDVT2LaJoSZTm9axPykYCvXv6Ltz++Klh88u/7v/WEFe + VVf8fp8kEcmuiUrx+MihQ6+9dTiWshXKBKh166zn6vM6F0IAgC8a7eljsWh44u702TOLCa+ssuMj + R14wa9Zm2Vybn/vkxOdfnTq3tLy29+Vje/cMJ6J1xhECpKiqohkYA7MZt0yCGrIY3OCFIRSNxXt6 + +5Kp9MV7MxcvfNXW1eNWXwjs6MrnN25dvvrFL9+9eObiTI7ZKAqIqYrkU2QEiIsKtmHXnv2PCujE + rYnTJz7cfDYdIFjasSMm+6u8imVmlcy56dl7t+8vl809x+VgMs0NDgIzJAmEEQgZI5liZluCOXUB + xsh5iqhc2pqafPqDH3z/8rkLxZr17/7Df3w9GPIEjPqkBfG1Q0z9QE4BnEKaIoGI4ATxr5tMIABx + 4Fz2u7u7O144eiifyz+euGEDJW5bElx2RGkAAKBEIoOHR1o/OfHlxO1Hn38uhzo1sI+N7JY8YFqV + tYWFj058/OHJs8vrG1079u47eDiVSrsNUJxJxoVEcDQSHEWTCwvPJp5ORNtbdbd3bmERIRn8RHfT + RGvmyJGjV24+uff44ff/+4qmgNere106BoQAWTabnVuYGB+7d//h4SPHdmhut+T6Tfkk1N3GhZNI + A5iq0XjC5XIVS1NPJkazbd5ojNpW0aX4Ai5JlhXGRNXmQCRCBHCbcAs3hrHTdwSBuQAOXIAthIUE + kxBgjMs1ixMZYbI4Pzc19vDpeJa0+rBuW6Wt69duvf/BJz/79TUL+zO7rJDXQwkBxgVjSJGJ4lJU + jWIoFVYeP7gz290aMjAHTHSv7PZ7PQoXwrF0rI9H4uSlISAEMCGS7PP7+fTc4tzU2KO7mYjHLycK + aytuN3YbLoCqZfFK1XQWasEsR+GF0DYZmTCOOBeAgApBEBCnXaZqenPrjqHd155Ufnn64YmPPrTX + p/7od193dcS9imYxXmW8srH1dHRsZXahZNnDshaRWw1VYCojKnMALhgSNsFg28CEUBWCBNRdeHVX + ayb74suvPCl8ce7hxP/xZ39a+ee/4/nGi81NMdXwICqbterszLNnY/fnl1YzO6wef0zW6gYGz6FN + X78QgBxCVa1qbqyvI2YrCCSKR6dn7t6583h09PXX39i5y6V7vJZpLi0uXvzqq3v3H24WCi0dA0G/ + S5dBBgQm5oxhiTaoevVKDwlcBdkCgoSgwqINZFEIAU6Ek2WXq1WTWUQimCAhhGmZCsLBcPTAwcMn + LkxevHvts08/UlFNxfahvbuDHrddqU6OP/3y9FcnPzu5uJwbPDh87OjhRFNYV+srJ0bbCw8HwQAD + cF6rVH79wQeFQuGV117t7u4OhhRb8I2NzYnxJ59/fnJxfiHZ3hOLhg1NAeAg6boa0DSD8ZXCvYu/ + mLsDm0v4pRH3fl3oMDl5+8y58ydPnV9aLyWz2UwmHY+6MAAixBOIdXTgVLxlYvTphS9PuX0+U6Pf + bv6mTIBayC5VRdXcms9dvX5Hb8n627rTTWFFEXIotGfv/tM3p766NHb6F+8Gauth1Rwa7PEYvmKx + fPHSlU/Pnr7/ZPxP/qd/Gw8GZb/KxRYAyDLl3GZ2wwcWMAjRWM8ZQnbdJVDUfZIdWJMBZkAAYYli + hxcPAGB4EomW4eHhuXxtfPThB7/6laiV/e43SDikUGRaNmC6tLxy4+6DlfxWS7a9PXYYAIHmSiRa + Otrab40tTDx+dO70abdBXn7huC7rGtV8HuPq9evvvfeTs59dsAuyCiELbMs2bct2KYa8HcyLkRPp + 6ognHUIPYOLx+l0uF1jm0tyz6cmnS0uLbkIFIqbNKAlKKgIGNQZcAMGIIIbreBMSgBxiChfCqlWE + VcXbq5kAqANQGAmgiFOCQHBRb606P4aFIAwc6LbeZAUAQAQhggAIcCcsvfGeyGbEElgIwMIGbm5T + 3AEQCAKIco4txycHBHBLcLCYSSyzp6+3c2Lt5ycu//yHP/zFT4XXJQd9Ll0xgCHTZMF486HjL+0d + OTIw0CGF3RQQxcRJLWbAEDEB1RBIIDDFqtcX6Ovvv3X10uZW8eyZL5nL051sNXp7bIpX52Z/8f6v + vvrixK1HczaOgLeGmEmQAEIAETBc6XTmjTfeWNr81fUHT//mh39dLRZUiju6eywkl0zObHt9aW5u + cuzO7VsDQ7tGXggjqc6oQEQmmFAkKDAKwsmzMHQjFAnPbE4uLS49evCIGppBNIGl6ZmZs+fO/be/ + /KtiyQxnskhwLGxS5y07daOihZpaU6nOZPz+tWv3px/nQc7uP9S1Y0845HcTQDZgCQCcvRsDej44 + +B/L9Q8G3ToHzm08qV7eOG2uhts93/5R4bjqSBwIEkAEo8KSQZIVIAAgyeAJ7Ny9//oyuj1z5cP3 + f3H//ImQhlzE3rV7z7/6N3+sYIwRrofAPg8V1zV6SACYZqVaKxuGJknUtCpUkrY2izdv3vrf//2/ + d7ldlMggcLlcW88XlpaXB3cMvPPO25l0SqIEYyIQQVgAIC6E4wJZf39COWBbYIFAlmVVpogBoYAJ + 5VYNEJVkGRBIqhZLtLzxjW+a6oVz1x9+8vFHNy9dCnl8GBjgCqBK2Sxt1PiWjTPpLO1Ig2UCMDDU + 5mTL6994fUtWPrl47fMTn4zdvBTUJYMgq0aWlzaZLWU7U01NLW7DiyUzEAkdOnYozxM/+Pn1mafT + 3/urJ5+d4G4jL0CUq9VcLl9YqjQnksf3v/H27/1WU3McAxdQBafhZyNHb4WBC8644BRjUvfGYVwA + F4gLIITIVHJu39FjYEyAKCApmBCCBBE2FgwjCRzHGEQFws7W4TSpGpo1AkSyBWbO02Qmt2uNnRsw + ISCoQIQBYUjiQljVKhW2rmAQBFQj0dz63d/7PeI99+UXJ8+dPTv+8P5PfvI3IDg4PXfOtrYKS/mt + 3/cEE21dsoQxEC6wzQUHgbGQZEIpwtihDzTktwJZAgQhCGywq2BXABx6EU6nU8ePo8dPZq4/eDg2 + +ujP/tN/ivs0F7FqhVW7UmxJtb02MPgkV3vv43PAbMFs7tDLiAQgHz56fB358+9+vrS08O7Pfnr7 + 4hlDAo6JjbApoFZlGxvFoaG9L790gEoSxhhsBoax98CBf/lHmz/+4sz4w3v/5f/6P3/p9roEWOZm + sbjmD+h/8r/8gap6VlYLV+48KSOfgw48d4lG07JxkXp96vEFUpmO9s72WxPFi1+dXlzM+VXuk9kL + B4aO7t/VM9gte/y79+z5Q+z64U/fmx5/ePLkyceP7hseP8cUBFiF5fzCpIzxwcMHX/md73a0paDO + kAbBgVsmQYhQCgCUUEoVgCpBkiQrHImmllhPS4+32b9UWv7B97//5YefeCSV25WF+cn8+lIkGnnt + 5VcOHjggkXpjB8kqJrJAskAgy5Iq13MvGQeKQXCnVUkAKAdZgAQCsLAxmAj0enUIWDg7MSIUY1Iv + GQEwrqcZYIIRAsFYrWzXKgiAUkp0g/uDqWxntm3l4UIx3tmXbYl5FJJbml3M5UqV6sNHj2Yez1w8 + dfHbr3zz1XdeaspGhAKC1VSiYw6CgYSew4hlzePXR44c3VixHz59eu3SxUczE+/+zQ8kbvNKsZhb + Hdqxc0dfz6dnrgIIu1qSUEOTKIRpsZrNZY0c3jkcbt957c7Dn//sJ+e++NRFAVU2K+vLa/lC78Dg + yMvf3LV7ZzgSsgTUnRAx4pwxAIoQIEQp1aizPEpguPt27BqatW/OXDz1+UdPH572uyxDrnR0dP3r + f/3HkWiMcYGIBFgChGVKZAz1ktrJ9gMMVKaSghGiGBSJEoQYB7NakTGKNydfee312RL68tbYlUsX + 5yaf/MTvNxRVQoA4s2q1crmsaVpPby/nnCAAgZ4PKAMAjBwtIwAg27ZrNVORYfdQtm3va5fvjn/0 + 4a9vXz2nSyDManVrY3J6trO7Z99L7xw6fKC5NbjNNQZC9+3dv8FdS2X8ZCn/0QfvP7h5xaOpCkWY + WYXc2uxGkRie/YeOvvnGt9vTyaAECgBFAIKAxYBZOOrfMTzkP39VzBXNYqV3bzqZzdjgdCEVADPR + FEom3IiXy0UU8PmGdx1JtaYNjWCoAkcAEhAKyAhHM7/9O38oqaGTp87ev31xfu7JL979BcKEIIGF + sExzq1QxLfull19JpZJUAswoUMoQqdqMMaZIVCFiO9FcOE4127glAHAOzARgQGUECGHJtPn45NSd + a/c+OvkJkQUQpvAKquSXp8Yopd/51ttH33wtECAYgwBq6O6RAwc2FtceP3505+b1ZwvzH3/0AbPM + WrlU3VgZ6uzszbaevHijpsjcqm2PTEQJ47xSswTA0NBAaOCFa/fGLnx1bubBdY/KbVYoFObHJmaC + 0eFd+7954NhIS9oFFgcsgGKgVMLYbRgxfyi1c8RF3H/94x9+9uFHYVdJQ6triw+frZiy7nnhlTe/ + /Z13opGQQh14Gur0GCEo4hSjRjALAkEYUAGI2Ta3asI2KTEo4YxZRHf17xj+V//m337//RP3n638 + 5Mc/OvnpCbfLIAgkjAWzKqXiVmGjpSn+L/75H1BKASFWqTLOc7n17//gB++9+wuv2y0E58wqFYsP + J6aaEm2vH3plePdQOGLYDIQAjAAjwBKlGNsNJBSAMqACMAhBBMNC4PoW8xzrFjjmNnJykxGitM78 + AkAcYYYcMYoTwbhNh/o7tZRDI0HY5clkszsHB58uF2amJr/3ve999uF7iiy3JjM7d+3+zpuvgywJ + LBFJJpQKDI71W/1NnPGEMaJUULpZKtm27dY16qyTSAJ3YGho9//4P5Cf/vqzuemZn/30by+cPe12 + uxpbrS2Evbq6EmpJN2U6m4A5XDAQuH5Oep4gjhAgzIAIhLAQmNWEVUOCE0Kok18vOCDo6GgfOXp4 + YSV36crNB/fv/fmf/5nukagCiPPaZjm/sr65uXn4yJFXj7/U29/n8/nAoeoD4ogKTAUIJEyK7XqF + xjmzTWbWBGcCUY51JAFHAKYASRrq6/zjP/yd7/3Ne/dG58+cvfBofDHg1WTCsahSlqdsI7cys1JS + 0h29L37jn77wxquq1qAYgglQA8SB0GBLpqtvx82Z2dxKUcbQ2Z5JJCIMwBQgE5fsMrva0lP3bt15 + uFgmUswf27XvsD8QIgASBmAMHNMijAQigBFwhriFhU2xhBFwmzNADFEGAIhQjLazOhEigCVAiqQY + lBIQVWEXgZmO304gEOrb0R9NRPWZUsX0dPcdjMY9hFoL85NbK0UmxJXLN26Oz37//Y+/+d0/ePXo + UY9U55zW+zN1YQsH4GCaIMupdPqP/uhf6B+eOfvZJ1+eOvXk7o3vff9HDKSaDXZlKzc/JbHKgYMj + b3/nt4YOHzRNIHJ9Sd8qlgtbZQ6AEaJIbGvpEN8e0Njl8aaz7S+//Mq69dVXNyd+9f57Vy5+6feq + YJbN9VUobr717XfWy8qth/MW1QlCpgAZCYwoqHo4nT1wgPzeavnTi7eeTDz+v//rX/xM1yUdIdm2 + RZWbwirbVpUlOruPf+ttj4ERcLBZxeKWzQnGiFvMrFFCEaWAHFk3BwDAIFPqdhkgmGmZNuMbW1sb + W1sJiDdop8JhICC+rXMhAJIQEucYAyYgrGpZMIYJAowZs2xuKhICAVghQzv7Hz+auHblzlZNAhCU + 27xaNk3NpEJCCKgEsvb6t97ZNNq//+srd65fW5wc//i9KBE12VzC5fm1uUcFE7V39x9/7c3jL71q + 6BQAOANAAjDxedy7dgzMTi48u3H1r3/41+99ccLl8oGQXnrxtT/67lsCl72h2PBu9Xd/Z8Pz+flz + Fy79+K//n08/O+FyGdhhVQhRLpVrtRrn0Nu3Q5JkZgOm4HDX64a0uE7pACwBQqC5mltTTc0JSXpw + 4cLZsfFLf/tTmRK2a2DPq8ffHOzqcVGJKnrVFuVKxayWgNUcvhslBAMF4MCxY4SFsKAEUSwwcEmi + 3nDkwMixp2X9bz+79uWnH8+OXo76JRkV7cpWOb/mkvGrr7146vokmOVauSg4ByohogE23b5Qd29v + b3fn8vrdyxdOL08/Cnp0genug0f2HDp68OBeiSIiJNE4hGICnINpW4qwQaKhaOzQ4ZHH+fPjt6ff + //mPL33xsUeRsai9/trR3//9tw0VAaKYKIqiUyo5iUZ1M+76sCa2DcxiwBjmFuKMoIbOlPH+HcPv + sEgRx29PrJ89/WVu+n5AtRihNsIWQmDxUn4r3Zwc2rNXVRVdpRgLEMjkombZEiUUc7MKqg6yhABA + MIY4AyQAU080ceSY5/GqtcquPZkYf/+9d2+dP+nzuBlgiwMwi1UKEqsGwjFfa5eqNlrmjYLiN9EO + x+uWMEQkWQn4PBS4k+iAMV1dy3362ckbt257fQFCJcCkVqmsraxsFjYHBwZ+/5/+k/7uDouBTAAo + xRwD54CFI3khAIgQkFRGdYYo5wzZFWEDUEeFLwEAAipJRFJkLBGBBeM2FzahGCEJdE8sbrz55htV + Ev3s5NkL589OPr7/01BQwQRMy6wUlxZmysX8yNEXX3jj20ePjIT8sgObO1HCpG6264RG1HfCarV2 + 8+atq9eue7xeWVEY5wKhXC4/PTnd299/5MWXu7s6/T4PoE3AimVh07QUFUd27RpIpu7duj13f/xn + IZDwerk4Pb9q5Taqg0Mvvv2dN7q7ur0GQsCAEKz7YlH5997+bb9s/O3HH167dfX28syP3vuJywbD + EorNcc3ipdJCuXLwd7871N7OXaQGRFa1dFvHG8derGzQC1cu37p0YX72XjDkVYgqTFhdWy/UqkYo + EApH3F4vF4AxQQhxbmMnRQugQZ7DIDACQIg955PxdUUJGAuEWd20SyBm8foQIH5/4K1vfMMkLl4r + T09N/vjHPzp3+guVYgqO4YVcqlQ3tsq9O3eF43HLsghiICuGXz0yMrJSEgtLc/fv3l1amPro/V9R + JEmISpTk8ytC1N761rdozZOfr128cR0TpEry8yK8+kvRIPk7GCqhuuHOZDJ7Rg4/nl46+emnSxMT + 1GaBYLizu+fNN17r64qDonNEbc4xEhjYc2WzAwdRABDMxtxyeJ0YYeAIgJgc1ywm6kkeNtn2IGgA + PgJRgeRGW8MSNggCCDDGFDgTlom5jRz6PiYAsqAqIiohVCIgY173CKiznxEQIjhmDARghIFgRjGT + KICuhCLhZLK1o719ucB9Xm1woBMLu1Ksri6u2XZtZWXlow9+ff7ypYGdXb/73bez7S0RPWKZIHMg + EgEKAmwAgkDiNhECt6ZSI0cPT66tn7t1/+6Nm3+6tBTxuhVgVmmzsLacSbb96T/7n7/3wbmZsmKo + MnAmTBNhDkIYgdCRkSOzq1s1IT8ef/LLd39x6auzquHmVLORzDizSptgVUNBf3tPr6bIGCywbKjZ + HAghRCKAuAm2hQAIxm1tbe98551f/O3PJp5M/Of//Bf+eNStuJDFixubglcOjRwdfXzkaYc+AAAg + AElEQVRfqKoqUywaBkccTCEIoUJ1+/z+gc5UceL2xAoAoZ6W3t6dez0uQ0d1rQUDwgE4F0QA/vuk + X///vv4BoNuGKArVl4y/5xHWWbeo0YUDhLGkeoOR3XsOrJFQMptyKYQCyAjqmQG6q3fH8LGSPlWS + 5wt2bmW5AtWmoMe2bYIxdvLcn/+A+h8YsGS43L39vYWCncmmNE1FCAglHo8nncn29fWv59fnZucr + 1RpGVJZU3XDt2TO8f/+B48ePRaNRQhxuZt3dQXAuACHSIPVz5AuE27p6DhbtdCqlydSRJWCMucNc + IBgEB0n2BcMHDo1UiJu4w7lCeTOXfzg3hwWTZK7qoLoUlzcUD8ejoZDmUCAQAlkhfmn/wYNV1cUM + 77O1fCG/lpsruCjWVL/bHcpmenbuGWiKR1VVAsxB93d0Db9EuteqoduPN9dz46sri4vmvGVbmq67 + 3J6W/vah7t1H944M7Gg3VagAYJCE4FRWQuHQzh0DW+AKBXyyRIRzMHWodtz2+gNtHZ379uWy2ayh + a+Q3JgUmip7Kdg4XtXhZbYoGdUVyVK6ESrrLs2NoOM/UpmhYkwiqwxAYIQxIUl3eZDJ19MhIRybp + 1dVtNyKHp0BlLZpI9g/t9WzReCzm0RXJwf2povvd+/cfKIGuUrK0MFvaLMw8mykVtzhjskxDwYDL + 0DOZcCwS8eo6BUD1ggF3dnbYTE9nO7wew0m7AiSAO/QmrLi9fYM71YLtSncaMkV190fiDwYH+o03 + Xn/FF4s+eDq5lssVV2Z1wqI+I5vK9ne1d/f2pVaKC0XIJlsMXUUADiUKsJzo6DmK/Ss1+fb43Or8 + 9Nrq8nK1WGWcISIbLkP3+P2+RFO8KR7TFBUBAsRAVtp6er4paXlJvfp0pry+Mb26qjJhGFJzS2x4 + d/+xF16ikjG3sKoH76+XSWs6iR2ptXNuq7Oa/y5DCzgy3N5kuuOF469IgbmH0+X11YUKMoVPty0u + AAOmoNCW1tajRjBXKN6L+hefTRW3Cstr+arFJVkKaLglmW7PJLv2HDtwYL8WlhruVHUUzgECwEHQ + EAEgHIiiaf2DfXabEsskAq3B0ZnHN82rqytrOYupMlI0o6dvMJTuPn7sWLYjLSPHlxGASLLibkq0 + HTmyr7enOxJ2kwZo5TiUISEBwsCZpPgzbT27rIilJAx9G+gQsqaFIy3Duw8HkoMBn1ch23C2syxQ + WdVbW1v3HhnpzKT8HhcCAIQqNWt2YXmzUvP5gwdT/b17j3ZmWrFdXV9ZWMmtF6uV1fX84rPV2/fu + GUbA1ex7NfaiW1GQwNu52F93+wQBpGNN7urfsbbOp/Nry4W1XGljamzRrakBjyedzR554bhXlWvE + U8Se1lSL5ASzIQDGTcYsjoiktLe3DR4+iKi8PD9X2Fhf2lhXhOlXpT0HDg7uPrrv6IuZTIvuAks4 + ZymHnLntYIYwRhIQDswxFGjv7T9S1CcLdHLFzK8tldeLkQC1LRvVvzji9Qe7+3fU9FgiHnUppPEV + O5QSAlTRXO6hoZ0Vyd+SiGuqXAeSMAV/qLvP89Imo4HmW3fulwqFhfk5q1JVKFUlKkuSoRvBUKi9 + oz0YCGBcb3WL53YI3DhCACCbg2kDpnKitfXIkUNccc9Ojhfza8uFdcRMXaYDg7s6hg4dePmNbHfS + 43Ky8hzEi7iTmf3IM7/Fb4xOz05P53Jrq2YNc04B3Jra3JIayrTvfuHFIwf2NwWxgeuJRsxBQ7AC + vlhrBxo+MGIFp8vEu3vvcF+2WUFAG5tRUzw6ONgzcnh/pepKNA0c2H+wublZwhjqJtpO+pukeYI7 + h/cVKxah8sTTqdzG1tyzZ1XTAs4oRi7DpbvdTZGmRKIpEPBiDBiAE4KolO3sKXtaY73dfkNvVHoY + nG5YY28T9ap2e79FsqT4/P6e3t6p0Sfr+ZWtUr5mFiVWCbnkSLQpOdD1+jfe7Nw1oGtAOGBEJZn2 + 9vQU1zdX1/OzKyurm8Wxx49lWfJ7ven2joNHDoQNYqn+HHji6aQmb/sRI5sLkwMQaE4079yz2xdu + WpkeW59/ujy3BKiiaNDTt7O3/8VDIy/2d7m8frAYlrAOrAa8qvOKKkm6Lzp06JWIrNjrv66tLUwv + Lwm+7jKUdEf73pauV195eWjHoMfYxvQRkdRQMLx/7x5fU280EpIcwTTCILDu8SfT2d27caI9o8pE + kXCdCyfJ4db0iy/7ciYK3hldWlktbazlFp/VKhVNVXRV1VTF53G1NDdFIxFN0wBAIJRMZXcO7374 + 4H5udW1uYYnZtiRRXdfaOrv79owcfPm1bKbZZTQ8DQEACSB1OqTDPwdEsWLEE61Hjr7Q19kV8vkx + R0AQcAIWCYST/QNl5qu0JqKwbVDe4MNgQuKJ5p7+QTlciEQjmkzrmeZ15fr2/uh87QgAgaS4A+79 + +/blLXzt2pVacWNhYUFVlGA4igAIJYBA1l2Z9s5h4RaumKFK29NNCBAgCCDD42lOpXft3Zvq7nTp + quSkoYIEsiuZzLz0crBk47u3bi8vLGwWCyurS8WtokSpy1CDQb/fH2xtaQ35fboqNZZo/PWg3C4T + BIBAWNGDocie3bvyyNeZzWiKjABhLIFw9MrIGwz09fe9VbM9vuD4xEyhVJidWaxYRSLA5/IEgsH2 + dPalF44f3n8g1hQHTTHrWwIiqt6SSg8V1RKNel3q10pG6tJ8iR3DI7a7NRXTAipIjl8GMXxNbfuN + xGwe+SN35uaXi1tLc/mSWasSDDJmMuEeV1NXKtPWu+vQ8UO9fVmZgA1ABGBkA7Kds5m/uW3nnoML + xXJycU6oLft29bQmJA5gA8jUBapoa+/bMzS7WNY2tETr3r2DO/p9Ps15QF9bNgvk8vp3Du3aEEZr + e0ahjSYcII/Xn8q27ztwuK2tzdBU2pj7DrcFqOYJRDs6eg5sQDrR5NYwcAHIKpXLz+YLhYoabsrs + 6tnXPXQoHvEzq7y8ML25uszKxflnz+7Prl3/dMzf2t3emgkNpBtnVNRwsGvslQiDpPgD7iMjh7ds + RbarpdxydSM3NjFh2oCp5tGkaFOirTXWNbD30KGDsaTaKOo5B66oWqIleXhkT3tbm9el069t0xpD + HxAoaigaPzAysm6pQg3ni9X1tdXlhaJHkxI+b2d7+yuvv7lZU7zRsc0aT7Y2g8WRDAAEsAS+QGe3 + 9k2uEnfo6f2HdmFrbmG2Ym0RWQDlmqQHPeFoLJbJZL0ej9KoPxXD09TcvH/f3qbONpeuyRL9zZGK + AASl2ND1np7eWqm2WbOam5t13WgICYVACNVx/EZvDQgAJao70ZzaNSTCVVcsGNAUhSAAIPVsIIQx + yCB5kunuffsPLC+v5TarpqvJk04F3AILh5rrxgiDjPt3HdmUUwXhm5jPb+RW5iYXrUpR5iWfBoFw + Jtuc6tq568DI0faOTokCcxBkggBTw+XaMTiwkSvWZDSzsTa/OCfTfDzaSglVFAkhGXRPuNn7wgvH + KDUQxhulUi63tjA/x2yLYqxpmsft9vv84Ug0FA5LUl3GVJcVbFd5DkOAUAAEshZLtO4aHp5eqc0s + Lm8WF55NLfj9Rq1awxgjhDClsmZ0dPdZwWqgo91nKOjrpQwDYM6x2+PZNTzELK2jI6MplAADhMHl + 79qx71UUXjX10YXC5vpqddPCUFEJJCLR3o5MsLlH9o9GM1mvJhGMBcKIqACCakY8Lh86eMDi8uPR + 0WJxq1oqqIanZprbt4Of0zbXiSOOEwam4A/u3rd/YhPnsG+jwleWFjZAhAMezuoAuaoa0WhiePc+ + zd/q9bgU8vz5EwMQSTGaEy17Dh/q7WgPBXx4W5vOIdLcuk9u2eShwLUn06PXy6W1wuLiVrUqJIlq + mks1vLo7FoslU0m32y01eiouX6Crp0cOss72jCMmcJQejZUWAabg9sbdkZHDh6qy/2FLU25henV1 + eW1luWoxWyBDlUMeLRwOpTKZcDgkod9I/Hh+b3G2B0yoZngGduxqwb5sKqkrVDAACv5gqKU1mW1r + 2yxszi/Mlys1LoQiK4FAINve2btz+Mihfb5QgAgQABxLGG073jKMSd1wHiveRNvQ3kMVPZ5pChJW + t5JCQBxYC1FoaW0d3Dmoh/3hSAghqMdKYVV2y3uGd1s0igRZnH9W3FifnJqEmiUBDnhc0VhTNNo3 + cuzY0IGD6XR4WybLn79JR4WHABCmktzR0bWymhsbG19cXKlUqzXLdnu8hsu9e/e+wyNH9h46GgnF + FImALUDUbFQRCEnY3dux/6WDAxetrdz0/YXFNau8qVPi84Sa2+J7jx06/tKhRLxJJQQ5RGwJsNu1 + a+9uLlheWM828vPFzbnH47hqyQx0Sj2q5tOMSEtzPBqNujxuAAkISAoOGjv3DZeRIfv1+fnxza3F + 2afrFMuq7HL7/In2bLKjo7m5WdN0xAFjGo/H9u3b05ppa2qKgcNiFgAIUSpHo5EDB/aqnmh7e1qi + tCHjQggAYyKpekd3X054ky0JjybX5RGIUE3r7uo+WrSFWX10/25udWl1ZaW8tcntGsbU7fXphicQ + DKRTyXg0Ui+msQSq3NfXl6/hjUJ+aWl2Y2NlZnpGwpKEJYwgGg12dva+cPglXlYWnuaRW05nUjKt + W0ZvnwIAYXA0+PV/woAIqFpHW/vb33rr7KVbi08nZ589kwUgTBtxAxiARuMtAwODcrjWHAuhhnZe + IERkpa2j62CVtGVSAbeGt08fCINAVDXCkdjevXv8yR6fy5Al8jUFSoDgIhhq6u4dPlbU0+mkS6/T + gQDLsqy1t3XsK+H2dLvP5wYBgAVwgqg7EksNDuJQ2RUKGJIEAm+7LVNgsiJ7I5HUnj2HvfFen1eX + ZU4wAwKz83NLS8uaqg9nuzs700M7ey2rWipsrS2t1irV1fzGk5npp+OPcuvzmbak7nGF0xEkbEDO + KZcK0B2XO4FqgEHxBQd27v82k9Vg9MHUdCG3VsmvKpi4FCnd1nn4wJ6j3/jWXFUZXS53tEQ9bkPU + MRMAXQ+lM0dGRjjV/YHrG/l8Pp/fnFtgWEGyrqiqS5EiAV9nZ1c8FqfEkdQggZVguKm3r5+50rFI + QJYwBsAIx5uaRo4ezefyV+/fmd9YnZ+dxxwpWPJ7vNlMZu+enYmWxJaFs6mkR1eAbYvDnAdGPF73 + 4GD/3J0r0pM16vbEW1LZjg5DJ+CAHQKes2X9R4fbAgD6WrT6/+kSzzV0CDhZDAgQ4fVdmoNgdUUJ + qie0EAQILLG1Vs4tzC+tblC/7W0Nt8SDKmgAxLRkXgJSA8E3N/itqfUr959src65CO/vynZ0drW1 + t207o0Dj0wUABQuBBWCVC9bt2+MY6z6/NxwOuD2GolEA2CpurKysPHnyZHp6emFhSQgUCoaTqXR7 + e3s8nvD5DID6wakhLEAWY0KATAkGAcIWZiW/srC5tlQoW8Qb18LJcMStIZDEcwbhgoOwAWwAVC5a + i/nSwvL6xOOxsYejSHDdIIGQK9OWakplIy0pVTfcwCVu1jOPAAGgSs2eWdu4/Xhiemx0ZW7GZ2iJ + pnRfz1A61RmOqUCAAZN4FcACXgPu2SwrT+bLU5N3Z2fu53OT1Vq1ubkllU63pzqSsaSme4GChaGG + QAbA9iYrrq4WKtOr5aLQo+FI3O8K1lctBFxwq1ZYX11fXVpay/niLf8vee8WY9m2ngd9//+PMeZ1 + zXWrVffqrurr7n3fZ+9zsH0cB3CIgowh4gGEFIECygMoWOIBJINCiJAV/ECQg/JCeIhCkBIrghCE + MQnxBWMT2znHPj6Xvfveu+/d1Ze6r1przjF+Hsacq2rbFi/4zUu9W1W7a9Wac44x/sv3f//3T7a2 + 814ZuWAGjdRHON578ejJk0M5pMHWlXcmfRQOrKE+3jt88+Ll7u5BSEKxtLK1s9TjFDA4JZ0DzcHT + xw/fzF4cazbaWB4PV8a5BSwgfg6d43T/xaN7p8d7RzKwk0tuaWM1Rdocwx+Cc0hxCpmezL/4wfcf + 3Lvz+NHD3d2XUB30q2vXrly5vLOxfSXtjcgRFAnNm/rtm9eHL3dPjk7I2HR5ZWk0GpYZDJT0FPMp + QsDhwe27X77yia9WLly6uJz7lI8R5tACVED5wbM3v/vFrW/9zu/sv3ySsv8XvvmNj967sX5hY//l + 7uO92fPDuhitro7KjaXcqiKcQmfQBpoczuXes7dPHtx+ePfWq2eP96enSdFb2bywvX3p8qVrk6WV + fg+CQJhyqOE9vAfJo1dvf/fBo9s/+OLllw9zks3Nlc++/vH2pa1qMJ7Nw+u3R18+eVNTzxXLa0v5 + UoHCAuzn2swpGFgHw/5cwEIKOoB/s7938P37e79769Wjx6/6qbm8ufLp+9e3Vse2tAgenDWSTGu8 + fvHsy7u3b37x/afPd4+ms36/f/3i+g997f1Rv5T+KvJyBthOj4agrH5R1w4hKE6F/bw5OHztn9x/ + Q03u+mlvuX9Ks72Xr37r13794NWbPLWb68uXLm1tXPvADJYbgQDOIw1gCdP9l29fP3384g3nS+V4 + c3NjKREYRfB1rJ0wPObHzf6bR092d0/klAdrGxdWBq4qAPXHbx7vvrj/em9fi5Vi+cpoZalv4ACq + p4QGHE7evHn0Zvrl7pEpBmvj3rULa1Sf3Pv8O7/wP/29/+0f/s9NOvyp//Rnbnz6zQtrAwPA1z4E + JTx//vLXf+Pbf/mv/FcnJ/rpNz74L3/mL12+smVBJuZnbXTeADWoBjegFCE9Pmiev3x289b37z64 + +ejhw62tratXrn78wXuTfqXz07uPdl/PJGSTixfXhjkyRjg9/KVf/F9+8R/+/D/+lV/90//6v/Vn + /9xfGC2v7z5/dvfmzUf37uQG26vLn3369ZWtq9mg52OFBUDLhOmoDXGOEpEHaj9P/SnII/j5ofze + /b3f/O6DF09vZnb2zpW1a++8e/nqNWbTTPePX7+Y7r/abyz3JtnS1mTgUoZFg9AAjd97/fD18eO9 + +amtRlW5tTIe5OLQQE/QHCOImuLFsX/46OmdW7ce3v9y98ULyzKsqs2NzUuXdra2tpZXV6yLQ3m7 + Dt2IXrRfRKS4/s6v/sL/+nf/+1/+5V+6+tm/+Of+4k/3Vy8e7729e/Pzh/fuajNfnYw//ezrq1fe + T4ZFDbjYqhq8CSfAKfQUmk7nvHvsnzx+/Nu/9ZuvX73ys3kvzS9duLh548ba1WtuUFRAqjAeIMy1 + 9kYz1GhOcfC2OZze2d17ftIchqRa2emvrm0NkQOZB9gf7z/Zff3lo8d7dTNKsosbG8tLS1oWp0CA + ZvBpC0izAj7MpkcHbx8+enLnwZN7Xz59sfsawffK8srVKzuXLl24eLEoyzRJjAED4WRv+ubZ0yeP + 3vichlvFZGvS40EChyhg42MirKCgKmEGahDmYNdwcgpL0JO3x08fPL5374vHj+693Xsp9cmNy1vX + LqxPtj8q194LBXF7bAPDwyums72j41/7zd/+7u07z1+8nEyWr167/if+uU+WS4uTt5/fe/wm5DrY + vHRlY5AgA8zs8NY/+9X/+xf+/t/8W//DjR/7V3/i3/mP3v/sh0/ePLvzvW99/t1veZwur40++do3 + di5+tjQeRONDBOPBs8c4uPc3/uOf+tu//eL5+KP/8K/+zX/5k63TB0+f/N5v3n146+XbZ5eubb/7 + /tc/+OSHwayAdWCCgzKOmqNnT16HB8/n3k6WB2Z7rVemTdRVqV89v/vs5OVJQuXW6mp/MjK58Q4N + mhohgG0zC09e79+5f//mzZtPHj8+2N8fDPprq6uXdnYubG2tra4MBn31XkMQaxGa44ODO7dvf/H5 + 5/fv3Ts5OR6PhhcuXLh4+erq9tXh+rI/d8QMwPCAxk0EwOgc4bh5+fThfvP4IGg+HveLjZVxbpA0 + Bzh4vv/80aNDfhOqdOXKxriaVOQ64pMPIUwP9nefvnn+5auTsPPB15PhsmU4hqUA5lgWEMAiQOcI + DYKHKkx6chruPX/ze9/9vScP786mB6Ph6Pr1G5cuX91aXTbNtNl79eXj529m4pPR+sXtYc/mCQjw + PoRQJ4bePnn44su7xmXor/NwsxikIwOrh9BTIK3VzdTsvnhx8/PPHz989Ozps92Xr3plb21t+fq1 + K9vbF8frG2lVNoBVJAQO3dFu6ZtQQMIJ5keoD/dm8p0Hr45ksDLIN8blOBNnTRs2tvwaAtkXL/ce + Pnpx+86tOw9uvtnbNURXdq68c/naO1evLQ2HJs3BCIQ5k0GQ2cH87csvH718WxdNvraxtTauUFiw + n81Ojg/39h4/fX4qaTpeXd9Yn1hP8z1oAykhxVzx6sWLu7e+e/f2F0+f7R4dT63N0jTPi+rKleuX + r13ZvnQBgoYQCA2QARn2gH1oCp/4Y7938Obl62ev37489L3td7+ZjMrMoDRIobY+qh/fO35079ab + +fFgR9dvbGz0RjkyAs19bgJRA21wcnj4evfOk5enpjKD9Y2trSpFSjA6f/30weunX+4fn5Zrlwab + 17LCCsAhZOwZp6iP3r58eff50aO384uXr22MskGKxOj/809+5W/8N3/9e9/7/MNv/vN/5b/+68V4 + pbCEuc+EWaeY73/n27/9d/+P3/zZ/+7nr3z8Y//GT/7pv/jn/83lXgyiG+gsSgN6kGCO9k/pUbyd + 8+GrV4/u3nx46+bd+w9PTuuiGu1srb97defS1tpweas2UkurpkVoyB/t7z4+fP30zWFjqgv5+MrK + JE0ULnQZM3mEGagG1VB+8/r0zpO9L27ff/To3t7bl5urk4+vX/vsvXeL3nB/P9x9tDeHlONk9eI4 + daHEKWZHgML2Zpw/3Z/uPnz04PNbj+/d2337QrmphuXm6oUb19/fXL/YW55oQnMgwzzHtH795OGr + 5tGb4JOVlUFxabXKHYAAjiqBBKCezQ73jl48efHq+evdg6NPfuRHJ1srSvHs14xWJEUULRlbA3CI + 6e6r568eniRveDyarG307XIBAgI1DWYEb5URCN7j8PX+62fPX73do9KXq8sXro16lDEkwAVAZ4BX + T29Om8/vfnnn1ue3Pv/+fHpcpsnO1tblne31S5eWL2zBptLlXTbA0Ax6jEZwVB+8Obr94vkvffu3 + Xs6mVTl6752Pr195/+pW5tBADwBGkHB4+uzVmx/cuXv30dMXL14cHx45a5aXV65fv35p59L6+maS + JsxoIoeBzxw0tdFFA22gDeABc3QQHjw/+vZ3v//w0RcHh8+uXL744bsff/juJ5l1On17/PrJs2dP + 3mihg82li9tLBRJA/FwwNxLq06O3r+rHD/aauc2H7sr7O8HMknouTPAeM3r0fO87tx7cuXfz8Hgv + LezW6uRHf+gbZWreHvnDKQepyiIfD3t5isQAmCIchgZvD2b3H7783ve+9/LFc2GsbV64duPDjYuX + hv1Mum60ppOIjCfLNMfAFJgC8uLZ0W/defnt792uT04GRf7u9cvvXN/Z2V4n1If7r18+e7K/fxhM + vxxeWF5d7WeQACCA5qDZyd7rh29P7708dL3JxqC6tLKUEKDH8PsQD5nMkH75HE/vf37vB9/afXLr + 7eFBUpb9yXhzZfPdazc2Vzeq0VBNLAXXNH+7//LB3ccH+7MyH1+9cnmcO7R0BA1Qj1ADDdCAk1nj + 3tR2Op1+71v/9O7n39l/8+a0boxLN9dXr1++eG17a3ltjbJhzWgUlqLUteJcVYUAhHl98Pro9ZNX + u69O7NBNLrn+ZJBh4GDgTw4Pnz17ev/evS8fPnr67Nnh0fFgOPrhH/mR9Y3N0dJyv9+PpaCYfFiA + tIE/VZWGkyCcBI+TN/vPv7z/Yv9EeunkwubGapHAElRVyCvmPMfzO8+OXxwe+Xr5yoViY6mwQfxr + CfuwBTA4aLI3e0f37ty6c+vmg9t3/Mmsl2SXd7avXN3Z2dkaTpYkzT1zOL9p24J3iFBcG34q/HT6 + Ynf33r37N2/eevL0+dHxydr6xsXtnevvvLO2vpFV/amHsaj8Lg5vf+vv/NzP/YPbv/g5/cS//3M/ + 9Rd+tOSjR7d+6YvfvbP//Hi5t7Z2bfPCe9uDC+NRNolJQTdEeg4oVHE6fXt4ePfxk9sPH9+/f3// + 9Vtt/KBXXb186crOpfVLV9xwSR0MUEI5HEIJyI5P+dnz5w/vfv/h3e89efjAumx5ZeO9Dz9e3b5Q + rSxbmyQgDmH/zf6z3f1nuwc2zVZXqp2Lq94f2eDZMI5Pn745vfnglSbVZJTt7KwmJkRTTYDOD45e + P9/bfbo7ZZ8t9dcvb42SgmqEYyAJkp0EzE5muy+ePbx/796dW48fPphNj51LL12+sn3p8sWdy5O1 + 9TRPjPeip9BTcAJOD6d4+Xr3zu3P7927+fDhwyIri7Qwwu++/84716+sTTZ3nxzuPj48DU3/4nCw + PWSwawNUNQs054yGNAWOQY3X9O2cf/cHd+59/+aj23dHvf7Fi9sff/K1leVJkXnM37x+fOfRnh6E + frF8aW1UTvpioc30YPf548O9V3ve2MFqsXJxuW9M0JRqQw3qaf36+cPXJ29OTcgnS8P+Ur8sE2pH + tjdNMzvYe/X4eP/5/gmS/oV8vLM6SVJSNPvTWfP5o1fP9uuq6F1YGqz3S2s9mgMcvvjy2e6reT41 + S73B8uqgXOpRa7ED4E+nh89evXm4d7Tf2FE2ujBZXh+7KWZPf/Yv//Q/+N+//XiXfvq/+Gv/yk/+ + mZXVjAgcIIpQ49HTp//Xb/z63/o7f/v7t25+409889/+d//8T/7JH0t8Q8FD4mw0JniCDzqnmogT + qFE/u/34/u/d/sEX37/pZ76fV5trqz/09a+trYxPkN1+cfTshG04ff/C0nrfQudoTqE1TAI105P6 + ydMXd+/effDgweNnL0492ay3ur5+Zefile2LG+srSWJAMFSjOcDJq9dPnr04Mvw5CqYAACAASURB + VIehP1jZWhrng8oxGvLALJzsH9x7+ug7N39w+8H9ZtasL69+9rVPL21vFrl98vTR3rTOR5NisFTl + vdwhygDG45odv5o9vvM//rWf/as//49O19/7M//Bf/af/Ht/dt2hCACpV++FhGRx0v+4vf5oBBO6 + wvvZM9Szr9p/iVUdBTzBgMhlRX+8JlkhVV2MkrQdntA2b3kGc6+XXb86LEcrzcl+Ar88qqrOSSxe + 9JUvCZA0t+/cuA6kzolz1hjWoMRI03xlZTXPi+2dneOjE4CyLK+qfq+ssixbKPO0IjGRosKsQAgh + qCcNwqY/GJdpOmzUJ33NygUt4oweFZTQchnSPJtIapJsMhx+7cOPfF2zaVxK/UEvqwYuzyMfFcRd + AqRgStJkdXnyaZrd2LlQHx+lVoqs368mWZr6AJY48yYYJSCFcXnOm5vlsH/j+pWlev5pCMG5pFdV + 4/4wzRJwAzLohPNEnCnKJVdyj6ZUFFlWGpZFBz4RG9sfDLPEVeNlzkqTuMU4eFWADNJ8aXWDBuYw + FP0CVuJAGliXVIORuKRC4l2VZbGqCh9glMBS9IcrjrK5cD4osrT9tS2Ww3DZZHVzNh1kyKjqI+nY + ZypxCzGQOHv58qW11aWT4w9Op1OCJmlS9cqyLLKiR5biwEkCW5P2B8alo3ltQJIkzjC8B3MQIhiH + EJCXq5sXepw1+bDMDJOHD4v2Bg00HPQ+/vCDra0tPz201KwtDUf9Eux6w6WNgqpGyOWFQ6T1kMbW + UYGYPE221pYnveTqhfX59GjaBNg06VW9st8rqzRBx6wiQCLBDsxLo9GHeXl5faM+OnZKWZ6MlvpZ + kQZlEtvru51kdNpYiOkXcF0ITwAvtPg7XAABgIcAkvSq4ZXLS9Xk8t7+LBMaFdnysLKZIHbSEjHg + DJbG49yZ1eWlw+ms8Zrl+SC3gzLJs0RdUp/xFFqcKr63/VgiIgHUmqzX47WNRLQwhTWFm3PTc2n5 + 4z/enJwKaVGkVZWnvb6X7vdE3SHlLO9DyZseJ6UtcyNgKGgOClAHsAYiyk3Oq+tlL9i55FnhUhfv + 2mdFubx6cbDk57ZCNnALYk3UuiXKy2rFVq63JFmvcFTP547p9Zu9f/RLv3JwMrtyeWv74oVBVcRL + Eo7yChE3CWSMTyiInXuEqAnildpVD+2TbGHtRJmldMtmtSzl3SvrB0dHLiuKqt8fDMVZWLt1MR96 + N+OySKMyI8QlNkkg9nReM0u/VywN+6My31heOnz/XYfQz9PJ0sSVxfmEavHF73NdbVWyFdAXV5SX + dvpJf/n0aNvJ6XiQVoORMRbESZLJcFymSa4GWZ9zZyMb1Ac0DRuSrBwtFWYgc1Pmic0cNKDxtYEC + AmNJpFck2xc2x/3qg3duzE9nwpJYV2R5URZFnlvLi07qLpLWxXUu/jexYZPMa0+EqipGg97KqL86 + Hn5w4wa8L7J0PJmYoqjP3TUWVCA1YEkT24Nuba4P+v/SdDoNc+/YDMpBsjSSKm8i+qaAn0HYCvno + +0iR9o3tb/ZW+kFmsJxW0jmj+GlFkRmzVBTjulkmWSp6SBIAJ4skvhuWQmDD1lVVeWnnwmiyev3G + ByfT2vtGhMejYa9fZUXBzCANgZiUnSuGowtJMtT81PSl4MydZ4uf8WyVOug7BNXGk1GyAip65ebF + rf4gf+f6TmimRuvC0qhMk+GyJnQabVkANES+NKz0e8Vnn35y6fr149ncpXlZVYNR30oD0UtXsgkV + s2RYJBBAA5hIrCObzJugCmc5MdRfXR4kn71zbdujcYUZjZarrIzDpGP5NDFIGgNu3PzA1/MaySlX + SY7ltdEl9857728f+MZWVb+/5FwUsmnvVhFAMGkxHifBkJdxPw/OAVqj8TBsi/7q+jCbZz4ZVz04 + gyhRxkqAgMQ4WRn38+Tq9vryyclJ0zTOuTTNyrLI8yJLUyIiEcT+GrZ52d+5cn08Wfnok0+bpkmS + pCyLrFfZsvoDJ2tRp164CQKMqcarucsmrrFlapEYCAOcIh/1V8VM0jHKkPerFHKO3SjMkqbj5eVe + 7kYNpWURBNIOZmyHWnXMrTORn8h5T5NkdWXZmo9vXNtRf5qlWb8/LMsKANiYslrbdH3valNkuV1Q + DInAzKqhqKr1i9skpk6GPkutiTKsBmoBJoJlWhoPkw/fv3J5Z3p8ejqdO5vmeVr1iqLMbZ61kdZX + 4+WvfBcFWzRJyG1fuHBqql7CvYStBCAqDwYFqG06wGBQWZtNlkcffHRjVp8wUVX0qqIalD3TDiOn + M3shNin761tpX8u5HeUFDHeMoaRfDMolLVSapGcqc9gFYxmo8EAd0K+qD2/s7Kwlx9PgkSjngXuB + yrI/GfYhBtBG1UTWs4mdeWhAgDjN88q6LE83JqNjFMkoa1IQ0ABTkJXcDlcGTB9vJK/ylYOqVxQQ + gKOaHNqRlLBJbzDatuXMFD4d5AkQ0GhtDHr9oWVUs9pUy0lmu8pWlMg1kKQarVzK15bnNi97ZTJN + 6PD47ct79+9/9/OHZX9nc/udvCpNqgZkrXCElkyoKtPrZSCZ1TSb+XMzPQhn8XTHMe3S+8xRMh6U + 9sb2+trXPpvOGhWb9ctsUGZV7mCFqXWAyiAEEen1B5mjfABNJpy1nVVnO2PRKgECca/Xu7hV5EXv + /RuXVevUylKvV/QHMGle8vpG6sm6ApllgqoySQL4GLEMenl5cWu13z99753p/CRQ4xKbJWWeVb1y + aC01WPQNiC16S+ykZ4Mb9VM41/mPlqGmAKw1/apKTba2vHE0973RGJ22D7du6yv1iZYHbfPR0qpv + 8lIGWW4zt+iPiNMKSSEUBAjI8v5SPyl4TEWd9lyhjkmBWgGFQwICmdAv6MblpQuTD7/x/lbwXjjP + 82Gej1yVwdjQlZGoFYSMIgaMLKmGfKlwycrwjXpBOhmuDcpMgXkdrEZCk3JilpeGNv9g+9o70+lp + PZ8LcZ7nVa9flmWaJnH6opxrh8FXbCC1PDgFSLI8XVvLv5EmH7x/0fujflUMqyXnHCnIJb3RJMnL + IdJj6SVpq2NIiN1KsC7rD0rZrgiZyYKQZ3iWdmIiElpbMWmaXr20Pg0NnOllbrA0MuRHTquaiFJx + qXVQQQMYCMiwQb+yl7fdoCqPT06IuegNeoNxWmRBoQFBA8WSWGypAZgZYlpBLeLx0vijfLJ+4RLq + 2jEPekVVpUGVCVlWrq9fWJo0gQtyfecWWzp2X0hW9Jel0mQgeX+QOBGg8dAAcZAGxAIsDVBdvXph + UtYn7502NSfOpEme5L2sl2cFS4spA4B11Wiy45YPZhml49ThHCFwcWwjFs3WmIJhKP3og/evb2/U + 81kTFCxlnvWLtF8k1iY+WrNzrXmLxnSCRm1Ql+cjXnVpcSI9LQZIkbRZBiVZvra2XuTFxe2d09mp + Dyo2Kat+WQ17RWZJCfCgRhHaD6LocQyCV1YiuCJb2twqVmpykvVyg07rmbwqEYmY0Xjcd9VUm3TY + ZysxL4JGxgglhkf90l2/srG2/I2vfcLzkMD0yrKs8qLMxBilVi++nVfeQg+LruCu7Suo2GSytJKl + 5ebmzvH0dDZv8qLslb1eVaVZDkESj5lXUN3waVAlzS1GmcOgl+TvjdeGk3DY7/EFtwy37JGdAnPA + xZkXnecOYCA1famu22Rjsv7pO+/N6rpRFWvKsix7vbzsswViIaGNYQAmznm8Nq7yd69fmEwPD7yK + SYvRymrS78Far75RTojLslqVrOiPTZLmObfZVLRTzg0HycXtNJi8yMQKq3qvDREzkYgtq4EVShvb + uMr0EsPoYgyFwDIkTVaXl6siv7R9YXp8pL4hkaLsFWUvL3tJ4s6ZhditTJmTlfFSat+9uLV2dHiY + uMyIbZp6PB4OR302ZjAeZm4wC14GhsEBXcr+hwUR3T5nAizT5YtbG/3R6QcfZiYpit5gOEoSC57D + JePVDTtOT1BpWhWuZRcblywtrwwG1RjWux5yYyKbMP5mMbY3WLGDvLGNqbLUOUNx0YJ6hdokHY5W + ekXRnwPpkDMnMUglI8LrK+vVknVG+omY6FDEIR9NVrJM85ntuywvHJShQSnECC7N8tFEkPePa8kp + K53zs/nB4cunT54/ret6Z/v6xupGr8zqOcRBGKQQgyJPV5aGmWXfxEYgB4A4QE/hbaPWE7MEooZo + RpICBspk0vW1FVvg0oVN8jYxZa/Ix+OBJNZRMpkkdi7cnOZZ0rbDa9faxZxl6cb6alX1rl67enI6 + r9VAkjTLe2XeK3KXREWSAAbYIClHkzXTT07Qc0WVJ0wRB1OClbyqLiY75WT07kcfotEizZdG416Z + GYPVtY2+V9jEZGli2l/p2yyRpqfT25//4MmjB4BevXZ94+KOSyGITClIq8PajdD848e7/SMcU4b2 + 5P/+R9guRQyFW+MJYuOQVz2bW1OeukS7SIuJwAIVEFGSTDJb9HP2ywaaCMWw4Q/74PhiQNm68XK+ + +LkIwgJkrbXWFkW5vLzsvQIkLGI4ktWCB/h82zlUwRwlzkM0wmCRvJQsdUqe0lrOrHR3+4srYoDY + 2MKQ2ETGQ2fha4TglWpjObAJYF00OLSpYACIWYos2cgyWZ5Eyed4UU3dje6MFC8NMYg0FgOLQW+E + 0GfUYGqahlmcsR2mgNiapQQCw2SptePczSESa6QgBN96OmZOszRxaS/ULA3bs9BeFSQwmVTJKHdp + SF0SVSMBUhhr8rJyaUKuMRk6ObUYrpFC0rKfWBesSmLORqZq6+tMwtUwS1MKTtOsoQ6kIlkANUZo + NOyPR/22ix5RnVqBEJT9YgdAmUyWJklig0rQBVAaJ9oz2IACXNYbJpnN5tYxgzyFhlgktoeF0KSJ + XSnsynLRxp0hUPBQz0laZS5FjBpxDr2PwmAizFXJg2KJJkPSpoE0JCpdkhTnIUbJA1psFslSs5L1 + eDg2Cm5xzaDwTQCIXWJdSvMGQZHaxdgcpbavtpsUQwv3TQwBWXbJ0qgoh+m8hgESguW40+YAIhzA + QJa6Ip0Mh30foCTGiqjX+QkLqzG8gFljn0KsVFCbV0bslUgIiUttf5QZZJxwMDAQGDuuBuxVQ0MU + E1k3R5fTLk6cTbNSxiaFs3BOEBR10BrUYn8aiODgbGbKlLkWgCAKaA0EdmluTM6moWRGpn0Sii7p + FyRZ5YzNhZ2IQusZE88b//zl62buSQwTWD3BnlV+SQ/evn3+/Fntm95wvLK+ltg0lvU1BMCDsJjD + AiIoK6QB1CArkl6xiuUBNKiSJyNRbhkmT1yiPAOgHfwhwmKVTZReckayxKRlvjTsh/V1o8EQwBK5 + eIst09lWnFv6Lq0kBpvWHDk7Sijtl+RLoeDEw5gouSHWCZVw1pIJJgum1bpV1QAwGC4trLXkaumy + rziWjQhkwAZEiWFblYNeGccE8UL8F2eRZGeiW9WErs6wKPCRslFOZg3VAcaYJHH9PBtXPV1dJw1C + BJGZoP5KJhlnIDFgQIbYZgmlzq2trISgvgFAzKQGkaBiAYQaqEEWZD2ogTHajqUve8ZCOiw2UnKi + YVPYLLHjSeZ8GHhAJIaG8dh1PoOgccI0C1yRuyLvy6pyAHvvQwgusVHZt9UU0xBUmRlpnqSZQ36i + RiNPPBJrOsCmvdO2+MZtuq5gUgaJgRsUVZWRrhjyoIDTY1CAq1RaKxF3aAs3slBi19bzFZZY3/aR + LhwCrCtHZSrZtMMm4+2QWIitlYjZGSOEqkyXyi2htUDBwwMsMOTbJQ2xr5gNJG04UTADjkJq0R+k + rtgcignGnhJDGWileuIbFQHCMElelEuSeEZq2AiCJ1WIMtK8sqkNRSNw0QzCazuDsvWNaebSLJks + DQG0vxrdmW43InU0HCJjqtGoGo7Ox4Cq2gidkQVb197Sdr46louRljnnhrju5jpTrHG6AsYWlDly + MxO3X7fl49KKUF4mqUvUNJzOpT0+i9fZVo8hNbUds0xc5VJk66rLBC8iDFEQhQYscFk+yFMkNQsY + UTQ8IsIAAtRluXMCpVrKOgoMKaACNSBiIjCyzOXpErAElRi8dbM74KmbbPwHMq3usjWq1AGJk3Qp + zeZiHCEBuhH1EcHRBW5ore33k/6wBK0jjmtTPTe9ucVtuV1HQZKXrkxRzJjArckCIIYyNkvUq4WR + ukAcdM6I1m4ucImCEiPlZm9pSVXEpIBRj6YGE2CghAYGZ3I0iAU5wILYGEBymwh82eN0ZuT0961U + XsFYJ/myzYyBtGRtCLeBCVQhDrkMM55zWosjgjZBQ4CyywpnJG0CklK5FX7uPsGAUrGun7geO6/e + KkHNfI7jk+nhyUHWH4PNfM6mYTbgmNrpDBoO9o/39g7Uh6rqjccjWUxdPwfdUmv2WgBXoQYQZ8vx + mEZDgKPMvbRBvI+LzPG8A8RKYJflzrLLTcN9z91ZWNxFuwnbvWMTN7JJnveMgbUcmrmNB1bZpjwU + UQYcOM4KBxMbBCBooCZhV1bFpCoQ1mK6DQYC13M1wsoRTuhiSJeXJpcsCwaZgWjHDzzvg5gltXlS + 5H0zAGpGLBMK0OUcban2bOayMsRxmQ2pNGLFwGmrndvdJpQo4mJwCUw/zbKU8rntBWmDMCXoggNJ + METjqjeuSmxtAASkUAlATWjoXIdN3NGBQBbEsITcDHtZsb5yCFJw0oWmqhLItqoyRq2ky73+ElsF + aVCKQA6giohvnsOm/7DTTdHlAcRiTc8i742JxswNQzlWOhQQi7znsmKExMKSdBezKA+wS7LEiSEG + DDxmSqA4liAQCCY3kyyd8PKcqWYWxDa1JmoUi4oKe0EDBEUgZjIgMo6Hg7SqqjpAyYhtjW78WI7h + YMdi6RwcwUftS5g0Wy3KpUnbQkNR5EQbgI1NjU0yhVIaEzdabAoAEHJpzxhNQDZJOeJ+gVSZTUSD + FMgdqrExoy3oGihAKABQDrUKmXhMAuDhDTHlxTDNknkWgeY/oOUYT64BMRM5hhAN1iZ2fQLVVlCN + QBoQ6uCD915FqNPqaY2KxkCviyatg1SlTRPK5856RtsfoWAxedFL02yyrMTELB40nTdiEycgBIQG + ZLsYaHF57VhJVZA4W44GhWongqudTw6BCAThrFcgQQ6E3NbxssiCEsThFtDUSjocLg2HUZ2t3WyR + ihyH6BJx66Nxzu6c285xWh2Lzdww6w0nBEVkRC1aRhpA2iEMDClrreYeIZxY3ksdUmf7o6vLRWrr + EoGRYW51ChvA7SyHdmNJl+kJW9MbZL0BrxHHHqM26aeYl3eQPQEaIyE1jF6emHQFkwF806r8Jaka + mYEatBPJjDM9a1yRkYHhaG+YSKEEtllml2zeiHExZA0cQmjTchHJ8tyIUedN7k2MFVsQlhRCEIEt + 8l6R0cqE0e14pdDqBkdjSK1NCgRSJuSpzdLllckohGDEAjyfz4xhMaQBSc5JAq/SuEgaP5+9nqtO + 6MKnMsBEZIUnw4EZLBkl6Ww2ASCGOPT6FcqCshnBLMJmY2zZs5qnZGuydSd3076VDJKidNaoq8ka + xoKHFyNvZuuKyqVZGshLGhYaKWREMOinBYOABPGUKUhg87yfW85qk3Sj3UNAEDWtx3FF6mBDUbP1 + JmNQ09Qn09PpdN403hlrWaSrE7Z/e52eHL3efVGfTrM0WV5ZzsoiLgRCnG/qlSQ6ZyAltmiR4lAW + RVqsr082CIlQ2vmcYIj6pdgAatLUdo+7rQYxQBDJemXW6y2DEYVlF06ho1l0C2RgUqpsP2QpchiW + VvcgHiCGM720KobVepxwFeciIBD5sldloFoVhhf4V3dypvtHB9/+3hd3nu2ZpPjg3XeubSxl3fMH + AijEZ9qEuOkXJ/yPy+uPRuv2nIGkxf88+7abdmgYvp2GACaBSWEolQTdGWZADCNEzNFDQUAiEEFc + 8v/PFy0Ov8YBi3E5qQv8439EIiQLxogitC8VI8zdqLpzitVERFFdN44xVgKxkDmDJKjzCoou327V + RxmILMtYP2GVEELwwfsmxCmKiHze6JwNFMFrgCcWBmJchdb5tbcnJGQs+RiyepBEMV7i9tSLM+2l + nYubSRF84zkICUikla0CAUFDqJsYHbNpfxesWDobEayd8C/YgNjYpIqhIy3iWYYYEpOQlYU3gjIL + KdTPSayjhGH9uey1Nb6tISA4TuG8CJ81bLUReFyX4ANIOUpqdaZUlXwIPiAQg0JsIGASJuazMacA + mLSLHUEQQcaGbXuhIXgPlnZQE5FqaHxtTDeCo2l88DU0OGvBbQW73STMce26nEAjvEnMgDHEBIrI + cogqfxrYgIhbqR9mEAevisaHAJBjAUdsM0bVFEPqONerc3GqqkSLFKW7s/ZZCsgCTfzWEowDA6Jx + VgohqkSq+qYJYBBY2FprFmAkCZJ8gXlQTBhiiR5nV+BDvAxlIwCBxaUC5Vg/pjZUIhgmjdGMD0EV + JAJDMBJvEgBBXF465eARCI3XOUJj2MUwQRebRCgOKfQBPsxV50YILGALMMMsskONcwYR8e3AxIkR + jRpxzkF9mhXrmxfv3L759OnzB/fvl4Olfr4a+bYRP3t47/63v/2to+nRu+9//LXPPh0ORgbwtRp4 + sEfUcG2Fhw2UvWKmaGLbeCwKMROLIQMQQjQQzARLgII8FEqkAewhtde6rpv5LNRziBCJs6Z7Pmdm + ls6t9lehnjbBFBYiBxX4JhYKkgi0RqhxkZ0Rw1gIGxKQ+EUgxcywIAKJkLPdZwlADDamXS8SqIJ8 + 3MSgLlJHi4xFe8iIe007/mDcUW36E+M/JePJzgPPmzCfz30z9z4lkBFu7QO6AGOx9xDDl85EECXW + tpfFxC6S5QBAG1iC0RpaAw2IA2wNkLLxgiCAKKhpAZKofACKfip4goBTiItlcBBUPUIcatAdSG4L + GW34qwBFUXYYESVZLB91CY0GryGQAizEnFAHmCrUI0CjHrF2AHjr0sQSGemImUA0HkxwgId6ZD2o + BxlQS59jAamg6egGTAgBqiRtj1rTBG2aFB6uXeigYIoHScDiyQQSFuus4XiHDIKJwWOjnjXEaWho + 4Nt4XMAJbCHmUADH6gBjEc0dG2OBEFDP1BqKrqgNmkGAMEmWkV/UNsAaa3jMLEkOqs82sQfQlXsB + 3yDivy1Q6xFUvfeqREwsLAjRasX8g0jEMLUORgO813lTkxVp5drjJjuDbrGgwYKgUa+aeVHGi/VB + JagBAWKFIIB6NB6EQF0RjNrZZxZkhdkurNa5M05txkQAgQ2CwquyJzaW0QGD3U+ydCmEMMTFiw7w + QaMTUmINDDEwAMiSpQW0EaFbphbJ0dD4pvWh5+DkoPBBPbySMmKZ8wz+6tAZba+WUoFLyMgZjhb/ + os4OtE+1aWpVYYljV2KwEdQrqRoWiuJp0Yi3RSUDMoZIu5HU2lbXAyikuTNsToEGqOESnYEUqNHW + wNjDNZLQwoRqED9jJY9EpXW15GMB1TfMQEIwrU8nAgwYJKZTmW+TmaYJBhbCEMcC1wELTK0zRCBt + tA2+SCzZ+EgVyi2D0iJhl5CSbbp8ntoFYChPZycwc3FhfnpC8GIkc1V/MBpNRrtvX9x78OWzp3ub + NkcPCCfgE6jHfP6D79/6nW9/B7PZlSuXPvrwg2TBGWyN12LHabeLOfgQq0cByqpAiKh+Z3zVB8Ui + ZdIunoPApMY4gWu0a0fRcw+CuyGqgUBkBEUWN7CykdYfgGGQGCgQOgyFEJUHdK5NDYVRZQNu55wy + JHpWY4i61JdimZEIbJiMY3h0iFsX5IOoI052B45aG8ld9CkICg4IinMDYgkIBDWwzonNIvKtZ4z8 + CGsDDCMIAWogCcSAUscS5VAULXIeFOQB36ifCRowtW0BRAGYK/zZpeGsjh5AC8xJABurDxHSa08c + O2HkQAP1UB9PdlBSYmGzgKuCoqmDKohYDJjP7M+5FwELrJ/QCmXEPwIEDXGIIAGMwPAhsMZ1jA8z + hKCqyjGZYupIysKkMZwg0QbU1C16yk6Ya0ABD2Y4ZxSkCCEiIxG61QUFVRUIIpaM9d1Sc4wZBcyy + mJLa/WndObwieIXXhT9vEzhqFf40IMTANUCYO93QLt1jgAybXiJ+kVS3GAVUUfvGa4IzbCSuWmjJ + OtISYHxQH2of5uJAJGBJU9OERe0tbmu0Tql9qK0bMF16GKOrLm3U4MO8bjxBxRl7NgIibvng46Sf + OOSqpThYSkCI9sf7brazavQFxDGxlTSVtgKCKOBAyvYMDeiumDQSpUxk84gqtFGgCaxMRJAub43F + WGaQRR1PMDuoAiY06sOcjI0d0ro4DIhQZ6iDj/j92ed/Zd/G80NAaLzK4uhrl94s6KoBIdYmGSCD + dFhTdVr7up4aehufn2AsLmYgACMENGSDjznA4tMYMAg1QtCgAaQkIBYY6iaCo0sXuTO+RAwfvHr1 + sSVFO1A5hrgc3yVkFr1ssUjaxOkWDI50sxgzGM6t1GdpwvkxQQQ2cOzIekizeFxsQaatyWlkqMQD + 3R6XoNr44EOw1rWBjxoERYiJpILIGCY27cEDpWnig2+8R4AhJgPp6lUMbocl4g97td6VCexYApiJ + YuHq3CseKgMQU0c9iTFNy3UAUet1GIjziBDihzuQjXhFe+pbdJraTBMWImyYKTLDFFCQxKECCwsZ + 1GvjJT49ay1bIpwdDdbO1gNkwJlYw5AaovBgTlyapHnT+KdPnr7a3T058cOJxGHgqjg5Pn705YNf + /j//8asXz1eXJx9/8vFkdTkA2igFhhVhjpw8gqXW/AOqwdfMwbSxtl1s75hGJAxhcKQUtIZyMVIi + uhaAuoQHLWc9PjmKGbRw6+3YghwoTagdwNvGMwwE1eB9ZKkYYWEEbZo6hIYJ1oowqcbihXhE2ACC + pvGHb9+8+qff/s7d5wdFf/LpRx9e35q4M0UNbnVjmKAaYtnij9nr/y90t3TMkwAAIABJREFUq1/5 + ogsEvnIQ6fzPdQaH24iIIoEoRniq7bQ+hppIt4wCNTEe+EMYvd0HaOvTWg6iRg3/c1l+CFDVBVM1 + fhq1oGzk30aINv5TNwYneiliSDcxJigCQvDKDcScq4V2SHEs2MUYRb2SUOty0QUCBm1xjQCIcluA + XFhUsAFHNqKGiD4xL7riY74ZcbfoOqgNUnzwIdQagjEWRPBgkijJ0HIbiUklemGlRddnRGwtabdy + 3YTHwBozlfYJM/ugElokBgAt2kPaV5cwxyuHUtu3TV7JtEiCdj+kTPGYt9/FzeBViYLhOEeJITY2 + vHN8gB1jJIR2poswE7GwErfWOpp3hfrQQJlZOkevFD+/m1kGH1QDS1StMGTTjgMGFrIUmf/wCq8q + IiaOoeN2umzEYyJi343qagMKCl0dGCGQBGJlE4WeEYdUUgdjUdsJy8wJCCzdQkSnJdwGK93qdy3a + SiEmUwuk8veduXjKVH2jjddT4iSaVWq3ckx72HSFtPPv1HjLxOggU9EWNe1KKKSqqhCOZyN0URBF + e6qqPoS4yk3TtKgJESCq2jUTtjcVz/3iGHFbka0VDci2IetZZLrI+mcML4J2kKcKGijDSIsLMhOR + 6ZjnbRwZag1QthLqejQa/8RP/ms///N/7/adu//tz/3c0sr6aDTs9UpS9bPZ0f7bL+/du/f6YHVj + 7aPPPvnw44+L3FiAhIQEURBWu8Q07r84bTqe98ajngMAW29IxMaJYhpaVZUIS5ISQD6oB+dlZa1D + qA2rxPL5eSIMna3Q4u+vLD21hE6K9gIAM0AhtBtHzr25tdjapTDanCt2URcykgJeW3xHGEzgxR0G + BUNiANyZiXZzUOv3F9lpB3h9Jezqrp3rgHlgl1c2yZrgiSBCvGhP767rrJzW8oRAStAIIUXMB+ob + IoGQB+aABRKBC4vG5xowbeZMUDExEJwFnXIjQK7Ghc5eQKGMoAgW1EKaqioUiKJkXFd2atEIbfeh + 9wFNQIi2mtj4SCkljTgMQYN6ALGQhtj/1PZ1xod8Rlpr3VFr5xkUZ5qfs8wKDT74hjRYwxH6iuov + MQknIhLTilNHHwOtgw8sCohhw0m7VKpEZNu5SYCGugmzOgRICOqbRqjlpDRNAyGQhOCD+tjSaQQ2 + HsdGMW1OgwQwadD5tAGUAD9vfCBxysQM59pNHm+3uydRkPozJJzECCEEz0DshWmhGg4AOEozBukk + 4xXw7UZUAERCJkalMR1nYuZzlHz4RcZJIENGom6FEmJZYlFyiK9ufxBBbIwufNs23nWbgwAbFYZh + WpZclOwmAhAQos8+Y7Sei1bOzkb3D9we0nYtybRnSQNC4z0RRV+vLfTaZoLcHuWzqa7E0pafFaqB + IK02H6Tt8CZlBCU10mpZNBEbpxYPFyImVvLcVfHaoK61Th10CwbFDkU97+djU8vCk8WtKtGIxxw6 + hhEs0XxQl4p0t9M5WUULNcWqNzHgEeZoahgHmAaIWatly5gBNfQUROCiAZ2i5ZQZQMizqaGNiAkw + kaEvCgNVpga2AQuMkGkFcjzFCE65JhYDaUNPMagDPINYtWXx2LafTBGdu+nK3UoxBBWAWBgdWqaA + qtcQS3ztgre7QlhykrmhJk/VBAOVNOltb+/8yR//sX/yq7/xz771O//5X/qZ4XhtqTjMZTeXg/o0 + 7L06vH3n0Yt58aN/6k9980e/eeXKhrWLTHKxyxa8pxhQiLC0bIkQS03nsJIu6mqlYGmB0qB7O2NR + 1dZz78L5n4EGH9QTSRNqH2oRNiQcm/YXJ+HM/Uls8jDxeTCxagg+0vxoMWG6bdCBxOn2RLEIFalk + 5NEoNGae3N3+QjKiKyi0rVltKBKPf1BwjFMW43dbyIAEXUNhFzy1lxKg/myEbExquAV7wjyQ8wx0 + nCYWxAJqK0DWhhWqX2k0VAK1B5YBNQpQ07T1Io0GgRkWaAfAKsNDBID6aIOImEERbCHIYnSR2A4m + jy7jK3ncefvYBh3aeqpuXyhhUWWIOmAkhuTMbgFiDOk5H06x7laDPbVZhwSBqCLM0cyhaIyrOyYH + AyaAtUGoEROrCMCBFYbg21pwq8uLJsQ9sBAKUNLWEgaFDyB4juiYSQAikuB9AwnxwUfjyeBomoiZ + NeLz2o0aIF7wKKAEDaG1VwQ2DLXx8Bi2EfVvuwQ1KDwk5kbd7gGESYkVXIfatMBmC6KdSwAWm7az + tKrceZAYDIQQQCQRWjPOsQkQZV6E0No1NTKRxrpXoO63ISoctGmFgDRmIEoU5xOr+hAoug4EVQkN + tBa2wi1Idd6bMUHJNIQGMAoXGtI5kRF2C/GUrnWwdUw+IHD01hYMKDNzQqJMvvWd8UChM1rCANOC + GLa4gMVZ7ZIkFuPiVEhC6/7bvKU9udwNjYyQuGYN9SEuccesr+sZgkMQkQCEOWgGpEzWkmXT9hF2 + yxAzXYmZgYACEYhVVYOPzm5hU6kzdQIGs6AzgqoIvo1qmKBBuROrbYPR7vF1FRwmaWvzQUE+CIfO + wDGd3/6LFWZSJaUQPJO27QLa4a7MnVHVlgfDLIZZ27Gb7Z5hA0CIpE3uFUFVtQleQdZaZtYAr8GT + RuPTeA0chPgrMfSZwYmLFj1FQAwj0GhA4wWhvfpY0l1At+rbPU4cLWWEn9WTBxNTGya2jaLRr3FX + tV/kwBFkaM9CQBCFBvJKDdo8n0GsAdoyGEBMZE3rKKgFK1R9nFUJInT1SiigpouFlOATZ0ej8Te+ + 8UNPX+BXf+3/Je9tey3LjvOw56la+9zbPcNmT88Mh8MZiq8iKVqkLEqKHEiRYARJ4C/5mCC/ID8g + v8bI7wgC5HsQf4kQOIEDOgogKbYTRa8RyZnue/aqxx+qau19bvfQNEwlgXjQmLn33HP2Xnuteq+n + qn74X//jf/zf/nf/zVvvvP3k7bunw3xe/+rP/vRf/os/+cP/7Yfvvf/+9/+93/rBb/zg/Q++kAIm + g+YRr65DhjF4kYwhCGYwGhCIOWfGDO7MjGaqZlWdTU9xlo5rnv4RpFlKNWtfWgOdRVH6US0Gicxm + qUSziXSHgh1dI8bwUhwEEIMQ9l2XKeSIX+DhR3/xr/74T/7oj//l//0wPvfRL339a1/5+IvPLgOQ + 8EDcEZiJQaumTS0Wf4FeP7det/1TxxHP9kY62k0HSO2aErLbmDrCFMXDWqRjjNQjyzR886vpqoNQ + RVmn7xTbUAdsUKrEzIEqSdSPmhYOc3TZUjDlAHeJioYdHI+bmlsVvEI2h1iCqdQFMj2Rv3oq25MC + Oaomm9811THvjPXkKMaWAfW46lc+Z9UGAVVuEyQUJlmHuVflckecU/PXOWZsLhGZJEizkBRR3fPU + gYV6LfhG5tzFGswL0cQRZQynpM5f1nMmaMEiTQGpSpIsWx2xPwSySnKivDmE2iU8yRkAIVFS+t46 + MLqlXmmlX0IsO97gjbCFMqaC7BQJpflQLkSdbG57Bug77l4dvVAAS7W4UxDTrIvmixasdjt9hgVr + idKJNyJpDRw/jqgy7zf8tkyHTI3DhUR4TdNObn2JbORUkf/0DaVCkCa/9tLseDCqc7a1NGUmFwQs + lGeSLBGdKSELsbJ8MFbDs1O4r7EEzUQAksQ5VueMeq71GcnA0sipbERRhIyMLosjEyZYaGhDSJMA + JhTx4t33/oPf/4d/9hd/dfc//JO//Ov/50//7M/d7Z3n74R0ffny1Sef3l8uX/3qV7/9g9/6B7/9 + g2/+0peyVUVbBiuWrGVHZuI3S8abKQC0CwyyfRQTGO0HgZf7J++8eP/r3/zlFy9emDRY1T0hRXT+ + 5NicG8v08Su5OKP6eXpxqhtkhVFUm3IoZevGbfV2t9TDqpHJXSy3wSsvjMmS4kQ/nc4HeeySTkd4 + XjjH5cnnnr/35a/98nsffAhUIM+I81DkBDU0QKAAzB1jrDBnO991ApmR3whgL2kZA/JZji3odYuh + hwteWWQapyRcZnqqbROYILDK/1kai1Di0ZZJQ4LV4mrtxbEnyWNUqSFmcqxYzAqweVT/a5mfCdZX + ll4kxXf7nDoZBlygYZCaAjIbdiiazC1Vh6hCfbbpTxvQDgDFVnndgODb5XPPX3z5q19/9/33L5dt + eLufmXAi07NN9TWAp4ahFPP3d+9//cOP799+/v5zf+COOSC77MqQXsGPMuzQ1qGppNYRLM0AHWwc + I1UzjgI0HvY1hjjTn53CDULEZLn9RMudyjGg9KJZpfe1vlkSu+7VtGupVqtmvDKHZ7PhCLOQp7YD + xXZUHCGxR4x8MgGAM/+DaEVBVI4ZSK8aopFUpCfYMYcTBzKlTiuSEsW9WlA5yKctLpZwFoQujW8a + XwHFx6ttrGPBXNQmnFrTYe2iJW2bmdFaW6LM/DKiUoMJxoy6g0DkwiI3tWwJ3awnawUcgDk4gL3P + mGi4cjEPgc0qnz5hhCnFbxYhmg56mi0NfbUNMmBkpXfhpHJ3jjhoKzCADi94YIRKW1nFoKTynAMB + ZV6o6aUJbLgHxy6R3RX74l/+pa/+R//hP7rud//0n/3xv/ijf/4nf/SHT8bfPLv75N5+sl/nj//m + J28/e/H9X/nVH/zD//TXf+37776DccMg1gYFDox7kuojIX5Q0WwhBbaw6iRsf6HaT+NMJCfuWR2u + s/3QlCYqiXmmEEBgJFQgq8J4BPg7aZ5h2YNF1SbgEY5qd141R3nxws2dTup0HVw5txQqgLaqdQEa + 6GjpndR8um4mFzODJsLBe6RuqIBwBT5z42p3OU7Sn8uO6FjCNIyuVcl4xnACcQVLxxNhiTGNyuAE + YfDiR3PQ8mhaKbE0Va/82IU6rxaOixgAJDhBcQTN9ehbBhpJPwS08p0qv2m66mX2thMwh5IfuUR+ + 0x462RyQXzohFHCD2KisBDFHR6BK+CigJKE8SxWQgQ7fQM/CKaikXfoXiUBhgsgEJF+ozQ02rYEU + mBYRK01IVvmjmSsahJ5qXbSG7B4ESThN8NA+u3CUR7eEk6ZLcByUbsAb4uy5VFJwptvRRHk+zM4j + t8wWke3011EvyFT+lYGIdRR1RRB0GH2VnmT2Y+mW5rTj7plyw7EYKVYcte3NlOKW0K18mODJCDhT + HO1WcJz/e/7X1vuJltfa8iNl3Rd18v7z73305a98Yz5/79lT7IhIJkRJ+cwNFDy/SLm3t0A9FU4s + mtcOCFG2X37x2E6DLWdBDGYitYR0c20tOCBknrOere253PY2zjMRnk7HSUOmQs0TNkWG1zpJXES/ + dkc1bGEBUleUp0PADctdBEipeiMBGSINkygZFYKCEbB2nd/4EhZ/sTAUlTQpgq/NyAbcJMLk1uyY + fn9xOcIPDUZlf58mzEPBFx9QYFQEp8RktwJpeZ12cinoweyLUnyReV1FJ2xPrjtQFy2eNntiT975 + zd/8nR/95HOvHj7/53/143/6P/+PGnr7+dtPx9CrV9effELNL3/05d/4jV//we//3te+9hUOTkDT + OA0+hT2wEx7KzAmNkk8SUHaDAVCCrUP3kZ6hEYiZIgQ28rlwftLOCb3hZNZOahjsgOiqLSMpyrw5 + JbigAvhnxkhBa0bqJIPi+umnP/rkk0/u33725W9+8Vd+7Qdf+uIHzy6LgfPG6oDQL2K3BPyce90W + 37IoFDj2M9+ww7zDSrckiCnPGG2PiUYH6LaCIEvXH7fC4l8Ap1qoLKRrAywlB842SXLN7brTsFMI + hCWIZX08ElNohBnNHBtPkjRXjUXktEpmF75O5/gq0f550Tliat8ngDE8MsbF8OEsiCNDerg+REzC + LttltDcWkBSWBjcxho8sec1l+DlfL2IHjOapl6wFrT0i+vSZktdiRuwx5T7cvPVKTNB1CO0j/thb + 4FDWQK2jyVbeQiimlft1MtiECRpc7s6wakwBgOBoQyQK3WdGwp3lUkoxA1LGM9pVNSe8VaLUY7Dp + qPQbgMwIV9MJVO/FKHcBgiZlwwqMtJYbAWmHIp+2cmxNbGkH0eDZoesg3MeyRWGRvjtHOxanAxMi + Yha20MDG4aHpukMoZXlFx/iaqAMknbTNcb4sgDmxX6/uMktU8gFXqF0nBe6RbalghDdM+DAiD92e + Mj61m5QMyKwKI82y/81aWhZIZRo3S58Oh6yMRAIcFWi2dcPcIStTgcatYlYrCOVHLdKK5BEriQAS + wwyh2K++jXfee+/5i3f/q2/+8n/xr/7PP/iDP/jhD//5X/zlX7z19uc+ffnKYF/64off+ta3vvLt + b7/38ZfH2/dPAHsAkBUduxlsa/kpL++6I4yeDIi7zIknhBSZdskeEYGYKkPL+N77X/ju975//9Zb + 3/jGN4Ynl0adyHKrrCTom+mp2JfuHtKMlFhmiRyxPoG2rjLQ7hWmi/rHZd1ZswGGtQfbTFk6loz9 + IbSbbzSr8Uod91cHIuHVMOHG4m++yN8//8573/ru98b90xcffuhZ9dpkXP+s7J2ThwYZYWOliNPn + omV8NasqYADjCj1gJpwDL4GrY7VKy00ZFp9DZBL+do1WQ2cRUBCTzX4AxBBDkKE6t1Zcwsy19YWq + TtMqkJdNI2PlPJp9ehRJG059UIqKuzNE0rM+ispmCyYQpLsnl9Vurc4qOpmltpINADGsYM17gDER + Soh2UpkUoUng+Yv3vv33vvcf/6OffPj177545/lWbQQwWqxcfA2sABNsKMEG7l9849d/7/fe+8tX + T9//yov7kfXD929vK9DeVHCQcqV1HDB32CzDIVJIeFfYRsaM1HSbAS9IsAimi1xdhNgSk4rYZ1zn + 9LG5j4gyTr3jm4e6OgmrI+57Ppvax/birUq2eKZpduVPw74Omk51QWfO8myf6XX24M1v679CUTec + bqMOIkleNDEQWfd5ID7PF68gCsk4vXtY6TMyHsxBcozi5Zm6kaJ22mx3tb+bTncr2qh4Gd3opxur + UJzLw7WylRCrQ2h9VorVzapK7pyJDTFAaT4eea0IGYnLSPGUcFcn6BuQCMgoOagKZR0nktZKzPng + gonglo9j65SBHdpBx/Dk+KJUyKsDsGE4RuW6VxlmPstJNzOAqUnAqGTnfFjSUpCOMx1I+5yUGcwd + ++SnL6e5NHAZgOnDjz7+8Etf/7Vf+50//KP/43/54R/+0Z/88fXTP3/+Flyfbpt/7q2nf//Xf/Oj + 7/z79+99/NIQDx3V7sW0oZ7ntzYzspDGvY01ptaYc15DQciw2RIqeeiCVqHZ+bWEXJkXloqKMkiX + bVwKS+oCoxM57Oa7BELcZ6ZqlQnNaq/vzpOFsLiGiEyfzwiZGejZfvmWn9KsOFbLRj9nEP4g2TSZ + I2DBHhruDl7QWMsjUpW2VAbBENAOuHMD7tZ93EFmvf9EzIhJyCqRMwoAxZURzi2cwEQYGuKCigMP + BBEPsIKfOWLAcrlh2T4VIwG/ZQZlg44y0SO0z4gZRrr77fmtxPqZIhNuOWfslAmex6IKrSRHWtp/ + +QSq/yLDm95oPjiMNjEnxNAo453ZOBW+baf+/nlVKHdAECzK8trhprmVrCtBNlaDfuVeBAiG07BZ + yubMo3Q5tW1jKXB4KcEZKpeoHUauynUcyoEgcHFGJGgjVi5XIKZigge4LVXOvlLTqebSXIE5NJLK + nSpQN09US1TSKysnFCCKS0naoeaj4SkVdKMth6Eu4ycKAyHG1Jza91ewLNk0ZisnNiKHJM3beLCs + kQVQDaQAYUa2BmVELLQNC3jUrY2gdAhhFDTnHClajVWCAwiERhfFRCA0uKCg6VAqdGWbx4dBtSTB + zQ+B7BEBssy6k2qCZll2SRaQsMcXv/qN3/39y5e+8+mv/PLXNitrTATHQETGPzssWHavZV7T8PK6 + T+o+OzlkaNqM1A5NRIADHGLZLsgSVcvOJgZsZhiXghnwrKDrXosc8lH22C29IxbW14FAEIyAH3W7 + qXxzoopIjGplkzJFsc+Fa2PmOpgPerba6tWl9adX++Cbj8Nyoo1RDQmyCT+A6/Uqp5nzcP7OBglP + j11N9ys4fmMneWFoH+mdtHHKdRE006ZhZWLGeoj1YMv/lVB8bxo2jlSTIiAovHrj1kICuQcTkqkw + 76p0Mc7eb0TIklmcMMTA3L77a7/9rW//7n/2n/+X//0/+Z/+2f/+v/5ff/2n437zXS//+sdf+fCj + b37tK9/9zi9/8cMPti+8/2rbfgRcr3h4FXcauMgHB8NgmlCmpy+EtXPDzY3ud3HoaZJhmKlsJmZk + UpSZKg9lQ0NT1isfW4R0oSSFGys55ZfVDL7iA/2VGfFwvY5tcyvAv6DQLBwM02Arby61Z5Sq2Yfh + nffe/Qe/+/vvPPvyt773nRfvPB/lrpYrWoGDZKejd/8v0Ovn0+v25pcyiZY9dGAOEOn7kqt6t8zG + OE3XJOii7YIpLPNPMJD7FQBGqYmzx1GKr03PxG5OyQhriE2baSUkw0ghZkxFIHNjdC5BBZzJ4aht + WPW79RD5wHZez/KRSLNCd2m5a4lsXJKOgLkNu0AZ8k2xGkdqHQAxtkxXebWBUHbkCQUkc/YMrFvZ + pcyfBYAH4ytwI08ZvozeiJEpwbMKRxkrTmnu2dmsbDHvcum69I1vG0JPBSlNFe0YIU0iwtQRIrXZ + bEbUElgDPWYVl9ViBMnIPSYCHUwpk4nulBoptahulVt32JUH5LW6cjldDR4s+8K64kZIWFSZwScC + N5rWzCFDgsVIKaG+YZBRJxtCIBEzZpRVTzMbQAEgYun+mBmgzlkAZsbMdrIe6aCKwx9HSbKlP9lb + K4puiKzJgyLCIyrANC53VpMvlbSaVuZKuUXFwZdlMFGFJmBrQAn7PpkBwIJVEYjAhNJfXWGFzH/Q + mMAjEUEFzFSefFPekQVhHWWngXKvYtYo9hUqnzPrphPxW36wUj+yHtFTs6YPnyWq5Nzn3/z4J0+e + vv3Rxx/fXS7f+ubXf/Lpy/snb+0hwp4+ffvZs+dvPX+23V8IbMDwNYeEYuXL66GXzSGAEXM6MqZn + oAW4R+aF/OLrwWbBKWEfffzlJ2+99avf+/7nnz1998Xzy8huPjTzzF6jI1xtA964nItr60dmoJ1X + wSI8CwMxIGYfLY6FO8x4bcJlo9gETAmzas/S+OChI1mNP9ytEL5FhlmMk9ZcRQXKeMJrsSmt/73/ + xQ9/47d/51t/71e3J08+/4V37+/vgGrxhjbrDBkf7QZ3eWl3xDJJD7thlUkOCJjQFXSMDRocl2yD + O4EpDCVq6YH+ErgDLmikKxn08tIMBk4oUb8bgntWJCQuWYVHkYUoM2RjGoihORPDf4vyQoknzT0G + wu01XdwC0rptIn3UfKe5I2Y5bmbg0Km9hLL5HQDtJdmUqqVwM2BLb1ofq5ttRPaRXrgRI/DOi3e/ + /d3vfe7FFy7P3vv8Fz64bJjCPrFVkr5aQDS4bCImrleIeOv9X/2d/+RLP/70atsXv/TOk5GxBzhg + +9XnTtK2rTyhAvMyYXaF/O0KnBMwosFItUGR7lhIQZgbc2AzTgjYKPNApA3fzGhOWvY/W7p+wUgq + WVLnxSMY8NorckA8K4SXNJh3U9sIuTWZysrwnOZOyHO4+REDOPtCS00dpkQ9esYDFJhzQmEMX9lt + CZoyEdWyP4Feau18GEFpgLRo1u3tm4hmhDkrStQcjTTFUlecWHnpnGXqCLYwy8eFF/yq1pCwnM5F + Zzov0B3K0xrzLAlKZwFnjXXQu2eWqp+JFLYGmwQBOGiisklCalLrpQSiGgQa7oZd0jyLAPYp7rSr + gcKAwB1Zr1P4WwiY8eBxdU34HYyQTVRPprMFUzvb4DZzN4CljwytrZbR1xtJAMM8HwPA8HGxt3dd + xSswMUi7IO6/8OLDy93TL37p/b/58a/E9dPNZbr6iPs7f/7infHs2W64M1y67Uwb6ufzKUltqS5X + Fi893+wdxaBnBNUsVvPXdSZehp76nFKlrOQxicYl4rh/igBEdqdXqfGDYBKIPS6giGkZfDgwqzeq + R0ncGdATIyRhMJyHi7kYrZO+GRCQIhQmdLKzvQUIXcmjJTNgQPZ4JQzhWIVr6d5UCzAyQAsMVFin + aN2AwWvggTm3SQA3xDicITuZpYg05JwdjiWUmLqkHAMQu645aJUoGRONYZmZzku7HehWB0CawfBM + Jdqp59lr+npxLtMMHp3pZCTa5eRkWUMXlcFrQ2IgRIERfcR1AEaMjOB0yX0aHba28xQbYhn0MbN9 + BbKEmlvEK5sz5r6Pbe96HKJaWJYU5BFoq3xUJnWyCN66ng/hGQh1J6jAfk2gjLxiXIcPd1isOZEZ + aVin15Pn46Pt9rOdhpR35jNyYHuYJSIkiztBRc17XqRo7Qcw8bZOBVf7z5OyYipLFrokTexysvKD + enS+Bhqdw8JLY5pWAUFCmg4n63ynekyDsp0EjaITR1Xq6fT6GBB+/LlDh0pAzm3pbiM6s7i9mqtV + mE0kzbno7iCSdeTnS7EKTNlRgxsYstfORAbaQYy7j7/xne3FN779o3jng689ewtZKiz2Vu775Aw3 + sprelJZKabQ1+uQqXAUDNravxNm1jIiAmE0UJnCFrpiEIF4yVZNDT+acQHStuXWSKYCrrgaM5CAB + c+1Dug5O80IlMTX3MUHj8GR708y9XXvDAvSgaei0s2ovRAB3dQe5BqQaAe37ZAIgiMDMjkLV46ya + GdYJHPjxgyqXP57qPGJWOR2XDQ0iJM3KRiWA6CCzvPTEvEqgD9FvRIJwFjBqZK/V/hZyupIsZmQg + i70UCs64iLAqICcZkrgLTK1nOosuA81hqyyTwF22SB932/Pn+K3f+vtf/+4v/ej6k3Dxpa4/evmF + Z++99+7n333+bNxvr8gfPXx6vdyPjXeXgSuyNQ3xgMrNpKWDid0lptKAQ4WBBgBmgKJaaBuRNYgp + 7WROBpd7hZwJUb92dwlb1ZjqzsMELIl2AczMxmVLnFwoiMKX5Vz1zqDFAAAgAElEQVQxs2HuxMx6 + QmvcthHu9u67z7/zK8+fPfvak7svvvP+s6f394ZrwNLWVYo0HUf8C/j6uaJuP+uV3MXyaYJ98kBr + vAwtJQsX5CpdIFNg+XCvORmv3acEVym66ulBwm+yNPkJoirxtPBwqelKFFXgAAd5LEcBSgHeRJuy + VMgHXIjfJTZul3loq2WburWhXuKiI5slerstb9n6mfQeoUHuwA4+gKto42afRIg7AogLbOQUjt6F + KDmrqmV8tFKSRpos8cg67G8AiT6dVTWGdIFq39laAidTL79pXEGirpyigc72hZhaTzM/LqZdHe0A + LQhMHUQ6AUZCVrnMxvgRJ8GyzlLpbiHQA63CWlHl16zsx3JrZvZg5rLV0h46Npkr6BmiqX26hAUH + KcGBCCoafdPaqmJnIHrGRV824wZkOXvACdKU9Flwv5oGtTKOZ85SV6QSs6q4VXl9s0xkziqA4mF0 + HBR0qOq6cdYsCxWtZFZFsEoxeHy0K2uWgdC5f3QrgMZkLjopuupYdXfbaWorVzjKSXNhfUBlh4pp + w0f2yjjc4OPngoPWZUO47nEJvXX/5OOv/NLHH34wRb+7Az1x9ns1pZyu61b1pGn5eRgnmCLGVq2p + 4JjQzrJgRkcuK+qNruNLcdUBED37/PPPPX9uBuZEEaLq1uhqHGuGuJeh88ZXlhizg6xZMmo1czjD + a8hjOB90jc/L4VxYbHuOIpWQO26cxqvZsZh2NLWCMS0az7fCm9b/1tvP3nr6lrkFK3MsYI3DXV+t + JMTBe1Ztweo2VYOcf7vUt7rFrQx2AUaKq3p8AhbQK8xPEZ/ACHt6NcxsTiozrR0vY/rIoUhkCJPZ + J0N1zOEPgIyelpPKnFyPfOxDwCKhavXlg+XU911yLwEh6W9k+WcrqmOK1tqdFtU7wHXayvkb2T5R + AUyx5mqYgfC+5qp4IMjt6Vsv7i8vPvhw591uF7AnXxUHZfRxIvYqHU5lTcd299HXv/4R8ErZ4Ot4 + 8kTNelJm7JDBEpLGUAWpKsFZD1kiQqlsSlDXQytCqWEMkFfwpu+mFLtIaWruXfqHA6aRxwkQVRm4 + WCT54bz205U7h9DL6cF8gGCgBfPAZrcY6oZGaP/gVlef+YKnG9Ub5WWuUtmQrKPESlOmjAjiQPHm + c3Elym818iGfD0weAHaNRfnHyjebz4hO3B68xCrdUEv22sgMrZ/TPr2LRE7yObZwPUMZRtb2kOLQ + m8JKXqHC06Ur1yMjVfYKIRLOKmw1oXoienmAaU7VxY8uOLUeUo3da3oIZvuVJTzbEqxOAjvCha0I + 6kRDoWqGRLbjXZtTMbMDECcgui/BqstMRT3gzqltSldwo8McIb/w3bvPv/ve5+EArnP/1DwSzDrn + /uncZmAzbAukqpUxPbyfR4ReRFSkl4UgalAlzc+owSQEK7FcH69OYN7eXAoHZU2lFuVJCNQsxzLE + 82+nHUnRmDK/8RzLg2yW0dJYan2HZeov0+RIei40VbMQ48whWn/IoHrHuk6UnLYHT7mftYle5wxi + m8Bk+j7IJlCsponL9E9PRTXBAlwOhgEGI3Zb/WEECFOZi0aXGiXVd1IchbEoDMXB3qVbekeYaRwt + E+6RaDhRRG8MexsqNrjcprXDsYR0VqvU2fFMbJlsCki0li4qPimyr2ONc+w2PY5ikJkNtdM6FFju + RyA6DHd6FX7mcSo5o1vrzKMoT0AWerPUDBohuswRRyE2gLQAG8LOI+AUhVz1kzmFRUMtMlvctB4y + VBSzw2YUsrn3ESeuy7QzUjLzuNQpsppQzGqtc2iaZZaqV5TOuWUrnzj3ju2LtVrISRssSs+NwO4K + wUq397fUZExAILmqy6d1wZ8ZFlt28u8YoFF0eio9r+dg+n6rdODNrzMdr3Si0AkmFQ63Sv/KP5VI + ju3JBx989f2nH10vcnDDjqNJE5QdvHZKxAW81GoDqVEu9OqoYoGRLdPA6gGfTXukmEQ25x4Z+qpK + GQniZM5U78CDMgTnwrmtYnmoxeHqTvqhjnS5rSw0mp7RNlRaI+eSl6Tw9Z21eycLpWl2GWZ5Jqsx + 8yGHFQEnKlweUYVrAtzMzpHhlvSPXuvx08YpfcT1aWKCkLwiOVyRWRwXLKshl6s2mG3Vaa1EYLNH + Rn2FKYjhZ+btb+85wlOCjhBIHLRlJLuIB6UYsy9UbWYAWZyXcBrHRx9/8NHlC1fsD9h5NXzKp37X + o8SuCs0JpKZM0osJjMAdwLEICxAuEVePQGEfFWXYBhhecduZCW8q05Js6+7oPVLivI1MMnNl7JOw + aBPAgIykEGhzibZsQrXJ1jK+D4YK5RTyAUo1MuPy9J0Pnrz1/ntPTPfYMB07soZSpRq7qR2SiXRE + 6X9BXn+LodvHWhOHgl/6oP6kCe0xFRPjYkroQeL9o4wTEGPcHAxfuzoOpiNJuc2ZTcEzlGQkzVGO + TbaMHd4eIou31CjMrsFfoaX8N9Pt0hzo9uFtyBAMKcRYfg5wnsGUo4bJ0+XQkiBwvWIbXTYLApgz + JiTusMysOkSTiVuuy204duAT4B64P67ZFwAf3J12WaiNNkeDDKtGZVxW/NrZXhqHW3QY81h15Czv + WSNtydoZCZBn7KmYics6YIq4BdhJi6y3N1aUJJsYAHA/tZENkD58GdUJ5PSjk4oQc+5hI3vcBDCN + +zGyqV/ZgazGl6cLEQF0J9clAsoTjJixCz4uXC1+FJgdqih3nwDM4LZZRd+IzGqldDcyS5qBSu+e + oi0UGDIQI2eeMMkil2pcMf0y7IWYOVC+TJdeCkoytqVlgQmF5yeN551QSHMqrlnGxIxks7Yobnis + jr2AOIdRaNtwnIhuWaEEC/KrglCZ8XiKJIN04BRg5tIXRpdpNmN545x5u4owJ36v3DeOHLySXeFB + EDnZ4uz39UNEVjHOGX652y537753f51x3ec2iDE8iTmVMLETJtxnZkGBa1bFbjY8iD1n+BDb6kdG + UTEYcK9UCisS5uae1YsTI638yp9TiIfrdVc8ub/4MkRrkJWm+DABYBu4eLPgjZffWhCIiOvDdbtc + snM0AGbnzvL7wWHbqUUospvznK5r1h3WfjV9ageFYTHsmDB+2Fg6C4aKRxxC6LEmXX/Ro79d97g+ + XO+f3NFtWT8V3RK0Q8Tk5BZcggxtbK2zLWYWGQxAAwFwh15NvIxwqtqY8kgNAz6xX3F9CX2CseGi + KwHDyLHlJcFndsuCLPPaJHx4YBeuWYHMrNEfiZDdUSa6u8EK+ofK1CN6xg8JHxuGapZzgWWb/GBg + T6hde2fVCWJ1MiVgs03Ba0DM9r4ou60Kvkp5QfIUySrjn+tMpYiZY+7MncwRbYEQxrZ6F9HgWS1W + CHrE9Rr7T3wbsAuw4fLkgN0Z3LHnyusNcAwbw6vE64pwKAfBIYRrhMVuXmFlNZWn2jiKuApVGmlT + 5t12wXSECVsSLUuUqFiv/NSlMWJmkMnMctj20kqlfc4I9+4yQBQ5AVBM5jSM9imWt1HgJnOSwwZy + 1mX5TMv7yRhn7/AjFwkEGPtuYbgz0GBymJntFYioOjcpSHevnhf5UMKaa1oLi1YUzNhCujUnBCZh + w49eAdkqwWwhVYW5K4zjpvb+xNRHnlFzck7YljW369mY1SroAeogJEMHNXvLQzEj5vV6GWP4kDDF + CawuEZj7SVkkJn0G9+A4koKgY5CXfOYHHec5yGrbPQMK4bpjkOFOcngbawZ00d/J781W2uPiQegB + CDCV8oU8RjevV+4kV489AArtO8eGs1UYQITNHRBsNOhMOWMrMtxH7hj7PjHGBlaDCgF75Fy8h3kd + mw8OQZM0H08c1wnt4FiscLDJOSuXBh5PhFgcVQVzFXOtD2hdDa3UM70DhKVRd+rYVNamVq/tumGW + LVUtSLqfETsnKKdZitQcf9VLFJDTcM1P4JsOl6b3zDF8YixIrHJ8JGGeW3ukh0iXkee4bXHJ0ll9 + Y7bZ2D+fI6pJHAao6uhM2HJmZjaeDOFCeO2iYZ/z4epjwKN7fKz+f4AW32Qvw6g9D8zuZLhgmZsN + pJ5CgVInMA2wrDoaZQPUHlbEXL1vSFUXc+szOCvzM7UAmJoxH4a7owdgBWLu132GOcfIIfNA6VoC + db6dHZFCsYcB8AZclHmW3e7VZngCkE9B30waZOiWGUEIwGxgbM6NHfUba+FK02ACXmn20qZ+GjZk + LMczCS2kyKoSs8I6EA7smFew5k4ikbsBcR/OaouQigZ6eLhuYzjfuJHpETfK20E4y/sk4NXMaqHa + QCAUq0/m2Yq6MQKz7jmd0+MzkmLKjpBHvtkkCygiiSEi9ofhNfyj1Jm6TDZSwEa6N1nuEzKL68g+ + 6XY5Y/FQKasKDgUwZZgzvTT0mMkmESH3HUyIkhYu/xAyvY+5J9REzCVmzjL3ZOUu7n1kejbCQMj5 + HBCUDT3Y46UAeHoYE8doCACgw7mZHA9HRF+ICNNVIN2GUcDsaXd589EHMue+zysxzS5BT0W4wUwO + KGykSzPglGhurPZwnj6nAMDAzcZyrVh6X3CpKY/9pL1GBRgBZsSzocwgENgfHpzgtmE1Sb41R4Ds + OyHz5ZDAnLCtksBq3UFul02HIm7TAVsFHzqN9EhXHnCvunHNCsk2FQpcdyDZ183HSLIEswsFzhiv + ohxnAe57MVOYe7j2jepBxzA70iWChH3KMcP2zhuUvzvBSbPBEjUCshqnOgWK6J75Wtr9SPRU4gkB + VzYnB32H7RkUDrwa9uTJ03tcs1RNgLbt8uyyfdIKGvsDuONi0y5xlY0SbCFMDcT0HJVmIykvAvs+ + yRhDbago20pk+dc6NOv1ItHHWMmUg3vIaq+e/zzTOZjgII+YQCCYur6aMngZu6X+AtIQobDwdg8s + sBmHbRseMPfsimOjgL07sGWnl8p4lsi0W87+O/76eYdudeiPm11M3nTrxFYxmi9pUlV+6VlhLjlC + Iia4A0On2MGjF8+2VpILJtGzp2WpxJp/ACAicsDOTYOUCpcYK0rUZp0qmFX1I+k6lcLTVKxGSCtv + fci6I7EFdhOlxetoQZd/O3ZNAFKFZCfnlL+TJBiK7XAfsQM/AkKxQb48gADCXgmfGJ4Cl1xsoNLR + aYFzlbXNWdkMK/9EghTQbjlNeAVM114VIiMt4uq9l11kqvotIggVsib30trZXkZZn7gwcRBDuwBt + gM9KH4Wm6CvhSBRKojCPpI8MrGTBToN9KjXGwmxlgUMuYAIxK7R6YLtVZSwRMDf3HJ2pTpyzzJAr + INFz1uqJAk8fPOg/TuF8IMOyQMqrpP+isNzP1D/ds3ERetnBVi2t7KTySnlVY9N2IlS2L6AkxtJ5 + 1sFkE9IIy2zGiQDVJ9w9MjrKfzahWJoxaSbSp+C0lC35KM7WmMgJOq4JzJy3xE4xI8OZJGBdeM8a + VpXnLIZoXbSxlgAkfytnNR9rqofuhHOdApAD45DjN52WfUiiBA7K5tuBadjSsNtfIoCaz24hjwYX + ZpBqBkYIiYLXDhIYEzWmBDyqBsxQuPJEiNNIG2OTdN2neRTtwd1NRuscgx3bfrJIb0WtmW3bYAe3 + oyvZyrgVUkknYLuYJqVZ8HzxY18dLji7FZJq9jmIbt4SXdZ0sxShoBXehV2nv9wqB8CGDdxfQzQZ + U99zeImntIVgFuWrsm0hZsgriEjvtm/leSTTMJTl1BibNPbA3vqlpBmvwANwxXyATQAPvcSBnEqx + C9duPVgOpSztiRl4yJqlXJeAwJW4rokd9YyF+4rFP2q3iTc7AaCpnlAnWiam+u2+3KLsHPrQAcVy + oiEF4qEvQaiLH92z+cmCYe3JeJBni6486YjEDRQACtWOZJFIjzmEofJNsImYmIb02gUEJlrBlUCs + wcgRYIRl4woz7Ff4HQcsgSpsRXaQe+Kg+uRq7Isyup16KLNcoofSx82kUUf01jmQa9yiJGZ/mxWq + yERBd857w0uHiFisaLbeWGfJlOHVCmI1FyoK1Y373ZfUDTksAhECZgPZpycB8qV/y8Uxkp4zSAGk + u1yVK+d8XRyEd7J7eOPX5adCqkbzvbxidK77nc71uNLxhbZqTg3fF3runFRb3ZOWkqgnjnrPbXAr + pHnaZWdJyJIHig71MJMlMxJVU7Gt0TSTpQ31fUOYgLBsENppaMwsdVIC45G1SWRMRjo9XP/SRgnA + MjJ6dXdUJrtrLJaN5wArlZZGC93X82TAs8LEFeI/ba1xBe4EkA5/GsiA1A4GdAyJHneXYDwAgMk2 + hD28xDB0ux6tM3pd42O5mm8Q1alEIyDTqmbLVEQB4XpUOkGMZaZW8CXU/TIXS6KCNhIjWq9Tu5kI + r4YphOUUFmVQMsrZZtUdcdEeWz7EVRTcz89AwzDMlGodO4g0U5RYNaykQBN9r3PFF3IH2adyjLa3 + JMC+QKoKlxDZqpYV+pkIi8mZMmH4YLXqmtcUZsHLojHtCRi7mu3AVrxb7RjaIC+umEKPqEzOYTJE + CuMj03QWAOuciQxc2jnzsihifTjPzEgbXXTTnzLzbeOk63GqiCmPsq8mGmlqxjDuQIBbrpETfoFh + b4GT6Hjd0GGKj4Cu0CC2DHjYjDtFZmPYNm8vWscvN3m40gPpdcoOC51JLigcLBbOUTU2Kq5XGxeQ + ObfFNUqxVh7OCI5t4+rUcRLnx3b2sL0V0F7DSivYeTolZtZNFXBvW62dizknEr9KM9wAx9MCYE1v + u3Eoaj8Fst1bjuFLIyyBUIKdqxpC7KqHIIY5dIWugOeJaI2JI5xtgwNB2tioHfGAGTAvwHfxmlkX + 0nPNS6i1avF2OeRNgIfffRJZaso9b/+McwO88ulrextZP2N59FzootzQSPg8EKlajEAYHgIjYRlW + rXVEVA8/GSZxRQ4/0VDjKIRBathu2luCOLApgSmk4wEI4KLY5rQc+QSrwhpVcnbauRAtZRwhwWZr + IZ12I0G5zmX55xsRgE3SgTG2MmfnDDA6n3akxzqil3ZgAK5z2/veWisqTv7KUHxKbaqd1Vsb67HC + WezYBkXKLWavWpRiTYB6h2SFSnG0AXYwVoZmoT744TYKOn2y3LQoPwK7LXpP+xUx95eXNAvSLEnF + 7Qg8DMwBgFv2HsvVxCogYw7cALVVQgioVtEQ3KCrcA3shnCDrstaDHBmQm0HHqpt28QwcDNsNqpp + Zy6ehNtddYqTI0J0M4xtI3dPHJKuQKaMiMYj8GSgPQ4GaAmvNDuZZdf1xSzRrsRBZM1Z1F+y+1BK + thxpsCYbG8JBIUIPpBk2BGzHtsGydsQd157bgBxbYg7aVdDEBYnRegPp/N1+/RxCtzc2P19/s2FZ + lYerUQFjwq/VoywIVe+vml1GdZWYCZjpuSzViXVltDOhA8hAgCKVCszPuE4iSTtA5WS9Vt2l6yUG + ogLMvLmTGFkFPpDKi9nkXoG0z9NQyGW0g5P39Na7p/KswzrohRFdXHHazCpzWh0ADkF2uosBl1Al + z9eQYKRDYpc65X5Ok1jVvxYwZuvvQ0My91OVuE7F5rm7tnwVCrW3KDEos0pAGW1D7LNj29ZB6are + KETCmrdaqvPIZ5ql7FsHp4535NyavGtOLCgLJS+Vo2mTHuzk4TFb6bOzlLh0jZEAchTdJeJQYhD0 + zMJmqo/MdjCZyBQouGtKFYdQww5Q2AHs6YKJRnnN/2UUoqMyToBqWnxHV2u5WqR5hpksaiLSbzus + Clgep8rJrMd0hSLHvm0VZkmJy7S+MxaZhOspgrNaZ2g6vMCuwE5nxUtbwhe9HAyfGFVgp6JwRcnL + YJyS8KX+cAWu4AZu2W6UzT7AhF0l5FgPCtWHPrcmUy3+Wuj2WJMWhgur9+ky/lKNyCGTEiP/yrZs + Okmi3QdgEMqI31kt9FCsctWxAAMAMJkOi68TITJWrUptnjk0Dq8ChA8X4vpwncKoQ/Escy4PnzWk + C8BRmFIXTec1ucTcB1Twrka5CRZVm9hbnSRXx+kGeA3h4VZdmoAL1oE1+2fvQCIL5bvPpwHbPBBM + WKmMnCeTyJVGyiTxBwA25McMvOB6BSOGIzQN5GqrbcncxCHL6r6Bc45f/WQZaGUxmF0ccF4ARMJa + DEZc6qgMvMMQzOFPwbFKG0VIswLeMMgPk6ZadVpXYR/9HFlFyVuLxwwwZhfY8AKWRfF0U2sjPFTC + roW+umujphJpWJ9v1HaJO6wh4iWFVBTq1fm2RZ/nSdJhbsBIJGAu3QiOTGJLzS+ZKqPlLXqrgagG + PWaAD/jTstajg6VATFDwgAnTEJzCToxseB4RZg67A4aq8hoGDvjq43YSMau+ZQFEMxK2oWtV2eo8 + EJGxRyy+K1HQ6J/KRKDAg9WXrfEf/KmWINfCrP977mtKgCXXM47ITmxAVS3IjLJNFGZ7ZJWv5dCe + BW/wxucGCOSQlDQ3RMiiO6kdqq4lf06nTtWifu7iejirB3kbF0nRKyybfRgk85KzbC18CLts0LTi + WgRwZTlqzoM0u2WlBN0AadoCEkGlVXByDZXWC5R6jpbdfiLFQH8u15/kbWUgpWuIGMgGkk7lIDS2 + 25aGZvLG7tm9OtO55jmcZ0W3T20xEYwsvSe0nXei1pJGS7LUJUlRpwYmBIaqZ3OmjkJhzLZNFfiP + c2CFndRFw0scMGRv4+3YRIqDaXu5lXFkYyN2XNPEcIwJPuxXu2xd1LWkS+03SpifnujmCbV8NIMH + OnqtOsLOS/iQKyEw5yK5cmEzYqqgiMSBdgyOkZW12ZQBZa4doXweMFBGgTg6JM4DsnVkNwXIAwmT + tGJmK1IfXJyaaYNqZLJkzrEL2vKmuR0VLxWbywCA8rK6rBN2hkgcUrOOg5cC0OUxBjNbkA82oiLe + URX2WHZFmjUzQvRxoW1pjGd2aWCNpydkxs3gzNp+7jAz84OtkdPvKt7bx1sH0NKiN3H5Rv2Ztt0z + B5UgxxxQ2ZrPkOTBU0vU1m2mLqnLy7IEuHWuPpcTa0RbBpzSZj1HIeuDhrYFjAknnhl7d9R82Lpx + F1YXbuOcseqkUbQVppwo2qKcua/rWbLfRdnlE7s0gvQuVVoGXRmetIVHPshpGa7RkUoxqw1Kc+U4 + z1Ty5A1TnlJcp0v2g6SCiYKVIMEIKxCTOwBXGoFeSQaljbh3Kxe1YTfQJsYNEXAddF7wiJKTBmxQ + 7nowU63VnzYJZhdGYpIdnRLWSru0/d4IprRV/CCtCWZo3wTbNUeb+lsFDG759/TzUufKbP95ZuSt + 4qlgdEj0mkOdZSmp1IUxp/s0XNTTUwkHBkEqDJZlAODTZv28cs6xdMGnUPk7znQQHR64Xb7lQiuu + hghFtUTY1rSCFaqrVFieRWJT2KwRN0GFELE7ZrhN8AG5egd2hIDpWYfuBc2e8zo1pZz/XBMc7LC2 + GWhbTMs3W0r+ePhEM1PZkH4riFSC8DuuUbCalHvl83tGp+1ExVjlINsCWgExhCCrdx9Eh/KRgjTI + ZYjUmRaUJFNNxoRZUnuW3tZDLMcMF2CUHZ1nEzOmJoa7AxuszHsFxAGaNEhvLFETYNEfg9nNJXzF + kNBiwSkpZKMAz0MtAQtb1tZ8mfiXwtnegenpdhS1zXItn0VI/7HSqcgkQoEaT22iSincQgjqhEpZ + 3+Cj28Es2yR/kw5TkTXrEMh4ikhPk7xyOu0rMhBeLqQTWwG+ugLCi4vZ2KwmsiSZw/X8RXn9u4Zu + efp3/H5IYlT63hDAPq8UnO4Ac1IMgIFXQAAbuMGy/D1lQTGxC9kT6ualnjRzWbXDGfszsKr4cWQO + 2hfKb+0AzXmKliFFkAzG9hDPvlvRGrlEFVLHBO1UwgmgQpDzCDlUjFEto057Z7VDeSs/X4jn/7f6 + q4055WKTp/GUvKxMbKlXwngvbLxpSFfnUvZ3J+tpo/aTAWXNPsxM8GUs9NlGV+Gk1Cn4JLMY7Qoz + bBebcFGJ97EVyqmt5Dmrn2rUT1MxgDSzLMrWq0yysJJfp01ZF2sTonwgpGuZ/X42mXWeutzI1Ykh + xooeTyIGHRwQCgBayJtywAyJrHHBwu8dOf4dds7cZToKQiFa2xrkrKJ+1gFtjdns6HWkqF16WI22 + O5hrmYBiBhx7LCqQRqvhCgDYkGg8dBPkclxy0N+Jtgkoke0ol26HsjObGyyMVyDrLAfMs7mNuPgO + AAYmYsfDqLnphLwcnppehBW+79HwGd2+02K/WtQEHzjqVJZTBoA1kT0/fQWsiuIPuo+VI4JC1xi+ + Ebju8IEwn2ZGSdwf5mXc+5jClXw1cZkwwgdh15nzqS7H0QS2rZGWXqZob5cHwBz7bADL+wLTmL1U + Ydix5RWV9+ZKAlSKCHer8gDf5lTssQ2HwAmrQQ6N/8eJGChoSjkA8i53wzuXQMzshko3WIXwRv8V + jQCgVaEC4PtBUQ/A2BOMmqKVGVfLmD6b6bagLfrxpKmqnjPQ9mKf5PKcoNtceiKiy8Zu6rce7fgA + j1VRSNHUrTWa8xMJKizvIsMvl6Z/GXlHbJ3FNQnY4IAG7A52D4ytmR3IbTSU5LEVDk/hMTAmlO5z + 16nCyqHdantUDmkAU1FxxhDFHELIZf4QgmbwSNYJLPgaax1lbrYtBYMsR4Krm96WvUfA76CRs3lx + ZPsEMMuPMsA8bAUfU2V73qEeMk3Am1LXQlIXHwfgFtgCyfy22Gb1NjHBiJe8BvYBGAYKy5ARa2OB + 29ciD4Y5JP6Sfey4TUVKHNCoNiCFMclJXejkxuuGyfoT0qsoPij50sGKk5zE7c99++WMr6wa+hH6 + GuoMaIqqAY2crTcbng1lM4sM+CywytrBPPVuRV21z4cZcEiClldkoPpiRGtwTx/DyRuITNJtm0kE + c96Ap0yCYXUWOiXHyr44NmQHEm811sc75iBgB9XJheXPHSn1Aqa1DAeqOWQnWycqGNJErz7AyngU + xXZiKmXZdKiKSupehko4VfTzDgCvQH7QGy9wOumlcx0ks87mYsgAACAASURBVE4k5xysoDgAuBfJ + 5znaYLmjpfuYpzxfcp/cLvQNBs0ITOvW/O041nEwzsvoXQdBjhN1AYh0v/30FtggCaa0dLPx9HUY + eWLI1He6ZMCl7LsldqsyMj9m2azCVmcQQmQCqAY8x+jAABbuSSmuyp53WpbEZ/qsEdlVQ2Agrg8i + p21MdO+cslEjyZl8XVHQZi/G6pmVviUQoGPcO4Y6hCyeYxiL2DosU4V0h5XaVyPisj5ukKfFK4Jb + EWg0/1QQNiHxwzgSS2ecjsGTDUkA9MPkLU5eLQbQs4McgA1ot/2Vi04fQVxNCjoxst1+9tQJ2tgu + pLHQ36CMm3Wcv21wdjo49zCqTIvHDgJY0cDo9bAhiqdd9GLHJWQXySyhxLI8q7vPmQSZGUGOxuYt + A18drG+13rju2h31Kmrt96V67jIlnHqNSw+iVNgSqyf+IeigCjLWf1weRRpr+dk2uwh4fiHNzTU1 + WdnexWA9siWXv7IC0qxRL5rYr1lRXUMsDw3kp708Nis3j8fvTcIstHDXquBEVceH+6fppbM3BbIT + l/kQZgJp9/2BQR+XVGzWXQNO+jCKv1rGN0kQ2IAEbIZjd7ukgLf61hWA1fzIPLs0Fa3A6Fld2r2P + UBsR5ZZSoE/4DhoYblU9pdP8QZ4O7/Tgi3K8EQD9stsGXAJoNI6D+EP0iJGGn7TFjrmLjuFzyzPZ + iCtAz6GhBuDk7RPCLj2QexqiOX9iIMCHTgWUJiuzgWsPaqIAO93RHAGmvhj5a3vZlR3tYCqqOQg7 + qYIZ2B9gMr/bgZ8AEbicKykVSLAu4TlwfO6hdKMIkKtFS0OOeOqOUNyC4zFqly11hdQRUMsMb7FN + GWBJjLaevtpx1NOVXFCJUeX8lqyMISCbIhSFOhGH6QFz18SkMyOwEjWhtJ+wY0e6/2ZQxNUljs0A + iRGiGfH0oA5meHcaB3kH5OHIWL3NBu6X/QgiMIVpmYCo5+fOnRBwjeudc0nQLMuQaBsGZQgDnDeu + uqX6eAu4v7R8Iiq1AE6whcRJEnOAAy0Y+k8GbjUYkBUI44lN2IbW+fb94wGg9Mp95RXRafPszsx2 + Avr7NDuNJWzmsKojMfcn7QDAHXeG6iORT1kpz8ZOIX2QQng8ig3+Qrx+bqhbPn5zCc+EaGkCGlbh + 81XNOwHhAdjTKgOrs9eyGtFWY/H+ukN0EHbrmwCoQHFBKN+guCZ4hR5QcfzbmtbFDqe1Y+nwvO6q + UDKg/Oh2Z1Yki2A93jIcyZMePZb0BuZ44w6zURJrA9J5yEHoJjxRJ5iX8OxtOafE880a8vAosZIl + p+h79QXUZmmLo6wn5lZIrgLPtsFkOTkLYd5OV9TEwtqcDGIGsuzluPKxD4l6rCqPNsbPVHb+/M0z + LAc56sii5p2V5B/q90pQDZjt7VwBD44A7uoZetru8rkJQDvwAF4mxqz2F3O5nW2UBHCFLvlOwrlI + JHr0IJRDyJ7qNc6mV5k7ZYi22mp0VBiILDn2FRynwMrK5uwgADAHPZBjx0936Vec8JAXBDQRV9Cg + mZGvHXhZQIZGnQg3DVOBwH7FS8MgNsSoyaWqI1y9zDuot2dYEO1an1JpE3iVLK+GOZU2Pj5zBV4B + Do7TA+XpxlUwmh/l5dgMAKZhYjxgN3Pb7iKtBbsCe8CuuGv3jJjKgBMtmpDc6BXLWJhj9HA5A4qK + ctDy2ucbdjxwGUBpvROaJNk1wIpxdK755H60nEGbS0hRKzJnngO65FsNQgOqYXL2JjvHpMTm+chp + XyTgIq6Jt8UOfQreA3f7kh+Zc+3y2ELd0jNywxIfQgp17KlzZyc/RlZkZsUtuodfnyDRTS0Kos7o + 8CCWsK7kvrEbvM7206Bgp68Chd2BvGKXpTICWGNfMyntYGI/BVrcKMUrgPIiu1XCwTsC6VYo+tnt + Nmir0yzaIBKgLG2o/r2mo40PAjI8xA5XnnvWujGPDsEsP7ZuPnqjIFlRTAEZx2IICacwodpO5Wd5 + g5PMTrK6bX33RoV0aNIbE0lojCoAu3YVzWiKV2pZtXhzOOIlXs64XnDZsFl2r+rTPe/uYQHfrKVp + t23Z8lprn6flTJzSgXkcJx3R4ujmGW9wIrnjAkDe1tU+3pNand9cbgmhQ7arPF0R06rUsog+Sfch + A4hq8LNTiRU9tDBPKkhtMN8IjvU5A6DrjIdsVURma3gRUeCwhkfWYm9EuFpxLuig3VwdYI2eQnT7 + xw76zBYA6LNpRHnCi9biUVHa2vjq4F+b1gbXkXI/oUl6K06psNOggjaJ6gGa7DSoimFG98TkQq9g + Qg/ABUw5cFMofru51YC/gtkLnS3AMMEdYyx1gJSnEac5Hr5fOT8tYMCMPQKZvWhbZFkuKCtunY1O + Bi4AN9G6wLa+lYmZBVkC20zg2p/u7H+jtImHPtsUxyfZ1Q8OXE9unReaAseZRAl6VjtW5p4o2rBi + IUWLNKpdY26SsEJ7CfgbG4dG7yM5PNANEmpJeYDtP5QatWokUiN3DLigC0Fqvcf+9O+d4lvMTiw6 + zIHK9f2oFDqBCb0sW0bbjcHpMflyAsC9SmmbsDMzAyvmyXloYbXJvkBavTzDVVXabGawcW/CNfBg + eEBcDAO0ecV+LXAeN8TocU8Zuo3epfu0sjI9oHIneCa3rgYrU3Wp0baNl1mz5LTp7Cncyurb8K5O + 4lw3nyuNyUNk8jAJWlDO1LPtLShRwgsTT+QxEKBOYJHXKLmvfz7p0y84aENLeJ0tJlXZX4L4mcVg + CuyzoWtm4GYkyRmAlGOZQ3BnWO7TftQ4FtVFK6wbsdOLq7jvY4l0bDIrA3roueMKtw+c/tADNKCt + jtjQToIGYsss0EkOGg85o9SMhcRIYlF7u5e+uQG74WVm8nr5ATzcSpjFfXainaTTzloAwI/BWTs0 + nkRHfgOY5iOb3QHlB/MNu3Q+5kc/f8YfTcI+EZANF102Y74yzVpddhokrlUIYV4PVftQYjw7u2Da + Fo5puALVLCEEMpwPANJCthNzBNHJLVVaN6EeBADvHgVlibelcTDPocSAsDUKpbaNgEKIV/BXwJ21 + 8Z+NaDRhd3BcywM2txSOVyEkWTxZEgE9Ifhn2eg2H3gm27YhkxZmIAQO3K2tyMrg/PipMiVNhn1H + DFwcbdUYQx4R9rADwibL/PMYCE9gcRA7pwcdjoCzvBQHi5KLFuXwV7tN+l0DPud19xHuNBvCaEW3 + Az8iSLydtmip33LVsg1kyU6BgTAEOZ3VAwBEWFahStnXeA4sY9qW7US0b5Kwdsu5INUvxdL3OVm8 + y9IxnFDmqZVOgqHk4Gt88ej0XpOmb/iKvfaBxwTxGV85Vc2XtdArPJbb5L9Q+Scl8tPW/nf09bc3 + puyk8vrIDAyF9tgy3npoGjsJm8OEL5lVP7XKL9n8b3dax/ewHJcVLCpqP33ms57opHRur/2IQlmP + /XMmqUO5lbm6ch4FmmGPGzke5uTylG3An2FhlQT/7M+tnSIBhiYj0sScrP6ebAV2IOwP42QdwbH6 + 1y5/3tjHNtbjn/TmT/VZlMd8XF7HYpZcPCX1+tF6rzJwflqmJUy1YkYxs60Y18q7GNBv91Dnut7D + /zxWfPBEqfL+8A0NP96rN71/PvjX3rtdUpxzJevZ14+n/y7T/k2ksQ7r8EjetLK1Xru5yAFmb32z + vHugfYy1FN58q31CpKusYLuyNdz8iKYyIAO3gfkKEdPvHnM1047ReV9t/eURaa3v3TAVzys7aoJ+ + +osoDTslSxiIHaCXFoaPc0ABdNeNMoUOak7ZekPbpwftVhQ452rII+AqZGPSRdhif2EFlvSGA25T + /uD09SGt/9xI4JPBUUxf5PYmmucKoJ8p89jCN4jndYfP5iIQfI0L+g+Pjvfx388Pd6LMRx9pbIOO + 3/tjEmYEnWmeR2hGjGzIHYDT4Ec5CIEb+NDp/iexcmMNnUQNH7PwTxPzP8Pr5q5oktOjjwQgMaM2 + cw4G09kVIEzpTQUnn/Vaa9cbd/sWAXZawxtPFzdiplWqne7yb1zQSb19hrjLa90ORH2NqvhTbrP0 + 2Bv+cPy3H0IRE5KZe4+WqVnI/CwiXSKqP8RSVic47vE85W9FwUnqaRZs6CT/6qt2ftaz7nusBYDb + It16bxVP89Y9WHFIPqZ4vOnwlgw9r4YdwrMV9cSbAiUosH/LVtwcyOufP+MTTZjC4IZhkDgfEIJv + UdMs6+Vn5DasZ6Z01D63tuEsj+jlcO8+S3a+gZh56wI80oTrBzv9fnvXog6+fo63F3q03Nck6qlF + wGaw6ptg2VJxrrQFjr3v4H6cW9U01ItnPfDZfPUmiXDkD3pSwusrvlFS52ddq3zzn98sa9dHP1M3 + gQQHsGeP4dnpAFB7dJnBa8e0+E9rdeyr/Zte9Yk3csFnSdLPvuqjo9fr73826ajLpxeK7yC2w0xe + KbH87pu48d/idYiBRyvW+l/iDQHERMwAQanr24HsnCzBPUSp6lZgRLS5zc80Cn+Wxb72mc88l8fP + ppUhquj3BDoBFJccD3M2305y5TE3ECicyzzpEKDABXGSSrbKsfy4Mn/KWfXJ9nVOXLfYLCIsmT1W + WvunbNEbX3yNLQNQaA+ZayNBeYQYLDy9B/w0CxXQikfreDJmiBbyVjvd4bkVEdutONGtbre4f2E3 + 9zgs6EWLjyXrIfkXD1VQm6nB5h5zwkv3CAL3giYE0WPjAsipclZEYJoden1Nuv27vdYRULf0sJpp + aLFi3frWQ2uRT2Z/5KAisvf72h5BUUWZjLowDVLlCXo2OKtzAyntgjLgTmFO2ma0eev18NT14Nj1 + NHsIC4RO9GWVQj1R983DmnAeIXAjKnmWfUCZLmXAHFGC49P58d6ARxr3Zz6d/xdeny3+3/D7/69W + /v/Z628vdPvGF1+9fHn99OH5/ecMWxkblvigNabvs166lbCLiZewfHSr0/taBQFsTrPTFY7v8/wT + Hikj3vypfjzJ/RsVcIv3+fm9WLlylgyCDHEbc6wWpeTh30M4lftkaujQNW9UFv1Ajw7l9Jllo1LX + hyvAzZ/Miauwa253PgwOz4HXt6ZA/s8+20f61+y92dNsx3En9susOt3fXXBxsRAbAZAgKBJcQYrg + IpIjDSVLlkYzY3skO8IxE3Y4HH7ww4xf/U84whEeR/jFD37xeEL2eAmFNTK1UiBFgiQIEFxBkMS+ + XODu29d9KjP9kLWe7g8ASTAkTbDixne7T59TpyorKzMr1/oy2nn7sg3HlXE+yEqE5vOD5RIO1JF7 + z/tMDEP24mnjJxArOFVfWXchpJy9QQEBh+IjSAPthcdXheVE+rvaT1aSTdU5DeAoS3E0Rabx3/7W + HQoXmNBYNA8ns0572A3InRPLPtP+8DKOwB9wh0feNwVWRCC045ehlqMr0U3c3lVP0VkwKVpMU5Vk + 80zhgFectOQDAPx0JrMoy4QACIGC7xo4R3TXt6bH6ciAeuqepqXYXQjqFM27jca90M08UEiqoknB + weOmk0aK9XCaB6KtKwO0QaMs5uBaRQu9ba/ACajJ8nLnqfqmwjMzmFeWN690WgmpoQnW3VQI6LzH + qG6kbjxVG7000pZ15O6CFaG13DVS1nqroZmWj6a6lNNjoz5Niwd6QrKc1u5NI9Ff3jJSPCIKFASq + quYhkmpIQCQOmMJa4NWjoaoirrp1AZWHDlEXvdCrgYaSlkQylej0Y93R+eCoKfQ/75lgW9RdmtT9 + 9a0yA/NskRhTnMKEdQ0iQ6kH9iZ45S47WMxmkBCWhI92ZtJeO1Bd9LQlw90aui41D51ZcIEOBDR3 + NCquYAOT6Wx7vZ6on1X/iC4m1D63UXGcVjARq6+2NM9mIFqF8dy1IEV103UvKqRnxBH3cG0WHHfx + dsSrIzGnEzndCxVr3LgKvQOIP1RWJb9Ry4GVho1A/Uvadu0mwsOqlWFXO14m9Vlp6wJUdVnqrYY9 + vEe5cRejxsYwVQvKMUIozHQwMTAfkqXVamUcZ8TUdZoVKUYgLsfkIvEt2s7768oVetPzgH2bHkBO + 1d2NF92c2xNh+L5nw9EuPR8RdfHsQNGLjdxFKRBxSinN29U0BRSfzPGd5i5fjQcVUZ0Gd+Pd0dCy + m4L+HTph8bFb7XKFh/lS+0fd3MqKMKoX+VGjcZWFLcbXYZqV6Bg2ggm2c1JWOkaBDw4Axqxpm+J6 + VfqtI2x4sQcoywv+rmG5xj1Vt8WbpNh72+628RVsSpYdbLVROUs7t40C/s/Y2uZv+N0jRrUOkqex + YpakktKcEmDEzCEEjhTc9548q3rOuJ3tUFTcyAdiTzt/jxrg0eN+/RtrGGsGoCpmQLENKSVJcXWQ + 47R3EHbcD5nSd9hZ6i3s00sZyDwhLKyPqdjZqjuODt5jKdZsHbs1VVX1bMK23WCaKKx+KgQY4EYw + JllPphayR6MhcAQBJpDrCAmcbLAjcn+UpeISuJoARFASUbGkSszHmDhEsOefa3yWgCP0thWEWVdp + XMrX2V5UGbZm2VLuRW4KnSFbgcSQSf+sc7QNsAJPHoXlftViUD4U3kRMIsQ6kWfC3Wuk+1kbFbBR + +9Y+1e9VgLW9QCICBQatkLaS5o3KNpiKEBCxCtFP3tQnWG6SQz5n0LwVEE9rmiau5ZvBWK0DGIbD + OW05xFJ/lYFV6aXgZl4YNtA8b6NNgYK7NwdEA5lBZUYSxMAxlKlm6/7O9NF/H8BftbeUhzIChaqP + 6lu/Yr9of6PtrVbd7iM5gAfZGSFM0xTBXCudKkxEa+LrkdyXHtGJWT1J6kSqhZRN2HEHq+yRW36R + YWOM/HM80GDkJvuG199vb+So9VO3Cofghs4Aslw3tv7E9eDF9SSSI2V8J7PuQHl3d1PmsBmOdYZ7 + fN1AMUZY8HRAEQCCl8JWNa6lKfsnjEBMJRv2ftVA/vvGMBxO8714aSgZbReIVUSLEidX8W/wsshJ + GmuUS0UZlkF164UO1IsxGZDAghDdqUwHV00rnjsDMI6eY63Rmu97M+BYUnYcSbvNjeND9HVxNuCM + LVQhU3F9yR3K/9VL2XII/hLi3lwrSDlisr8hH/VddRvbb/kpQtMIMnacswzsVbtzpCIR5XyyXCdW + pkkETDEoR8PWYAqZbQat8h0ccp4LRxEqilHSyp479prce2E5xxFQTR+AhawIAGKiMKLIXPKKGrMB + zAOuVL8Ta90W2WPZuoNp+2ZNeMeEEhLpKEmeV8xF+XKrg7uobsUzJw1TbMqOMoh6NhhkEACWf3J7 + 97D63eNtI1bXl47WD3hKg8KA+3eN5Kx0Oyi/aBCWB8qR17t//ojWr+XObf3hpeRyaxdMVYTZS17Y + YZoZuo4rP/kBgGvNAYNpc001R0SuRp0BnahkH9n1XmyrMg6O0B2ojpzB603fKv7TYjj1sMUMKGNi + T3YhAlV2C81PwCdHwrncSLR70yAcHLlWi4XuAAu02nrVIWLovJNMlmMRar9yp4LMrSlXCHt0VK0j + Hr8e+UVVYQK2nFslO5RyDBNrcCMj97L/Us7puGXmHR0ZH7CtZ4owsCByzTFUe/ZkLtkO57X+hvdq + 09s1aI7Nc3t3FKLDLRsLapAtxLhi3chwz91zf073fCkl7Qa123aRqOOEPSXf62ydaZ+uoEwKxI1R + okjwKpQbQK3UQPRuIkBIUE8EdJDzF/n2GJB2GN7u1hl/6/lNt7iw4sLMO0/3auIjPKyWF6gJCI1S + 72zrxdIMvbDBYEamgUAhGA4scC661o3Rlkhi5b/CGmkY9sA893azcxWZMRQ4l8E2ruNWfuNhXQgD + wxtfO9KTwluo/71kX+u6sEU3jMhkwAocAliLIG8AR2bKWJzP8540snHPfWPrALM3xGUkFdQu0HDt + J24LMu7/Qn+N0JMSRQ7c5+WC0aKTcTcuCN1PM7z8/A7psXlGDOQFGUNgpmiZ4IGYqBRmAyHnYnbe + W3dlb5Lulgnj3zczuDf7Y36vuY0kCRLBopcgiHGimCt0D0u9j2FmbCr3aQ2rkXy6JgyPOoMIofjr + 1It7B93hanEqzP2plfcZQEQxl5wExcmrUP6U+DggigCz6MbUAh9rowTAARRBG+jGghImQkRNH9Zx + BIYYuNgxmUMkm5QnKqo99/s0M09V1gGqrX53tdE8on5x9kDvqKllDsaEiSLHVFOQMYwSSciVdS37 + BRSrsgBT4CnasWxkdwi99V5/I4nawyycSJaQ3vFOM8yCUCXfwJGiMBvbRCG6a3h+osu5Wh9H8boF + cZzMeBahIIRZzDStg4AjREw1cSDiard21a2jZE1zUBeRpzgFzeFlbmoAGErETDF41ZEybXbZfodY + D586lux/qrVyl87X248Q7n/R/s62n2PChPLBAJiZwJgsxhjClE80BC8LqgBy8epOKrPaj3elfYad + Tj6znbf5cwteXWUi3sHwI7ZI19sQirHcAnvITTHH/Tx2SwNRJ3sWupYLUhfoNlGhQq8VDOtCkPbN + Jes5eu7rdxSikc9gBrMQcskfCjlPiSEnz+FWX60f/B7gL8aB173Ddj7kB+sil7dw0ZjVxdzbFdXU + PdZjQRG5uJNVLFCxuBEAUnACSy32QIjsz1LJeIYqVZKCQ3cFWUwdB5Sl0CHD2zhdajPcM63ib2Uj + HtIOvAZk6O6jGriZd1r1Dsvjp7E3A5Fn/vUO+jQZ/WbzWkre5dQSUnddGchK8t6GNTB0WniAFpGz + 5cG84vmu4phoCmmJBIgAKEJE4GnWbc44KsI1K7w/WGpqF3C291fBJq+SP9ByPlSgLqG90/JQTU1h + IYCzuSHnhC57qaIKG4y7pbIsOHMB5fKVCzHaqoxQcbtVZ8m10GJVTo9iAgEtgTChCFKZzHT3Vp0O + 154KRPx+LqEPjS53WyEPxi+Pe6OLL94xItn4tX1r2NVIw9DF8gGUgb0ejdp5aMmxhlebow0zwKSU + Z6pkHv3KatgmYbN1XIWAQFVLkNPhQi00N/SsDqtgqwSubJ86heFTmdQ+vNyJN9xtO4di8o1ZQUCL + 6efmuVudGq7cucBMVCQymMJPpLtdDmHnEo2DeYOHurHb3puyOUMH5BnIcKc1qKZOJzFIxaDVRwIe + 4XXb7eq6zHXXo1/AbtAYB61mqlY8SF0fpgCvePKZeLRuDwMameMAnmKVwT7QlPH4fzWZQBmV9Tf5 + +PdstqJasvq+MbVRUQrsn7VpcUqvZIMJNXneYr9XsBR+grICmdbWNdydbPkWyqP7kWu8RgQlMpgU + ahsVUJ6YjoNDEhJYDJ0PZzWU52q3pRvEApvhLT3deV1KVuVBAFoc/2jJoTIQF5b9sO8e6SC8yxd2 + YdGxEGApHucM74AllpkoMB+EQJoT9vTvHYZIDrEi8WYZxAZM2RlKRyuP+KEjqS0bQ8EkK2jQ6Rmp + n1oObMby533jauylG08m42O/5RuTMTQCkSiwV7uAGlsgrsnYDaCQa4t3G6f21b2s7o6OonUjHD2I + qSNEGMb2M7W6nk0tWzdpt+FlP3tpSNGt3u643vxIGxvPm7EndLWpmagEnuoiUuyLv/mAg4GMe1m2 + kljnw+1zv4v7vz/1PI5omhMmGNQgBuRim2EiTJFINScZ2/feAlyuVewA9Huq1fEYmwFW6pcAuufo + icGsvKSjMJiZqW+7XAOaCRTyNEJYHpV++kaAayYSVx1DXR5ewzSZEbweazlhdzp5GLw0ifnvzIwI + skCToaaRIDVW1chMNGC+d1G3at69VkDkPpsl2I0wYA7Qoyp1aEYZkiHAVrGdVUGYjA6IAhRO8UzB + EYGgWCmOATFS5FBSzVpHlt+6VjgI9ZfqLDpv3Er7qYI8+xOLMJUAGmZiimQGjcEzsFefm8DcJKnO + eukOJRZiFDVVzxSRDd4AmCCqRjTxihAKT1XKueBjPTl1JDJEYmbCDKhAlJg9UzIRIbYTc6ELZcn2 + GR+pEORx59RETztslVCLer0llPoX7W9P+3mrbouIbTCzZMn914IgH/aZOAQGZqg2sre3nyFUEIai + KzBAWg79/FChtfVkkSX2qpM6qjVBAIVltF/6Eb1BD3XYb/axN9fypGjIyVo6rxJsN45uGDpMbVcY + ARVHm/Y6WnSalUTamEZKc9pMqwksYqpgUKw25ilQq4U+CM2umCDqrJVlGu3v64CsR6/FEo3pq5n6 + d7vA0JSz1B8D2nms9M5lpH6uIpc5wMVACQLACkrgpJgoK6WYbJV5DM9u1iwpzElAsY7XfGy7E7Uy + NkLVrZVMfIszcAPUMHzbWdAdmt9+cNN2qUpD3GRKwMl/bDd3HQ18loqrquUjObVnipymuSysw4TG + 808RmrWPRfKJd1hji0Uq34r6AAaoJFaNAChCwrUtNHi4Sk5RJckikXN4AweEg7jKzFhAouASdw4a + EdTg5QotS0TuSUroS0gV4kPdSBcgH2aOEHI9Q/evVNFgZAablQyBAlYRpbhvbzPu4t8IGOIuLZ+H + /ItV54AiseUd4VKmT4uIotfB9d699iFlassAV217du7XkiWtSyLRVF2haucLOlRt+D45Ow+yjLGc + RCk7uE95v+RV6PI3LOXXiqEFSWhxZV/ruqggW95DOx8K4pbe/fPywSwJEjMoECN4gUnQOoCMCIHC + tDrGVohl3Q5Wz0KN2il40J3UCRghezL2+q+eL3Z7sqcMvVlkZ4bY+W3fbTXN5AgkAiIwbyGkMUgu + TU8hcgzWl/Q+gvXvb8u16i6OE39dtkvLT/vmqK3OWr3JFq+1EZrtQcqFuXKMY3NozERw6XXbURob + R8WLi0C3V0oLzMRRNQUKzATQ4eF2nvXE8chMVApDZzVy2Uj7gPJ6cKN9hvE9x/1CzyuNHqSrfAt1 + gkEY5DzzXEd9woSRQuSfymCskEDydzXQdiNXoB89m1eEa9yn7f1hZ/Xiwb7WxBhq9xMAmb1azTpG + MoiYUWSOBGwlqaUQpoIGAhgoeIaEWE7HnsiuaHe0d4eIoQAAIABJREFUg+Du7NpgBs48SHCGXGA0 + DJdbF1V1azs+ubWHlBl+zl/ZhWWOIsHQM+1c7+i1GUhmmq9DWVdBwrQRRAV5MfmG+1SjRmi0EJsv + /pE02wBryvERfjbCqGgpBtVtHaZLee3JRvXaunfg5nE5umE1pmSFd1fYEHqtgoNcLdlWwqxha8qB + I8iVaLnwewdj9sNdP7pGlpcCXJl6C5xo/3WMh7r7X5+w/kTNXK4xhD5ZCqHO3sobLcNkD5uyZvT5 + GUfW1qWRprL6DZaBKayYKzyyD71atsUKRUaIWh1CMsa69VYzmnj+8TFPG4bFssrW3xqAi0C3CIyA + 6HBnABAkNpkImhIbU4j968et4rYJtn5AZnXPlMkUS0eFEEryfrNaabN02+3iwtQHGkH+i1NAzIrJ + YB4+OieZEzHzNIF/doUGAUQIq+kAFgGV2ZJ4PBwIUCLwcQ4OCFd9Wx1f2flZmaHJBESmTh8JVt3g + jWBYc5gW0/eeOMO0XCmsDd5r0qRQcIjMIYeSFSmhd9Uo2DQgMsNIJW0TpciRnYyeAATzDCjCWhVQ + L7ccDQeWt+OswpqIEXh6i/b/MNSO+nRAKd4rZQ5OvIjr0T5PMWDFoYixBjUxETZhTUlYQBbAqyxH + cTODMreAETOoGZMSI7Ln94jGwSYAUPL8wAcGzi4VBCAZNgA1n+Vu63q6XZWZ00xGgSLTSglEKpaC + zaAADoWslKMjLcxYA8B6Ll+gVW/tWQ0tOPAv2r9L7eevuiUAYGY2SsllU84KFp2x3aaw4rBmMEFq + DHpuw+FFF5cKglvRFMQdRVVPGvyHgJwitgpa/dZwr1VCd8RdeGxitI4uZOTiUVhvot4/4K2hdm0u + /bhq5L/1VK+IC1ZsrYQSekH5mV62LjyywVD7dLT1XcOphjmG6K4+FELxqiNJICCGzkxPNbzL8tq1 + 91vpeR+kFqcLLO+w8e8wq866u5MuroGo9Ofay67r4muVIECKbujTQIQJVbOnoAQkgwAhoOTWZQYn + QQrgch5rmuJaFxI7x+CMh+CKq9ZQai8sdo8GXRz4AOE9D5Xpe8177avN1GdDTmUVtA+Pry3fW3Oi + Vhbbfi/ynEe/MhByrts9k/Eja2fW7XYfunNG/wXtrVZ0qQYipGSIYSKU9AwCsWQhhRgmIz2cZ1or + s9uwQc7X3VbZKTgquFoSX3UVt2muodFjkjWBadH2XgQapTFTEagRhcCMSJCcCdwMWwJ5oFNWZ1eM + qrvSciW2/pUGWEZ/o+IdZyBGggp0gpdCZigiZXGpiglaFrwv0K65qEF+fxU2mmm+W/nuQFLzLCyh + skPVqF2hbfFhCZZBa+7S6FkXahhdqeSquzg8vGQYxMgGcpVtF6TGcb7e2lmxf+wuvOt8mJo+yDp9 + lOH6tevE6eAY4hS6fWJJI0hzRrnAuTC7Va35yCnrT9m7oMDRsm9iW6IjZr0UEQeqYvs+dMyuUqcm + f5c7BRCwgkJSGGdvHTUjtUCAGmAlr3Q/gCPb7mAWQ9/fyZHpCI7sqo3fBQzbM/8OY0uwW9bjSvEU + a/H4w3MwhgZY1qcSoWQPpIFR1tMs7675skeYGZF7yKhIkmAUw5rBqvAU2qFtw0B7gEkL6r63LZym + qkJ1ObzMunaVzD00rFeT1RQMgGd5ymqd5WqaE7VBad7JEc5HuIkTWZApxMEcCp6Bsad2QKbnNqqV + qY2rGz+6dShNimzlqCCw2VVOE6sZK7niE8aRNbFT0z4ph8uNCiKuZqsAVKf7OlvqRKYFAhcW2StY + /BZdEo9lqyOxfEBY3EtuzKhOzYR+WNk8scvZq0iQ3cvr+otiBgJRZEJ0/qUbQWJMBAbBUoansVEv + RCHTvc6VoY6kkyT9x5rGB90tjhYNe609UsPA8wS9npPmiAfulU/NpFjev1gUW5JUyyFZVoSJLL8s + +mhynCvJA5PBRBIpIQaoApo4zM17HAX+ob5/gTfDgPLv2mtO6rCrJdLQOHefbPKtaN631IiFEWq1 + umL1Zt376orbdNR032Tb6TnjGjWAEeB0pzPwuHFFjTIlByAq6VDYJlr3TJIqcfAojaboH1HXxnG/ + JfAuwf+kltL1OdLa1x4iKZqyJ4AwHpG1Tb+MLgzkP29DdNuw3r5gk1X7ikX0yZ6P+YITY4UJwsrx + wNVtMCBJElHVlSdMeEsw0mBqlHtLIZSkPhSUW4r3kBUZjQe1XZuhQCE7kvpmVCohVOJHa/ZSxNqf + hAsNGLYCGXobJTMHIiIaS6XBALNFCrCyqateUA1gDljFnIFLAUUIFBDN/VZCIGIX9kmxzuyJEnPM + bs97dtjP2Ja8bcSJGuZixXhJ/cnWHfPabiQqYZBCnvzW3GiRNsLzKk5j/8UHkEBkhllnYkRMaRa2 + ECYCIxnmJDFSoOh7lrLnlRgO65ms28lCOcW/yykzQgQgySU55hAkbUmNS0xSnaH52NEjRenVrNBq + QqeZyUKjHfUTOgn/LV65X7S/kfZzLVPm6OTHGc4FLIhyBP32Oi5eOnPmzOb06dXdd1OcdiOgARRp + xLp/1P3kqC7layEry2aZu5SzAfWn2Cb8Ol8YQv+o66PuD/+l42uVaZWaMp3s85a2JqtT05WgA1Go + RHCUj1EPh2VQbuvOm7wIjCOpMAVp77UKwKMwK1HR7Xz16qXLVy7Fg/WpW2/mae2rqAr3ZqRW17qP + PKJO0LXS4SCdDODFDkhHSaYscKbrJclvBlJV6Xcz7d9RB5J9gdsrivikCJo5cL7ErkEJACKwVkQF + YRi2GSCtHoEtz7dNi75ohQsP9/fz7x8xgpBHMLVp+c3DhsqnhDq1nZcWTRx6nlGeVT8lGGIb0niP + KyeKrr9gyIBYhhqq6Yrifs0H2dBGuwLVwGJq55MmlOwOhwBTse327GvntnR8feOd0wmOGbJi7mxA + UNOUhAMQzbMXUQVb3kbag6uoA4aYWuvEiO79b9QasgxSmru/UqaTDGB79fLlC5cSLJw6ubr5Rmae + 8mkf1ROwE5eH9WlYnRMlFBzIArQX3POiwllFRcZFsgnAMUXUIUwyxy0qmBBLMK1Ry8pcT1llrdqB + tBNtqEG1rHxVKCySQXc7pgF+gDk16NUBdEhXRJ6hr/bsGKKdGUpjEW/uzJRRmVqSxPKom2dCzUxr + ZgaVPDjTS+deefna9lpY33TXvTfdcJCfNCTRIQdJZ0Xs2WEZdfs2XM/fqjr3iKksL1ud0RvPuzxS + OE6jNAQAAtsiABxEij8JXOegTAQVg3se7B3DnqtHfaWjFsx6UXbf0F9vhrbUdtkCPLnqc/+CxjfQ + sHY0dxmglI9tQOechQzDJXcwYDju0rjSZICpqQKBImxWEZnnVTiG6cBNtwkW2ONgqBPlF9PnIhZ2 + b++EiXpPX6eFMvvoIvY6Ys7FpNGYQoe4HYousHqJ42PTgvQ1/L91aeTeAd2BsDHmvvaq07E2jQbe + rI6r1CxiAYP9zdNQUydgKixBeXv98Py1+dzVeUsrrG84deLYqTWdiAyZQdZpPKvHVfJ08NrI2XBU + 7wfbz39E8XLA7BFzHO84pbrSBRMXjfquMhw77HCoA7Q3uZ6NqJE7FENSsJcmVcXh9bNXD1+4Fk+9 + 7Y54DMcmQtJCSAfq12FaG1bvfFq2VNX6deFio4fpEc2aQbCzxBhy0Xh/s2ZJWkKGZlXUDWmKrTE9 + GqSWZlJarM6Ajo5QxByAoEZqmOfNuUsvXxU7fnO86abTx3LyygL6UMQtG/rqVnzwnbBRaVT5dfYw + 4O76W9sGAjuUv8iLR5xFU+n53D5Sv0suhhm9Lp1HlcYGwaKJE36hdmimasy5YpTb+43ABJ3n61cu + Xjp3Ja35xG0HN56eVsXsZlqCHqisfRMnh01k47Vh+D/DChAA0SsXXzp37dXLx2+7+20nb2wbiwBw + fzRDUUr2CQ52jazc5U2te7JVIa6PEVAOoY0q91LaguHkj0QQhaaUDs9cuX4urW84eWo6wPFIZmow + IgaHcvj46WGTm8HECAQ2mGy3h1euHl66fH0WWDx+7NTb1ifjqXU9gxhlf5SKey70ujm25L2hUE40 + CmNTEyL39Om8SitZygS2cvislQOcS4YQuSNqdTFUjQhU0wsVHof+f1GQUcSKg1TG53fGCHXLVGAy + M5goh0AUFGogZnbbUROB3pLWIZaOV7o7nAHqwiWo7lkA5k47uUZvYCIy9w+SdHh1c/7Cua3SyZun + m249ti4v0IGnKljJkggBgaGyJQWmkwCJYptQkntl5lMWKFYreEFtq4iO/EnAdO3y5TPnrvN0cOqG + 9enTx636IxpRZ5lXYI84nFv1+WiUuwPYQCLGVTqymsUv2t/F9paqbnuWOzYzUyCEmDWLphfPvPTU + 44/+yV/85Yn7fukjv/k7d7373cdWe73wqezoUaTPN3LxEdBctWt4sGfZzTgvg9Kz709rQtjdo3/3 + 8jzHhRPPwOHbc28tkUNlnIU3VqhXdRbRUBJYyxGGsx6zMAMq8lrHNGk4EWSJitGZ/AxAtW8annv2 + mUe+8qUf/OD7d7zjnr/37/362+6888RBJIQptvR+RXtrA3Bqd7va8EWzna8dM6pON10sHGUamhWA + TVJoFHdshd52CkMa3iuABykHsqaNJsAgNCnYEJEtbAarUj4rJhsmvADBUcLkzvW9O4P84FBTR9el + PArlRth1/3cqp50HzUBKOW9jZ/ztHkZxgKwnpDCOmQGDWnaxIxqiNRVdUCFlf2ylQfKLFcsNKFpm + HrYxwM1nkq5evnzm2af/6A//6IoePPDRz7zvow/dcdfJVdSAsFqtIkU7VGU9eeIGZQWCmgGiyjx4 + z1QJrU54LJ8GNI+wRjIInZFkX6PFEoupmjGHQCFEzqkfVJHku9/+9he/8FcvnDnzoU9/8jd/7z8K + zJYpFWChbqrOAUSL61YhDkqwLBqU+70a2YEBKafWD0QJlgA/0gUDJ6zrKBkANsAhaAKOKVD8rPOL + OEsUvfTA3eqjKVWpgasAQqtbT/FHqkCyqk3PQ8sQjoud3FFq6+i0X7L6w04rm7lR7Ob5t7sZUNd1 + /K3MysZ/1ItwfpuZmWrIwRDpqSe//fATP/jWs6/8zu//px//yIOnT66Cx3+tIwPKeduICnPjKIPj + 3FFUBBWAu87Ou6i5OI/9BGyrkjgqfs+o1NwEcojIwKSaIy8EmJgiR4KC+M3nurUjPr/efcA+ve3w + w/Jq/YHG1aSOiQ3gySoSKyha7igoWJjF6NlmgIVqOVgE9NTXFJ09CvL5R+rFEoITBGbOlEOMVFer + A9AKCsyGSNOqC607shUS14ZvI1CQvY4GuUEZQhUBlt1JUW+gf6rIIcW/Z4lyPfDHy+iXZj9rXD5p + Zf+N17QoLgrGU7nPb9OiH27SyfjK3TFrF2pgYEAStvKtb373Lx557PN/9dVDOrjl7vs/88mP//qn + HnzfvbcdXr18fD3RFAqt8HjwBEsg1IK0tLS9ZIzoKNEQJdBT4ZEwtvvbxw50hrC8c/+Jj/LtMAzK + mCP2JaFfg+7NRkxeYQiScO3yM9/77p88/uwXvvPSr/7WP/70h+4/de8t5Var/+XxEWowU/+Ph/51 + 1HIUmtwANRjUHVAFE2D5sxbVracQAqFYbPKSS4nYKnaKbsvXc4E1qBE1lKpovOsU3EZZejEG1jGQ + 6vbSpb/4089//pFv33Tf+z/ya7/92V++t7raduKIi1JjM3W3rurF0r+n+2hZ8jUjYlTMHpdvd5nf + sC04TcO3PbhTEjZ1nulKfV7t/NwIrNp3s1C9Hr/Y00l/eeHMSwQQEQVWFTVizpXrESIgVy6c/853 + vv7nf/En5w9Xv/17//k97/nIiRUMUAOphr4YG3EVu7m9zqoX0Q5tQUfB9mz2RdsjvViyK5ceffSb + X3z0xSefm//hP/mnH/jQvTffjIN4sMIMJMwbM6Yp+vMdZ+/JwMhFKLjenwa/ii6orldPOsZ10vHC + r8b6aROysZcZ1w+fffnF//PPvvrEc+c+8YlPffbBB258510U40QRapJmplDzPBwJgCPasP2JOU4w + hSRJ26ee+v4jX3vsT/7sL89duHz89F2f/fXffejTv/HRB27wdCTkQfaQciQxIMBiC+zwzLDcHUPJ + pljoRJGVUAlaAXj9RECWIWVWlWQU11wT+5pBpLghO45lyrUPnYkQI+aNzDNWK9RUHVp+JXe8BUxM + acUrX55NEiNdkU2BSGDyJgJzftJmoGL7te5vR8r8uwPMesJlcEcSMlETpZDrmAUKCrt+9eIPvvnY + I3/x5997/tV///f/2f0PfuL0+rg/5pVcqZxMAAIF9qKq0PWaoDOwAQ6IsT4IRFBo8BRzOcQ6GNaZ + 4xcSDgBgNYiWpNAsUHn8sSf+8P/9q/WJGx966IO/+Vt/L06BOMdGcOd1W4X1JbE17JV59olBtk9C + OoLC/aL9HWxvudftgqnU/UbFLTyLOlevXXzu+R898tUv3n59886Pf/b2BKz8fh07rF632vW/EMQ9 + gKvNpRyvOznIcrEIo+aju2fwppXsFWHKiFAyBwCAp7HZ1d9UFpS/DpvmrdozVReaeSOhO081Rw/r + zl5VWg3okklRthbSaE537U6lEUVDNMovXeZIu3Lp0tM//NGXv/Sld1963y//ysdvklsdes0hR90a + 3TkR1WXpFhN7AWQ7n3sReACqj9j/UncaHoJR0L+96yl3rJw9gFvKmvx/h2FU4mddnmFF2CIXOmUA + EGADzMDKsFawZh/JxozL+1vk5jjrKr31N9fRV8V07oSzRNuCmJaq20F23eN4S70UgTyz8QimgDCC + Fq1sTm5K5ebyBiIqYRtD6kOGaokSH30q0XZk6ZCzA1c/ixx/ytDmxj0Crk7BYEwkab54/tyjX//a + VRw//fb3vCslMDzM/8c/+uFf/8lfX794/eY7b/7M5z594uYTB+vjgRgoEbb7mGGZ4nLr123V3dMH + +CzHOYyXnMUaERXNn8EsEMPM0+OfO3v229964vs//NHxO972OfNEGx5VWoaaH6xvNKB4EFsRvLUK + dC6obIEtcKAFmzMBo5QND3ZcaT2XLc8AoMAGuAocAw4042DLNlvqyWiHaTktXU1y2SUN6AHRezXy + QJtzjDNlt8QBoKHjC33VBOtcpdrFSgrHV1fCVoFZ+2zl26h7oAf6ojc0JNRGMo2StSo+AJiIAgMK + FczXn/3Rk49+7WtfefK5h/7+PyCOXAJHqbjfJQEITG2+O3MZ6Ok4Kst8rffh2DN02/n6+tg7zHkI + LbeREZrANj/85rcffeqVx3/82oc++bkH3//ue24/aYCIkm5Dro33xi/ahfbiekP49n1xV57RUV3t + W1Rbet0uf238sn/a2p9cX3jH69b3qXuPUk/UBlF7wYp2308oaWHhVueU0mQWmWH27a9+7RtffeL8 + pSsPfOzDv/zZTx2cOL4O1SZm2H3n7kygICm/U1PaDnxYQ01i3qS+DFD2uBD/lYbJFJ/Fqlzrl6a3 + EnYgGSFf5JUlbGzUNA2/mOREKwtz++6dbS/3g+ha52MP9NSj7F6TzUvPffnr3/zjv/rql7755Hef + fdWO33Lqkm3ndN8dN7379lPrwJRFjAKi3KXAAKykOBRUl1EaVsjFgcaBl6u3f4I03NFNuPOqa4s0 + 5lNZQnvAnp1EquWD9aJq31fbQmSw+dqlc089+eQXHn7i7vc99OH73w4DNLkjVZUprO+4CEU2chFr + i1Owa2A+NIzpyFa3v+dzox7CmbVm1a0UP/TidTuEQedXWl5EKvTBSvaS8q4mN7Wx+rtyxIKJe+Ft + r119+qmnHnnkkbuu820f/NSMe7mYuDvyTegV61ZBBQwbZOAdVbLLgerUxrCz0/ZT29cH6L5rY1hD + +WtAKdVr1etWAdBgqfB7O8qyHNXupli0/mAxXu4JScGp+lpPTkW9cxGl7eb82Ve/863HX7rMD372 + d266eys3rnLi4Zy5yABTg5aiSm19rcdV5MtUrrQJUR3s60xqH8swle1LLz73+DefeOz7lz/xq7/9 + 7u1dghgrdjFVj/kysJ6j74rcBDBoQvEZKnfE4iieb2q2PupVtz1atc3YuEhFXpPD69e++73vfemb + T990y+0ffOddandQmokiQmQQcQn5G9bujdHSxs+EHPV3+fz5Lz/y11/88sNf/8Y3n37uxSvX5+nE + OTv2thvueO8D979vatmAqxBdGRnDALVnn3/h6RdefvHF5y9cPEuWTt9w8l333vuOu95+8x1v14O1 + k4z1rrWznpULgC5euHD+zAsXz5+7eOXatc0cV8corozjLGZqBqxXqzvvuO3d73qnP7xXr0oARHH9 + 2jNPPfnMhYt26sZb77v/7ltujwYoxBBipf0KQqBAhKuX5zPnX/3xS89osNtP33rfHfeePDigNwXX + n7AZGFkdutitOzg38BHrVrojeqhZLFTmi+fPPvm9J7763Wc++Cufu/3+63rr8bJ58kGpEiAC5aQQ + UOjmuae++fk/e+TKfOrWuz78mV/79KlTWK/Vsx8WKLNhXcbTp2zIjnKmIPISffbKyy99+a+/euLk + LbfccsMsv0IBMY8632/5xdCuUHibJLA4xwxfRm7WRy+MXfyi/bvQfh6q2wXvy5JlJ7UoTDbbaxcv + vfbscz/EbXdvtwkaC4Xv5P7cIw2yaWHYqij6jp5o1txIpXKC6zog0Blpe0UOtiscnxq5tNanAQKj + mkogCw9q6JyJrMxqIPmZAVPOgFRdWhc5BM0JK3Xf8Ho+R6Oiw0aDTNuaWeCqtEthAtOa6s0DvYdw + S+ctRs3qO0yMAIUkYLNJljhqPO6WvfpCz7+TNptLF849/aMfnrj5xiQp96HV9AfXltUwwmEy1lYM + QOcLUbBoFEK7mfZyS5bYqlTlQM/5Po0WMRHl+FM+A+jDfDrpsaPO4EEoCTAtWv7GM6jiW85tVAs3 + VTprgxhdhZp+ftkLugloA3KMjhoGz2ghGPoAwQxq7tg3QByj45gi+zdWn0cY2KiaINHuJHU1sUfG + W+WyBMBTkGaYWHZa71S3ZqDk5ZAVZEVKrHpgBdCpgtn7Ns02iDa53E91Ia8DqOvnllQmIti8uf7i + 889e5VPXNxvjwAyBks5Pfv/7/+O//JdXzl55/0c/cM/999wd713ffBCm2AhLv7lGCXC4njWRVS2X + U016vZ06tNeRreuKUZbhPepHwSVimmlzeO21M2eef+6Zs2fPJhUgFK2tOnEs6fq9sBrybisO4jDA + ONebzr4rVjPLVMQ2IAcxOLXs0C4TDqdFnIsLUy+YL2tMUv69hMcXbM7bvNff+/OVdjZvQgNhBhmM + YVPvqdGhJRW5OXq23vykDdvYzIwUS1qcF8zNEB2p6Uw/y9YFK+2TXns0gIkbCzdzupZoOjgxTaVT + YsQImWGCdP3My8//+EdPPfXUi9cP0zTlXaAGFPOFqMbIIZcAXJ40MlEx1DHTAuUa+WmZsCrulnu6 + Jelh17GWemnsNn8sGd9s+IkACGz+yiMP/69/+MU/+uJ3/tl/JTceP7j7bQ+AoJowb8Pkrtvc6JJ3 + MVa92ivNv8HOqguKxuB3H1kS2OU8613UPFmGG3aJdPuQmY+1L92bKg8zG6fW0bVhsn3PQ4bOkn5U + YQnYJp0ig9iubf764S/9z//T//LiK6/+3n/xT+9537vftr5jxSvA1RHOPjzogZd12NpoBNiWUdAi + yNIyu/I6y2idNCw0aulTi0TWui+SWxYpAOTQjCwUNACMILbaA4ZVNaBLOteJGQ5xYqcPVnQufle7 + BwbO5CDXljakWdWu21rXzHGRyqIJIshgFZiB3Ktesd08+9T3/uBf/6s/ffTJF6/S7e944MQtd6xO + nr588fzVy5fSPJ+44QCZBVOmG7nnAArWoVfD4ww362aIQsuXO8WsMoiiu7QW0d/gVrlvCXABrJGU + YucvojEXNzuYs8C2vDuL1d7U8YFmQc5lx3KYDilkc+HCuaeffubs+UuH2xlAXixe9llik5vSbrHB + 83qqn4V7Rk4oidEWudEK80EJpqEiqTijKWmMCoMvrxODWN4dRbzZIZrWLtSqAD58qv2ZiYGop36F + MVpOdQKoQVU2m4tnX3vh+efDHa9dvLbpUxRX2l2gZMXnpKABms44GApfMwyMTWEKmTFvNmzbcEAB + sY906jGoKRYJ+WTj38q9ZlRCcnbWKMN+2LYADEpehLpqTktUdNdDPWWVfWj9MKz/pSdDwxiGiyOX + seLtGx0kaHtSh4d9XchMJW0PL5w788o5u3Dx4vXtrFghj0azFcZIrZQfWeJIVQNz69/KuNrg9rLi + PfMaQEUgyJXLF19+6aWnnzl39do1UVWFseSo/xhgAfUIm1FPs0LT5XWnRO3AFipUMx67mzZxnR7l + gyZZhz6ZxuY7Mu0aqJKvH3G9+ezZs888//zZ8+evbzaqapJiCBSIOLZFrhl0qIpMZgYuI+7P3dZ5 + qpZXC2wLm1955aX/6//+w7/84hdffuW1977v/bfceixNN165euXS1UtzggYAG8c0Q2w8zoB5e3jl + 8pmzlx7+yte+9vgT3/nOt59/7hmV7R233vyZTzz0iY8++Esf/Ogt73hvPH0wJ8SYiWG35NUHKa/s + mTNnvvXooz988vsvvXr20tXrFFcU1xRXh9ukaiGEE8ePf/yhX77n7rvWMVBwSNcwMDXLSftMttev + Xnzssa9+8VtP6slbPvVbv3vrQ7cdrIkBMwEINNVdSEywdPG1F5749ncf/vo34g03fuyDH7jtxttO + Hjvo7bNv2AZo79F4UJlv8frtbFkFSyr7aMhD3d6xGiXnPrT1usPBTNLhxQuvvvzSC+fPX7h2mBzX + A1RNgrEXy1WUlBYZm03nw+9/6xv/w3//3529evJDn/hH77j/Xfe+4/S0Ck1qy6vPGX1hBiVPLlJy + IDh2ea7ja1euPPf0cydPbc6fuyhqSYWJmbunnwa7AAAgAElEQVRKmJ0hx7lscdSqPhsuiGZ4VCGr + 7shdWtfBuYHyKNWTU+k3u7S/aH9z7a1V3fay5XBdzcTIDMzGZCSz2UwhgWYzVYGfrcVyEGwTewwi + CtIYGDAzTSkEhhm22+20ohChECARvMhqMGBOQgFMZWu7ou3a5ZcvnH/ypSvTLe94zztvDBGRAIMo + CmXzWl6qMPcSYcCgJsJA8WTNKarVjJR4tMUqSDRFBfsBRkRk5imCyFSZWFTTPE+rFTP77wCCR1OM + G8aDag1gbvGkarYV4RCmLuMKAFWIIEzOKwlQpMN53k4Ha08hJkBSUtFVCEwwEQQ1TYebTVytKIKA + WQCdc9YW105urp27dOa5V17lY7edvPm+07eeXDEAJAWb1zVSmAazQBbY44ay62JjzoJkxoGkGNU6 + MZtQTlGUkwPluQMgUEoSPdtjJVHkUnRNt+96GWfvLWG8gmaRtQUYIc1KTI4/NS5BFWbgYKYgZRfT + O2lFTGFZ5Us1XD8f9whKuk28msAwCFMIAMNUZlLlMAGTk9CARIAXgFJThFKOR7p6V2ZJJIZggIhE + UHbU8sXNLIIyBhCIyQARJNlMKwksANTULJQyRyZJTCIZxSLSJElEHEIJcDezeTY1XgWXTRWWz37m + kCoJd9SgCUHBwln2mqwzkJqBVJi8qgwDPPsGsVKeWbfAlpgFmsDRd4ffHkTDvNlqDDEwBUCTMLYU + JxEztRijKFQxud+CzSCFBVgEkZZhkMMf4tIpAYGxihzYDLqVJCAFRBJJOrx2/fzZs9fOXbt08eKl + i1dULYaYjS1qm41M0zoEzFuNkXPRWn+LKxgNKimwApMkA3sxVqjN2znF1YHv7qRKZhw6xlw/2ZFf + QPBslFn0FGVYIJhqSvM8J16vsoSoojYbJpqc/mQ8YEgo56sskojVRJQCmKQVECgACMDa/VcVee0O + 5ykY1qQC33yuqjdTogA6BkwqSsTMIJiKbg+362kiLyBMmnPnFieSLHiIcBDXckuSNIfVKvesJgSA + SUVRMkOrSkpXVysmOyZzdLd2wsxIhrUJOyUQ2caoAKWkzBFkaZ4jC1w/BafwgpgIUTUAYHaznwMt + eSYQU2ZjEEyEKCEG60hO/k/UVAAQBwSuVKxtGS5fHLllO1/fvPDa5ZfOX73tzntuufn0yWPTPKcJ + OnmOS9kCEpBcjZqUUoLGDLEkCAHEWE9Vc04gys7YCABENFp1gLcqt6lKaAaFquoXC8qYAFK1NKdp + ihQYgMxisDgt5AHTLHhmVQJ5qjSAuZhEFRRdsWEK0exv0p+tFZxeevmZ559/Vrd68cK1ixeumiEE + 8BQpTLAES7Do5YBUTU0BipHb5uimIioh5FLCIgZQH4KqYmxDqjBRMbMwRfiqiDAHIjaYiphiKjYb + KgiDaksERDVJWk2RHIeJTTDPmCZnOUbdYasBDgAowTTJAQEKS5jNeCKaYCaUK1BCks0yx1Vm6TIX + i59Wqq9kUCM//haYqolNFgsXTbAZFBMkIUwHqwDBZnP5wqWrl65sr24Or20uX7p8/uLFm++8LQsI + 2xlR4oQSBu7Kt4CyrKaIwXMYCvQQBnBUQIRrxSynvbnumW1FjDm6F5E4jmZuLN1hBLNAgEDO2EVk + DgAV2cUMh9cxBYsEGFdkckZTfGUVqhrqOU1NQheJAtfviBSFYKaYnKCTKHm9NnOlITGQZg3M8JKQ + xAESCEgzJCGG7flzz529dvaa3XTXu++9a50ElD3FbaF2hyl0hhCmaADNs14498LTT33tq19J8W2f + /Nxv/5f/9b84ffPNBwfHDijddfrg2PGpGJa52HsSXOvBEygWTU9GKgEYxGYiKRBcqoGaqrDLfyDt + sFcN82azmuIUCKLkLwIjqVriafJNLqbEgStNMyKCKplqiG4y0jltCJhiJCIR2m7n1SoQQVIKcSKQ + qIaAHFmvBkMua2EQVfKQYYOqwKocAlHTkgcGKkibCI2REePVw8Or1w/N6W3xxEDZqgYYGAqBcjQz + E+O+PGcVT2TepHleHztWEk8ClM/bCjJTUyWiyL06lT2rYtHui1dRlmRgZbA2mR8GBWbFrKCAVVO2 + lWOMC5sAPDpW1I8zrg93J0xKIgCmwNvtxkAH62N1vqJQAweoc22KoBmwVeAJZmpJbaZQ9B1OpTzH + TqtXaqrZpcLnZ6rGkk8LYEmqimltXCFogEETrl5+9oWXr9gxO3bLjbfcduo4h1XRLLnezZdVcsIW + MKuqJCFmImIiYlYzSRJi9PeLKEAhkIipaYyOliZVBs0AtMPNVtZhyuGABlgSJELohqmmQubWesoa + 6pxZPkkyozhN2XJLEIWZRQ9JMsCMy9mr6jY8ILHoQvTw8HrkaVoFj1SUWcIUEEDEqomMGswoIM2S + 5hgQSAgAEcKU5WhJJnMMk4t2CpJiLbXGMDWL/Oi9tqnocytglDiH2YmaGdjpn0HE/HMSCcyByVTJ + NXEwx+QYEGMIMW62KYlGhoqqifsG5nFk9C6ZnPO/YMAsMLUpIrAaBd8FpMaZr/F2Kxyn6LpuM9MU + 85mQRU3ATBYZUFWZVYWZwtQHTuVpJhGGljz4yuTaTCIOzBwD82q1G1+lKc0pTdPKZW8RTSmJyPrg + IIQAYLPZgOhgvTZAxLbzdppWoUSpkSXw1q6cf+nlF77x+LeuXg8f+ein//m/+G/uvvseWZ88pPWp + 228/OAZNW9A1YA1MhmiYc3gU9LUzZ77w8Jf+4P/4f773w2fOXrjGRDCZN9fPPP/sK8/+6Ctf+Pyt + d9//n/3z//Zjn/uV7py3mESzoxvw2tnXHn/sG5//4z86c/aCUjxMqhQprrZJzIiZY4xXr17++EMf + u+vO206dON6552CeZzOa1ms1IxNmffaZH3zhL7/w8rUQTr/r/e98z6k7T4c4W64AObGpaSIykELT + C89850//vz/+tw9/4/4HP/MrD/0qOIK2AJCTJr1BU9XtdhtjjDECyAuxXg/qDoOlBI7OCUUwq8Up + K+xFjExAFtwNqqp1i7O7ZxqOhkBFYZ3JPLsKiGCBLJAQjIiJVy4am6Y0b0MMhCw85OS/+fRkabtJ + h1fnw2vXrvGFS1dePXfh1tuOnzp9rJgEFArE4L5KzollO8cQmCOMuhTIro42AkWKkaYYpjhNSqKq + 7OYO1aSiHC22uEXZbo8xI0QYQRRQRM463OZuBgVUlZgI7DoEn3qaE3FwWldIdgb7vN2CaLVauZbJ + i96ZmaTEITB3fPQX7W9l+zklTFhcIcqnTpiZiEQGsxLNxMJMzFOaIQai4MfFlMgUHDBNAIXejsKc + zf0hBCYyJEmJo/oBKktezGJKplM+SyssnX/phUce/9Eff+V7N7/rQfzGr77nntvWx7OBJfcvAp0R + Q/XaUIDMIhVvCBHlkElINTkaTDAn0YmVCRw0Kfuxm7NLSmbhXhMyuJ+hZiu06pxSjDELo+bDd3Nr + FzBlJiKzGTiIgUxWREizKVOYAIDz7iZNkRMoTcEgM2DGwRC0eFeyOqECEWLIk0t+IA+R/ZxvCpPz + Z1/71vce+7d/9oUbb3/P+z76ax/52CdvPAUGiCtjV1JhaCSEwByCmiksEkxKujYCsxMjz66lrqHE + ZoMQ4QzTj2yW67OqKLNHwLCfCjtNcDVOZSOBSDJYiFzsw+zSRy7dCQDMoFJPCWZmSQlExKpqrEzG + UCRgBijk0yFBQKo5miKSsiWiCOMkgBHHtZmpKgco5qx6JoUXvVKoJQoaSMjYkpJ5xSGFe1SakWX1 + FhFCqXaQE0Y5sTU1MlMFBYjN2zlOkxHmrYQYicEIRAKIOw+qYd5aDBKDBiIBiUFmREOM2ZVIVFQt + 5si+zFHYvQWKP6z7dzSXcfcjthma1ISDCaatwGoJJYJBITN0hk0aoyHmXET5pOROYYHACtqIBItE + oIA5zcm2IazgSKIlRZZpIHZrjK+rKNgS4ToiAWvvWYBkIAYpSOeYi8kV0yQpQdU0mYnX7CQKRPfe + c89/8vv/8bWzV2+/7+133nnX8eM3AJS22xiIaBViMIIqOBTrD2CaPATZBUxl15QGpiAJm1mnNcBK + kUEkChGvH0vNAySDqvxXznXFec7Q3+DkjnzquTKamYmpgQQq8zaAmCjmjYhN2sYpV6C+vr0OkXU8 + HvzI4slsrcTdERlFMzUVprAq71MKDFBcuxKYupSWpjKntJoCmIEgqjDjFQFGFIJr0S2AcplAgE2h + YuQ++LBQXOpExAwcAIIkqG5iLFpPDtlFHyACRzUSs+OoDhu0VVxVMUvHXXtCgY22QAgU/TTMXhfQ + sN0YM4UViElN1ZJZVvlRtt0oB2XSTGudF3CNF8i4b4WHZa2664UM2U/EoK7K8dVMSdMh6cwBSIfP + Pfv0n3/lm19+4gcPffKzD330wQ88cD/nSgdZmQrIKmKKgSgaohpUSu4TzmQ5huYJATUlz65nDGIi + g+9zBaio1MxUiAr6JoEZIvuZVA1ABAJzbF6gHGCSkqQ0A7Y+WGdCaplUAiaiKkpgCmUxm7ULBc+p + zYzcm9Mw4cMPvu9359MPnIkf+8zn7rn7PiakZKzbKZpHuuToMnjZ5pzJUNRUlZmp04wyc1mrPn4l + j6fBVkQtG1eNaE4SgvsB5ZLxro+uWSlUzUSZ3Ls5b9BZXFMfN9vElCZe+1uYm0NPPSsYCktSCAQs + ABMFFbCBGFMenamKkjBR4MAUmaGiCMHNJBCkZK6PtnnLkUEWEBX5MOCIyVw8LxVAArboUiEbCEwH + 69WHP/Ch3/8Pf+/s5Svv+9Qvnzx1A09RAMweDqnADCT383e3zayNKXuERRETaGsAZa/Dg6xYCOXl + ppESkAJFACIQzRyXFMwGnaGACdgQo3Hmgo7QNSlg0lk4ECHGLOJlhk2auaFyPrF43SRTKw7y2Zu8 + zp+cqyI4iFICyCKb511hQ0qAGU8uSmhKiBOYLHu0cE41S0CaH3/s65//4uOvXNJP/8Z/cPstH19H + Hy8MSiUT1FYxb66fmoRIwBElqGB77fKlsy+9/NL1Ew/c+Pb3fPiDH//ADWusCWtgbQiWNM0cIjgW + P/0ZYFgUTBbJbapwY2U9ghExFT2jGxLnbQQpufpwRVUjQOAw+anMTIO7jStBAxOgCSTExGYgM/cN + LGdjAsxlJMymCWTMBDJTwGJkJooGU7NoBrO03WA9UWAVddJUtiwcVdVgagwi0+1hokAUgnGwkszX + Y3LIkqnAbDo4tjo48L0MKNxg1iV8UkApqPkWL28ptDVJIp1XkQIThZA9+JhgrEaKnL/VaQJ5rJ0K + TI1ZKSQEx+4SbuUvLKnwnS+41I2k2HDR+RKAZJCEabKEjRrHkugyUww2kOg8z4fr1cQUCUQUzFR0 + JjZG0+iYmmsc3K13gsaQrczBNJgywTgIR0/oYEjME0reIh900gSRCCspjMxJZlJstjgRbEXEzOa2 + cAPIHS/Nrl199kdP/sG/+cMrOHHb/R/+jd/63RtOHhdDSogEYsh2C7figswsSWILgNt1Cy02mJmo + krpgn7e1ukMsc+EWRIQkmBUTA5YQmEOcEZIdchImQaiBRVBFMCCCwKBei2QmCUQUIhNZ5RE1E5Hb + CA3mdTKdX4moCkzjtFaQJ6l0hXEIznIMSaAUOGYX+WC+szabeRWn7GUPJpjMh2QzUUwis1j22vUc + 9jmnioG4Fu2sfzuX2yzKNsuVe46renotZJro5KBRviQSKboRpe7ArNY1BbwO09YgHDifClwayEK/ + wlQs02WDNXdyUVESimZgJiYzTUIE5mRgNbKZOIHWIYRkrAkhAGSZL5nBNYIEIkoirDNMSx1nM5gY + qSJwjRflkhcLMM+6ByOybAjywoadEhAuCCGEYGYq4gw6hKwXFpGUEoiCkx01NQ0hEJEq5pRiYGYB + Nteuvfrqqy+/9MrZ6fid993/wQfe++A77r1DD3AF2AAAQphhG/c9EeIJK3eZ0sPrjz326J9/4U8f + /vJf3fH2+z7xyY+/9z3vXU9RtptLZ19+6ruPnXn+hz9+8cx9Dz8cb/ul9z9w6x4fx6q4L77Pm+32 + 0qWLZ1979dZbb//IQ59cHTuBsEoI22wCiUT48Ac/cMMNN0zTyk171IGk+EKBIh0cn246fWy9Wr/4 + 1Cs/+P6LP/7xs3ffuj52sCU6UKwFAJgpwhS2sWsXXnnu+99+4vFXX718H99y8633rtYHwCEAYNWn + tTiqEZGD18xc4xGKAkRVY85N7NQPJiWXBtNmNoIFBsO88Jiq5YBej9ASAYJSMXp277RCUKpcwFDG + DJMkOkuxJAeKLg9YC8jrFVjTFN79jrv/yT/+h69cv/nW+z5z2x1vP3biJDPMA2tUkER5bTy5ekhT + ckuJ6ZZ47XqkPAJNONyoyno6UIGIUQgUAglh9lzFNBEJ2wxKgPkhigD1NYmuo7U5EfsZU9yPLKmA + mJjUimTLwSkuM8zSdmsxOzuwqjq55hBcp+RKWyorsutE+Iv2t7O91V63e0JNnbeUmAeFqiASR1AQ + ImUmpigJKuBIhKCmIiklDcoc1kwTEQPibDdEcu/K1So7d6jCQy4NYhaNwExiIaU5uoOiGTSdefn5 + rzzy5f/93/zpPR957V333nnXLcdvOjiuphyjsXuuJpjAjIpvh7g9JbgJO0GS6kpDoHp8MMCgKnOa + wRM4BBf40ga8AgWmejwmt+1P06SlxRhBlOY5hABm881TPG37vApmNqeUTNfHYlLVlBADRJNopKnW + ITeFJsmqkMA2J5UEEtDkKlcTT5ruihJarVcCbAVJsIoIHMkUYlCFpnOvvfrEY4/96//tX9373k/i + 4I77H3jw5KkD18gRA8lgXiNbAxkTMQURkv+fvTd9jiPJ7gR/z90jIg/cQOI+SIL3VWSxWFVknayz + q69prWZHM7IdM9nItB92Nf/Pftix3bWVdiTT1dPd1XUXWVW8bxIACQIg7juBRALIKyLc/e0HjySp + 1ko2H7S2s2YKo5FppDGRGYf7e7/rGSsJMDBamzBKpdNSkk5KEGGZJVtYY6KK9ANwyuk7BdhaLUk4 + OBsgSLgxNs9Br2cvHHLLDAYbw7AQitmQgCBhXDtNCXAOqQRZlViQ2YBiY5SUUghtNIiVs05oJg3y + nSHIOrTCGpAkYsukqZ4loQ1LZuELRDGDSXkGDLaCHDwuYGEMLFjUdafGWkmSiNhqIYggGYIoGdUl + CdIVL0lkOtW/owHHMMyCtOFaGGWkIkIYhoEQyhee9AgxoK0FKM2EMNZgowQLoVhCW8QxQA66FdZC + W2u0AUlPSpISwoJjwBJ5BM8NVXu2j1mbgA6QEpZgNJgJDOHHWrEH0AtPu9XQNTBYBlyX9sLxBcJB + txDwLKSJbcrJFgkm1pZ04GfZ0acGyiHtIEghWRgLcjCzhTFaIiLpNNBJqWwcE2nA2ighBAkLAJbY + kjWwhilRBwKQQimhDh86NPQ//2mlUOGM6to7ILM+oHUcKQgo3/dV7HS+9XbAsjXWwmpRjzsWUloy + xJASHCOMQul5JKVUAkxsoGPjB+pF3uF398N/sD/y8797wQJal//LOldEIAvU4jjr+RDkCVhGaBCG + MXm+ADF0NQxJ6yDlwyrA4rnQg+HGwiCRgQspneyHHVrDQvkp2AgMIaABZigAVlujWfpEChDWxkwm + sdYK5QUeDGB1Xf4pAWEsDLMSxEYTG+m7EcBktBUCygMAExsdh570QIIh3JQl91AIARIpAw0I4SXY + UoLn6RjGQEkQhPAMDFlS0rUaVigJIhNTtRb5XiB9CCEsG2tiITyC4PpkIq1jX1giS67jds2FSHzK + zzz2XO8/iZ5LgtlaV0KBYYyVMhlVoo2JwxA2TPuSdTg3N/3NxW//5vPvt2vc1tZ2+NCwL13wTJzY + wxD7wnpSCCFBCvW6HQRBiIwmJk88w72dxMBKEMEICOFCQCzr2HgiUY8KGLAVDli1bCxLcgFzLKCN + dXkcSqjnQKuQki3iOKqFIcB+4IMsW2ZYctQpW6u11lop/xnOygSSMInBzYEnz1Re9RtaMiTevfDG + /tPNq7vtzT19Xa2QEroak6l5UsA5Yh3qkeCg9ebVsDbGI0fqWICEICHEs9QKWQcirGVyLXw9i1Lr + 2FgrlJSeR057QiSlEEI9+2hOlZGUrVobrZWQ0vMSD45T/UipZLpWK0liPy3AcK7h5Fta5uQTE9eB + GWNh2LBh5Xkk/DjigAgKlGCssDAxG7LCg5TCV5KiWDtcgwjWINKaUp4BWa1TgiCtu/mcgN6hV6re + dYMBji1FBOm+vAYsQ0rpNza9ef7NUwdeqVlwR0p0Z6VUxgLGeJ6ESka8Msgw6ohQcnWJYDUsGQEH + qIFhCEIIYQ1QN8tYB2Myk5OHgEzMsUYgkwfKnV/WrC2TkkJCyhfXOiIpyQprWRsNFSvlKd8N5xJw + 0KBTQLIlFonHWALwAAvECf8p64Mb67e0hNPeMYyBrlkoq1IGElKADUxsiAW5XAkL1sTE8GwiQqbk + CQQhjO7euf3nf/aXq9ucatn73jtnU6oumATXB28ijqGrlayQyul/3CYqZRRFlUqlHKEj29jc0ZlK + J32cZUgJyUbHkaP03RBvCOWuiWHrRJoJ8PMCi80gKSXYwF0Ja6wxLK2FiWLrp/1nT5AAfM/JyQxA + 1m2IBlJKSMG2RtJCSOGedmue2W7q1waWrbYRW53yfUUCie5eer5iwCb+SgtrjI6Fp4hErI2vRBIr + wAx2PDprCzC7xjOOqiSVCoJEvZwghoAkYmutgbXpTDqVToEcDQOSSRdRx3KgARY+2DKzU/HqOvRF + gDHaRqFPHoQQ/rPpDwSShslYFslldhwOAxY2hjUgn0lpEBJxpwOzLWCEUMQEAwkYAe1qSsRAqOCJ + ev9jLaw2nlTa2FhrXwau2nG8mXDdvzZhraaUkNIDJJEAx8ZoJYUgVcduLFsjpLAEzQidYjRppSCZ + FVtBICGNkC5uU7CW5HECdiU7mNFGOkN3smIkin5tUKlEqayEl5wJ46q+ehpLrVqefTr1F//Xn5Wo + 6eSb2+ff+UgIWEYcgyQrgo5jAMrzlOdZC6O1w22lA+0cuJhg1i6gzd1Xwul+iUgImUhNQSRgNYwx + nmOVyFdShYAxZDQLYohEfu1uGOlsXkQEr04jWgDGGsDR6C/kLD0bGOU6QmPBlqQkWDbMRhsdsbVS + KCZl+LkF2/c8QMGCtSFSUICBMQxiJyiO4oggPRLQTEpKQVFYZY6IhLZGW3aJZkq6FdI4UTq9cG+9 + CBglrh0kZyrZrQjJSmMsU6LXcc5MkvJ54ciwnKRViBfJk+SfDcgAxsQ1awwJKaQCCWvhCylIJO00 + 7LONhpM9wUG4xlihWZEHqUBEVrO1xt3P7Lg1tiAopYyGjjWIpIRwHTQjgY2cncUYWJZSCOl88sxg + Y8loIzxZZ8odiavrHIQ7NYki/vmd/Gw7YU4U9FJaa6011rLneUpIt+loraMwSmfSUin3hQB4ngIQ + axuGkUj5kAy2tcru9vZOqRp1d7V1dPX5fspxZR4QAwxISqLDtDZGgFyBHofbW4UrV2/cuPNgp1z7 + xfk3fvyTX5w/dy5QHsc6LG383V/8719++ldTl+9fvnJFdR0ZPvBTfoF04PoiVb8h6pS9szoq+cqZ + M//Tf/zTXHcfeamaZm1BQkjpWbbpwGtqSCWYhGVRx+2d8t29gFLIpvft3XvwwMKNsdXFubmJ8Sdn + Tww2pZLoGOsMS4JgGbHeKRaXFpfnZhfSmb7unoHO7mY/AMj8w1ko/9hBREopJ3ICIIVwbLrR2ljr + JoIBgCSybK0lIYWABKqhBWtfUsqXUgiCNdpYBoQkUgBbYwDLwuPfeXwcNuB+evIIMcEIaII1xmoD + Y2AFIElK51hkh/okxXAdY4Af7D905H/844FNkzONh3qHUunA7T6u9GEYba1kJ2cxVmjylbI2slZL + 33N4dAJWWBPXqlYbX3mxtsYYJiKhwODY9dQQShBB1wdiKiCQCnGtXvMJCIoiFp6QQlgdkbAg6ChU + nhIy0HU20ik22BhPkNFxGMXCTzlC15rkbCkpLbN2qkGntzWGmT3P+xfo9v8Xxz8vdPuMMPwH/2Ct + YSElpBRSKFAshFXKghCGtVKpKgXqZk0hpPUC8gIZh3a7uN3Q0OT76hkO5PpmF7tjLDPI91MEbWHD + WlUpCBVY19cpD8Sw2v1SZDxF0lMyCPx0WiohBFNiowZMLF2lTglp4+ASIWU9/FFDwVFGBhAMaZM4 + E+mJtErFKtlhlFIIVH3Ou3BchzFxHGspZRAEQghOnHZu5XU6rL+fjWAtMwuH5zI7nNcTYFglWHjS + aCul8pQHQhQijKJ01lcSwvNcpBsI5HmsdaVcCjISwtcansuaiFkJI4SGkFFsI/efEirbioT0M3FY + q1XKJooC329qavaDFOr7ls9Jq64EKcE6rsVhGMWWoYxFJaxk/ZSnhLQCMGBF9VEbzqYNJWQmAAGs + oxCx7xMJTyrpzop9IXZDJBuzO2FOACqeyTlBgee5nc1YA8tCuilLWmvEEXtW+YECM1nNAAsPQsiU + r7WOdBx4SghLrG2sJXkIPAgYratRLUinlFAuhyg2uhKVhRJKkC98qchzomMplEANMFBEiHXoWSsg + IKSSAHlW2hghWUjPFxCWLBktBAukSEkbQcfGE0KQ881RrOMwNCkvIxM/LQeSSUjWlkhmGxqFILYs + pQRRwmE7UYVhJs0CqbSnQEDoeiTpQSgIgrWWYSCEVFJIJZxcRCrYEGEFZKzKWpmKkYSbOdcrGRdd + L0ACUkEYwQYck7XSQyyScH4JCEHJ7GUhjEGsoJJGArAhIYKIAamZrfNcIilag8DXUjsxmSCQAlgC + ko0lyc4mo2OwC0yQPuDDxI45iSPLJJRTYimQTDHpeuKPBVtiS7BgtiBDrsFXHpSfTTV2N6KFjAIF + niEoSJVKIa7qqCaDBldVG3bBGVb6gqsQ9TUAACAASURBVKkut7EAOLKxVtZj9hhKoiGTthIRtDVW + sZCgVKAgoQEda1/Jupv3H90Un4k7gRfT5hjMoj7nT5DL2wBBqSCto0iYSPhpYSAJmWyWCaENq9VS + OhVkKCWdvM1KWAsKQDbWsVXwoQggkkrAEEds2bCnlNNGQBvAQhEDFQtdDZtTXiCFpCDJXhCslGel + YCA2FuBACtgYbJ2/mq2MYiukVJ4QALkBxHWlqu8pJunAfaVIkgKDLVkhDEOxyyCJjWWWysIDCSbE + FtKYQJK0vnSRGwQLRBoGvgeGtNChtpoFhFIkhJ8KVAL3siDLgqUgHSOObRAISSAllDBuCDAYcYww + itIZIslRHAvhUz1g1hg2OvI94dBRNxDduiQtguc9q5OhlFKZDFgBMYex0TEJ8tJplc5IPyUEjIZl + o8hKQRAME+moEkehMZYhhYSnAANtjWYrlPBIuofFOr+t9CRpzRFDW3iSUhAESRqCSHiAtUZCKwmr + Y6MtWw5UAOkBxpoaKPZkyjJZjdAhziJROpAQnu8LKQAWJOI4ttb4np9YUoh8T3pKUb111jqBbjVD + EwxY1NuM55Bi8sDEXnO6K5Wjhh6Rdco5BIEvjUZchjQgFcUhK6WUc1u7IAIiITzyhKNfQYLIWFOr + 1dx59jwFhrXWGCOlBDiONVmrBJHnCSlIkKjjsEJ6xlrLVqkXYjnrhxSQnkhi52BgdGw5YkjfXVpO + pxUxWYdDgRwJCrJSylhHACnPF0SxtWGopecHymcobeLYIPCdSRhxZdvzGJkUEZNUxLJS055UgQ/p + whd0AuKlpFc11khKpwJwDbYGISx7FolszoI1a8le8jWkEIINjIaO4XlAkh0spdfS2hpIEHQDyhmn + G4QfOCTLWhjNhsmhRYIttIYnYS10DN9zXbeTDTtNsHQTZ3UMrWEA5UMIYSxUwjGRlERcR07hwGBF + vvRYaINaNfSCwKnHSDr9mIIQgoQv3cMfEWQYGWhSniSlJJG1VhEs6Wo1DlTK85wNgcjdw2BmW63F + DCWkZEB6kAo2ipMPz4ag6k0RKcvwPOk5cyQrwSJQYFjDpYhtICjh6BINWqxrtVq1VhNG15MzHcdA + kusq1SBAVjUrUUNc4UjH1pKSnieU8oUKGIi1iWMNQAKegg8oBsgoX0CQ1aZcqaV9TwUpF0rkEwEs + iBIrwjNJqYGxcUoRWctxSGCQSKXSEEqQhEpWfW1g3RBJ6fQ7QkiZbNfSgwGMIZcZ4KB1w5bhKely + T4wBAyTg+cKXnisCGDbZ5pmsQWwtE/ueRzaGMNlMoEkawPO9OirFOo6stX6QtpYsC8/h5qyzGZ+F + Z0nVNBtFiWuBGcYQwZNS+Kq0s1Pa2QW64fvC3V0iSRMRgLaIDKRHPklKUviTBB5YkETgKSY/qlXJ + apJSpdJgxLERvuckGa6vFgyyVlA9hJ+Y6ulDDlRhhtaxUDGRkaLeWxO0RlXAT0HC7dEmkTUypCJP + pKw2QlA6CGphZBUFgWKrQUoQ4pgB0dDQqOo6kGolJMSZjM82tlYLKdkYsBGKwIZJsoAGpJZ1bMGF + RjExM2BJUuJhNsSGoJz+MzaAgO97HgvEEcIaPA9CJquiQqbBVxKwkdVxBKtl4Hmu3GACpwOvKZMK + lNwOdbUWagsGhEAqBcHEbFOpAAkvSEJASumotTiKnfHWyUQcZCeEcBI5Iggi+xy2FC4VBlIKBY8I + ZMAxWEaxMJ5QKuWRgdbQJibECoogVSKdjWJjSPqeFHUTiFKee0Rr1RoD6UwGqFOlgLGoVau+pzyl + 4GQ31gaBp6QPa7TWmpSzFBgGWy2VFajXlQkcBGaK41gFQkgvSKuopo1FOvDrcJFlGzP7ylPS85zy + yOhIwriYGIKwnKSDizpNXz8d/MImSu4kSQasgbWQKglo4GRwirU2YT0FQHAccoL2PnsvrgtvYZEk + Dzh/hbCWY43At2wMhTUoBSUEUDMAsyed0t7EtciTvu8HAgg1oggpHySkhAKgBARJYmVNZOJIeb4n + IYViaLBhSB3FEkr4RARia7SRQkjpTGKajdbWkJcWAiwSGYtDXi1RkMB79YhuEkyiDtn+7kFSsLVO + DqWUYoa1lghCSh3HYGSyGSEkW2jj3l5Y66YPiEwmk+TqaeNLFaTS5KVqEKE1XuApQqwRM+DBgwtF + lIAQQgrpqlGN3c352Zn790fyW7WjJ15558IHJ0+dBFgKUik/rZo//ug9Cte/vXZ/euZp26Pxre2f + NrYh/feCB2zCeL3w7YQQUgqjdXNT4/C+fTLIRBYUWRmkpSQmaA0lQAStHTFoSEkhAGaRjNB+/maD + Q3uOHl5L+VeX5ifHRnOlT95qbWom8SwijIEYiBCHSwsrs3OrhcLuoVf3DA/vbW6uZ4KJF6unf+pw + rmJZ9+A/S7wVUopEGW3ZMpgTiL8et618KSF9CXBsLUtBUgoi6aT2RHDgQshcqbL0RZB4JLi+AzxD + YCHAAlZAE1gpT6mUkLAGbGIAJH1ASIHY8eqA56pArSUDmab+oVy77NoNUiqoqwqsSAYgSWVNVKNI + SN8XKSVlWI0cygRhHXS7u2vYC7MpqTxFBK11Ku0rz4u1tjYieIEKEMawFikJtlakCKgYIOZsIKAE + DCOqQXlQgR/I0MJq6ylPCAs2LEmwtlYy+aEFrA2UsyMSsVFkhCchVWKtlcroqFquptNpKWVdb261 + 1s7f9l95Wf/l+P/8+GfPun3R3P78IKpvHO5h0qGxESgGQQhS0rcGhU0UV+ZmJu6XK8VUWg0M9Hd1 + Dra39dS5Q5nE8UATASTj2G5sFFbW5ucXpsrlbSLZ2tLR1dXb0dXf1NrpBZ4SMIZtGMU7W+tzTx7e + u/10aqJULa8XtkYejWVQWsyytegd2NPc3tngY+XpZLWwXOZUz+GzQUcLEpqPAIbVXMyPTc2EQaNq + 7+nt60vS9uruMiFpdXVzfn0TRg93NPV2tcJoABB+HOlypby9XVxeXl5fXy8UCr7ntbW39/f3d3d3 + NzU1PWOlhBBaa2b2fR9AGIY7OzsrKytra2v5fJ6AbFN2cM9AV09ne0uHJzyuG9YFgY3Nr+R3yztx + VBoa7M6kZDWsTjydml9cXFvfau/s6+oZ7O/b297WkQqQ2ALZAkwiMYhGBmRNWhDYYrs4PfVo9OH9 + hdmZKNSbG5uPHo+nmjubWxs8n7rbmwfbWrqaG0EgWHAsiYUQBMUs8/nC9Pj45soqx1FLQ8O+vXtb + +gdT7e1G1e82F0trIifviWK7lN8qrK1uLi9Vt7ctc3t7bmBgsLevP0ilhVREJBXgAmBdsAPqhYl7 + N1iwza+s7uwUY+bOgYFUU6M2vDi3uDK3sr62ks2o9vbGnv7+ju7eTFMbCFZKFgxBVmuKQ0kSlsNa + bXF5eXZpZnF9UUjRmGpobWjp7u5u6GhItaSk8ISAZkiBcnEnPzdTLe/Y5qaO/cMi25BxEh0nRbNY + m8svF5aNZ9p62nJtnYHyKJFKQUDHYW1hcXNzo6xN9cjhfc0taSEIIEkwQpQqtbX14tzcxNbWchzv + COFZqzKZ1u6u7r17htpbWz3Pg7N0SRC0iao7O9XVjfVqnO3u6s54Ji5vTk7O5resRsvefcMDfY25 + do8Ay2xhBSmAmEHG1Hl4XS2XlneKM8uFzbXCTmG3Md3Y1d68p7+nt6sj3eizNiBGXKuVimvLi5tR + Q3PfCa+1sSGFhFQhBhmEpd1yZXRxp+K3d7U0DnS2NihIsoC2u4XlrXCpqL2WgYMDvbUqahul5fXZ + 6aXx7XDDDxr6+w71dA135toCHyQUC8OWgViQWJxf3dqsdnQ0tneoTMbs7paWlheXlor5jV3hpVra + cvv372/vaMpk6kHNYCDRHDq7vgXZZJIKEahaqRRmNkzJiMZ0575BZ1dxv7thKNUQu8Xi6tLi2tLi + xnZB+jLTlO1q7tjfP5RrbEXWN8yxm4xgnDAROzul+cLq6uLKxtI613SQTnf193X39/b1d/Nzg/w/ + hd7+7lrK/PyFs/cbE0eh9VV+ZWXpyePiyqqE6mzt3Lt3f0tvb7o1EwEsPOH5whPSAlEEViAFFpsL + a9P52aWd1UKtKELbqLKDnX25gZ62nk6klJSJFNFEenNxobKxVjBoHtwn2jobfMXPHUiiul1aXFvd + iSpeY6avry/wfU8pBkgyrAZzcXN3baO4mt/sH9jT1dPrSfhkiAzAphpt75bX8ptzS8sr6xtsbWdb + 02BPrqe7N9vWpbJpS2Bm6PL6ytJaoVQsx939Q20dHdkGzyooA1gJdmBlnF9bXF4vrhZKPf17Bjtb + /IwEIiVIC7m1s1vIr68sFQv5Sqm6LFSpo8vv6xkeHDgU+M1KCpEgLwBMqbZd2Fgr5KN0qmtgsGt7 + t7i89nR8/Ck41dbad+DA/raWxnRKsnQaWwYzE9XFlon8hy2cNh3WwsTgeHN1YXpi7MmTR+sb+Wqs + ZxaX7o+MNaUzFIeNWb8719zb0aA8QDLZiK0GCQtpNCLGxPjD6fnZja3Nxuam3s6efYP72prbgoyv + DSAhQJJYwrgM5EhTuVxbWlxdWVrL5xe12W1q9Dpznb29fa0t7dlsEwvFTKwtCba6Vi5VZubyjMy+ + fcO1MCpuby3Mz+Xza0Q8NDQwODjQ3tZqjAZYCkECmxsbS0tLCwuLpZ2SMbapqbkz19nV3ZPL9XoZ + 39YRpWcOtd/tp9jA1nSpsLJBU8ul3MCBVCfS6br1mYGoulMqza1tL63vFra2ojBs72jv7ent7u5q + bmpKpXyXmlrfpsgRnKKOlgsCE8JqpVQqFbcKq8tL21uFarWaSmcam1s6Ojt7+weaW9uEFGyJX9CK + PP+YjuBxIv4wLO3szC0sqExjW3ef1KnNjY25mdnC5mZjNrt3z2BfT3djYwORAGmAQHJpcWVxeWlj + Y7NcqUBQY3NzT19fZ2dXc3OrVJ4goS0goAQ8yUAEjZjt3Nrm4tzq/MwyWa+tpXF470BPd0dLpnlt + ZbO8VSrpKDfUn+5oghDQEe/m14vR4qaO/c7egZ7GZukJJDMK3d5d3l3fnptb3/BaBrO5/V0tTe7v + AbKFYmGhVKzVgn3tjfs7TH1xrO1ur+QX1jaXBvYMZBtbtI3m5iaXF4rrq+WD+44NDvR0d/rWgqwl + ydbocqW0sbE1M7u4thrGUSab6Wltb+jsaevqaW1tTkFIy4LARJCESJunkwtxZLNZ0dfbIlVc3C6M + PXqyur5ZizAwuL9/8MDAQAekc5bH21ul1eX89MxEFJUaGryhwf253FBTU7cTqJOFBBExkRVkLNlK + KDc3N5aWJldWp8vlXSlTjY1tvb17O7sHWltbKMlAAFkN1tB6Y3oybyU6ejK57iZruLi1MDk+n1/b + rJaaO9ra05mMEL25zlSunxtak2gCpko+v7G2vLG2Mj8/q+OYbTA/v3zl8oNshjIpm2nwBwa7G5rS + JJSbLOZJ5+2xJJUiub5RWHk6sjH/cGJqGkClWp1fXLhyc8m3UWvGPzqQE16UETV4CtZYw4Yxs7Cy + ura2vrZaLe8Iq1vbWgb2DA8M7fHSWXiefebvhwS4WCyuLszqOGxt6+jbs29zfX12cWVydsEKr7Or + 5+iJk0EqEBLaOkllfcmjZ6COBSGOKts7pZW19ZXV9Xy+FEUqm83lcp19/f2tba3ZRmhjhDIeCIll + LrZalndrha3CyvpqfjO/vZVPe2hvyXT3DnX1DWdbOohgYT3SQpJrxq21TErHKGwW15en15eebuTz + fqapLdfb1b+nuas325JSyeZM1hprNRvjGM9qLdpZWZxdXJ6ans00NLW0tnZ1d3X29KaaWllCo26E + Yc0AkeeACqu1FCycKZVggcpueWlldXp+aSVfgPSbWlpynV1dXd09ubbA2fCtRnV3e3NtZrUYplr9 + joHBvlYJKBZJrDsMYDYWlnaXqKJt655cpjcIrU6JUCAsVcOl5dXijrdn4GBrNpDGLM7NLa4urW3k + Qx21tDb19fUMDg42NrY5+kdKT0pjbFTY3F6cX1PSa2vNZoe6S6Xd/HpheXFtI78ZRbWmpuy+/fva + Bw7KxowVgKqHWzAASLbEbCzr+sq2sjg7N7uh/LbOrv29A1n3s4QTpTs1ijW1QmFqeXPHBrKlZ/9g + q5Oh1i3q5GTI5VJpZWZie3V+fORBHFajiNbW89eu31pb6ssEXtpDT2dzX3frs9mgrmHZ3t7e3Cys + rq4uL68wI5VOt7a2DQ4NdXR0ZLIZZmaDZzMZrLVS1o0a9VB84S5eeau4MrtSQqZ7mJo6tSmvTT4o + Lj7JV7jn4Kn2vccHcj4I4MgaArxSJSpubqwuzhbWV7a3i9lsQ66ra8+evdmGxnQmCyCKjLXs+y6X + naUQpd3d7eLW0uLCxvra7nZRELo7cwP9fT19e/3GFBSi+glL0DRriWS1HBaL1YWlleX83PrWcnNb + Y3d3/9DgvsZsc4OfcqmTbG065UsJhiEibex2WS4sTudnxjbXV1OeHOjr6d5zuKl7yCphOHF31WWk + +B3oNnlFBGNrtepGobCxUVjf2Nja3o2NzWQbBwaHunt6W1pa/cAXElI9V9tyUj/WZw8l30UrBUEw + 1mrLIFISAgRroONnjpKFxfzSytONwkKtWgyYcg2Ngz39rZ37Mi09LOFMApVyZbOwvby8nkpl29sa + envbpEiG0zprprGs49puOZqemhPw23Nt7Z1tXqAILIQKw7i0U8yvr6ytLi6urAsvE2Sbm5ua9g70 + d+faMtmsdTNBlHgBunXiUPG8AXyRI2ZbT4lw2XtEBDasdayr1bm5+cWlpeXlFQbSmXR7e3tff38u + 19nU3CKJHPZtjKkUCyvTDxemH42MzlRiU9suPXk6fePm9f62Bso2N3X3p9sbZABAgCVICqmcLQkm + rBTX52eeLq/kUw0d59/+YPjA4bb2NtbapQ9Dyd7ern17+rq6WuZ2d2cXllbz5Y5MtiULPL/kjplN + XrrvLISQQrDRgPWUJCk8KVmQ8AmAYXhe0vkQASRI1kFSQJAACQYsWxfR3dnZNbxvb39PbnVna+bp + 1PpGobW1MZ1104+JYIAYNowq5cnJpwvz69aKAwcP7d0z6DhdwPzOCNl/6iB6pkiz1jozsbW2XC5v + bW0tLizm8xu727uCkOtoGejras91ZVq7ZcZ35Ggi0I6jaq26sLCg2c/1DAgZVEqFlYXpzY3VmNId + PXsH9x7KttXnhNW19/XiCORCfqEFsSBhLJXLWFxeXJ8bWVla8VXQ1d3bN3ygqbuHUzLJ6wCsMRLA + bm1zZW0xLoSte/fs73Bv6jkCyjBgi1sbs1tra+tbpY0QVS/X0tqYhe/FodahbESqvb2j1WvjrG9J + KaWUILC17pHcKZXmlzbyM0ulzaLwuaO7tW/vYLprr8lmmFz2L6DjZNKZNYiiYkXPrORXF2cKGytk + a9lMamiwr7uzI9vRI7O+Fc7Q4PBls7u9WVxfWi+UevccTDV1GB1PTY6vLi/ubG8fOHBgaGiop6fn + WZaFo9b+sfFl/3L8t3b8v5F1+2LrlmjNBJFwaZWAZctxpE3IiEGQSgrhbWzY2fzM2J3vvvz0L9c3 + Ftra06+9fvaNcx++ce4DT6bctBZrhLGRReR5IqzZjXzxyfjTe/dvXvru67X1JaXUsWPHDh8+cvDI + 6SPHX2nP9asMWNtqubqxtPTdV1/cu/L13cdruzvYXVz4+tK3q1MtbarGoAsffHLs5OlsruXu3btP + R24VqvSzf9+1r73FIxgD6wyEOlxenPnNr/42TLd3HTz1wUetmeYsFGCM48diLR4/fnLx+h3B9uPX + TvTmXoYJQQJabm3tLC0vzcxMj42N3rt37+HDhw0NDYcOHXrjjTdOnjw5PDzc29vrFjUhRBRFTrVe + rVbX19fHx8cfPLg/8nD0ycQTY0xnV9vbb587/fLJQ4df6uoeUp6QFh5BSSjYmYlH09MT27vF9z56 + P9OYXV5Z+u1nn965c2t2dn5wcN/pl868+c5HR46dyXW1pTzAusGWpFTi2YljwOpMyoOO11aWv/r8 + s9En9yamR6MQiwsL333//cTcakNTurml4czJo95Lx7uaGwFm1tZEnoIUIo5tPl+cm5q4+MVnNy// + EJZ2h4cGLrz77tHX3ho8eirb1uh7MAynb0FcAdnIhqubpTuPno7euTVy51Z+cd5YHDt+4rXXz718 + 5pXu3t6GpuZUKhVIP3GcMUQy7tTdXRZIxBozk5NPpyaL5fIb77/XOTiws125fvPWle+v375+tbOt + 4eiBoVfPnz/56usDh9JBkBYi4eBtHKNalSrY3i3NLa5fvXHz1r3rY+MjcVxta2wa6u0/derUgVOn + 9h4/1qQaheezgSIUNhZvXPlicXY66N//VnOu0W8IPCEQkNBgRlx99ODy5Xv3tO+/8vZbr5zuoAxB + wnNR6xzv7pZu3Lz15Oma4FpHR1NTQ3eiOTdxGJq5+fzNO2M/fPfF7NMHld1lIQPIbHtu8PDBQ+9f + ePfUyROd3d0uOMgx07VqeX1t7frtqfwWnT93Puvp/OLkb37zxaOpTU1tP/npTz+4cKYrtxeQ2phI + J9NFLMNq45OGx6hWV5aLV0bnr9x5PPV4YnVuubOt/djB4bfOvfr62dN9fo8lQ9LGpfL6/My1Hy7N + bXsn3g56D59JdScSGGZLMChvLczufHXxfiXoObp/oOn1lxvaG6AIVhc2V0dGZu9O5nuGXxns7N1a + Wpt/9PjOg+vfXvlieXOuqan9/OvvvfrKO6dffrk915Zp8AV5VtdgIl/Qk9EHT8Zmhg/s2X+0ryUX + LCwuXLty//q1B7MzS6lUsHdoz4cff3TspRN9ewZTad+ru8CJOVHdApyMAU3Wpo2NjWtXLu+s7rT0 + 97zflfNl1vOsIAspBItKLVpa3ZqZHL9388bDe3em5mbhqVxvz4nh4fdfP3f64KH2PUPGkwY+E8HE + iOLQmImZpzceP354++7ju/dqW9vZ5qZTZ199/Z132jo6sin1uyky/7WrKT9LH4M1Ua1a3NoqFzYe + 3bp96dPfzo2P+xb7+gY/+dGPj587P5A5ZgNIiLTfANSMiZXWMBJGVcr66q37P9z7fmLp8ezadLxd + yWWaXzt55qVzbxw+c7a1r7+1IeVaKB1VZidHJ+9df7JROfnezwbPvNXak7GAjWPBBkTF/Pz1K1dm + 1vLNvf0X3nuvt6fH9yTDuioDxkzPzNy9P/HoyeSFD97PNjVnU55UViGGMavL65NTcw/HHl25ef3+ + 6ENj4mMH9p9/5eWXXz6758ip3NBez4dHrOPS4tzE7YfTj6bWzr/70fHTarAhB4JQArGDVTRsNDn5 + 8NrtJ4+erl348Gdt2WPNvk8qBqtaLZqcnh25NznyYGri0fzy2iPll44e73n91XffPEd7Bg43tzTW + 00mYoQub+ZGR6dGHCwP9J3oHfvR0du7K9Uufffqljr2DB07+/u/93rGjBzPpFt/zGIbZxaM5o6d0 + oLrRALMkkgRjtK7VbFweHXlw8evfTs4tzsyt2Url4dg4h/HK7LzQ4dBA1ysvH28I9re1SXhCkiVm + IlkL9WYh2iytfvXFF99d+X5ieqqzp/uloyfef/v908df6hkatIJIkE9QYIJmUtrE+c3y3Nzyg4eP + bly/8/DeFRsX+7oaDh85eu78m8ePnxzcc1CR7wIH0lKYuJZf2/z2m++EaunI9RS3t56MP7546ZuR + hw+EwPvvvfuTn3zS1tqqtRGCrDWFzeLIyMMb129cvXptdXlVx7q3t+/o0eNnXj7z6rm3OnoGZAr1 + wL7n5r7nPAUDVsNUF5dm7o7OXL4Xnr/Q1ZZtagrqY9oFb2+sPp5eu/to9vq9J+Pj4zs7O0ePHn39 + tVdPnz598OCBnp6u2I1bIWILEsKXfgI9uVxJyzqKFhcWFhbmF+bnHty7MzX5ZGllpSPXNTA4dOjw + 0dffeOvIseMtrS1CPkM8nvMnnESsJUrWSqm4MD/z9dffdPQNvXz+7VDTg3sPv/7q24nHTwb6uz75 + 0fvvX3i7oTEAyHAUVnW5HN25fe/mrZsPHj7Mb6wrXw0f2H/85ImjR186eOBYV3d/NosogiUoAWQ8 + 1EpxqbJU3L76cOTqD3fu3RqJa9Tb2frjjy+ce+XkvoHhxyMjs5Nza7s7n/yb/64v12QAaeOdwsrY + yMy10WVqGHr7/Xf2+LnmrMcvOBYLhY3Rxw++uXaz9+DZwy+nW5ubkjElzLMzs3e+G5lbWzvy0dlX + hi+4OT7WRltb+Xv37t66e/PHP/tprttuFcsXL169dePh+KPZTz7+2ccfXRjoP200Yh1L8NbWztzC + 4sjo40uXLo+NzlfKsr/v4KEj+86cOXHipUNyeCjbmIoBMiIQIKBW2r7+w8XyTrmzt0OdfyVG+OTJ + xF//zd+Nj09EtfiVM6+9/daF7HvvNLY1aMTF4vbE46lbN+5+89WvK+W13u6Gt9766LXzPz5wqL25 + 2YOFhHAhuoJiz+NStbq6Xhp5+OD+7e9GH1xbWpqXfqZ3YO+77/3o9Mvn93snmxod/hMHzi9VKz+4 + dX2qpBv2Hx88Kpqs3pqZ+vpXv7x+//b8+vLw4f17cp1Nnnfm5EvDZ94aOHkmOXUkFufn7966/mj0 + /qOxER1rIP340cRf//XfBX7cmKXuvo5PPvlgT9AXpFPOgMkEa7Ugi8AXxl9cePrXf/U3W0tjS1P3 + SGKnVBp/NPY3f/VXtlbe29/T9JMLfqvKZAxEGlG8U7WbpdrV2/e+v3rz0ciDnfV5pSv79g6+896H + b154v6Wju6GtM93cQAKKoKQA2/W1tZs3b1Z2t/cNH2hv6xgZGbn4/dXPv/mOVXD2tdc7+wa6urpT + PnTkcixQp1U4eVjZsInXVtcmpqYfPBwZGXv0ZGJhc6PS1b3nxImTb7711tFjRwf8LlDksZWeJ8Da + 6lol2i5UlhbWnkxMjo0/fjT+XBzwBwAAIABJREFUeOLxg7Ymf3io66Uzr589/9HeQy83ZjOB0iS1 + L6SLc9bGVEO7XqiMPxp5cPu7h7e/ezQ20tDaffDIy+ff+eDQyZf6h/fnmgNHoBpj4yjmOEr5Xqzj + 2fm58Ts3vr9y7ctvLnbkuoaH95x+6cT5N94YPHzKa0xHgAJ8AFa7aU1KCBLQtUhJIiVEECAKa+XK + 9NzCjdt3frh68+a9ERlk9u7bd/To0TOvvJI681KupQEE6Liysz31ePTT729za3//ifO5znO+RApQ + 0mNIDdYmfjwyOnF1ebNceef3Pzw8eLAaV5UXkalsrK1du/bk6ULtJ59kw7ZWvbtz8/qNqzeu3B95 + QAL9A70nTx3/6KOPh/f5jQ2NXuJUsjo283Pzn//2Yl/PwMFDg21tDXOzMw/vj125fH1y4mm5tN3Z + 2fbxJx+//I7MDZ+QDcgIaE1eHeeTsC5b0SQrrp16MvbZZ5e9oPPM2Q86Os+Tl5QezJYI8D2Uq3Oz + M5999cOWCXoOnhro+dC6hBQpFan60BwUt7a+++7SwuMHC09Gyrs7NUsLi8v/5Ve/6WpvbcmmWhpS + b58/05M7a4UbfcZam9W11adTTx89fvzgwcMHDx5Gcdzc1Dy0Z+9bb7994uTJwaGhhoYGz/dduAoR + LLNwPBIE4NVD9WBNWNxav3Pr6sjs1ul3fu53SLO1ePmz347f+HauUL7we//23MepvtxRy1rYWhih + qvXCQv7Bvdu3rv0w8ejB0tJiR0fu6NFj71y4cPjIscGhoVQ6E4ahNRwEyhiEYVgu7c7NzIw/Hrtz + +9bTyYnV5cWwWjl65PCb58+9+c6HvfsymbaGyMBX8HzJ0NYajjmqRgsL+dHRqRu37oyM3326MJ7r + 6Thx/NSFdz88dvTEYFevUlJqw8ypVOAGW2pjNgtbUaH05NalO5d+Oz42Eihx/rWz597/ycnXM6m2 + LqngAg9eUN3Wa78XQCitTXmnuLK0NPro8dijx48eP5lbXAojnevqfvOtt8+8cnZ4//5cZ1djY6Ye + JvwcC+ZngoGEezZKEgmKjTHMJKQvIZ5pf3Uch6W1Uunm7du3bn//cPRGYXOpUXnH9+0/dezkgWOv + 7zkk2rq6mhthGFs7u0/Gxy9+/U1rc8uR40eaW9/ONGWJlMtQIUCwrpW35+fzX377nVJNJ08cPJ2S + ufYsk4oju7q+OTnxeHz0wejI3XsPx6DSTW2dewYH3z7/2pmXTuwb3g/ls5u+WF+4GADECxQsP9vO + LVs2RpEg5xmv74BhGBaLxfX1/I0bN69dv379+g1jbUcud/jIkfNvnD9x4uTevXsbGhr9wGfLcRQv + Ly9/+fkXE6N3bjwtlmshCoU7Dx80B3qwJdXSs+fVtz8cSB2jAGQl2IOQkqQBgBi2ViqszE1P7ZZq + bcMnzr31YWtHlyAoT7kxL4CBQltLZv/w0Pzj7bWNwvJ6fl9nFtnnFX9ywfjZjIyEanXD9HQcVyqV + tPSl5/vSOS6hDbz6Y04CQgjpAGxHldRFCtaysICQfnP70EDfsYNDO3cfrSzNzS2utffs6cmStVYJ + TU5yq8uV0u7Yo6fzK6V0tu3o4cP7BjsFYCzHIE/IF2qof/xghnMhI3FHOdy2VCrNz8+Pj4/fvHFz + YmJqdXlVChwY7n/51NFjJ04OHny5a+gAS7ggXEFcjcN8fu3ixYsxB6+/8YGBXJ5/cvPqN2Oj98hv + ffP9nwbZjp7Wzr9/Ep8fdRGPBltj7FZx96nZeXTnyp0ffnPn1h1fBS+dOP3mRx+fPPdGc2+/kvDF + sw+vkV+88sPt0Q2R3vdqd/+PAwlISAnEMaq7pdL2xMzkjYmxe/fH5sYX9I4+dfx4c6MnqVaqhqFq + zHbu+fCj91vTrTbNQiqllBAUx2G1VitVqrPziw8u37r82bebSyt+BvsO9r/z0fvDZ7ymgYMqg0DA + jRmFZaTSqFXzxdrj+fVrDx4/vH1l7P4Njna7Otvee+eNl04cHTxyOrc3LTIpj5LgeNZ6Y21l7Pa1 + u2NT7/7o91p6dWln+1e//NXIg7vFrcIHH3zw3nvv9fT0uFMk6uZvrfUzF/i/HP8tH//sqtt/eNCz + PxLjtjW+70lJxkZSYWdn++HDkbnP7yxO3VuYuKdreW2Lhe3dpZWp61fvfnb4uz/8d3/88umXsg2Q + Ukrlg+xueevenbH/9L/+2fzc6s5ugYQNa3o3Ll25cvnGzWu5rn3vvv+zty/85OypIxlP7ezsPhob + ++2nv1kcn97UALWiuHXn3ubsw3ILSplsQ0tHZ2Nre2taLi0u3LtzZ3R6rf/4W+m+EwM9TiosAV0t + bk6MP/z00/+yK1sPnN05euJ0S6CyWQUTgyiOo8XVzR8uX/3lp9/u3zNw9kCfm6wMq7eKlW8v/nDp + 0rc3blyLdRzHMYAoisbGxsZGx7q6u959990/+ZM/aWpqSqVSAFKpFFtrtL527do333xz8eLFne3t + KI6ttYHvryzN/+f/c/ziV61HT735h3/0p4P7j7dlIA0EQ9dKt65cHB+9VwO15Dpm1/O//vUv11YX + d4qbUbWys7GxOPH42y+//YM/+o/nLvz88IG2RiWFELAcxSYk6fvwAwgEBC7k86MjD7784vPp+fGt + UhTWUCvtlmfnJufzJG1zS9ZG1X2d7acODTu9khJMbLYKW/cfjH5+6fr045HtjZXazhbi2uba/NOn + 4wfuPLzwsz947d2P9vRmd7ZLrRmV8glpv7L49If7U//b33w2OrdeLuQDNiKqxcZeuXz53r37/YND + n/z0p2++/c6xE0cNJ8b8ejysg23rM3K0jiuVp08mbly7OreyEoE5FXz51aWF+dXN/E5U2tlcDZcm + x65fv/ruT//Vuz/9+Suvn8v6gauWAt/nSnnq0aNPv/7+s28vL66ulavbxlYF4uLawsLUgzu3v+sc + fungmXc/+PEvThza09EYMCIdFsrFmbs3PgsnDjcdOncw29uSg+dS6nTJljZH73/1299cLFFj5Hf0 + dO8/sK8BBLaWhLbl4tJC/suvv5mYLfZ2NvyryoeJBVabyYnxSz/c+eLbG0+mV2ul1RTt+LwbmTI8 + U9h+Ojr6+Mrly2+ee+2P/sN/6B/ak2lqCMMwpWwchwsLc5cuXZpfjQYHh8buXrl+6bO19a18UVDQ + 03Pv4aED3adODErJzhmhPBYESLiJeQgrD65c/NvvHv7y8uhW5OtyVZcqtd3y5srinWs//A//7t+8 + +9GF/Uf2S6XiOFqcm755+duHyzZs2Pta1/H+7gBJSWWJY727OTsz//XX39Yye23t1NmTh7kjAxhw + vF1cH388dumH8e6FqLe999d/8eePb99Y31ismJ2K3l6YW8ovb06MPJmafPftjz4+cOxINgBBSVu1 + pjozPnr56++mJ/rHpwdsyn7+9deLM2vFzWpcCX1h58cfPrh9+b2f/Pxnf/CHB44cac2IF4J2mdx0 + A4J1iZlgC7O5sXHz+o25x7ODxw6//cmPSJuY44AiICps79wenfr84pW7169uri6ZsBKRYC9Y2tx+ + cu/e9a8//9m7b174xX8/dOosB0EY2UBwZX3u6sj4//JXv7w5OWcqpWZJaRsVNvJffPHF06XVarX6 + 9huv7Rvo/X9cHp+LK15YJ+sbZ72Ms5atYWs2N9Zv37rxq6+/nhwZLSwu691yyui1p9NTY6On3v3g + F3/0x32HDudyDb5TpQuGIuTXrt2d+D/+4tcjU4srO7ORKhmv4kVmd2Vhc27q2xs3ew6ePnvhZ++9 + eXb/QHdLRgqEkncXpm5/eW2y6OfOtp/Y25NxibawIWx1bWHk4te/ejC9mtt3si03lM60ZDMBWw6E + Adeimrl9+/ZvPrv6dGZ+74GDZ1496wVKIqptb08+mfzVr778+tsr2+VyaENLcayrj8Yezo6P/eqX + v3nt/Z/+6F//+yPHjvQ0skAc13amJid//ZurVW6UDeneoRwDvhvSZAGOoHfu3Lr8289vzq3Fh06+ + Q+QRMUxtY6346aUrv/32yv07T8IySc4oX8emcvfOrdH7T7769NJPP/nXv/jFL1pamv3AJ2Wt0Vtb + +UePxi5dutvTnW9ua/zzv/xPN+98t5EvwgS1qjh29Fhnrq2lpSEVyMSm4KaykAAQxUYb+J4URG6U + llQKSq6ubjy4f+/rr75Y2yothwGoobKev727Ozs+IaLaoUNDzGFvR6Yt0wZhPAki6DAeH5+srs99 + 9+l/LudnN3eKhd1ivpBfmpm/dunyv/39P/j45z/fd+SIFLCwJip7tlTT4Xoh/Otffv3Xf/vrjc3d + 2Mi00D7M0tzU9MT4D99dOv3yq+9//PNzb3+Y680pToGj/5u99w6O7MjvPH+Zz5T3qELBe48G0EAD + 7dC+m83upmvOcDic4ZBjpZ3Rrna1q7iI+2Mj9m5P2ru4kE661WhXutGMxnKGHHJINtuiDdANdMN7 + XzDlYKpQDij/3svM+6MAkqPV6XYv9iJ2I5R/4A+govDq5avM/Jnv96NkUom92MjwUDSBrfaCqamJ + mZmpFddSNBrWaERRFFpaW5qbm3iRT+ztLS7M//znP5ubndve2lJkReBFgRfca2tet/vZwMDJydlT + F1/oOnWC1+73S8E+9fPzOz8DDMBzHu/K4yf+929tGPOO1Vd25RmA8gSkdGYv+OEHv/7N7Sdr2/G4 + rCJEkbLZickpn883MTn1yisvX71yRRD43EKFDoJSYKAoClFklSiGdoIzMzPvvPPOzPRUOp0UBSxJ + 6UgkthMOrbrXx6emRiYnT50+97W3vq7Tq9FBTzA7UENjBIwyAJpzTkomY5715YcP7uaVVGYQP7Ow + Oj/rWppf3Y3GwuEQwuRQS11pWb5C5Ug0NPh04uc//bXHvZlIxtUaVTqdkfYyO+HA2PhIUVF198mL + 1659oa29jFOBTECR0jyXhb3g9OLKn/7tz8bWfbvhbCpOiSRs+rzelcXBxsqOlnaQsHvFO+f1tJ05 + 5agvZ4BAQOl4aH5m7NHDWc5aX15bmefUGXWmAxUpAIJoNDK/OHfrzs2WPaQraKyvbaBirvADWxtb + YyNjc2truMLcLJ9EIlBgDGUVknS5lm58fFNnsHKCbnxy3uXybG0G93aT/U8f5efrLl44zAuQlZRY + KPbrX//mUe+T1TU3oZgSUZLw0vLs2trUs/47bW2NR8+cef7ll/Rmm4oDhRCUzeyGg1PDA5l40llZ + FkvFZ1aX+3p7U/FEYm83E09Etrddk6OPe29f/+pXeKNhdGT8UU/v8rwrsbuh4nZjwaxr2fX46ezR + 7ldff+3Lzjy1TsyZvCrAMpKs9D0Zu90zODo8nI5t8iTOYZpK707HpudX3KWlfa2HT77+2uv11fkG + lYCoDBkJoqHhgccTwUReKLW8E0/43P03Ptzb8sVSsSyT05k9N8Z8Njv5bKD2+MoLX9cVNdbrDACA + /D7f0OCzoWf9wa1QIsnJTO31bqQSoUwmxON0camzobHaUWhVayz8gZMo22eKkb1weGF+4f79h9k9 + X3YvmSWQCoWD0vTqmgeI0tHacvFoS43FDmoOkOJd9z54NvXezfsr/mAonuWYrGeSnqSWZyc87vWb + t2/WHeq4cPXls889B7nAjIGUyWxubs1MTafiu4HATjS6e/POvdHped92iGBBZ7Ksr3u1OqNWraV0 + n+KCAAHOWehkAWElm/H412/c/OjOvfv+rW3AvCDoADOPd23dvfq4v7fjSOfFS2dOnTrmyDdTRjHi + 0smk1+3/6Y9/MTo8sROOyIQqlAKlsVh4Nr6xsLza0zt25OTV73zrG/k2Dc9TomQ4jgeMOSw8eTJw + 407f1MRodHtJSW3qtOpIONTX1zc0Plvf2nb87JmXnz9vdnA5p7DcRh0MbPbcC/rmRrbXFvzbwZ3I + 7mZgZ211aeRpn3t16fjlVzsvXkFqEGG/W0xEmABlDHMIBFEAIoMiA4d96+v9g8O/uXFz3buxm8pm + FAAFLy2vrrt9Xt9mMhY+d6KrvDAPMAiIBv3eu7du8gX1bbzj3NnjCgBDkLPCVYCCLM1PzvTeGN6O + 7Tobi8uO1goaQQQsJ1Jry0v9AwPTyzFHQVU8EJgdHlp3LQVDW/HkLuZh3b08PT06OzN97coXXn31 + y4KQs9HFAi8Gt0O3b96rrqr1+4t8Xtf9nk/m55YySTkSiqQzcZ+HW1tfLn86eeWN7zQdO2fIB2Wf + SABACKYkR7jdPwoTKRELrbkWPf6xeJKvqCorLnPqjDkwMOEZAQ7vxqIz09O/+tWv9EW1nVq7Qg6O + 0whzmMMAFECSpXAkPD01tTz+LOR270UhxSeTbCcy8FTNIZ3A5Vl0NrO6+3gbL2LMYSkrj4yOPnjw + oKfnfjKZTKZS6XQGEAqHw67V1dHxsYrKqo6OjmvXXmhqblKpVLmVXBA4RaYSpSK3T6vPkXYIy6ZT + u5MTQzf75xVdcTCz5Jl+5psbTG4sxShWeu7pispPdTZmsnEVScoy98FvbvT0jk5NjJJsnAdJo9FG + ItEn/QNDI6O1dQ1nzp5782tfU6s1OeqsLMmL8ws//JsfuJYXwzvBdDLOc0jACKgyPzO9sjj/ya2e + c1ffuPzK2wUlRo0GEHAIEGWQTCTv3nr46NGz4aHp2F6ScGmVAbtWXGtr3v6B4aNHjl8+d+GLL7wI + KgFzXDqdJESWJBYIBJ4tvr+1OBZwTWRD3mxyD6gS2t4Ymlk+dsF18rlXuo42f14Igj47EgIcyFkA + YNPnfXzv5o//9kepTEZWCAMunZVkQnfjCa/P3/PgYUNj00svvXzs+HGDQcN9VpmEzwGcDk6VjDCq + UErY/p6K9//GcSCKie2t+4OzP/713WVfIJUJabQsk0ll0tmn24HJ4VFn2Uhn99XLL77e1uZACCji + Y9HIs74HPCWbW0fr21rsBrMO44OUM8GgbPvX+vrGPr7Vk1/cWFxaxIiMUAYRbm5utadv+M6tG7Hw + VjYZkykCPhuMJubn5sYGB460Hfri6683trbnOQuykqThCOTQ2PvHevT51GHuAeY4DIgHpjCaK8gS + hEFRlPm5uQcPH9y8eSsajUmywguClFH8fr/X5xsaHq6srOzqOnrx4sWmpmadXpPJZr0e3707PVvu + 5fWMBrAZAAI7Wz0PfFacdpbWWx1FJmshM1gQRsBEoGjfrJZJAFJ6L+hzr6XSilW0ao35FAkKEA6w + rCg8RZhnwDF7nrGr8/BcZD5CaTi6m87IDIT/JCA4SFMDAICiEFmW9DqdRq2GAw4GYygHGN1/7YFV + Pf3MzwAdhEGMMYYRAswDoyDoHHnWY+0Nm75VbzgyObNkLW2z5+t4jHnIAGQB0iDvxmLRucX1SEIo + q2ysqaootGHEgMMAWMWAQ/8Z3SgKIYxSQRAAIYwQz/OpVMrr9fb09Aw+ezY3Px+PJxDDHOYz6UQo + 6J6e6Ncbje0nrl188c3Wji5dHgAAZXI2k4yEdx4/7pOoprj80OOB4YXpAb97JhbZEg0FhDdVVLfV + lThENXy+ef1zbew5TBkhirSzE1q6dce3PLq1OprYWU7FU4zA9mZgeHbu2MW5k5evnDtzPFfpEAQR + CNmNeEeHngz7kCNqeuHlq6JuH6kHStq3Mv/TX/7q6fziUiCUVYgGOIGwhw9vIipzjEgMpTmd3llu + tBhsupbCvEJAWFGURGJPUGGPx/3RRx/fvv/J2uRicjOCszLw6ZX16dGp4Y6Lq1/7Z//GUqBVeEgm + EjpMQSUAEPfC3K0nY7+4+WhzT4JsDLIJLZZDga133/nZvRvqosYjX/nuH5YeOmo1IJ6AGgPPSDQU + mJ8au//gqclZkZhY7n30wLO6GAttE1l2Op0VFRVdXV2CIOT6bf8xXfvf1/j/o+v27xn7ZOT99nkM + HAZECc0yBn6/V3ryNBxXWTX4+PFuu5kDfjee9I2OPVtfXwlspmuqDtsstsamYoyBIUyYMjM73nP/ + UW9fb769tKamrqqqXBQ5wrKZTHzZtejb3Lv/4KGodRbabRXFDp1OX1xcdObUSY+NH99WIr60nG/v + qC2ptglOVVal1tXX11msZp7njAY9BvB4NubmFpxNvqKCUpSzXmF0J7i1vr7k9fjDNERNFW7PRrHV + kKczAWaAQJayXq/X5VrzbwRamw8Z9AZABDiSDIWm59337t2fX5hlDNpaW50FBXq9njEWiUTW19eX + lpYeP35cUVFx8uTJ0tJSWZY5josnk6urqz09PQMDA8lksr6hoby8XKPRCAIvZ6KetTn32vzAwJP8 + yrYuzn6kPp/PiVOIFN70bq7OhySWwkKS13A60/FT1QatwCnZve0tv2t5bGq891Evb6murOpWGOI/ + resyYAB8TpugEFHgiwoKLpw/W7RiXfHMjy8EVTabs6apoKJerRXUGqGpttJqteX87AEIYxIhWY/b + c+/eQ+/2rsVqP9Z+SCcAySb3woGxsfGRkZE4GPJKG4sddTyvQpgByIDI9OTIjU/6njwZNpc0HO06 + Xu7M0/NIUkgkFltcdnl8nns9PVglmvNs1rw8gePogc3ZgW3up48b4hGKR6Mbbo9rbZXynGg1p9Lp + 2oYG0zGHQeCyka3g6uLQ2OjDBw/SgspeUlpSUqLjBQogpzNhn//+zVv3Hg1Mr3jqmg51lrU7HCbM + MiwTS+1uT88trq17VkLPmLZKwOKpzgoMslYt59uQlPCtx/DohEtfdbLCDhoEwDBk47HQasA/4V5b + jFLb3MJGa8t2aWmRWgU5z5r0XiS4tTm/uBxKqstL8wVBQJiBIkdCoemJ8Zs3by66QxpzybmuQ4UW + auB20zKigjWW5oKBnanxkWeDgw6n89LzV2obm/gcupPK6VQyENiemdu6dfvOjm8xkYgfbmsV9GW8 + rrS4pNRitjIKwAHGmOd5lAN5MeA5DrJZsrPZ/6inf2BpK0hbu69WFhRqGBMplRKxSHDTbDbxvIB5 + kSJGCCGZZDISCAWUnVA4mVFyTNJcgRdAwZCVpczGxnZKYwhF9hRCAVFgEiAlm94LBLbc6561oMAp + aGPZpdNpTtYfUxtFwmWT8dTkyPTC9HhkN662OXlbaVWZTsPxHMWY0tRuaGNlcXvTs+TP4x1GUOlb + jlTa9HaB0mRkY8e7PDE19eihFgy26warqqJALwB8Zg+Yq9ejfUU0UAY0k0nv7AQ3Nza0+XaMOY7n + OEQBEE0k3eveW7dv9w5OxMPbxztaC+1W0WBReHUono55lpZHHg/296R48UV7iVVTpcYY5KxnZfrO + 7Tvj45PMXHz+0ulSi9aMpZRENiIJtclO2T476B/YGD/rHDjQxn3OLSGXC6CMkhXXcvbWJ+F4vLyi + 8mTncQ0FJRyKedYXZ2eHBvoFR+EL5jybXb8/I0CAKRNDAx/f7L//6KnOUd7Q3FpSZ1MZFS0hsBff + WHJNeVMDg1NhWqLiNXkmo1GlFiCbZ+JUEN3a8E/PLhtbosePFPIcaJEAKEETwcDGzMLM4MqWElYs + Y1NLNbUNhQXmfXNhkklnsqur60tLazIFzImiWs3xnJTM+L3rn3z8Yf/jsXBg91D7YUeJTWdVMSZL + e8noZmBoeOrZ4Iiic6oMJnOV0cARq1nNYfBvBCamFkvqyo9dPMoD0SIAwoAyYNlkIry+Pr++voq0 + lSq1RaMxANtNb3rGpxZv3Ljh2ooaTJaujsMOa4nBmAEcDgRm1le2lhfn+3W9Bfn2EydO2Bx2AIqA + pFPxQGDT7fH6fBkkoMXlFXOe/ey5y1IaFeVXVFZUGozGHC97X0wKiCGgLIecQVyOyPJpnwRGnMDr + dNq6uppzZ8+sbWwPrUVXt9LG8oqmioqGklJOzhYWWGrrqgwmEyAARUJAct6xY2OTISvPCG1raTHl + WRVMt4IB/5p3eWax//ETQ57dUlSsFw0YURFRRNLhYOT2vWcPHj5dc/tq6lvKKxsqC7QqEiJxXzAU + npxdmp2d3ktTrbWoVXvGaQGmUKZkmSKFQqGZpYCo/iAQ2GaMtB7uMBp1ep1Gp1NbbbYcvmNufq7n + 3t2HD+7rdfqWQ81FhUUmo1kliKlEyrWysrKy+uRxL9ZY7KX1RZVWtTrndcs+fZo/q0RgBsCSqd1Q + eGdnJ5ROSYwAAqCEJGJR1/zUyNBTl2upsLq9tfKQ3W4HSiVJUhRZ4HmVSoMwR/ZpNgAAOd/33EmT + YQzAMul0JBzOZtJ5NmtZWavVahREnE5nJYVuB0NTM/NTU1MM8V3HTlZV1RhNakL3q8jsIPhglACm + gChgikBRlNT2ttcd2AmnFbc/ZNDkdZ+6KHCCTkfteRqj2cCwosjJmZnRvr7e/v7+0uKa1pbD9Q11 + hMpZOZXOJuaX5oPb4fv3eu22Gqvd6ShWMR6YQkFKumfG7t1//OzZQEJrqqhsKi9u1KryBGUvEfam + wt57t27p1abIdsy7G9tLprIUFI6qlCzJ7KX2woHtDU6xJjMZhRAKjH7urCVJ2d29qG8jbA9sR/f2 + lE/jdcpSqVQkFN7e2o5GY1lFEkWBgkJYSlYSu7tRt9vX1/sM87qNrXBhUVlDfYtOp9VoVM5CGwXG + mLy1tdn/5FHPvb6V1fV8Z1FNbUN+fhEDIR5PhwL+1eXZhenxPSmbX11X0dxWbNJyiBOQhORMwLsa + 8Ho9m15h2ZUSNPml1RXFRTxT9oJbq3PTOz7XxpZXEQVksa2ueDQqfefRboteEVggGpgemViZnJqQ + +dJjx88ZNWW6HJCMU5Lx0Pj0wv2HfU8G51W82NbWXl9sVatwClA4lVpY9W9u72zdf1haXmPQtDdU + 5QORgUoAciYeXVvzziWQyrVhQ8TmcB6ur1HpRQWyG5ueZDCI0snQlj82NGiu6zxqKnHW6TSMFRYU + dB45YtZrRkeeJefC2bRQXlZ1pKOO51Icl7bYdM6Cgpy3Fdq/2WwfwQNMEPjy8vIr115IRDzry6Nb + w+sGo9FcXdXY2iHwfEURAlnCAAAgAElEQVRZuS3PrhJ4SO9CPPHsyeMbt/qGxhfyq5qPdXUU2IxO + Pm7MBnd3NsbnlhbmZ4ORPZXBaiusrKyuFvUADBDCmVR6c2Njy+9zraytrrl3IrGqyqruc5dTMi0p + r9DpDJgXAQGXw55RwPv5WwqIgJLdDmzcunnzfs/DtTV3bX1DeWW1I79YITiZkkLhyOTk1OTUeDqz + W1Bg12qbjAYdACIEZbNyKBTiONzV1akzmNRavVZFMYmGtl0T067lZVeaDnW0dx5tr9YX6imlACST + TLjWNnt7+/r6njGSqayqqq9oc9htyawYiMierUgikZibnbt4qgvABAijHJZGzk6MjW7qENsLFBeX + 1ja3qbT6ZCKx6XHNTQwN9fcp2rzC5uOmYrOOB0IVgRFAHGaMfEp5QgCUgaIsLy0/ePBwbGzCUVR6 + 7thpvcWOBC0hSiQSync4dFq9wHM5j3+OKpl4zLu+ziuG4t0kFvbly0CBYkaB8piPhsKbK55tKb4b + iUlEFgHxAAqVs6lkKLQz73J/creH7e2lQzsNDY2dxnZOYJTJq2uuuYWZocFnRr29tqalprreYMKE + yhijbEbe2gwm9ySf17Uwp5ekverKqtKiMkWmiUQkFN6cnJ4YeDagmEuJuazUXKnCPKBcx52MGeEw + whzOpW55jlnNuoL8vLGJ9YW5hampabPVoDca950IKANJ2vD7XcvLrmVXV3mzNc8uiAAAQAggxICy + A0tKs9l8tKuzQM97bdonQ/OEM1iKShsPH7cadFoBm7R8TU0tz3GYQ/HdvXWP+/atW/39/evray0t + rSWlpRaLBQBlZSWRTA0NDc/MzGxtb9sd+QaTsbKyEjO8j5beryXssykVIlEBcSADSLuxgGt5sa/3 + 8Y5kkXbWmyvriltrqcGU0RgcThsC4HgW3PJPzbrv3u1ZXA2ZTXkNtYeLnRaDXhdPJLe3Aytray6X + iwGura1raGx05OfnULeJRMLjdqsEobXlkM1iMug0GlEASvw+76praX5hUWsdyq9oN+adNBqAARCg + kUh0ZW69p+fB5MRiOsM6jnQVVeTprWI0HgqH9gKByMbm1rJrVVJAJQICRAlhTIlG9x73P47jIp0i + NzY2Fuoa1SKX3Istzs0sLy/HlHsaR3ltQ6PZgvnPQpnPx9D7TlXAIBKJhkI7yUSiprausKhYbzIz + xCmUZSRlZGzc4/UHd0KVVdXVNbUabTHmD3ZgBAgQ+i1gGQNgiiITShDGmOcBoRwGCRACSmemp/oe + PXn69JmjvLat7XB9YylV4iidYYnk8uziRjB45949c0G1Ne9cYZFaozdZTCYVZmG/e3le7/FvIXux + oBP5nMYNFAB507c2ODS4vO7VFrRZHYUaFQ+ZaCSc6nv08O6jkdmFpaMdzdVlXUazjXLqeIZGdoKz + 48PjYyOIw0jUdJhtOgF/euUHtwV97qjx+RuGABDCOaAK2tvb9fl8d+7cevSod2Fhob2jo6KyKi/P + wRCkUulQODw7O7ewuBgM7mi1Wo1W19TcKAiCM7/gwrmLAW/58Fb64YwfTOZiZ0F3XUGhmDXZKqpK + SyxaA5/7r1RkiDAulx+XgWWyiZ2tDV9WYkiwCmor5lUMFIUqGHL8WwYcqEWk1YqYx1lZCUWi6WwW + fit1Sz87TR4QMFjOUZGQzY2NocFBjcHMOJWCeLXOaDCZzRarTq/ic4YBCBgFSSYczsECcA4ARynl + cvxnJgCHzEb94caKkafCkmd7dHK+qCXedMhqQIBBAkgDy0AiFNgK+DbCMrZW1bQUFuRbdMABcBgx + EJRcNPn/1nib86zI6fEBAGPs9/mePn16+/btDb+f4/nuk90Oe75WrZelZCzi3dpYnJqZ7e17tKcY + ebVV3VruMHMIKKWKJGdCoaDbH9d+eHNx2Y3JXnt7m0Z1SNQXG/KqrTbHp9D4v/tI5PSXQBEoySQb + Gh5O65O8nKysqso/XKbX6BK7ydWltQnXWurhI86S19babtGreBEAc6BkpUwoEtrY3OCQM6nI+0dH + ANnvWxsd7n/Yc0fS2WqqW0rKyvL0osiykcDW3NTs6qJLQULloaqW7nPVNdVGiwVhDhRFJgqlSjy+ + O78wv4fje8lkXV1D5bFCXlbCez63f27Zu/J0YMBZ/+jEhWv2EthHtmXT6eBOf+/Duw8G1zyBosYj + rbXH7Dpk4LMkE/etLa4uzY6NDms++vg0Mp0/0cA4oACUKHImuRfaXne5Hjy4n+StXq+/rq4hr6uD + w6iystLpdOYytmj/iwP7M8XY353Ifxz/7Y3/6qlb+E+ytwwAsQO4J4ch52vOqEKppBDwbGyvR4Yr + 606dP3/xG2+8aDMC48Lh2PJffP9Pe+6Mry1tjY6MlxSXNDQWIwaEklQ28fjxg3s99/b2Yi+/+Nr1 + 6680H2o0mQwqNQKQ7vTc+OW7997/8JElb7i+qsxpM+Y58vNspzo6ar1DPT/qmZj+4Im9ru7b33nj + 8pH6CgsHwEkUE6RSkWxNdfVySQkh4y7Xaqlr/eSJUgSAMIBMNjd9Pu8qUSCdyeyEoisr603lTigw + Ao+AISmbdrvdOzshnlc3H2orLi4GqgCTfT7P474nfb2PDUbd81euvP76a/V1dWqNRpKkzc3Nubm5 + P/mTP3G5XL/4xS+KCosKCwsVRVGpVDvB4N27dx88eBAKhS5fvvzGG290d3djjAFYem/LNT/ykx/+ + xa8fTH1y9z61NdaW52vVwGMQmMIpaSUe2grGp33B+lOX33zzG1cudJfmW3Fmd26w/+6vf7W08v7E + xKS+bPr69W6ZgJpRECBXaYeDdU9WFL3VWn/yRP2Jdu/Us5v3Plzf/omzsuL8iy9ef+PNfBsQAEUC + PaWMkH0dBpGJTNY3N9x7feevvvbKlXMvPX/GqIb0XsS3vvzHf/xHn/RO3b5zr/vSq0fb6hwmQWQA + cgLS8f7+R3du384Q48WLl7/z5uu1JYWiAEDoXjzxm48//sW77w0ODQlaTXF5eefRo0aVnlIQMLBP + MQ25vYFSwBiJKiYrqd14dCc0PDRYcajp2iuvnbt4ta6h2cRD1O0ZuvuJf2NjYWEhhnDHqW6tQa/K + c4gA0UhkYWbmo/ffn/MHrSVVX337rXOnj1WWORFNkUw0FvL+5Ge/fPfO9ODkwkPDg0ILd+ZoBQJs + Mhlra6tMZv3e2t7E+GTTaYnUiggDUJZKp30b/nA0kk5DlmS9Hv/y8uqJox1GHSBAVMokY6Gd4HZg + J6SxN1bV1esNRsCIptOe9dWxkaGnT5+W1XddfOHl333r5VKLInBRkLHCW2NZdTgc+V//7b959rj3 + 3XffKygpLa6otFnEnOk/xgAIooHAr959t6ky/8zRo6+//rWSmmOi0bS7B0ZNDsTEeI5HHGKAKANK + QcCIZNLbfs9gf+/aWrK46fzXvvHtSycaTByIBOKRjH9t2Wo26PMsHC9kiUQJUQlYK2KVwFHKZIZz + WUIO9g+ZWEQatYoRkGVQCEM5tAlRgGOMZJOJeDKZ3lpb3FxzXzvb9fKla89fPS/qOYJlOS39u//p + j299ePNp/5OChg5TdbuzpFaNgRM4IExgcnY3tO3flXdMhYcPvfWt3zl3/FxjRZFIwL8wP/jgw0jA + N7cwH5A/qD181Jln1lk1nwqsDkrRwPZZvYzCvi8+AuAwxwuiwAOPBQBlb3d3cWHh9q1bwYTU0Vz3 + r/7VHzRWlwtGWxYgkgH/7Nj3/5ewa/jB+ofv1554vrGgKs8Mcjy1sjT54N6tNFjPnrn8b//17zvU + oIUMMH4zmg7EpVRyz2iy/Bcumuy3fh7YJqy4XKvx2Be+9tbLV146c6JbCxB2uaYe9fzgL//DiGf7 + o49uHD5/ubm5PIdHpEThEnsP7t688XFvXNKfO3H6lTcuHDvboOKyGiAc0KG7PT/+sP+nHw9MTY5b + NNKpzgan2a7GuLCw0O5wcBjcnvVit3tvr8liBMwjYCgSjfo3Nr3+WEbmdmPRyYmJS+fPElbIY8yA + ESWdSMQDwWAika1taMrPL9DoBAC6uxtdXJx7/71fZTKqtsOnfu/3fr+6qURr49UiR1OSb2n9j/7d + n/ZNr35445P61tYqe53BJhYXFBYWOEUVt+ZZXVpZje7KJpMAAMDSwCTIJCI7oeBWOCspTW21zpJC + vQFBWllZXOh71NvX11fdfvLlq1/+wktfKbSLag1gnHV7hgb6Rv/yz3+4ND9/99bNhtpqm92W43UQ + IqfSqVQ6tbW5shXaaj5c/cL1i9/7nX+6F80gRWU2cjwPOZ9btC/tZIwxQikhlONUOegWpQeQNkAg + 8OYC5+WXXrhwunNgbEp+78Hq3ZHOYyfefOWVVy+1iwxy3hQ8pEDagrgEVGGMAmGuuXljc+mXv/jF + y6faqhvqRJ16YPjZ3Y9u7Xi3lhbmtX22I2fOIb1BraZY4CCV3fR7fvGLn69tJiuq6r7x7d/tPNZd + WwRiJo7l7b3Y3n/8wY9v3L5/5+7diqYug7PaYSmilGFGeAwIYNu/8fHGh8WV5efOnv7mN96uq6vW + 6dQ7wS2L2ciApVOpZ8+efvLJjWAweP71c2+/9VZ9Xb1OZwDEgUL6HvW+++67N24/GB4ZqWw+arCf + 5tWggMJ9PpZCB9FgLvgFhec5g8GoVmsFHngOgNBIODQ8+GxtZVmn1bzxxhsdp69VVxVhBJkMRKMR + r8dd4HQKAkqlJMZznIoDBoQQoigqlcjzHM9jSghGSK/Tdp844XTmP/fcBbVWwDwCQBTQ6Njkn/35 + 958MDC0sLE5MTBpMVr2xSFGAw4AOwCCMMUqUA8cPohI5nUZETFl2uRc8AVNeyRdeufi93/mXeVYt + xxEpu5NnVzFQ0pl4/0Dv4OBTRtnF85euvXi1s7ODIUJBokj6zUcffPDrB5/c6C8pHsovKTptb1dr + APMIYrGRp703b3yYTpOmY6devvaVs90vFDi0GgYbrpUPfvaXP/yPP2JZpGQYNZoUQiUGMlWolAKS + VosoZwSNMM/wQRVq3+2W5brAKYOsLGUkiX46EZQABWCIEaYQIimKCAIAISyjKClGCVFg8Om4yVpQ + Ulrz6vUvnzp1tKzMvrW9zWOFQlaRUy7X8o9//PNV10pBYclX3vj6sWMnK6uqRLUoyYrbNf/+L392 + 5+ZHg4NDJa1Hs6Yim6FMiwFEXi2gbDziX10Lr64xW+HJ62986+2vHjnUqOUg7HX9+kd/1X/7oydz + vnfe+TmxFlhM9v/hn//h5bOXivKQkliaGf1QYe/3T0RXPf4172ZZgcNu1uTMa0M7m7duf9z7eGJn + V/j6177+2nPdXS1VwDIgqiLZzP0nQz/52Y3bd5/1PRkodegaKuwgZYASUPFGrTqxt7e0NgyOwOlj + R37vu793sqUhz2FMZGK9vfd8C/NcNv3JBx9NbGw8fjKQ13CsrbxOwbS+/XD94WZIJ/6vf/9n7sAn + qSDX3X36D/7FWwVOUKsBUA68KH1qq8cYQ9w+xE9jMp06e+LU2eMR7/zH7/+od+JPCksrTr/w0u// + wVsGHjgAtQS6TBB2wi7X6t179x72DukLql6+/oXrb369xAl2AD69k93x//SX7/3op++s+j3PBvp1 + 1qLX8pxWvR5jEEQVQjiRSK6vr+0l0pZ198XLVy+/9OqVl65HElJGUijmdHoeQ47mBJQy9ukeiGk2 + s7eysvzOO+/4N7ZKKyq//a1/0n6kK7+gUKGAMB8Kh//iL/7y9p27Pfd7OjuP5DucZqMBAXBYrdEY + ampqj3R0fPG1LxtMNkGdK/nvrc0N/PjnH75/Y8Tj3ejr6y/O15YWVOfY8eHQTk/PvSdPBra2Qpef + O/eVL3RfOd+k0qgINezE0PDkQv/woHd7EyEAjAFjjhMwxiBlV4eeZauKrpzqfO2l5w+1ddgcjq3t + 4OO7H38/5Pd5VsnQ0JGr3iqr2WEERSEAMnA8YhQYoVThgOZQCiSdXl5eHhkZVQg9e/b8P/n9PzDl + WTkeZAoe944iS1Ytb7MaACmgSIgSpMiypBBJURgvcIApgAKUMoIpA+AFHmTCJJkDBpQQRgAAAeWB + aUQeY5yJxh7cvVdeWHT2SPsf/rPv1dZXCGqUzSZu3Pjwr3/wVxMT0xPjE73lvVazQ6vNy8qSqOIR + 4nlOdK/71tZ2rTbh619//cWrLx853AWCOhXdnpoe+fO/+LP3H8/evf/Q0XTiaG2hw6EG4HP0NMQo + jxGH9wXZInBVZcXHuo70Pp7d8PtHR0bbOpqdRUYA4DgemJTNpFfXVt3r65IsNTQ0tLS2qdU52AEB + BAQEioBDIPBCWXl52be+pWyuTTy+t+b733nIqzjS+Qf/47+ur9ToBOAZ8AAcpYCU7e2tgf6Bj2/c + 2NnZqa6qevOrXz11+nRJWRkhVCE0K8l/9Ed//OFHH01MTNTWDjgcjsLCIkEQMMchHvE8cAjv47oo + yIqMBMQjynEUYxKPk77HT7C5ub3S/vZbb54/XCM685eCQcVkBqACj9bdK7/85Xsjw0uO4pavfOVL + z184UV3hxBjJsuLxePufPv2bH/7tzMzsJ5/cFESVyWzGKhWjTKNWV1VUdLS3nTl1srK8NGeNDBh5 + F+YfPuj58+//0Ov1jo6Ntx077gRMgBKmeL3e3t6+wWeDjKnPnn3+W9/53cbD5ZSXgSebmzsjw5NP + ep/KCslKiornUS5ZilgoBNv37tWe/+oLF86+eLq9udRq0KpD21s//tEP/voXH87MzFTPzp7e2tbo + CzlhP/466Lr9reZBBiATxWG3X7ny/Esvv3KopVU0GAE4xpCk0L/+wQ9+9d77Y2Pj6+vr24FtZ0EB + z3H4wFHuwPEVcpqh3BGGKBKlFGMOczwwkGWgAuUohXTq6cDA6NgowujSpUvXXjzXeaQRQVJUiIqQ + j9794Be/efTB7adFlU8LihwWe4fBqCkvK21tqBkPrAe3N13rHrG8yaYT1RQwBcAElPSWb21yajIl + 8+aCiur6ZqMuDuGVtUXPvbu3xxe2bRbb1956++qFkwZLngJiUoZ4LPJ//G9/dPfjD3793nuV9c2V + 9c3GAgsgDtg+piwH1gD4VHXy2yfnT029AYLB4MDAwAcf/Ma/4S8rK//Wt755/PhJrU6vN5oURQmF + wn/zox/evHlrdHTU6SzIsztq62o1Wu2hw22HDtek1xd/0jf3cPk/QH7J6XPt//P3vlKVxwMyAKgU + BPtoiH2ZUS4xjoGybDyxsx1QiA6rdLxGx4kIAGQlq+XVCDhgOWamnErtSVI2A3IktpvJZgH0n5vt + gw/1uU+GEEYIpdOp6Zlp/N67otZIsUiw4Cwqraisqq6tKygoNJsMOWQgpUzKZkWBFzgO8RylOdJ5 + jmmHgeOAMY1WU19ZVmYzEWllfGq21rMVS5VpdYBAASIByNloyOf1hGJx0VZd09BsM1v0/H4jDAHM + KNmngvyDg+M4hvH+f2eMUjo/v9DT0zM6OlpeXn7p0qVvffPbJSVlAsdjDNv+ubGRB//++385OOV3 + f/BhcXljvk3IN5cixHJoCo7DbrdndeWn9tKaKxfb/vl3XysqMCBN0W5aqzPrVCr4NNT7zLwL4LNG + baDJFDx5/LjyWNHLz524eq6ltdZmt9h2tkK/ee9D/1//wLWyYp+c8vn9Ykm5ludyNiVMkTEwqhBF + IZRCznyfMba4sPB0oH9l2XPlq6df/vp3j3QdNakRJyelZPzHP/jRr372TlJm11+9/rV/+l2eBx0o + CMKKlCGEYIxisWhIDkdo7Pprr7xy9srpw12QyLpWR3oHbvz4lz+Z97h/8c679rL2tuICtVoDNEl2 + ozOT4w/u3RmfWCtvP3v9K2+9duVUoQlElGGJsHt59lc/+5u/+bjvV+/9GjsbzpxoUO034SmIKaBk + k0m433NfX3qoraXpO99++0hLvcBz2WxWrVaLorjvdUtztFJKKWUc9w/O6j+O/ybGf8XU7W9tOX9n + IJQ7R+Vex0CRFCLnCopGo6qooenNb/7umaP1VgsIGBAv5OVZnrt8Ph7jF2c+mZ9fqK6qvnjxot4A + qWxyzbs8vziTSOyeOtV95syZtrY2g8GAEBCS4ThWXV159FjXk2fzHu/GkycDh+orTRoHxyMQeA4j + xggDhnlOFEVADJhCGSWEY1jACBU4C4qLigw61e5uPBAMpVIgqkGFADhuw+/1ete0WhCokEik52YX + TrbVACoBSoDQvfju/PxsNLprszqqq+rMZguk4iAlVldWxsfHAVBra9v169dLS0sFUSSE8DzvcDh4 + nm9ra4vFYjMzMz6/L5lMGo1GAAju7Dx58iQej9fU1Fy/fr2urg4AksmkKApatVhVXtxcX/F0Iehy + exe9gXAc8gRQAcNMtupELVJAIecvXzr84pc7T54yWC0KECzL1WXFwYbKqtKipd2s2x9c92XrCrDB + wAEAzwPHQFYAeOABRFEEIoMsg5wliswoVRRFFEWDwchxoAAoACoRVAwjCkARh5EocBwH+fmWqiPd + 11997eSRRpVKJcsJjkclJQVtbS1Lm6mhSZ/b4193b9kOFVDGEpHw6syz1dUljUZ96eqXTnWfyXcU + UMogIzOMdXpda1tbMBp1+/074cjs3HxNQ4POpOfwZ0/YvtcOpbDfgcSorJBsVpbY0e72U9eef+7a + 1fzCCp4HBuDIs7e3tHzh5Zff6+3bSCaHRkbtjvy8PLsI4PN6picmoqFwfX39yReut3d22vPtlFEO + YU6tteQ5Lz1/NapYvTs9vtXpmSnT5mZ3oVXUaE0FJTW2/FLkDnrWlyPb7kyiFusBMMQTmfEply+Y + VhhgjtsNbXvWV0KhoF7nUKsRUqv2IqEV17IkK2WFxQ1Nh7Q6LTCaSSeHBp/OTE8BgrPnL5w6e8Ga + pxdwEhgDkecRJ6oEi8Xa0tK65XGPjI35/RvRaNRqduwnKHOxI4e1Ou2ZM2fffPX56poGlcmkCMCL + oMIYAaVEoRwA4glQQFyu0C9nM4ndCKZKns1a09hkttlzxVuEwGhQ11RXCxyjahUDIIAopUTKKplk + NsMUQgjgz6VoEFAFqESpwgAxJMC+aUiONU4RUIyQwKuMBUUdTbWvfen62c4Gm80MIs3SDMfg0oWz + JJ7463durHs3Zld9HV21eg3ogAKRBFA0SMbAujo7j3/pSy1dJyyOQgJAZCh22s90HZ443rE7vOyJ + xZfXvA1VpUXWEoADf/pPk7j7ra0IA8IY5fi2hJDd3V0i2gQV5QVwr6/NTE+HQqHj55//8vVrpaXF + KhUvKURGnCBCYUHh2dMnuOjiiCfl9W9aAkqRhmeZJJF2EVJsNrs1r0StBSA5RSoYTQakB0myarX/ + WVKUzzpz2ed/B59qw5oaGzquXD51+bnaurqcOt1qtrS3tjRUVy6E9lY2tzz+jUA04bDoRcCxWNT3 + 7Jln1aUSVedPP3fu0pWW1ibMSRRkChwHQuOhzpeodS2QHF30L86OLC+ddpgPm+wGwVpSUNJQVLIw + vxvZDXtIliGGgMkgE/9m1B/MKgxEUS1n95YXpoOBzVSqUaUDAJZI7i4tL0XCUaPBeuLEaWdBkSyD + oqQWl+bHxkZSqVTLofYrz1+rrqy2WLRMTCMggop35tvPnT3rT0LvzOrK+nqgJq/Y6tRoLWWlxW2H + 62fcAd/Gltsbra50YB2AKIEUiYc3hwfHtjcSep318JH2PKdZoUATe4tzc/Mzc2aztfPo8TNnz1lt + ImWQSoNORwsKnO2H2xrqa6dGlybHx/1eb1GBU2PmQGQ6ncZmtQqiKKr4PLvjxZdevnDpJAJsMGiR + AoyBIgNBjOMIRhRhwoAh4HiOxxgrClUUThT2vzJAcx0hAIgBz/MqMefoBwDAcQxhhQJ/sA/mHkfg + OWCEMQqCUNXUfOFi14svXiw0YY7DDFhNTc3u0aNDfU89LvfGxkYkGrPIBGs4IEostO1ZX90JBp3O + 6rPnLzS1tFodwBBggQfMG436zo72dc/momdndc295vV3tRbxAk84RImCMUIqFSdozpw9d+2Fq7X1 + 9QajhufA7nAIHMpmM6FQyOv1xWKx5ubmjo6O+ro6nU4LjAGRAfOVFRWnTp6cmF6MRKJDwyM1La06 + i4ny9ICufSDy/OwEyGRFymYzmUw2kUimUgAMeF5glGxu+DLppNFYUlVdZbPZAIFMAHNgsVq1Wq1a + JQLap5bnYjWe53ku10TEcmgdh91+tKuzualR4HmOxweNShwAsjscF5+7tO7dDIX3dvfiyWRaVkAQ + 9k8jB5fGCFEwIzwCYBKjMgIFQMEYTDbLV9/+xuUL14uKtBwCjDmtzioI2b290OrKwvzCdCaTPtV9 + qqvreHVVLccDII4hnmF6qLlxw5sYeLzgdW9OTsy0HW/nNJDJpsOe1Q3vaiKeKimrPHr8+Omz5/Ns + WoQBCJQUl1y9fFnNxA/f+8S3vskJIuYFzAOHBawWtWoscIAx0P3cW66mzB+YQyK2354PmMMcz3+2 + juzD3HEu9gOMGDAElOMJwgrCCCEhm4Gqivo3v/ado8dOFBUaBBHynWZgWcrSiWRkc2tjfm6xrKTq + 7JmL588+b7HaADClhBdoSZHj1ZevbLsXV/snx2cW8g+f62osy8k3BUQNIjbxgA2G7i9+6cgrX25o + PazRgYpBUYHz0pmTyubS4JxPIqS6ofnNN75+tOuk3WHQqqigMlVXFh0/1r68Mb2TldfcGx0NNcA0 + gHAmvufzro2ODkkKamrtvPjc87W1RYAoyBR4olKrqmtrqmtr9YOLI2OTbbWFL1/oxHzurilEziiK + Arx48tpLX7p8rr2z06jhADO9TttxuKW5tEhDZNf0zNjm3JJrJRiOKRSwwO0rN9QixyFKCABWqdQ6 + XU6B+qnYZL8t4XMrNgVKSDrFmIpXQzaTTqdSWRmwqMU6K8rlbQH0PGAeR8KRjz7+ZGF51V5Y8pXv + fPfU+fPOPOABFP+JgroAACAASURBVAo8Vqms+RcvXBR47v/8qx9u+dxDg4PdZy8V2fWCCihlgiCa + zWaeF8xmsbm5+dq1a0eOHlMUptOKolpMyzlJPnwWyx5oBgDo5qZncXEuFArX1jZcuny15dBhh93J + 8wIGJhOF46Gjs82/6Y1Gw3OzC1UVddWV5YgDtUpXWFD8pS+9xmHQaFQ5L0JKgaNyXp75uUsXJxei + s8uJZDKTyWQIUTgBiJQNBLcGBwc3N7ccjtKXXrre3l6i0iIAwvGcyWxsb+8oqiiPZ5IlxcXAEpCV + FUIRQiAKuuKi8+fPfu933nZaDWqtNpWWTSZzXV3tmVMnH9yLJxLxNbfXVtMCRuAFHijKrb08IABE + ZRlTYIoSjcZ2d3cVhRQXl5aUllksVgqgAHAYCgrtjIIGEVXOgEDgEc9pRE6nETOYkwjKAuhyHfrs + IIJBSOR5LRJVTOIQBsQIUAYEMYVIkqIoIAj2soqXrl396osvlpWXCwIPoIgCX99Qd/Xqlc2NrZ1g + cHh4uPPICbvDpDPoAAgGjBGvEtXFJfnXrnU/f/lcY0MDCAIA0+q1bW2HThw/OrejzK7GVta9K+ue + aku1RshNJfos07evCKEWk76irMRmNa/5EvNzc5FwVJJKcM6fAQFDsLS4uL6+ZtDrS8vKioqK9nNg + OLfOcgeOp/sHJl7gRYFXZEmBHOedpxSyWUBc7g0RULqxsTE+NpZKJg41N7/++uudXZ12uz2njeAR + ogyef/55SZa3f/S3k1NT+fnOU6fPmEwixkiWGc8hPlcPBQAOVIJIQCY0m0zuyVKaMZAk+eSRo9/5 + 4uW21lrRIgIv2PMdKY2agLwTCS4tzo2ODOt0RUc6jl5+7kqBQ0+IFN9LaLT6goLCM2fO9j3u39oK + DA+PdJ86xSGO40DghYryiu9973tGg9ZmNgLHAWIAGIhcUlTY0dam02o2YjG31xeN7WaJRcMhWZLm + FxY/vnEjkUx2nzzx9be/UVlRLghAOEFiisFoOtzRUVvTZBA0Wg0PDAilHEYqUTCb07yz6uzZs5ef + P1NdoNfxGWAsz25rP9x2wh3YujewvR1cWlouLC7UCH9f5HxAmkII6usaqpzWdLLbbLFxB7mVnENL + a2ubx7cxPj4RjcZCoQihNFeZzHFBPq0qAaUH+wXL7ahsf+/IJXVZMhbzLS5OTU2mU6nu7u7Oo12V + VZUc5MxaEWDUcuiQy5948HR+3e0Zn5xqOdzM2VVGg77r8KHw/PD2VnxhecXcmmwuNu+TD7LJSNC/ + 4V0Ph8OlVadL61qMFh4UGnAvPevr3/D7Kqub337z9da2w1qdliiKgjDGvNls6TxyJORzb96+GwwE + /f7NfItGFMnn78vnGWW/NRhTpCxCiBMEwHjD7+t/0h8Jh1sOtXzjm99sa22zWC0qlQbzPMdxdof9 + 4oWL6XRmcXFxfnGheGT4/IULdptF5BmQLMIS4jAgFRYsKo2V43RACDAFMI/27z8FLGMk7JPKqQoU + Tkkr6WQGYaPaoDNaOV4FGBAnChgQKATkLLCMSuQrysustp2tPUEhhP6WyxT7rNUWHcwOAMfzoigy + xtzr7lAszqv1BAkS4zhRrdHpTRZrc/Oh7pMnLp4/Z9RrRR5xWIMRfL7Xel8O/6lFsCA4zdaG0oqy + osDETmTe617x1VurjUaeAiFA5IB/Y25uLq3IZcVFDS2HDDotR4DjQAagwACjz67x/3nkMrY5NFk2 + mw0Gg+MT4zMzM/n5+efOnXvxxRcdDocg8Lklx5ZnbW8/9MorL0j8+OPhjWXXmtdX1t5cwoASqsiy + JMsyICzoTa+88sXrL3ZVV5fqNIqE9EilweJBkwD8PdeE9pt4qMhDaWnZye5TL750uaZYbdCmFUWx + Wq2HDh06f+589N7DUDgyNzefb7HazRYABhIBBWtEjcBLCCuEAaE5GB7ejcZj4V2Bh+KigrKyAsxR + YBzmNGoNV1JcWlZa/Gx8cnV1ednlKa0o06gZAOZFFUJIliWOx/XNDZdeu3r6fHdTaT1gAI2qorwM + C6d7nz1YCG4HAjuxWDKTBVADKEokFBwdHgwHtgsLC1770ustncf0JsAcgKwgDldUlh1pbx3zRO9O + ete9GzOLgbqSfKsWBIwYUXhE1DyAWt3Sdvg73/5GU2ONxWLgMciKAgCSJH1qmAAAHMZYFP/ROeG/ + i/H/jZ7zXzrYQRkMKAVFkQEYL3CiSkAYiosLu7tPHemoLy8DlQg8BxzPaUSxrr6qtq5ap9cHAzv+ + jU0pSwmBZDLpdq9tbfkRYu3tbZWVlRaLWRQ5hDhGgQJx5OdVVVXp9YZodHdtzZ1KpQml+5sYI5KU + pTmSKUYMMQYUEEN4f0XLdziKCgtNRlMkEtvcCqTSoMhACFAp6/N7w+FATU1RZWWlRqNfXl4NBneY + ogAjjEiJ+O7a2mo6nbHbnQUFxSaTCYCSdHJjw7e87NLrjTXVNQ0NjXqdDgByVolqtdpqtdbUVDsc + jmAwGAgEYrEYAkgmEtvb2ysrKzzPV1RUNDU1mU0mQsi+GYnAGSyGiuL8wkJneC/piyTD8YxMAKiC + lKzAZDVIeg0+euJEx4kzzjIHEgSFgsjzBpu5ushRW17Cc/xeWolnFJIzzJFlxgBjyCETKQDKySAQ + O9gfKaWAAHE5L/2DLkuUE6PlghtEMYaS4pJz5y40N7Xm5+t5nud4rFIJWrOhrKzI6XQCZclkNpnM + EAIAKJFIzM5OBgJ+jUZsbWktL6/U63ke8/vvLwiFBQU1NbWiShWNRr0+XyqToQeVgX27SzgIRXLz + y5CAsIg5EcHhttbukyerqqp0el3ulVitLsov6DzcUVxYlJVl19pqOBalgBRKvB7v7OR0OpGsLK/o + Pn26sLhYrREh9+acgLXGypr6xsa6qjI7y4Z2tj1b25uJ9P/N3pv+WJamd0K/513Ocpe4se97ZGRE + bpVVmZVVlVXV1VXd7XEbyxoQAo+REAKJD0hIfEPiI/wDgwZLtoGxDQKPNVg2XtrMmPa47elut+3q + rr1yz4zI2DP2u55z3vd9Hj6cG9ndGATSII2QfBVSRkqZN26c95xn/S25iiq10ZmpudWBgcGDveet + 052iC3aAc2fnnU/vPculNre4dGnlEoVsd2uz024XHhCBwsHO8y8++5QFk7MLq2tX0rSC4Lqd9v17 + X7442BsZGb72ys2ly5MmAiAQX3p3GItK1S4uLE1NTbfb7RcvXhweHTvv8TJDk6T1+q1bt958880b + N24MjYxGMUghimEN+syIH3eb/YtptKolUTU2HPyLw6Mnm9t7hxenbJEMVHQltdYIQNpqrY0ChQIc + bBTBmJfwLiIp2akEUUorFZEygZlLDUAEIjZGGWvn5pY++OAbN2/enJiZUrFRWhtrK0lybe3yjbVL + sTW7B0dPd44zAStABD43XNSMjFWiV27efOu9DyYXlpMq9Uu5NBqbGn7z5pX5melu7p7tHBwen16s + Wy+kkEvQav/uVQpKK2W05hC8D5DSyBRwfuPZs/v37+VFvryyfPv2rfpAFebClVuhUq1evbo6Pdno + dZsPHz3d2T8nhTjSlSREFr1esbt/9vBR1mxlYAclcYxKBQMDZP5fLMgELy2UfnoFdpFGFWhpcfFn + fubr165eHRoecQATkkplYnLi0uL8+MiI62WtTq+bFwwE8OnJyacf/+hwb6dWrb51991L69cHRwxB + CZihBLY+Ore2tv7qjcujdT493Hq++fT4rAVTQTo8OnlpaWVd2J0dbR7vP/NZF+KEsbF9uHNUJPWR + tfX1uanhw/3nB/u7J6c9LwCk1T79/ItPj46PKtWB69dfHRubVBqkaWdn6/79L3vdzuLi0huvvzU0 + OGwioyGCAiSVavXK+vrk1GSR9Z49f35wcASJYKpzM9Ovv3E9rdHB0dGXX26fnIIZ0D2o47PT/R/9 + 9cfNUz8yMnntxrXB8bpn1+u2t55tbm0+Hx4eXVxeWVhaiFOQLqWpkETJ+NjY8uJiLU2PDg/293Zb + zTNAwEHYC5hITc/MfeNnfvaNt+7OLy0BsAZRhBLP2O+LVclA7PMQFZEiRVRatV/oizHD+/JJh+r/ + PxBpGysTCfULScYFCkajH8+0fu326++999WlxfF6o6G1FuHBwcbs7OzC/HwlTbO86OW5Zyldk/e3 + N+9/8XmW9SYmp65cuzE6XrfRBVpDCWJ7aXlpdnYGQrv7B/svTvrTVGJwEJGBgcb1G6/cffvt127d + HhpOrQER0iQx1vay7OnTpzs7O8x88+Yrq5dWqgP1vsMJEYCJ8bEr61eGh4aardb9h4+a7U7oKyP8 + xOi2/HncV/wom50sywnK6H7VH1nTGKhHkem0W/fu3dve2e32wAJtkMQYbCRxrHyANlppEvRl3ZRS + IQT2vnxI4iQeGRmdn5+fmpq01gAiCOUlrVTTpaXl+sCAZ251us6H/i/RDw/l92S0Nrr80IHgy6+R + kfTGK6+885WvXL22VqkisrARkiQCpNk8fbbxeHf/ORHfuH5jcWF5eLB+gQcigszOTq0sr1TS2tGL + s2cbW728KIAs7x4f75+d7HmHhcX55dXVqclRayEKSqFWi6+tr/29r39tdmoqtbEixQxXRgQNAx9c + 78JyRIEuOrCXvwn6KVtppYyBQgmigCKCAiN4JlI2ihjM8EQO5JiDomhl+eqbd977yrs/MzlRjyIQ + IY5VnJA2fmd349nG01arNzOzcu3qrenJ2Xol1cowOyJXrUVry/OzEyMAvny0sXPaDQqFA1yh2VUM + D1cwMzH29lfeu3br9YF6GXmRVpLLS7OXZkZqCmOjI5ev3vjqN745uzCapAARIj0xUru6fmlgcKDV + zZ9ubp83O+Wv2mqe7e4+39p+llbTtavXFpZWGgMJBCBVhvqJqcnZhYXRicmjk7Pdvf3m+TnYAwxf + sMujyKZT07fffPvW62+OjleiJCZSpGl8dHhpcX7y0srS3EytWj04OGx1M1EXqjUigLAwcwDIaGsN + 6OKS98e3FxAwdRECIKJKlrIIhyAcQPAqKlRaZkwjsPAIxdnpyY8+/qTZ7k3MLr7+5t2lpfmqQXkT + Q0VIa4tLS3fvvLYyOyEu39x4dnh41O321UlLHJPWanFh4ef/jZ+/+corE+PjWpG1iGMkCdSFj015 + Z/a5kBJAYW9/89GjB512d35u+c6du+NjU3EcE0ERKcNJalZXFyenRwuXbz7f2t87Lp0ytUKlUlte + Xllcmo3TSOnyCgkkVCrx0tL8YGOQmc7OW0XhFQHgbqd9+GL/6ZPHIphfWL58eX1sdBS6tBqCMTQ6 + Gq+uTt+4sVqrpOVeNbAws7LmxrWrr9++vbq6OjIyGicptI7TaGJ8/NUb18eGG975w+OzTg4BFOn+ + UUgABKo8CyZIEkdJkhpjO53u7t7BxuZON0PpnZAmqFQQWU2l9mppOuoLBTEmgrJZUS5EyqhPDHG+ + 0KCYtAE0EWklZXnDfTYDKtVXb91+4627169fqpX4aghpmp2ZvvP67ampyazXe3D/YbPZgpDWCkzB + c/Bcqw6sra5985vfvLq+XhkaRMnmsCZtDKytra6tr0GpvcPj5zt7zof+9EppBeEQ+nxkgMC2ls7N + TF1aWUmTZHNzc293t9lsCRCYszw/Pz9/+vTJ+dnpysrK7Nzc4FB5bwDlpKekHpRnepGhtIKicnzi + Wcor0ddYg0i33d56/vzevS+9c0tLi1/96lfnZueSJAkhlLHaGHPl6pWbN2+Oj4+fnZ5uPt88OzvL + C3dxT0JK1HoA+/KxExBrA6U4jrGysnrnjbt3796dnBiBjcGSpqmFcshPTl7s7W2/eHEwNTm1fvnq + /FwtTQGUAli6Wq3MzMzOzsylaWVzY/Pk+LQoCg6wxowMDV+9dnV2di6tVPuVV0m0r1RGR4YbA3Wl + VC/LS4ktj9DqdHb39h4/eZImleXllWtXLw8MoC+bTpRWKlNT02vry0vLUyYCVNniESCNRvLW3bdu + vX57ZWWqXq+pkr+TJnOzM5dXV9M0zbLs9Pzc+Z+s+H5qhCsXiXSg0RifnVlYX2sMDWpjysZHWJTS + Y2OjExMTpKjb67Y7bVwkuFLkuv8+F6T1kr9ljFKauD9ZgzYgovPz88ePHu3t7mqjr12/vrCwODg4 + yGDq186Ym5tdXlpOkvTFi6PN59u5Zw/Ua9XbN64sTgwWvc4XDx4/3T/p9u+NgKK3tfH4YHfTh7Cy + dm1q+SpFgELncOfBZx+1m82R8ck7b70zNj6hjemjgxW0pqWlpeWlJeHw/PnWs40N5nJI+NKYsz90 + /r/EivUvPnOn2dx6vvXgwX3n3Pz8/LvvvDs9PZ2mFRvZ8p/FcXR5be369RuTU1OtVntra/u82SyK + AvAgF0LXeQeVMNUDVyAJJAII8BoeVIByKI+L9Rg4QrDkVCg4sLAiikoNKabSQk0YClBirarVUm10 + 3/T2J0/p/3T2F6/x8fHbd17/B7/0S7/4D37x5775c1//+tc/+OCD9977yvr6FWb567/+mz/5k//9 + X/yLP/3kk0+OT06YYXSZvuTigvRnc3IBYIHWqNWvzC1eXl4B8+bRwbPtrbwoSh1XFO5wZ+fhgwdi + 9NjC3KW11VqlX9QFCQ78EzZx/w8vupgal6Pbra2t4+Pj6enpa9euXblyJa2kL0dDNo0nJ8Zeu3Vz + eWkpMDY3t7d3dnOXiwQiIRJSNDg0fP36zTfefOfGjZsDjYaOjDYmSVFmopdX7W+hCPuG1dUKbt++ + defOG6uXRwYHq1FktVI6SaYmJ69cuVIfGCgKd3Jy4oNXfdM3bRFZZQkslJPqVwQKttfNu+3MaFWr + pfVGqk1ZlmtQPFAdGB5qeJ93uu1ut+NDmYEVynPQ1GjUL6+vvf/BB6uX14eGKiDAwAwMLC/NT0+N + V9L0/Lzdy3woW2dfnB4fffbxR+3W+eTE5O07b07PW/sSFaEJ9er8zOTi4gIp/eLkbGNrJ3O+zIbE + XrGLLW7cuHH3na+8/sZbY+OjURyRUnEcx3H8t06L/k709v8vr/9vULflVPbiW/XTT3V/3a8BCLxn + Cd6mJkpSW6mKxuLy8te/9sHIICjgYogCgQyNDM5MTs6MTextZ82zJhMc0Ol19rae987P4iiemZ9l + Q8dnzVhHYA7oeOooK2laNbCu3W2dN5mZiaEKaN+lTsd1mIWCgVMqKAIRWaOMFwuEaHRwYnp0ZHTg + weGL/a3NvBU40qx6rdbps+0XR5l972sfjB7Lg+ftJ8/uvTjYbxZcjSPv8k7zfO/5pnB1fGa2PjxS + qSnkOrje4eHh1vbeK29cHhwZZcHpeVMpSuIEgA+u1+0NDg6OjAw7V5yenJyenMxMT5+enr54cXB6 + erK8vDw+Pk5EzVabmdNKGkJgdGMJSVofHBoF77RbzfPzEz89BZ354PI8EKpDjfSV669eujSaomzS + 2aQRrB0erS0uzVafbWgYqyNNGsx5VkjaIAWr+j72UGWcMYhjpTWBlYA5eM/M0IC58KMJHDSJF3FB + oDC3MP/+B+8PDsUs0BqkLSRAeGioMThYhxJtlTbKB0Ajz7PHj582z9tRNFBJUzD3uhI4NyHzAipy + H4K11nvf6vWOj46987iYvgGQchZ/ceeVLXhkbZomaSVev3b98vXrRscerEVFBAirOJmfX2wMj3vZ + 3Htx2u11Ijjxne3N5/c+f+xyPTW9sH79elxTDGilLjBjVKmY2bGhtbnG88e5y863Dl6MTU2N1Kqm + NrK0vDY1efrDe/d6p4dF+8RXosDNw7OTT55sm6G5W8vjlaj62Uefne49zXvtPIgLsKI2NnY//uRL + E81Mz80uLg/FWtDLO+327vZB3pWp+Znh4boyOD0txDcHQoGo6Klux1gSValUGvUBQB2fnu8fH2d+ + sWKD0V6TB6gxOvkz3/z7127cTmrDUFYEQUAaUOV4VytogdJQcmE7a6q10ZnFxthM58mTx9/9s+Hp + lQnKpt95izRsnyeuggKAGKAoiqNYK6u0JGmijf6JNScjBAiRNjAW1kLrEBghQANBYlGpiY22M3ML + 7339G42xRoAo9iJstFBip8YGJ8cGKrE+PTl58eJE61KHIcDlIXAcVWaHh9cuX11dXSnDVll+gAMs + 1q+sTn+2x/dO9l6cHp+1fir2CEQJk0if1EQG2pDSipzLvHdxmsQVaBj0st2NZxtPn5BCUk1tErXa + 7YoKhVY51doBqtWt1kd0OtTsuIf3H1ze307sSNRo1IfH643G/c2dTz/87rfnq8m71wYXBmw1ZYLS + MD8mFgl+uuJ5WV7IS1wwXQg8XEwDIJqgiQyUmZicffXV15PBEQMVCq+NgQ2o0Ozc2MTUJJ4cMlLA + WADIz0+OHnx5r3naHJhZvPbqtYEx7QENq5FoWLCCigZqyfqlqZlRdbDd3N3ZPTlzUBZI6pMza+tX + v/PxZr6/s/3wi6uTEeq1QuHB1outkzAytfjeO++cnOzf/+xH+3tbO4eHkxPzFa2yk86XP/ri+Kg5 + NLM+e2m1MVq3ClFkz49Odp48L9poNIZGpiebeU+ddyhqB9+NQ6JznaT1SqUGlr3t7YPDYyiLKJma + Gn33ztXvfv8vXpwcfvqjL9ZXb/lxxMYBncOz07/69OFZkV6ZXLxy+fJk3Wjf7madg6MXh8cnqyuv + pnHdBzQ7UAKj4HxPUd7tuOGhscGBxs7e7sHJ8XG3PR6Noci4fZY3m8K0cu2Vf+/f/4+WL41UQApS + +neoUtOLAOj+KZGUUVIE2pAShP56BQDgPHyBWEq3IiiAFEgbG0fWWoK+wFWEELQAZIQ0SINw6/br + r9+ZURogq1VgBEVUTezs+NDzRlxYEg5BSk0v7Gw9//LzzzQwNDDQqFfzHNIFO2HXrEkXpOO0GqWV + Ivjj09OzZhMAJFDwEPYsA6Pj77z/wSu3bs3MDZajKCXQShS46J4/vP/5i/09o6NLl9Yrtcb52Xlw + 3ujI6og9a0L9YuS6u7uVFz2tYBFrcJnlEV7GhQLiQRTbijGx5C5KqtUahIFQ1GrVa9df+eiHf3nv + 46f/9Lf/SScktcROTEzqxLIBEXIBe6RRaXAK9p4UoJUrMq0o0jEgYC8+kLVQmoPPuhmL09b4gG63 + E9iJSAjc6+UCpQ28B0okXSj52VBxDCjAIQQFVWqzzc4tvPXOBwvLa/VGucXrS7sxc6fZPNje7J2f + GDU9Oj5OxrQzF9qZ0kE0BzjSSZJWlULz/OjkeM/7HvvI5d128zRrNxVhfuny0MRiOS22BGMAQTQ2 + tLq2Pj4xXUm2C9ahYC4gqQeCC3mn1wnMigypvpDgTzjQsAIrKhVgyGhNAIuwD0rHiqhErSil4ih2 + 6Ai8FqYQQhBR0Rvvvv/OBx8sXlKtNnoF6hFYvBFnld9+/Pnmk4c2ikYmpgeGRk6b3SSJReUutIwN + ETvOMzEaSh1sbrVPWxZweQbuBBHoJKkPVmaWVq9cGx+HlPL0AnCo1+LBgSitYmRpZWXt5txUnJQr + D5dbCjZO5mdm0rTS7LWf7WyfdrtQAKN5fn6yv987y6YWqnPT4871zs6p7lpGcyvPz8FUGRyo18dH + hw+2tzvt1vHxyWAyCE0I7IJUqgNL86urq2uTUwkIZACIsAcRrIE1k5PjYyPDj+6fhoI19UV2wAxf + hJCzBKGyFS6H5yXSEYBV6O8RVSnFLxpQlKbEQGBDHKsQGQSWjJUHvEAKQHvk+cl5+/nuC4qGJmcX + RsYmKiksoAGlEERrKFSSqdGhSwsz93bPD5pnL85O2t3u5FBdC3EhWSvXEq1dvvbv/OIvpfUGKyqp + UQqo2H5q8TkiC6PAHgQhCkBxdPB8c+NJUfjG4MT42HSvl4ey9lNSsmuqtRoZc95qHR4etVqtl8hI + FgdogSGiIofzALe1tEPR7TnKgrScHJ21fWDSGiHrNk9ODndOjg/qg2vzly5HtaGgBEHDKAkIgUmp + iPoeBlAEa1nEB1bavHrr9uX1K728sImOjC6Tfr2aXlqaH27UNg6l1+u5UI4UCKT756UYpGBjeAdj + aqPVmenZ4cHBj7+8//1/+Z2xyan3vvFzI1NTkUESgQAJgFaABhNnWa/X885HJo504nJwDPTnLyLg + PMtZBFp5llLbQZcQBubIRlrbam3w7ttfWV29rM3FJSMFosFG/crS7MLE8L3Pnz3f3esxiTUMeA6h + 8JK50cHxS8srr995I6rwRVtFEEbenZscvXxpBZV7Z61s//C4CNJfJ1vSCOKdhKBEERAQozI0Olm5 + /er65rOdDz/bePpsZ+VFGB2FeM5bB/u7mxvb2z2J7t55e2p6phqj71FF0cstcfBeNAGqVJYXQFsT + AmVeHItSiA2UhxJIyM7Pjra2tx8/27I2npiYvXTpMhQ4sAsMA0VEGiMjQ7PTk/NT40877W6rfXB4 + Wm2MxZXEGgjDMywhBBScm0gpiFKqUa2mUVyvR3e/8pVX7twZmwEEyISdp8QokLiieXzcPTtVjKmp + 8cGRwVYHKuSWOtqYbi9nUT5ItT5Yqw8+evykeX7a7ZxXEx1Hph/9wRK4yDKSYEgU2BedVuusUkvS + ulVxYis1peFd0To7a56d57kbHZ8cmZhQCcRABOJdZIiUEYXCwzOsASkoQ0Tgwjdq9a998PXLq6uJ + RfCANiAFKYar8eTYSJykbGKGAvVJhAFQFAEO4gEP8URRf/kbHIKD0gAVgUInK5wTEW1t1m27rE3g + woeuY6/6OFBmGIhS/TKTSQG6HG1Za5XSIeQsohQiAw3VaZ1tbT7Oeufp0NDY2LDWqtPNQmhb7RP2 + sStiUkmaKEVnzdPT0xOlFSlU0nh5bXVuapz48ycP71/e3Gid3xivwih2zt17tLG137RpY/3qtbnZ + BgVA+dA+2t184p3RUVWZtNMtYvZQxinJ2Ia8m9hooFbxzm1sPn+ysSU6hpay9SxBwlqYcPGYoN8Y + BgIp1omGAB0uxQAAIABJREFUALk72z/Yfb63t3tmbGN4ZHp0bDJNY20Uw7N4FhKoxtDA/NzU6uLc + g/v3e+1Oq9XrFhhMFEh5nxdFAWMgKJxnBkrGBAlKNhZRv7nqQ4qk1DUAxLm8cF3vgQBlHCDOQyGK + bAWokI68F5/lkve0BFUGkJKCVf5JGqqfosobY2FhfnHy3/y3fvHfhYoADS9ZkHbuHj/d+IM//IPf + +PVf39t89Fff1yPD47X6SGNgWKcIAYCQIio/1cvVNxGgoA0qlfnlpavr5+aHz3pH2webT8KtWVQM + VIKi/fSgdX9jJ66tzi7MLiyh5F1CEIockRiKVR9ApXChYwFVbs0BqBIPdsEKYPF53js7Od47b54z + zMT0wsT0/MDQUPAcpGwzBUQURbNTM2Oj4yR0sHewv7vf6XaiOmuNyEhsMTU9+c777y+srtUHUoiH + N0KiTGm5c8EeuOin+qMoBokWaE86rdPdd9+8duNSJQU8CClZQLhe1VMTI5VK2tMRE6my94SHjYyt + ikQhKA5kdJ/TpRU4iPdEZBkI4pSS/g/2IcDAVKDTKEoraWpICB4IkKCIKlGlUhtbXlhZX1uPqxZ8 + 4d6jidJkYmS4Ua9tN7seXgjCgZw7O2s+fPAk7/m5xtBgY0AE5114ztLQijgzUgiiWnXIUJS1emeH + J5qDhQHnIo650BbvffDBO+99MDxIMSCeizxLkkRrpZUhUn3ckDBINOmfxi3/3yCZ/+71r/v1rzq6 + pXLnfIGDBMxPv+eP12SKIYyIFEURkPd8OMtdoQFtlATL0AESgAglnlNpM1gbWJ6ayV/ssStenB9R + Yzywz1ttW/hHm8f/6Fd/uTb8+4NxzXhErILJcnQoCt3z6On951IMuHbmfO6US4yDP23HLVVVxkaR + r6giMiGBCMQosRBikEIxMl3/4BtvHf/xR62DrSef/s3ozfl6LT842N5v+ma0PHvlvdGz0yR8vPHp + 1s7Wxv2d05WlufbRzvPHj86P9idW777y5uumrpmC4sL12t653Mvn95/86n/3m3/8z74VfA8Eo7VS + Sji4wp2fnx0c7ANwLne+UAoAh+Cdc48fP242Wx99/LEAIXC5DInQsdw5P9q+f0To6Mh3OT8D6jBd + sUbMYCFThXcaOgEigLQYFvEZURFijmo2jkwkhNyBFTTFaeoMfJk2CJZAYJR7WDGioSloQIMUDMIF + Ox4gAlkD+KBMIBtgAgiK+zK0CBAPCWBfq6WNwSoSIuOYinKk4T1n3SIU2Nrd/W/+4X8dj/xBLdFa + cs05A6S0D3ze7uzt7FZr9azTyVptzjlJ+rAW6gdo6Q8kSeA8FIHICTtlchtHQIQQi9MMBA9rBkcn + TTLcC5VORnnWZhwYX/her9dSgevaDkSViif0WHLnatZoKHgCqcGIZup+gI5azYMHW/vz1+8umqrx + 7ZXF1bXFoz/i+5v37j+9N3pt6npwL140D+8dnKzfunbllfXFmi02PvlsZ/P+vY9r0zPD6dDZ/tnz + nVarG1aur0/PTkKBKATfy7o5+bh7pg6aD/+r//K/sOND9cADvayRF07bjo06UayEuqdnxzt7IUjm + uRMQFAE+oiwxTMqwrkf1KZsMgyol0s1SP4cJfInTUND9qSCgKMBWouGFv/dv/4fNyp//zh9/54ff + +q3tv/rT35ucfv/9r9+88/bKlStxFSnBABbehcCBmCKG72VZCLlC3PcGBkMb2ApFeQHpiffCxmgL + BScQXeUoFutdyMQ7Y7xFYclwbImBAsHFiRpuJGNDtWaWd0+avgtOABVglBeTh9SqOiHRjL7KUlkk + 6IBEp4N1k6YI1O65Xu68IOpbrqqSLqwiFcgHmOAKraACu6IbpSqqmG6RRaFW04zgjMu46GV557d+ + 57c//MF3KghxcF5VKR7qBoPukc5P9p99EVQ1MqoqTcWAMss37/7Sf2Cz3/n2g51Pfusff/m9Pxy4 + ubrw2hvv3rzz1anFhVqtnLI4JiFo6u+w+sHxZZFRojjLT9xHOAqBFZxnVkrFxqTaVshWBNpCUu0i + 3wa3EWUmcSo20DUnNQ7aABEKyXp7m7t5jwfiSKdK0vLQtUFNgygwQrBSjNTRSLvi2js7+4cnISdE + pOpzk6/efnXqj77rNna//N733n11vphWR3nxycbOXhZfe/Xu7Veu7T3upuAnT+9/ubFx+9X50EF3 + q7Xx2UYUDU6uXK5OjugKBI5Dx7d64dRrxj/9X37vOx8/DoZj2410h11P+YRC3OrKg90jFA6uKITF + WNJ2aKh6c2F0pSZ7m3t/8xff/dpXf8GvDQIFTo6eHhzeP2w3Zu+sXrs9OzQ6pgCfnbksQzjv4ONP + vtj+h7/y2//kd0un8ojyVHsV2q5dHO0cnuwdh1i5yPbSCCTQPESupsiYxOmGpwQBEXINDcSBURQc + RUqbMitxkOC81ybSFDFRyRl9WdJBPBQQGVBR1pHMDFLQUa+XFVnXABbwAS70rAGgUHCgWHQCZD7A + B7AGGAwibRRCpELN5BU+C8EosGc45lgZRVpC6LVaf/Htb99/+DgaGApxUvXtqmvWQjso6ok8291t + 51mn1yuKvLzntLBwEG1CbEMUi+3vWTXBsJB4UK58K2sd+zzf3z3+x7/+P//e7/9RUomC9yRWI9Ig + gsu7rc8fPGrmZIfHirzNHiaChtIMuH4XAuVF52UEUpIoSgBbBPGh9Cn2Q8PD73z1Gw+fPH1+/M8f + P7z3m7/2j/7iT75185VXX3vt9bWbt8YXpkRDxcgDBIgIRimDAHBslZCAC4ggBGJ2hWwdHH766Sef + fPzD/b1tBp81T89aZ2fN7PGT7drAuLFR2VuULG9Bad/kAwcloRyEgIMEJQxAK1u1lRFEdVbgAHMx + XTIqjoV0lkeueLL17Ff+21/97d/9drWixHe0DqxswSRkz4/bu7ubhiJfzIhvGlUhzg/3dtrNc2Mx + OnkpGVgsR2ymZARRRso7UlmhvK9EcWREx4IYAEQ0wRqGJkTapCKK+yBwgAHFmsSQaECJKBYK0IaU + 0ghEArAopXTfaw8GMEFVVGx07FSUGZNrcQaqAk0QwFJspAPXivITyVtZnn/r23/64aefDA/WtRaC + FymMZgXPIdvceHp6XkBb3WqGNqoR4F0eJNeDHTurzKBXutzcW8B6wGeQnLWDRSAbpNJvARXiiCCE + YGPEShkximPtLPU0YkGRF9zpRQUefvzh3qH75//r7w6okHJGCD2iHpFElb2D5sbWUdHLSEkQBUSl + PkSgOIj1jkhsWS+AMsAzRLTp4zS1jm1EcRIzOEcgWDLQGiwMx+RtZIJwN0OlAqXKokkLNP9EJAc0 + xIIikC5V8SLyCfcoh1FEJvZlxDAAVC9zJ638PAuhFkVpLUriSPVHt6VAR9n3GsWV1JBBK+udZJ1u + URCDGJbjiNOUakZVGBHbCFqFsg28+FICmIvdoTVMpKUH7vn8rMi7nulb/+zPv7z/xBhYK0rpEIII + CpKM/ZOdLRdCL+9lecd7F6sA3YuV8T7aPTh/8ODxRx99srO9VxSH7fZmr/v8uBt/9CDvYJEqDYaB + C6AQes2seeiKZhppOziMuuYYggZJTmSsZoEq9U3Y9cAZiKPIKhN5VnlQoqKkkpTTjfIBjLXUUqvY + IyCNrSI4oMeIYUkZVgBIsfjMGVWKauPN199stjvnv/Zrz558/pv//S//wR/+/vort964c/fmjdem + J8eqlTIZR4AFGWilSGvW1hvNEIA1SDFBDJQiQ8Z4q73TTFpYFX2umvGeQ1CE2JqKtUbbl6ldgxWC + q0s2N5gMN6qnXh0W/jR3Uc2SkljrQZuYzLte4ZUSTRFIee4zGSI1Uo0blRRF6PRcu+eKPsjCg4JW + XiMoz8qTADl0hIZEZzevLzz6yHz44emHH90fudy7em0wVlScPvnyk78+bjYr05ff/sYvjIxPljeJ + METiEjNj4EmzJgPWYO1BQSEoEhNJVGFtyzljrEBwkA5xL8uLZobp0am0NoIAyfNgtIljVprBIh7C + qaWpweqeFN1ma2//ZHzBjmgEKW9Y7QJUhEhHCh4olwtMXpTSSb2GSpxpVAQ2Vops8F4ba4Wk0+V2 + 23XwL//82x89PPjN/3HQSjtCV6lIVMVL5Dw/39w8ODhgRpF3XXYWmSqUhzAc7+wePnq0+aMffXS4 + v99unvui02sfnhxuffpo09VXB0id9rLM1apA0WlnvVxg6sPjlcHBQkM0IoVIWCP0N5UBAXAKGnDI + XZ6ZgEgZo21gOCA1BE4gHYS8YVw9jbwgp4RsXIYMAjwgiCMCpIBkEK0pVtCmrNXzbtHJvni298MH + zz766Ee+6Lms22k3T0+P9/d3Xd4RrZyJc42ifPwNFHv4DCKkEtIRxIA0SPsQREQbLZASnEsQCbkv + zkm6jx9++Wu/8sv/0+/+b0lqPRdGcxKyyHciDrsnfv9gV6KhouiIFCHEQECtsnJp5crqxl/uvTje + fHS0+2x2fiw27qSb/+DTZ08OUB+ev7S8sjiBqgLyFronvfNu3ov/4nsf/qf/2X/e0N0atYIoSuoF + xb7b1NlZa3+TXdDGik4LNmALRKSsBil2JhSaAyDwIWgWUgwIvFAegTUI7GIfXCecn8r0wkJ9YEYQ + BwbEEQXSLgTkTuIoTWMaqZqUs6LTOT3vjbuIoZWnJK7UqpUyW2kt0IB4FAGlPBa0iOK+Oksp5t+D + FhMpYyCc571m+7QtqY2MgxiYpBASZ2ITtzPa2z10nU6VqzViQ32/b82BOIAUKILVJchYBRgNsUZ0 + PUBUmdDJJJFSxl5anP37P/v+VEP/xm/8D1u723/2nb98692fv8LIc1hFylD/nQOXiIE+PLWE0okM + zM2urzfHIx82P9/9NOq9d43rIwh280X3s4Nir0u337i5urTQtxJkdq4XWRWTUqWzLliCJbEIEAHb + ILoHaIYRsRERMVB43zs3iRg0T0+3O70OTK02NGMrg76E9Jd9GudEATCVqJboRAo5PTw+Pz8HQUiU + ClZ5+A6Z6sD4sB6oiUbIjKZGFEW+jN8KXtiUVIuX4ykPeLBTBeuMIq8JkWd17riRKBBHYECaFdsd + qGsBOxhlI+iSulGAuWDl2GpVs6ouDpYQa4jPJiemZuZWfnjv4dbe/tOtZ0mjFmsoSC/rPn6+89G9 + 7VZmk3RoeKBhxENyUAErmhR5bUJsEBltcUHbgRcoD2MqOoqtgvGwjhWyIku9MJsQovPT7ve/94Mn + /8l/3KsPpdyMuZlyK2KOPGdnZ09O5eygN7MqkXNRKGJIQM7IJSJbIa+Uk/IZgSaVJjERQ5ioP6wj + Ih+8wCuV9Bc8/WktU1nV4CKd/W1C6N+9/nW8/tVRt0wIgEfpnggjPylx9xPHSwxxIINSiMex6kHn + CrnzeadtBTHBXqBIPAJDIq0Ho0oNBiF0im5POc+uaLds4RVQsOuqkLIPPW/EhJiDJucktpV3Xn+n + QmOzl4drtUowwaEQk3XTjos8SFufWp8qb+EZOlKlZAJpUKiPxjduXvrT735+drz/8OMf3JwnprDx + 9OFRl/zgtcnLb1dbz5KTx3/Gp3t7W59tHo0szO0fnDx7+ICz9uTU+Mq1q7oGJlZSEOciEmDyoPOA + 3LOwEIRFFBGJkMLY2OjIyPDKyvL6+trI8JDq69GRVuS9z4o8LwrSmllKKFBgeNjq4Nza6MiMHrp1 + dWVsOLG2gGoXEhwN5DLl/AkxWXZaGwuvlQAByrvIs2WlYIUMQ5cR3OqXkhmGoCUAZfKwEBdEwIWS + kpunSvahVf3hk5MQCedMToyoGEoF8egzlD0QShKUNdpYAnKhDOTIAOAQOM+CBKPB4gO7whvtuVDk + ASWBRVBJ0zfvvDE8PLJ86dJQvWFAdIG1vbApk/6eTVASgYTEieREPWVSqBoKw73SpwVGJ7WG6JoU + aavLRd6lcKyDD3lRZLFCQ5m6aDhAAKtMgNECiEXIEs4aOG2oTkZ5O6hzVcsIqUrnpucXZ3drNj7Y + eLb5sEFfnW6dP9s+3t3vFpfHV5auXH0lzZ4PhvtPDh98+cn4jTvzY42traP9F5lQurp+dXp2SikQ + WMSFIhQ9kqIWV71wN0gunIBTKYa84swXGXesNvVqZWRldWF17cqNm42JSUQW8IrbwXVIGYrrbBpM + dQAQpYg1PPctaoVKNM+PYZ8B7GASDMxce+tnMxqIiJ49ffZ87/H3vvzo/Pz0i+cHCzf21l9948Z8 + bbYB+Jy8d44dmz6BVLxCrABdnrUyQOxY5UChhBU0KQKBFVinzkSsFSmvpCeSaWSERJMVDQ4IOVSo + RFyNle0FyZzvgQNgAiLNKnZStVSXYOFg4n5TytxTcIhgagnFMUR3er7EDwEkjJJKKEAgz+QJxkDD + exVYUwA5IRZNXJLWg0PWZte1sXbku0WuieCZqdDSUWwYGvHo5Orbc2n1tTt31mYGDABRjdn1974+ + 1BH74UefP3n09Onje/tbm4+fnzx8dvTKq3dffe3q2FhSqwEXzkIhQBl9wa2FXPhWXYTMPjkMQoAC + WVAkZIUiURFTHAANrqiCQgvUgWmL6bEGVBowQGItguWu9Hrd8w6CsVEkETuLAEQCEyKSEmtUWCoq + upeqUy0956Rw1QJgZe1oY25hbm5oeP/B6dann56fvH+S0c65f3p03jLDq9dfX12erDc/n25gf2/z + 3vPNTgE57DSfnZ49Pxu+enVm/aodAhQYPfIZd3NqSxVVwLbYMSQUBSQzXI5X4qiSrl+eWE+ra9ev + jk1Pd6AjMnHNXpqo3poaePSo92Rjc3f74OxENSZld3/73tbuTocnF64vrd8cjrXxDJd518spBAWr + Ygm28F50EVQevARHxicRormZgaWJJTVSG19a5MEBDzEqNEIvDh5ic1VzSJSQYQflIaTFkOQECxEX + HGkqBWI8S1BBk/3xpr+PvAjQ8uO/U6lMQhDlvUjwBlACQ56J+9q4nhwiJgvy5RSj7wUhqkSTRCoM + WFdFsxdSTX0HcEAFH1xWwHnDwcCB2+x7Pngh66WWB+eNTMzPDy9enZq/try8DAbYQTi2Fto6ozmO + gyF+CUxnBhfQuZWu5p4WAZtuN6S1QDEHATFp0UYpjWDi+MYr1zhtDM0sj40OGHUBc/AvIbeOqevR + ixAgRCECW8AGKJbS3aNAmgzOLLz91W8WVPv4088fPnr2+Q9/8OL55tajRyuPnq698fb89deWRlUp + 5kMEU8LQWFTp6Rw8APQ6p2etH3z25YdfPnxw//7h/r7r9eLUnLWPW92TTs93e91ag7S2pEw5ABLg + Yt8cggTVx7sQREgMQUEUVCK2zjoGQBqqFMAQICjJXGg14yCxBrTqCZMr4LsRmMUUnDiOKzV79+07 + A6ldvblWr1CsPKPIu00EsVFqklGnhx2QAhF1FIThlGKnVMHWhwpYxxQlDIQMKgQlrFQgDdE+kIgS + UEAAqz4HJjj2BQmIAzj0OSOkwCKBRYQA5hDgNchCIVDkNcQ4HeXGFoYLgKKXmpMaIgjdOD9F0XbB + FaS9RqGchtMsSsR5Zgqi1fjKytjqCEUT12dmKiIJeWjH2nZp4JzYUCNoU7a3RoBQwk+KoHLWEB0x + VV+qayjF8IA3mi2goOENckMZQRkKHFTmEodARRQ6cB1nFESYpQB5pQAeHR4cGRlNouj6jSuVgUHo + UlEhZp0wrCuIRGsqBSd6AEETyAIC8SBYpSvKpqJNf7emIQwl2nAJoiyh+KSg0BVEL5sceSniBAOJ + AQtoJigEDZdIVmI3GboE2WgFsOSeO066DiKKtY3ixKq+AVSJqiYGSCIlRrFoLjR12GUusINm2BAZ + F5mQaErJpk7ZEr5TTkINLobK9GPoTIDWxEBO3GZfgEweol7BKZikIDHsoSnSsTHGzi4sL8yvrC6u + XFpdMroAdRCOey36/t88/dGnuw8fPtrd3sq7nbjimu3Nbr577gfO8wrSpFCxkIEQwKHbLNqHJAUs + +TjJLQpCIbUYWkQghYZQXzTfAwxhERFSMHFQsShLChBFZX1HQcNbYg2voYxSZfXnFXKJVL+zZCWA + Mijp2RLmZubf/8q7J2cvPvnikyfP97749K/29rdfbG8//Oz+zRtvvPHqtbmxurYKhZAyZCyRJq+s + t4mCUvCq3D8HA2Vt7Fja4h10zihyiWIjUMLiPANGUZJnwTuvLgC9Um5Z2FnfGY1CNbHB6qOCT4ti + hGysyRJZJxWQIR2UcmACWUXKM8RDcdVIrBWCuKC8EJe7axJQ0OQMCQVRnjzQARiNapxdXhm/Pq8H + 4vDw0e7kY/cLHVS17xzc/+TDP+95Pz69Nrv2WnWgYdFX53D9VlA0CkVQMAKQikhbGBUUea29igqB + DwBA5IAuuF10T3tZnksEOwSVcsGKRJFmpX0fSS3EzsLVtNQQDJPzOpPEAUTQCKKIoUTBXGgOIRAV + rDwISoxxkS4IPcBqgdKaJRLYIKbwtnCGwSH30mNKA+eeM/bsRTvRgWlqdnl24ZKlbGVlYaCqSecQ + aR2++MFffXzvwfNHT/Y3NneKPOMQXN4Ud1J0D1rdTCquUNopA4JmyVvtvJuxGCYbjAkRSMMCRhjB + E1grZQhBlV4gWSCnCYZJMSGAGb6kDCoLD4jTyGItAtVD5ISAYGEE8EABKFhDAskhWiHRSDVYWqe7 + n3/0p9//8MFB+/5Ba3d3O9WBXKfTOs17rW7zVDhnokLFuUIBWJTrxgDJwAIVC8GLMX17OWGRvrd8 + 2aRzYN/z7lwBRkMp9t5nuXZBWxspCRSUhLzeqL/77l1TGX3ltWtpohUJKUFkFhcXrq6u/PXm3xxv + Ptx68uXVqdtBit2T8y83js/DyNzK+uz07HgdCQf4lsnPuAcReEqcWOepYPbCRN4pQ4zYmMbU+PDE + 9Mrr76ysXYPVoBiIfFCKJWIfsVMc+kpVZSIHGIHhFbxmQZ5HzitngquCxpRqMFvAg1iQAwVpDaig + PEkeSZaEHpxrt10vWFZWhUihVFFy4AxwTAGKXvadgApkyqmH9MMsQ0sUm2otSTOjKfisZUJNBxcY + bMkRRKexxD1vel1Pha8jjKQmMSoAEDZBEASKRJmuBilEAuMLeO+tCSZhiPFiA0p9Q0MYHqhU1xfG + kuw73/qdJ89ePH68fdJCERDp/octr0xfBkFQKjgIgZSBsfH46Pz85Op4fe/F1tF9fbK91RqpQUc/ + evzik/28reorV24szc0S4ADWjoQNEQWAPIiZQonogitVi9ijKzBACooEIM9w3kgAOcXn56fbWdYT + U9HJMGytdHgkiMD3gcysElOp2EpiInhm7wXCxAreUEHcFcQcGR9BNFQBICYpky8zJBAbUOhLz5Eu + s3IAwQZEuYoLJZ56gc5YGv3yGwB8rNqxLaDYiXYingtBGyig4WGdN0CquCIOSmDgSdzU9NTswqrH + t3/4yaf+D2vPdjZGk7ruuYPt4z/73g93jvLppZsLi2sjA4OxLTQ5IAd7CUEFYyW1FP8Y3Srob21A + qTLWAMqxKUSDgwTPef5/sPdesZZk2ZXY2vuYiLj+Pm/yvfSuKstldVVPezZN003PkBxCH5wZCUNA + EASJX4IAjfQhC8yHBEgQZP5mAGn+hhqSTXU3m2y2YbNddVdVV1VXupf+pXneXxNxzNbHufdlVg8h + CRKBmQ8GEpl46d6NiHPO3nvttdcSX2mSOpOuyp7PyaEv/khk4IP2XmXFzHK9O7ncvvDS1VPTsw0l + RgbMjtg78iUkEAc2Y5p0+pYRJCAVqhgicwICKfhYMRU0Bu9oxGZWzwDbnwX2/ub613P9/4dux/tj + NA/3jFM2/tNnf0v86ICDj8KKbeEEvX7/YH9PCexxm1qCpzJEF5z3pUcgAoFJOIbohv0egp+f7X7u + 17949uqnzkzM0v6gISrmCJn04lCXrYlsMQtd1RrOznVI+YhoYMTABUdBjCgDjUBIdqppGIw0wLVW + ffnkQrtZrD/Zufbuj48+fW5g+fqN6/1SmtMnF09fmhlq9Xi2XcPG+uObd25//BOvrT5Zu3nzjmI7 + N79w8uRSnqf7FcWKmFjx/OLCL/7Sp7/46z9PUhJBMYtERaQVM4l3fjgcvPzyS/Pz80mVRmtlM9tt + NF+7+vrv/M7fL+p1ECulQwgUqlwhUzTQrR1n2ksXzy8VeXYU3WDonBftUY9yJBEUhjA61WnECoBD + cNGLBAUxSfkmQZ4AjuM3xiakYIB9jN47CCTGGGLwoAjDYCAEqaoykCt98BFKZ8TKBUd8rFcliBEx + +Vt6uGGMFVFMw6EhxOAjw8zPTf7ab/zGyRffnJnphFgqFZmUr7x3PkZkWV6vN9rd7slTp40l79L9 + pI86VjJNd5CE3GIMkCrGUoBRxBJEScUWKRWE4dDrO1dVFCuKLnoXIZmpM5vKwStYTVk6ydKids6X + ZSiHmYKuNVqdyZgccXXRnplfXJiZaJndtZXVe/mg/+kna1urT7cQdXP6xPLpM0tq48R0nit388YH + px8/3l9euHPv8dbuIK+1Ll26sHRi2qT1LizC/d7QmvzsxRO/9g+/sPDSdCs0J/1ku9/qSbkZ9ntZ + VS9qukQeVSyKfH4un5/TJEAMbtDvHRCzzRulIx/GVFsEQklI9YMaHcXHr1y8SAApylszpxq/OjPz + y59+81tf/9pXvvm9P/nWD9566wd/9tY1THzt7/+7v5f/2qcWX5pH8NH7qvKVlyhQSimWMQs7AIAy + EqlfuiACLUon0ZzkfQ4VhQVGazANnXPj0Rok4bQYIEEkEILVnHEKOBFaoLUoGzkXzoOXUEqWkQYU + ww8GVlcgImNIaQjK0nnvEwARYqycD4Ig0buKIBaoGY2hIyDLrPNl5cu8lmsNOIHEajjwrmq3O5/8 + uc/+yqc+M99s1WIMooUMVEaknY/OR63p3NmTM7OTiuCDZM2Jc52p37t06f6dO9/73o9////447ff + /vDfpW05AAAgAElEQVRrf/r1b3z7rTfefOd3f/d3Pv2Z11qNKUB8DM670sWM6jrJd8pYUJGhIJKk + 9YSPnw2sUUrHSD6SDxTiaJ8SUQIAEEqPgY8eokCFIsuIsRz6csgEY4wyKlJ00QUYRaBU3GuCgiIR + X8E7RdxsdGze9ICCVrWi3WkuzU0d3lxbu397e2M938werbvtw0rVJs5dvjI/y2q6dWa5e29v8+6D + +/uHcffh2pMHG8PD6uyJ5fMvXDS1tNAC0kCol7ptfObzP//mb/8mFyqr9vJqr5nlpNtCLVG1wCYq + k7dqk3ON1EW3VqPbfuXiubfvqZWf9B7fv/PkcTx5Ir/94PG12/dRxdmTZ86evWCSPXQMzjkXY1FX + Fy5e/swv/b2rn7hiG+JVnwbwB1UhecvahlZUlvvady6czKcmIkowTPRSVcGLEx2hOOkexgDyxGw1 + M0sIfjgc2Dwz2pA2A+eCc0VujuMcHU8UH7+58ckTReC9ImiSdCIxU6asxEBREOEiRyiMN8xxxIwx + MosiqeXaqkDimKA0FDO8lKUrh6Vmfv3VV375t/7uxNKcFCbzmLL1rIpHrjdkV7IL1OjOnOlOL8cI + OAdIvVYnpQIY2oztJjxGeKoHecUht8oaM9Gd/NQnP/v633r19IUlIVKSKxSGWFPJMihd6bNm1p05 + deG01agcDD8PFXmPysNbCCKC5xgJpEAUBd6FjD2UQcTHP/fzr37sE3fv3P3DP/yjP/nKV+7eunHz + vXfl69+8+oXf+OK/8x+c+MILhkakV1CEeERAmwhSMgB8PNxYub7yP/z3/+O33r6mtX7j1dcuX7wy + vzjpMeiVe9s7R9/6ix+LQGutlCZCHJ+NgEQJhEhsIQlBsopNkjSOoqJoYfBoaHEI0YD2lR/2+r29 + fRVx+uSJz//Gby2e/9h015I/qGUketJJswy5jYOaqpo5NaZas9NdDSohRGSMtlnNeRpWcEAbyFCO + pgoRgwigYzC+ilZbRRj0e3ktBHAQElD0cdAfShQCnCtFVNKmd86VZSURMcToQ+qigxhxZO8cYqhc + VZbDWsYmzYZXIQSAdVAqsHjAHk94p7xAQfky+jJKfO2NN37h85948fJpo7wKwpH8sCxRqoIdBcS2 + MVOnTr7UbQA+QImyeRl1LxZNGLAatTK8R4xQDIo+SbCyBmfPRfHEcuQxcyYGkkDikUIXcRQlOH9q + +eov/OIbn/58q16nGEMULxygyFhSirRSoKWZ6ZnZuSRdCp1B2Qh2LtCoT5bYBlqRJsWQAFcFCAGa + OVPaaiiNWAqCY0PaamtNiE4pLgqolE9BJa1IeS4XARQoTZ5RADiGNI6dW0BiCCERkBlACEEQQF4Q + nHc+ZNYqBvlIHCMQRIwIsVJaheCFhK0OFH3wwcMIWJR4Ek8EZWxRjSNGCu/PlevjFOm4VmUwApEo + ZV599Y0v/vrPLS20rSqjZ466yJrUyAdKtspDNygvnT23NDufZQK4cLT14MHe//w//S9f/4tbrnQv + vXjx8oWzZy/MluGUx26fp//gz+8+3jbDEEAMpSHsykE5ONIMIVQxVBHVaLjVxliGUCkFCEtEZjSC + BlA5FwUqy5WxYJXkARAl+kopSfIfTFBMqUvBgAYi6SBeE3sJHLnI8pHMfgRl+dkLF/7j/+w/vfHh + O1/7xl/8iz/48u37j7765T/+/aM/+txnfiX+o3/Y/OwnJrsNDEpio4wVIQlBidTzxM0PAV7gGcS2 + GFZuf9AT5sq7siwnKCOhylUhBKW0YrO3e9Dv94GJGLwwkWZKZLfgdAxKMVl7WFV9VxHqSjFJdP1+ + bWKilhVC7CAGsKwQKgQHm75/gECT1nqkYwUFUEz265AYg7i0a4m6tp4vzp5enp6Zbt1ee3Lv/sOd + neVOrb/25PFbb/0I6tzkwql6Z9JamPG0royrehrpbQgY0JkylrUWpijkopQuVhUHLdAOHBD94cFB + VQ4MK4nRuTBwUq/VlFVhlBmmGZIQY/AuaJPVa/VWo6lTgFVpQQqNqhGBxBES44MEEUHpnYNEoBR4 + CYq0UYoBCmKILHNucOXKpdd+8Tc//9lPUrXP1aELplfaKjZY2XqtMIoRDq5cnJucq4MqVOXtlWv/ + 3X/7T35641Hp8lNnLp4/f25hfl5Cr9uIsdzY+cMvb7GKTLbIrQaXUvb71bCMEYe9/qAqWT9X1oYA + xGQOSpwYK15YrLUMDi64yoXwbPcxKPljI0Zi5YTKskKMagzeeGA0upio9QgEIPr1J4+/9pUv/+N/ + 8r9Ks9s++/Krr7403621Mojvl4PDB/duPf7m9yMksEoI8mj6MWnbjQ50xFHrKXXfIBIJkQiKEGP0 + 3rmqZMbp07Of/83fWDj/ZrMzXQXKs7zJ/TwesD+qqB51W9cmOpPNTqtmlKSO1uyJk5cuXap968fb + D27f/OlPPv/m5d3h4P6TtUeb+7o+d/nF1+amp5oGqPqIlUQRwGjz0ksv/3u/+29PZ8MWDr3AceZ1 + UbDLyl1b7vainjj3evPkOWshUCTsXJAYDYkmIYkiQjr5N4zyDpX6aN5jWElkrWymbax8VfoQIawF + IUIA0aC60i6W1bBXDociBOKy9ClAwWQhSFVWEI9YxlBGidA6kVURgwDEECAERAejgEzDk86zTrfT + 8bqWa4RKSUSM3rmoIQTWCE5XXhFbBRQK0+1mkZkARO+L1KCOUon0iYyCJQDBDY8CapLZ1JACASlm + RGdzLhq1kwvTk+26Zto9GvQG3gdonaoujJ8MjSb5ji/WsAU329PTk1fOnxxsvbtxf+XBndtLSwu6 + MfGj92/efrxj6p1zFy4sLtQBBEljMZaCR1KCSDVlegoYIUkeXhA1ioRLinMUAuo1mMMQBocHu64q + FRuQTkRpHufOxJTQd61snheNWp3zmjV2bNkWSUISYumVAwcwgzQS00rgBZWACSomfkxa5WNQQ5GG + MpFNAKowjLEPevZoQMIoJToiikKDsqpcGdJ/rRSUckFiZIk6OlCEQgn2MzOzCwunWRXf/f4Pvnv7 + 3bPnT0/nLeqVtz58UIXGzPylz33+l1577fVOiwm5Rh8Iw8GgLCsSNmwUaxpbCCIpUTJDoIkVEcRF + cmBo0s6HwbAqq9hsdpdeee1X/tE/MIszhSqpOjAyyEKWeWtDNuTmAM1i8uTyvG7kEXKoFFiRi+Fo + gAhKxAUCRKKvKpPppPc0LIfeK5spkymwLktvDPSzWuYYxf2b69+s669H6zZdNFZyPE4fn10pq7UA + EUKAURJRVZ6AWq3e6bTTULtSgIfoaIiF0R8OH6w+LR01Gp2F2dnM6IGm9kSbjFJi52aWLpx+8dLC + PB0d5CHETFFhSxLtmjlaOkBy2AYIPQVNYCo1VVAxaikZjhVDMwgDj2GAQdmwVLQmLlxsnl4+tXr3 + 1p1bK1ubu4b0++/fkIiF6W67obqNie7CXHduZnN3/e6Nd4eHv/r48ZPbDzeyxvRkZ3aihjwdBaKH + gXyMikO3nZ1ann/l5SsEjxFFSySGGLzihKZJo14PPgjEuaqqquFgODe/cOrUqZdefrlWb4QQysoZ + YwrNBkEjHnF91xtqNWo5GMza2CwqrZLlmlJMoBijsBqjsiJCzDz61tHLSEXnZySp6fmfbZYX9QYx + tNZ5nuUZtEIUhAAJgZiNtlqbNDccYwzei8RnzuLEUFopbY1VtZpWI8JTjFEAYzNWutFovnD5hTNX + XpqeaYM8kSewREgUCDErpYw2OstY8MwvdfTzRxtBEkWiSIhasdEMYFBWjb4b9QTK/vrGTn9whMye + WFic7M4UppVlVV5YrfzQ7Q36PVfC1pDwGFf1o/eZCOkYSB8O7GFP1VGfaU80VGKJKLS7CycmX3px + 5u7Dh08f1/cPwp371aNHfSomT8zMLi0sdBDnTy50p+/eXL2/8fTx7s7Za9dvbGzt1eu1Ewszk52c + AOcDglU60xmTqYj98tLSuXPnaz6bxkS7apQcj1TVM14Tm0DWU8k6tBtVgYE7bJtobNHtTgLUPzpM + DIKf3ZegsdnW87/NxEqEQgiKAKW40fzkpz6zfPGVX/3N3/nO29f+7Ec3vvfD62//6K3L0/rj5349 + s8SjxIG01q1mI9PqucKQAR4OQ7/f15q0khhdVQ7hamACSRBXueFwOCBBq9HkcRnpytJ4D2vR297e + O9g7OCxqi5PdVr2AVhwhXDkfJYAGVcXMRUYKiBHBhyLLEBR65drmzsHhEYw6e2p5PgkrJuoioIzR + SlOMSoTG6y+IkFJBYvA+Oi8BYEKR542GybJhWc5Nz7z6yitzzWbmQ4iKdQ62Eex9FLBSKAprDDnv + jGICJxmpubn5z33u506dvrSy8uCDn97+06//xd17d/75P//nU1PFzPTrWW6YyVpS2iZSsFCalYYk + FaokACJqDPyN1M0ivA8BYKWS+rQIEWAhGYQQPTgQj6zOk888k6nVazPzk/tP14/6h0eH/dpQfIEw + EnAFyEGqyvuDI+eqPLOtie5knmvvYTUs8k6n+eKV09u37rz78OHG+rY8aN1/2BsOwvxM59y5c5MT + +5iffenlK/ffX9vdfHq0v7fx8OHd1Sf94BdPzF04t5jUQxWM5rzebBat2tbmTlbLTp863Z7u1OWo + cPu5Vi4Ulc9hGkFZzwoaeT0dTAGRoPIzl66cvBHc9956dPuD+/fjJz720k+uP7x56x61GhdPLpxa + nmINRIbWeVGzxmpWeWbnZmcunDtnGpGss8HwkGy0BSEHuKr2dYhTDccZUAEE1kobVkx07OWRMl0C + kdIKBKW4KApSI41Ro41WLBGRRsFu5G+bVGsRQIRIIlBaZ0bDsGWR4IYDqZMTw1BMrGFz1JpsLBFB + IgUvIfH/oBhBoiCCmW0e2fhRBQwfY6iczYpOt8u82Wy2Tp08eeLiGapnugy1QLkTp0K05Ez0VFPZ + BDQkAkojYn//oCwrINPqGCsmYgILZAT2GWu11kWRLy2duHTp4sUr5wTEkiHYUFUKQ0MVaS5V4UyR + Z1BAZkDPHCQBZs3aYRgRmVQCEKEVkzBgrRrVPUqDKCtqy6fO/b1/6x986m99/OnD23/5zT/96tsP + f/zj95vnPnjh9NkrJ7NMoap6uYpABeQh2opRMHD0ZOXaW1/6g6+urz996dXXf/u3fvvKxXOz3U7E + MKur3nDv3oPHt24/efxkj4DgnffQOvVqFeCJfvZUTCxpSY4pTDiWkx0nN0opY63NCgGstXNzc5cv + XlpebCs50uQ9tQN1XGQTg5WBpRK5ssZGxBgBNh6qct5XpRZkgJdYitckisiFst8buKrSpEyR+RA8 + 0G40QEdgq01mtFaGc6OCKz1001gKyY+MWBtjc21ArBKSGDESpCdFJtNaK4LE4DGKbwhRAGiljFKA + VPFZvi4Cch7Dvs2LRqOplOq028tLSy9cvqzJcRRDjBA9B9GxEhdCw+ipWi0VWRESvfcxRmt0o163 + WiewMsaIGBOHOgojiUeR+OdWzdjgjlILOX3qMSeXWWkiFLXazMzM5UuX280GQmClI+kA5QVgJq2Y + 0LRZEiVAVDCGFTOxUmlXj1uYo9Y1IQp8GntnQGL0ISAGGK2gMpDzPg6Gw7LkEJwIyrLiLGimUZP6 + Z8oaGvdHBYjRR4kgVpAYJXp+1iYXo1WeZXmW9ySWw/6gfxRDWykGYWSbxQGeSyf90pVVBbH1Iq+n + XmNIL0pccCEGVsT0rMT6vy20CKSUtokN0G43z545feHsfC2PCIpES1ADjiHXi0oQYs1mNrPpqHzy + ZO3b335rbX393Nkzv/Dzv/DxN15bXpxVpjRFVcXdx3v0w2t/9Hj3yBpmJkSB8+1Wd2Z6Pkq6X9Ia + BmCCc4GJjDEgIrBSDHg4j/4wCgkoeB+DT2IhwMhsBxQlig+BiAmoqmGMkY/pcAIPDyF1zEyIMtKn + oAiS5eWTv/Zrv/biK2/cefjk+rV7f/ylrz9+8ugrf/KVF84tT3YvoFYLJXyMYMToJZTJREs4qjQs + gQCwMkYZ7VxZFEWnnZQ1o9LcajW0ohBcu93Msjx4GDaBqPJgX9oIqGz3oHdweCRRT3ZbnXYDQK9/ + MBj0mJVSmoiqypfWGRhhTcaALaLr9V2/PwRRp8hatRqltgMRQCGKizHJBBsgIxQAQ8M05pbOv/b6 + 2sMf7G4/fef2rWU1uXn30dHdVXfhE6cvXbo4OaHzfLQUn7ONGEW/46zOez8YlP3BMERvNbfqXK/B + SlIWZrCdW1yam55saU+DXVcNHWcVEwMEIZQMryBaURDeOKo2+9IUmmrnXesyGAIiLD1briJRiAQi + PkQQs1IxhLRrNCOJfY22rgiIBRQFeV5MT0+dPXs2k4GVQZS8inUnDYyiN8QfdjsEcgDduXHrhz94 + a21t/fLlF66+8fnPfu4Xp6en8jxTKAvd33hy49tvvXe4Y6KrqmHpQkbA4sLi9PRUYuwrlTSFEUQM + CKwQyTuIQRD0w9DoKMJl5X2UNHejVVKiS/LZSQgr9WWZRGIIH7FYGu/RZzcKlFX5jW984/s/+EEt + wxd+6zdf/fm/c/nyhboOBTvN/umj+9/9Tu2bP3zPaK2Y6Ge3Px2fSMyUkFaBIiKiGKOTGAEmUkpZ + Y2sxwtpsbn720gsXZueWg2gGF+jV5EiHQUlFxTXPuc0oSyZ8rEAmWzxz7uLhZKf19Mm999+pbX3x + F3sHOz/+yftbO/vnX3r56tVXJ1pZFpEaadyYqrUR1tFpFFdfvjKZlQ05rEKMtuZ1kUmVlbu5P3C6 + qBoLZQEfEElYEEJkIqOUUUokOues1Ri3zRiBk9q+zpBbbuXaKlR70l/j0C/q8IQARcg8nJIqo5AR + jNI9zz2qdYrGwvxMuzbaCEEoxgiIRkhlc0oIAYFIEnChNERloAiJVp3VW5Ozc+7J062NtdUH9y/P + XUDDZtYOCF4QS2RE/X558+bKwf5Bc+HkqdPLrWaLAWMsgkcIINGKjDoeDxKT52xMCfFJg8oLmKG1 + Tfh+VR0c9pQ2WZariiT4GJPQ7LMVNWZ3phoBkhyrRYFrnc7k1auvPrh3f+Xeg5Vbt85cuNidsyu3 + bg+H5amTy6dOLkx0R20AhqbkaAIPrcbCviqxSZhB2mhkYdRPhniw0VAErjCsfKAzp89NdG7fvb82 + 2N8p+0fHDYYAcWWZSSBw5Xyv1z86OlyYmu90O3meQ46cD86FJCkXgyf5yAqnkZbOs5VPxxiUAIKQ + rOnHDSLFbJNoUvqhKArFEJmJIdFHJq1gUzMliHehipEkSkyoPwYU3ds/fufttz84dfLCaxc/wbN6 + 5c6tfq9XC+aVl1698MIbr7z+2Zdeubp8al5GdSgzNFiz0qyVl+BcVVbRZgwCjicwnROQQFBVwTsI + tDFirDZGG2217U50X3jhsl2YyVWZ0dDK0ARrnTHODqlZqiLmaOXIrICPAAKxEBMhz3PNPHAoNIhI + a50I61CUFzZGJiZmgKy142g6uvSzp/yRR/4317/m668TusVH0du/4lLAyKI0+TkwM3q9/tra0+pS + UnsBIkSEEUvf29/fX9/czdRis9lp1HIhbzRPTk/q3LrDWPZ9zbSmJydMM7dhELSlrPDQyRZURYhG + VPAggRBM3bQm6l1LD7U4xTGKhyghhKTGKRLBbBrtqWxp8US7vvpo9d6DB4/7PXP79oPGzOXTS3NF + hsIUrdnZpXOn1+5uP753bW319qPVR5t7g5OnLs7NnmjnyBOngTO2tSzPikIFfwRx9XpR5JYZMYhS + hBi8qxQzMzFRjEGiACNL1rzIY4wxxnar1elORKAsK611rkiJB2KhCisoGTrpIoKtsaw4SHTeE5FS + zzsFjmcktBlbyMafzRPwr3xFsFmW1+rE8CG4qmIajQLTqMrRBM/MSpkQJZWCI7YMhDHywKVk/isi + IYQQkp9snhezM3MredH33odQq9emp1ujBo88Y7Ad66qnWvSYjIjncdtRPgSIiEQROTw8ODzcn2zl + gAYsRAOVGx4+fHz/8HAPmV1aWJxoT1rUjTXT05OLS5O3729u72w+fby11JhSWepXe+JKxFHldvZ7 + j9eH/bI5nU0uTM60s+RzQJTlU7PNq68ubKy/t7W5ev/B9rVbh2tr1fz0yfnJmU67jlDMLs3NnZh7 + 60f31p8+erT6cOXWnUEV5ubnZmcmWvWU4yrWzbzWbHdbyq7uH24zuFOf7NpWS2qoOAfyvNYyIIEJ + gIcHhjmOkLA+FmIRCt6H4KxWo7rlr3y3H/k9AigKfIismFjB5vnc/LmFM+e46Cyc3afW93588+GD + e7dXpoeDPlS01nQnJrK8cNXu1sbGoH/EKAgY6UA66Q+qo14PEhAr78uRFZpEUIzkgngCykG5ubEx + 21mItQQnJy4eDg57W7v7h71+c7o2M9WujwyyRaJEYifoHRwdHh4OBy5XZtRdZ0agQenuPXi8vbUD + pacnOp1mY9Q6ICaloTUzKyEVxi53RBHwMbLSSilIpNT/1bo1MdGZmLizukGCeq02PTWVR4miSOuY + tE7jyKPGeUCEEFPES3p3eVHMzdfn5k+eP//i2fMPH6yuf/8H77777k/W1zaqocsygxiIo2aV/qvk + IweKrOI40aLRHhJCDIgllIkSg4BIMbNWBCYSBVEIFYiByDqyAkSOdZ60ztvt9umzy6u3bu4dHTx+ + vNY879SERTp6KUAcZNgfDp882TnYF62bc3OLnU6DR4cF1wp7/uLSrRO14cr+6sPHu2xXVo8QzeTE + 5Oxsu5aHODP10itXvn5z+2B74+GDuw9Xbq+ub9miPr8wuzjXMaOhXQuWZrvVnGy6zbUoIc+y6YlW + WzeNr4EIIXM+gyav4Bk+iTxCJAQEQBdL5y+fOr1v+S9Xb39w7YOw9smFa3fXdvd7l8+9fn5pdmpi + PABPXNTq9bymWB3s7xvFM1MdXYegMjAFyEZwACog1rMcRwYHgEewEcTa2Gw8ehufbZIYgACV5LNI + 82gAS0SSE/vxyfpsWwmPYV+GEKCyrMiMAYJCOCYThihegtZkWMNaL/DBA5HFJ14p1DMNjQgW1oF1 + EI5AjBJCJOJWpzs9M0d8x3kfRbqdrmnUuapyjzyQGAkGTgWHIsnPsht9PB+CxMgQPRY/RQKzGIgM + IaV0u9WxmQ3h0DmX5/nU5DRAJCwewdUUvFWOjK7YDI6n3RMEwM+OF4KidB+sRsQqRIleJKGEY4OL + KCBTa3TPX5q+cHax3Dldp+37R/rO25u37+/du79+YW5Z1RyjggTAgQsXUREyMhyrrbVbb//o266q + XTh3+Qu/+sVTC9OtTPWH+zqTw/6uMrVWs/UEezLSzcH4M44aWjxyHxPE5z85M5FiTiQwGic2EoWV + qtcbUzMz2vCgcv2jXp5n01PdjJuQoUMjCUiZqHRoKGl4FSPIw2uddSamVVbr9ftPHz9a2t82mGQQ + iQIFQJwb9ntH5WAYfTSsgsRASMNApIt2e9JqW1XDo4Od4OYYdZUaPAFQcnjU3zs48hGCpP+EMMat + SCltdJotZwIdV3OULuExrCDjcDq+Z253JzqdLoHK4TCEMDk5YVWgIEqgiUVJQKjggjSYRuIg6fiK + IsQkiDGGJNcweuaE5EgymqXmZNr8fC5CI0kCAJAERaQ/zYui0Wwqg+GwHAyGrVZranKSJbLSkeAl + NcZHaYMdf4NkMhiiCMRolaCNcXFHAAlGWo9gRcwSo8QoozVNyb3K2rxer+dHVjNihA8+Rox8CekY + ik11ndDxikm3A4rgECESSUYKFwyAKM9ss9mcnprs77mDvb3dne3hRL3R0KlhEAkKjGG5tbu/ub1b + Od/q1KcmOs1GXSWqJAkrkoTQS2A2eO78+qsiPo7vvd5otrtdY9aIoJWamJwoGoyoEQGHGhBzOIXk + AzpSh3b+ydr6O+++u7W1de7SC3/7b//6i5fPT3TysjrMamFQ7Zin/Ua9DnVYK4zRiRnARa3ZbHWU + UkeHh9tb68M+Qiu1R5jSUpVYOV8GNDKlQCClba5YIQSIPN9aScA6iJgViEQkBi+hEuQ0UgihZBXE + ccwFgyCmkSAFCrVO+1x78uT5F86t787P37px/f6HP73z7k/eXdtYf/HCKVW3Ksu1zZVWg2Gv39+P + HtAgCIMFqvRhf3Oj1xukMoU47Z2oCJEQo/PeVeVgb3e3d9Tzfkrr5IwOIaDyg/3e0/Xto16/0V6c + mGg3m4YQCAEQJvR6/d3d/f39fZ1lsJlgDPNBrW/uPX28Bucn67WZiW6SsBzFKEEUYaVIMwMWsClK + UTa1ePa1j/W+de3P9zffe/fHrcGc3Lq/d9DHieVz586dbTZgeIx00DPM9rmFDIgQsTI2zwtdKUh4 + Vjck7EoXre7UZLvRsiH2tg72d3d6Lha2DihEFYaMSIzB4eHG9u7DzYMjqtVa7YXJ1lQWDBmPZ/zQ + n6lBRFKNkTQWoxx/zucWQ1EUeVFTClVVEjA52clRtzIEFVXUSaMg0UUoNjP2iBW8e7i6evPWrd3d + vatvLn32c5/99Gc+3WxaSoSRsKtx2G63syNhCEWPmDFxrVar1WvGqP2Dvb29XRfT+ZQ2+SiExiRM + lqIeK1JaSAsIEMUjmoykMzlBKgAkKoIeH0l/1aYlCCKJOH/t+vX7Dx5MTHQ/9sYbn/m5nztxom0E + RirmUGRq5caHSul0bqbj9Rl0NT7yRw3myBKJWLNSzIihiiEk6DbP653uNGtUzvf6R3lupqaylF5l + sVFQHTIEshJcEmg0PRABgmi0ZxaXTp09tbT10+urd1cePXq0tvH0wxsrZZTZhRMvXL7UrqWV6UGK + G9PduSW1fcTBZQoTnVrbFi6IGHYME631BrEGUx9I7uk4R0mIYzIzTyXl+BbHu4HhCSJcSFGYTmx1 + 2pMNlv764d7a3kFl2tZAA1FgjZRKnBwdHezuru/2e1TPmxPT0xPNLL0nCGvFigmGYpqXkiS0I1Lk + VSQAACAASURBVACYmEVSiIFKyUGIiFRvT548c07/dHN3e+Pundv7F2eXJiZhzWiRE0KQ3d2ja9dv + lpU/NdGZm53O85GO08iMdVQGP7cKtEpWUqMdkCDKMVA5HAw3NrZ6vYExZrYzneeGnmH140g+CqLp + UImSUq9AgG022ldeevGHP/juhys3b63cPH3r0nyJ+/ceWJ299OILszMTtWzU3aGoRAKJAGHEUYgU + fYykhNOqI4YlkpHnARKgGyFBBDavnzixPNnuwD1YW72/t7OdtkI6eARExAjydH1jY2vTBz8zNzM7 + M51Zy2AIfJRR95bGAyXjYQEeb8LjVPbZdGlSbRQh4tEPkKIRo20M3Y669RKFFIxSigzBAkMAkYJw + BCkaM9+8HJELt1ZWbt64325Pf/ITn5x9afq999+V/dBEbaa7eO7SK2cvvTIz3zL58VvgCFYmVzYj + xUGCCz4EL9Aj55Y4BtZTG807hEAAM1OW12r1RrMx7IeyHBij2+1W2yJHpdHX0ahg4W2DqGSUya9o + BL4SsSbSx5uEUmNdwhgZGqVIxEJEIoiiRljRR06hf/Vc+pvrX//F/89/5f/TJT/z9TEal+TTQcza + 6FwxVh+ufucv/+KoVxEj+rS2RBB3t7fXNzd3j3pZo9lotcUDzlljZubnTL121Bus3Lyzt7GHAJtZ + FEZZPW6KoQqoZKSk7b2vhh6wM63FMydOF1pplEbFyg9L7wKBNZQBGR2hgQyczc3MTrZb+wc7N2/c + fv/D24+ebM5OT186e1IT2Krm9PTlV16u183mk9u3Pnzn4erqMJqzl15dOnGqYZClpF0XRWuiMznR + ahU7m48frd5dX39aVmUIsapKiSOKh9KKiLz3zEpba2yW50Wr2Zqdndvf27939+7+/r7z3ihu1HKl + lHPOOYcoRLA80kRLv8Nag8iFalgOBKKVNmQYfNwiVMqOEAqKhDgSin3u/XzkVwCANjbLa2D0+/29 + 3Z3oRy0iq5EZNsaGEETIZpn3IYRgtGYiAULqJBOnHMW7EPr9cjj0aayMVbvdOX/xYr3Z3NnZef/9 + 9zc2NjAiCYUQvPchAVvOxRhGcYgIRo2It8dV17jcHDVeE0vi0erqwwcPAiTPaqi3oC1Ah0e9O3fv + 7O5tZ5aXFhc67S4jB+enTp98+bUXTCaPHz28/tPrw95oQjbXKss1Z9g92L738Mn120/L0Jjozp+a + X5ioQQHDIBBqdWpvXD03N4HDva2fvH/r3Z8+2doanj11YW5iwipA8fTS4skzp0Lw608erdy6dff+ + A2Vr589fmOw2a0oUYLTV+USrMzm3OKvy8OjxvY219fIo1GwDiiGuHByGKCl8HiN7ADTQ0HWCdS7u + 7u2XZWkUt5v1PDMffav0XHX5/JakEMWHGKIICKygNEqH0sGYK1euXH3t1dpkd9A72trcGA4H4pzO + 8tOnz7bbnYODg3fe/tHm0ydJU280gTpwB4fDw8Oec6WvjlzZN0aDLUKEhEiBlGS53d/dffutt3Z3 + 9lN5rLMCWQ1Q23tH6zv7/VLarcbcVKeWQREiBMSkjRPa2Np+/OTJ+pPH/cNDSMiSPzF4WMm1GytP + nz7VmmtGZVol7XtWSueZsCKQJlYxjh6BUkI0qEqd2VqtpoiUjNK1ibnZ+cUT3vntza2NtXXvPJhZ + KSTxjwClwDxamYAYY0bPlhWIQwj9Xh/A9Mz0G2+8/uKLL87MTg+Hg7KsvItMHELlXD+EcrRcA8ph + HA4HY7xrXBiNGVuQCuSFohCR0kppxWyAEXssTRYza2O0VkhgmIABpfPJ6akXr7zQ6jb3D/ZvXL/R + O+jnybUlQbcUEN3+UX/l9ur6ek/rYnl5aXZWG40YYoikFJ85u7iw1BLg9u17P3n75oc/XclsPjMz + VasDWje6k1defrXTbh7ubH7w7js/vX796e7+5Pzc7NzkRPvYJ8eCs2an05mdIENHg8Pd7e3oUt+F + AILWJiM2YJXETJFm0mLpohNwlp86f+r0yU5DPbrz/o9/9MN3PrixsrrDuvjsJz9xdnGqMElQMQqo + Vm+0mm1FfO/O7f39HWtggQhXDvsJmYHADSp4n3pJEVIFhyBQxuYFMUHiGCcigMT56NwxIyaEIElw + PETvvPeBCQlMGEleHMc5SSQARWxrtaY1Gm7IsbKKajmTtTHKUX84dGlEW/VLNxxWgCiKLMn7OoBE + syJwBDlhTxZsiBkSIMJ5PjMzd2JpiZXa2t56+OD+cDgURIlirUFuyJgQQ1lVw7JMcxCZGWEBxubM + zIBm/mjVSGACsc2Kmbm5PM8Pjw7u3r29tbkZvE8zFYm1aq0mY0Ejz6sUc+MY6Xo+p1DQBAtYVgZE + CC74MgQIAKWhFEKI3nvnS+d9BIzJZqauvvLipz7xt6amZsoqbG5vOwer2OR2hGcrFSKqVCorVQ56 + Tx7t1op8fn5hZnY2y7U21Gq1YohV6Yy2illEJAat2OhjpCBhhIppTHKXmKhcSeNFKaWZo5cwWhAs + It57ELXbnTNnz2dFfXd378MPP9xcXx8OKoxah8+OXQHASBMwDC6KxtKps7XO1MFh+dP339t89MAA + BVGuCkOGIFU1ODrc7x8dlRh65yMkECqId1GZ+tz8UmZt/2D34d2VUA0LGIJ47+AcQlh9/OT23QeD + IaIQEUtqz0aB0qw1aZUQukwbGqEyrLQhouA9JHCKreljj6KLRb05PbcwMTUdJa6uPnhw/14MUUEp + 5rQXjl+xTiXtSPhFgTURK60qV+7t7VTlcJT3KZUc0omUkJJU7JF8JDKRSutcACAalWzMIUCr1Z6c + njUW6xsbKysrvd4REbTWEqP3EgISRAKgLKOrxjr4RAhxWFUhBmu0SYNJAMbaxTEKBFBGKU1MPvgY + XTL0HK1soVa7u7i4NDM9lWc2+FEL4JijcNywSO3jEVyStoPWrE0AD0qIjKzkRqGcyRb55ET39Kll + zdhaf/r08aPDg70QQgjBh+B9qHzc3j24t/rkwaOnPsSFhfnFhblOJyNO2hJRW6UMCyQET2OE6P9F + aUXdyekTJ5YbjcbR4cGTp09SDjnCnxSyAlqNbsoqGAWBDIbD9fWtWyt3dnd3m83GKy+/1OnkbFA0 + 6qysRI5eSKLV0moU1iiwgs2tLayt51mxsb5249r725uHgx5igNaalYGI837/4GBjc7NyHjZDo1Wr + N7W1SWRGUQJpUiuBE25rbQ5wjJEliq/i6BWQIW3IKIyA3RFYUpUIfox3KGKt2UxMTpw9e/bchXP1 + eu3J0ydbO1tHgz6Y0Wo12h2TZfv727vbT9Pxn5AZgjo8GLz3kw+erq0774iorIaDfhWTASzF3b2d + weBoODj64IP3Hj58WFXRuSiAUtDW9gblnQeP7z14Mijd/Pzs5ESrrkAI9cLW8kwET7fW7t+7/+TJ + mvfBUgagdJWUDmzvPnx6/dpN9Puz7cbSwowxOoyXmAdHsM6MMsRJYtkjDCOC6s6evPqxqwuztf7O + te9++4+//Z2//PD2FhfNU2cunT51MuNx03O8IJ9De56RIVSWtzvdubn5Rq0WquHRweGgB+fgXYAw + dG6KeqNetDKuDrY21tcerW8eulEXjCUo8UB4+ujRzdt37qyuUdGeXzxxYm6qVuOUOfmRRMA4pecx + DpO6fURaJbGJtDxHZw4D2th2p9vuTmQFtra2d3d2dLoBkRijc6gckkALEZQCiBFi2R9sbm5trG/0 + B2FicvLE0pKkfjwQY+gf9fb3D7QyRWYzo6xmq6FZOeeYqFYrVlfv37t35+jQIULTGERj1gYhQCJq + OtMwrE1ea7KxQkQEHldaEjH6QKxB7J1TJHlmj6PwuHk2otqM8CWR4MP2zk5/OJyZm11cWJiaaitO + uSin7liIadAhQCKNLQpHJF+Mn0v6GBE+MKtca6NYIE6iT4Bgo9ldPHEqL2q7ewfXrn24ufm0LGWE + OCcyQdpZydSUgNRtT+wn0+xMzVx95eWFifrBzuadO7d/emPlzoPVvNY8cfLkmdOtZo4sRkhAIG5O + zS2fy4pab3/n7u0bvcMBAK05zfR7DzAnVRrvRQIsQzGBSBsDIIYAiUyslMJYbDY10iV6gQgZZCbv + ZnOLc6eXZ+Jw+/HD2ysrt/rDIEAlUChyzkG08/Tx3Tv3762ulZy3Jia73WbNAqkJqDNjjaGYwRsS + pViIgsAHEVKsGIAiGJXkJgDnEak1NffClVcajcb+7vatG9c2Nzb8sEoLxRJqGarKra1vffjhfWaa + X5judJqK4f049EoAQlWV5RDP8FzEEEKIXoG05lHLJQRfVYhyeNR7sPpobX1DKb586cJkt22eI0qO + wsGzqDAWURBEBwRla40Lly6cWJ7XmlZurbz77nvvv//Bk0ePJ7sTH3/zY51WocaKNCyILgICHrN3 + K/T6pTCiwcDDCxhWI1Op2TeKi8FVJdm83Z2emZ6faHc4VLc+/GDj6ePj44bAWVbAZsGFa9dvPny4 + qow+ffrUiaUTGlrDMCuAhVixyozWKYnx43/83P3RcxhuFCR7HgGIFSujWB+DvONWCiAQVswcvGeJ + tbww2hI0YurZKaUVa9HaZxYSq6ocVlX59OmTx08eE/H5cxe++Et/9z/5j/7xf/Nf/df/+X/xX/77 + v/cffu5zn56eaik1yrQARKgANqZmsxxMQUIU/wy/SNsSgGJiRUSII+oHhJDX2p3OxPRkf9h/uPpw + 9eGDQe8oyUZ6hKqqxkcKvENVwTl475NohFKWlBXBcFhK8DUDifDeAQBrsIFwCD6EEhSdD+UwDIcu + hI8Q+8aXPKtP/+b6N+D6a2Td/mzS+Fw37Plfjr9gico7bGxsvvvOj//3/+2ffeHnP/eZT1wqcvSG + 5ZOd+3/85S/9+TdWKsSLL7/4yquvNOuIpigmp7PXrp65cOnDe+9899vfWVp6Zb6lX7tyWhtGlEE1 + JF34wBsbvWEJY6uFhVZmjKIahoM4NIYyjbj+9M47b3/v3JlfgS6GIZbMjlCQGZE0oS9fvLRy+dEP + v/+dH/7obbZxe+dgemrq7NK8URAi22yfuXSp/cN3r9/78Gtf+v37K2ui6xdeuHpiYbkg6JGNX6a7 + 0xcuXfr4m69970c/+eC9t7/65f/zl37pCyeXl6216f6V0mU53N/de/r06YnFxW63q7Xudjqnz5x5 + /fXXv/+DH9y4ceOf/tN/9oVf/uXXXrtab9SRxPK06R8erK3trfdCNrmwNMk1YrAWRB+DyUyr0+Lk + bM6M0aQ8g3QU5XwK8I5Inleo/2jf+/g9ESuts6LWyHq9/qOHD/Z2htPdXFn0h1ErySxpk4Q4EaIw + cZbZlGqP8CwhgCoXnHMA2q1mu9USkRilXq9fuvzC4tLyBw+2/vybf16fPdluF6fOLGVWg0GC4OJg + MNxY3zDatNrtolbTllkdH9DPLSR5BlCmMvArX/7qncFg8Jv7n7v04nJ3GiH01jffee/DP/7yV2/f + ftycv/jmm6+eWDwhUHEYJqemL75w7pvf/eGdO7e+9pWvzi4t1F5enmmrCC+hHBzufePb3/rmX35/ + df3ghSsff/mlqxMNmzM0wNYCWaNVv3T2xFzb3Lqz+aWv/Om1B163Op994cpctysCkOrOLy6dPqMV + X3//3d7axv0HDz919c2rr1/ttmoZKoYhYRAXteabn3zj0drK/W9+50//5E+Fa/YXfmF+spvlOrOZ + JDchgXfY29i5fvfe9NkzrcVuzgJIhM6LhjWWoh/2D50rgdrP7MqfLecIIhSiHB31tnZ2t9bW5trF + 2UtnUNRBFj5sbe9ubmz0e4fdueX5uZlWs0mZR9Qms/VmUyu1ev/enVvXH129sDRdMPnezt61H/7g + L7/6pd//7nu7G7umPkVJvItUkovTuWq0at5VK9dv/MtBL6NB+ZnXXzu/TDro/tH+zvq//NKX/+TP + vimM02dOXTp/qrAJFaIIclHKEL3g61//sy2383d+69df+b/Ye68YO7L0TPA/LiKu997nTe8tTTLJ + pHdFlu3qVndLWAkzI2mxO9AO9nUxEBYzLwssZhdYN1KPXFe31JK6y7K6WPQsFskiWWSx6F0myXRM + nzevj4hzzj7ETbK6Zx4WmFlgHnSQD8mbibyXcSLOOf/3f6az3REJI4zn5xeuX/vm4uWv51+UosGO + bSOD7c1NDQwCY0FIXQhDmJgLJhvGX4CRxMgQwhSCCy4MAwkAigFItrW1u2/m5I17l7/80o2w7w/+ + oDWdtjk9pikBI0JASJidXV9ceBGLh11OmxSisLqwujxXXC+0t7d5PG6HQ8GUGrqxslKaezG7urpM + CSGEEEwxQoyRFwuL167duXzpQbmobN022jfYms6FNviYwvIla0wYYwAEsOBIcCl1w9QNQ3COKd2Y + TQyYAjCTI9PkYOpImhsyK3A4XW1dnfFU8uqLx58f/8yV6056dzdFvJSYGJsIiVv37p85+cWlKzcQ + dvT1DMSTEafLqnYJQQ5MuT/oDcVcTje6det2Ac2uGbSlZ1MyFZEIAGFw+tJZEvD6rk9M/vqTj2pT + 0yFJOgcGorGQHTeicogA4Li5rX1k+5ZrD+5evX7FeO+n3//h7/S3pUJeFaTghlHngDX6YqU2s7AU + CgeDbsWtgqLasKSATWAiFA2Pbem7dffJzPSzn/39h4+nVwPB/HBfb8RlAxMkASAIKYqN+Xq6+wb6 + F764+ujiF+cCYdum7QOBqMetuSQHwwRkgG7ohaXCylzNlY0hu00hKgii88YaxbkODbodAeCIMSQZ + AIAQQphCiC/Onb/41Ve379w7eOi1HeO7Q6GIqlCCNmIRXj1xBIAAUoDZXG6fQ9OgVnn+6N7zydZS + cdClKZgyBSHMEIg6GFK1u2x2O5jLRJqWrytIEwRBBAEAl6hqygpHBsJMUVVGCRUA2BcIZbI5f8D/ + 7NnksWPHvLFw99BAJhzFEsCUYJpc8HK1vFpcQ8yjOb02p5U5TQ0upJQgueSm5FwCWN5zDUYmJg6n + J59vzTe33Lz9/MrVK8lsPJIItbV32FUGVqkkQej68uryStWoShJNpTWlAW+8Ii6jl6CWtb1QQghQ + SxliVqrCqZnF1cLlq9cyuXw628JUDVmiHI6nppdu37m7urqQdEAyEVYVeAWISwqAKAOCLQEhVRS3 + 3U7mV1afP5uYnnqKIkHmsSsqFkJOTEz+/O/+4d69e1Kweq3GuSkBDBMoBfoKekONEDSMAUkhwRRC + SBBcSGEyguhLDoJs2Pg4XO5sU0tTc9vT5Sfnz5/Ltg1HQ7beriaEMEgwDZAI6hVYfjFLoa44bb5I + AIhkTEnnW+O5Fu2rZw/u3k5dvnCnJdSeDig2yYU+PfPkxMmPjr335aMHyxI8CBBlClYBgOmCKDZ/ + Lt/udJ5+8Gz+8pfnBvrTqaQj6vJTQhfmZj8/8fFn5z+7e/+xKUAiIhq1CmCqgMCGEOVapVQrG6ZO + 0AbNA2FEKEJIclOvVYVpsI2pIo0GOAYgwXAsk8tns7mZqalzZ8+2taR6u9uS0ZhCKULYgvpWiquF + NV6vK35/NO4DS0nNheDCpBTZbJoU3KpCMcFgCuASMBNAanXQ6zXJdcWqDK3ZwBgA64aQllG+qWMp + LETSHwjlW9r6BvqvPpi+devWZ58dHxvd2tbcrGk2ShEC4BIqVb5eKhVWV30OhxYOUUNH3AAARAhG + iAuTG3XOQZKXR1SEMQHKQIApZL2um0YdgyBkgwUgJHCBmcoUZW1tdnrq6fNn021tftMU5UpBYZwS + B7BGAYk3WG6vDlIII6JIrHIADIhu/Frj3RmNhIObNw1PLhbvz0z/7c/+RhHfi+3boaoKwZQL0Ou1 + y1evf/KLf5xdWM60dI/s2hmLBBkBYQAWYEqDS1OCFJIbhqEIFQj+jw5Iv3HmbuwaQOKJVFv7utN5 + 8+bNG8CLPg8d7G8PhhPQODeCBFhZqy4vzjtUze92Bb1E0+xurz8QDNlslfVC4dbtb9taMgGfU0Id + YXPyyfTf/OLjiUePzBpafDG1troM1RSoiHoCzfn27WPj5etTM88nPvjlL9i+HbsHWkEFafJyufjk + 6eNvbt+fmV/+4bvv5BJekLhU1Wt1HRAwRgjBpgTWWE8kSIkwpooiJJJCKBRrFLPGliesXxIN3b1F + wDbXS8WZ588K5bWuvk6X1ykplQgZJi+Wis+ePSuUCv6gPxAOqjZNlkuICl8oMjQyXPpmZm7uybFP + Tu8e725OegDQzPyLK5cv/8Nfvv/42+mqrOoACEtKEZc1iQxEQNUUSjGU1q9cvuSGKqwvHjm0xxfx + GBKKxeKt6zdP/+qT2YVCJte949CBgN9lAFBRUiUnBCkKK4P+zTc3//X/9K9/9N//7r4d4wlfiKpK + obD85MrNcxeuTjyedHl97dl4Pp1QCDQ0P5LokpkWwMd1DiA5MAGYaYBN7AmnMjifCUzNXr97c3L+ + aYAAC8RaoolM0O/AjU6DsBS1Gxrkl+DhBskPU0xUich6oVCbmVpbXDATLmYHxIkEgSRIwK2trW8c + OfTBR5/du3fnpz/72dHv/WC4Kxl1AyZY1OrFpbUzp89c+PJizTBHBwZHNo2oCgWzLgBAUawdYiNO + b8OnCJBE2BTINDkGSZGkAMyKCQFZ1+uAKSUklki2tXe2d7Q+mF+58MUX+UxybFNfJurjktOGkSOU + SlAoVNZXZrIJT8BPVbvD5XI7XR67nS0uLk1OTGQyzda5Tq/rd+/eO3/q9KOHj5Z0jy1eUogEAbqu + R8KRrs7OwcH+67ce3rp54+fv/XTf/r2dmRjFAgBWlxZv3n169ZvbDr/t3d99R6XAOVTrhiFAAOKm + IYVoWLVjAGIZTVBCGEJAQFLcIGOg73x9d+tEEgAhVbPVDf54YnJ2bm51pQDS41RBcr1UWPns+PGP + Pv6kUqly0xSmARvtw43nvQEPNfBhwjDVTIENwzD1GpIGQQ01o9vtyzd3trR2z918eP782XRHv98f + 7mzLW1blwuRYymK9NLW0xAlx2lgk6CEEACEgKgCxOT2D/b3f3rj0eOLJZ58dn1ktzs5XugfG8/kW + jQGTgKEOjABo3kRz3+ba+YflZxMP//3/8b+Tf/5j56Yet9/POQgECgUQYKys3p+cscWalVBUR8Cx + wAhjQgABN416tSKFoIQBssw7AAAoUIJVBEjnSOfAABKp2M6dW1aXn09O3n//g384ov2os7tFUxQE + oq4XzaXFz44dP3HiSs2UW8fHxnfvttsVgsEKORGYIUCIG0gvSaOKZONsLjGSiKCXNiMSpAAkBSAM + WIFgLN/OmpqaJm89v3Th/FhPNhu0ZZq9UlFrBiwtr1387OPzn55ACIaGhsa2bXbaVVUBhICbcPWr + Szcvnrp+81ZT/2j/+KHe3k6bAma5ND319PniatGUXT19UbfPYXktI4QJWVyYP3vui5//9U+eTD4P + ZQfHd4ylkjGb9mrNx69WfrnBjEUISZAIIQoCAcJenzeXT6ezqccTc5e+vOx9+Hx9bT0RjfX3dLmd + GgBwK5wcAaYMwABhilL953/34c1bj0t1+cb3f9w9tMnrtW4wFYNsaAlAAjYlktSmSVmXkvl94VQi + EQ/6Zhbmb1z7Kn+uqX+oP+ByCACQsDS38PDWjV+9/+G9+3OJZKJ/oC+bzYpGWYQQoVwANzkIjq0k + Hdng1AvgGyjAd6jJ1hGIASBAOrFsHrkpuGFahNbG1UEbqxxlGCGCJEUIS4QAg2SAVYltkhBTFASs + qCpgJJFQKAany4Gp8ejxnT//9z85cfV8U1Pay1QnYIoVIHabO9Dc3uP0+pmGNBsAYCmQgkndNEu1 + MmEuwrCmWa5hDZ4OIADCBGCrBCEWpxswEBaNxw+9dvj96kcP52aOHft4BwP7pt6gS1OAUoWBoFCq + LZXE3Grd5vMlooAkEpzrNb1WNwzDIukhK2iXUWCYAoBR1wEosymU4Om56StXbly8+LUw2b4De7r7 + 2hKxUKOQedmghpchZ/8fWsP/NP7/H//50C367U3nt5H5DSjv5WjIqLGUBIBomk1V6cVLX1RKK6vL + W5xOKJuPp+avHj9x8tmkjKWyfSNDnd3dGgMDAKs2ezzZMzT84Gnl8lcTVy9cZOb688d5j5sCVksV + U0hWNeTcwprTG8ylQ15vq+phBGsgVDv1+p1+r9P+Ynn6whcnvT6IJ3w6wsFkOpTO5gJeARSAgSTZ + dCafTSmMTE4+N7EEpIQDwXjYrxAADNjhjGUyPr+LV6vffn2xXnemUgOppo5gwKkCECsFCChozqZ8 + fsfY5um5mZXlFydPfFatVnLZnNvlAgCQQgizVCyWS6VqpbJr1y63x0MBVM2WSqV27NjxYn7+zp27 + Z06f0nV9amrK5/MjjBA3kDCLqyvzJaOKbM39m6PODFYxADa5qZsGl4JQIi189GVPCxEAzCUxucQY + kYaeUn63IYflb00ZACCbw+n1B90e39RK6c7tW2fPnHp836My02knuWwqn0/jDTUiwoRQyihpMLte + tr42DGIAwPJbsKRQNrs929TU1dN7+/nS/amZc+fO1vVyc0vO7bYrTEUSysXS6ura8tJyKpXu7u5J + pVKUaly+ElOi3/7AjTUYASoWSw8fPPrkk2OFR5OdgRAVYmrq2fWb12/duedwRzp62zva8j6PV8gq + kTQSi/b0d3Z0tVx9PHvtypVgLDL1JB6PqgqpGrXS6uLsmVPn7j58YfNEBzaN9/cPeWxALMICAh2o + Ztfy6XA26rkIhRs37yxUI/lAvLOtI+KzW5WbFgjHU2mfz/tsfq4wt1hcL/vD8c6uLrdDY1BDEkCo + IEHR7P1DfU+mbnxz786923cld9bXK6lEwOtSgDBdYEMQ4FAvlgtLK5OzszsOH24P+ZAGAAgQVVQ7 + IQQkN42atILXN67Mb7ncbhi+Wj8h5Urt+fPpi1+ct4Ex3N3iUJmJ1bKBJmaXv/r6LtRr9BijWQAA + IABJREFUuUyyraXZZtOA1IApmtOdzTWl09NXnq5c++pi0CaaEgEVqtXFubtXLr549MQwOABXqKlQ + EKYJlrBUAsecS1MIEwyzXq1cunhhfeXpVGsubFdIpbgwP3XizPnJ6dlsPtfX293RklUpEJAIEAdk + CDABM5ttdXX19s0bdjuamXiUjIYpmFOTD25ev3TnwROnNz+8eVN3az7it25mJBHiGBsghZQEgL2M + UEQgMQJCBEZSSMlNbJkDE5zI5vqH1ruv3b777MW5M2fjPl9HrsnjC5gCS0ylRLW6MTP3olxa37d/ + TzaTxCoplUp3bt+9fuPr1rvNkXDI4fByQYrF2uyLlXt37xCCh4aHYtGoptoAMAJZKq/evXv7xOfn + CqvM6w025WMURxoW/SAsJL5xbxMMGIEwJJaIUoGwhSi9WmAxASAgiSmQlAgoJoi/ZNJQuyOdzXV0 + d12ZKV18OnnuzClaW2lJhnwaYMLreunmt9e+/urbhaVif/fI9vFdyWTEbgcEQBAQaQdcc3idsaQv + ngzferY0W6oLmyeRiGcyUUxBSII1TzDkTMRiGpm88+0NpWoGsi0dff2hiF8BkwOnUgUBIGk8ne4e + 7mu70nHryYtzZ087HPbptkwq5CIYapxWOdaBzS0tL62t79w57swnfYodIQszBSAQjoZ2bO1/Mf30 + ztSzs1+uFzlpjyTbmppCTgUDCARAMcUKINnd1Tc6Xb4/ufL48f0PP6jMLk4ksuGgM6SCKmtgliu1 + YtEolw0H2+Ta5bEnCFhhrkjnUkqBgSMQYPm1SGEZdoBpvHx6JiYmz507f/78hXA43tXVF/CHrLVH + biy1UgIGiy9DAUmgmsvl9Xu9Nrs6/3zi6sUvE067jVHF43aGgs0tObuDIUSBKIQyjBGRJpGgEGi4 + 4BAriYYYQA2kckS5EEgKy87O6w82t7YNDA5+9fWDb7654f0kNDE91ZJMOwmlulkxaxVeX6msrxX1 + XEtPrrkn7vQBxoCpkAghRJCF3nIAwMhqekkQAghCmj0aS/T3Dzx4PH/+wsXLly9RFXV1P/Z7QozY + TEM36qVapbC8sowdrmAi6w2G7YrW2OQFgNlQL1t1jXUrW0AcYIkxR0hIkMDF/OLi6TNng3fupzJN + Lk8AYaaYJVxbu3Lu8uNHE26XPZsJZdJRVYUNQJyB4NIwJFMwAGAJVPH54y2tXQv3Z588efj58V+3 + ZJJBjwOQuby6cOfet9eufl1YK3h8ESGsFMRX25tsVDOWwr3hT8GlNLhEmCKMJOcEAXm1XiKCEUhg + mj2WSA0MbZpYkKcuPbxw4QturE08ytltFLDH4PaaTirri0tzz+JhT7Yl7/Z7KKaUaa5wvKm9p7l1 + +sK9F99e+fIjm/kknwg6mYTi5LM7V78+93TyOQiXAtTUDQkgMZiAKVbtrmAqHcqmM/ceFR7fv33u + 9OeVykwyFMVlNPNw+viJjwv6stPlg8VVAGpFKwMAEAoScZCm5JYNtuQc04bRB2BCCKEEAzck52Tj + VN6QAksEAoE3kG9u3b597OzVRw8fPvzwg4+eTrTnUimXZkdS1Hm9VC+vlddNQ/N6k11dtpjXY9m/ + Gtw0TEOCoBQjueFT8fK6YwJYsULUkDBpI17m5SwTk0uMsIWb4w2bC2R3JFLpbdt3zFW+vP104cTx + z5fm5zvaWj0eL2aqCaimG+vF4nqpZFPV7ra2eDDYEP8hDJgAAslNJM1XQDwCy28cMAEQXIIESSkm + WCIJ3PLeQggw8fpD0VhcyJl79+589mvb1PMYIQXJ6eDg5mAwTrCG6EugBTUEhxs0fIkIR5QDMEpV + 2nAaByFAmIBRKODfNDL0zaOpJy+uXbn8ZdijmuUVl9sFRNEFqhTXLp488dXXN6nq6OzuGRsbDQa8 + DdgZAyAhkUAUIQSmqTMh0G/1tl9u+xuHpZeociAUbW+X/f19l689u/HN9WPH/NNTj5LxNAhMiMIp + KdRrM8tLxcJqX3dXR0uL3xPATAmEIm3tnfcerExPP//4ww+e97bHIj5MxHqxcO/+7csXrywvrGHq + E0ZVChMkgECg2mOx9Ni28UerF6bvzF04d8pWXV1/1uW3UwnVYmnx4eMHz+YWJFGL5TIID0ioGdw0 + OSCrayJlI93p5X8FWXc4AskItsRn1lnTCixClhwAEAhpGnq5Wrl5+9tvbt14MPnQH/KrDreJ6Mp6 + ZXJi5vGTR5pdHejrCYaDVGXCrBGM/aHI4PDQt8+Ls7MTH33096XCo9Z8gBH59Mnk3du3nz+bkQg5 + 7S6jViIUEwKWiA0hSYnlhS4YxRNPJj6pF816JRAPcSrX1xbuXjh37curwOwdnd3btm0N+F0YDJAG + Ag6SA0gbcdR48dHDR6dOnS4ur6YjEQ3h9fn5W1evXL95n5tieKC7ryUbC6oIwATgmEhETawKTAEB + RiYGwAKwAKAEBAXF7Q/wrtbE4wdXLjycX10phWNd7T290UTG42qIjqU0EWIbV2xjUXx1+yKgis3h + CodjdGJiYWbq8hfn6guPYx4CRimTS7e25xVCstns7p3b79y++/XT1ROnTgnNNfMkmvJjO6pUy6XF + +bnPj5+cmFyKpTKDm7f29vcBQ2CaSL6qPdF3Op8bL2CLdYtAUhC0EaCBLB85hCRQDB5PU0vb1tFt + c6euTE5M/OpXv1qamWhORxXVLam7LtRyuba+XtDrNQXrLnUgEIgDUyPhWCqVcTrdT58+PXPmjADq + 83qEEIWVF4/uXvn2+vX19SJSXBQEFgYSgBFSna6Wlpbt27fNLqzNzc589OGH5XLxST4VcjCzWp6a + K9y6//TpzEJrd7NpcEqRYYqqbnKw/IsBA0cbnSnABAS2ZGCEEIwkCL5hwP2KB904hDRWFEQIyeaa + HsXiT76dvHTpUl31JpNxFZtGZW15cfbipUvPnk8RQiglGKTkG0/6y1UAf2eGEQWkmiYSAgiWDAuC + JJIAAlSbK5lsGhzeMrFSP/P1rQtfnKnXjMcdA5risCNBjZqoVZbKxeeLC8F4tL05E/F3EUIAMSAK + SKLYnB1tLc3J8BkJ3968uagjZgt3dPemszmCAesAogIEAFRnrKl7yNV5Y/bF5QeXL5zNRl0rcxOh + WEKX1MCKAgaurlQWpx48mxnefTTvCNvc2BK0IIwpIQQjzk2Q0hI9bZiYwkZ4HwagHAAhiCYiY2Mj + D+58dePZi9OnTwqH4/Fkuz/gFXoFF16Yi1MnPzvxeGI5EksNbd42ODykaZQgE6QJCFk+MUSaiqwT + aTbAWQsg3LAfaLSTLI0ppYAYuPzxtLN/oP/hUu3+resXvvgCykvp5sfYGVrT8dLM08uffzx970Eq + lRoZGR4Y6LbZgGArohQmJibOnDt18syFgeWyEky3tbZJhnVDX15Zvn79xu0nTx8+fBz3h7yaXaPU + EEa5Ul5cnPvqwtlrX38TCocHBga3bNoUC2uMbvA90W9uBAgAZGMtRRhhBhwBMsGupbKJlpbm+w+n + Jp5MagtrTrsjk0xl00mbBtCwirWkjI2nkJvm11euHz9xabWqdwxtznZ3e7GdSwCOsGVIJ6BhMYUl + QhgExdTmcjp6Oru2DD/79ckzd2998/4vlYlnE7GQ365SZNTnn03ev3n96tffSCW6adNIT09XLBYF + MFHjySAIUwAkTQMJEyNKNiJ1QHC0kZgN32lSINjAtxDiXAqx8STIDS452miOIIIxoQQTsILnJAIA + xEAqHFSJsUR1hAsIC4IlI3YG0N7W+rDr8fnLVy9fvPLt5NOu7lY3FYpZBS6x4nJ4I9m795O51lS2 + pbkt5bBRkMwEZAppSg4UYYYxQQi4BNSw4UDWvoYwJqCqBKAR5I2pPxgaHRu7f//xxOULFy99WWJo + eW4yGnA6FFCEiuu4ulqbL+jzBb1raCzkb6FMIoSlRLohOG94uFjOVBuUY7ThYgWAUalUuH372xOf + nzRNJZlKpTLRRMwHDfnxyyEbOYv/NP7rGP9lvW6/gxD9J18FC9aUgJEUWArKqK29vWN4YOdHH555 + 72fXfvF3f+2wS0Hma2ahXIFkOLJtx+jmHZtb2kJIgtSlAYKpbOv2ndW6Z272lzeuXL5w9phmk9Go + 1+XyVatmsVgtVPSSbu7cd/Ddtw43ZcMum5cpDDSv35tKxiEZi05MPzhx4s6Xlz8nDKjDfvitd/a/ + /np65w4BGEABiULhcDQaZIyslMtCUfyRaCgY8LsdCgUAjFWbNxQOBPwOBZbnCx6/O5bIhKNJhx0I + BwIgObfc6dOZzJ7dO6dmZs6ev3Tu7JkTJ047HPaAP8AYNQ2jXCoWCmsej6ers6u9vaO1tc16qsLh + 8KGDh6amphYXlyYnJ+/de0Ao8Xq9iqoiYZbWVo1aVdq8mc7+tzTXSGcM2yyiilGt1Sr1StWsmqYp + OBcSS8vdDlEAwgXiHDRNtWmUc13IlyqlhqIH/UfT5fAF4umMNxi683T20cULk0/nDL0gRXnrloEf + /fDd5nxWSjA5N7igTFWYYrF4pKQYEwAOHMAQmFBKGUhZLhZLxaLlIYg1myMeH92+/UVFzrx//Ny5 + s8c/P6ZqLBTy+70+KeTi/MLi4hKj7MhrR5wOZywaBanpuqGoDL8EI3/jwIcQWNEyeGhguOL1/OqX + v/q89nO/adqpsrq2Xqsb4PTsPjS6+50jiXiQUSlMhFWHJ+UawLYDh2aXPj7164vf/N//7n/VnEbA + i7weWlpfeTFblhySqezI5gM79x8YGOh2qiAQCAAOYEqkMBVFom25pkikdP/2KmgRn9/X2pwL+yxJ + EQNXIBRNZDKZmZUnq4uLjDmDsVgul7NrDENZmESCigQQRts620aXNz18PHXm9I1PP/z1qc+PewOK + 040V1V6piLqOgMu1pRUqUTAeT7e3t/X3IQAAgohCmIoRQsIkIBASL3fsDTrFb6C3L39KKavr+rNn + zz/48KPHt6+7FZSMhrDqWq/x6aXCusHAHhjs6x0ZHqSEAAJQVQgGRzZvubVgXH362VfHPv7qzKcR + nx3q62J9DVdLh8fH3nxz99yvPkI24rCzul4z6ya1I0CyoldWCisAMtfUtH3H1mMf/+35X//CrtGk + 123jxurKwnqlksz49+w7sH1stKPVxRBYDrICsClBUuYNuOP5IHGJv33vp9LUbSqTXK9V1qtlYQg4 + Ot7/+z/+YTJia+CzUgoAjhBHGGOiEqLIjRhPLiVCTFORpWnhAnGrh4tsycSgVI/OrlY/On7j8uV/ + 8z//m4DL6fYGmGbXTVGp1NYKRYlwOp1MZxKRcMDr9iOE7927/+d/9pN6Tfd6HPFEpq6L1dXS3Itl + gZSto+N/9Ef/vK+3z+7UAJmCm3q9Vq2U9XqdG1hwaZqmyU1GNprGFnwrXlrFSzANgRDTVKooGBPJ + G3kJAA1FLghqckoI1Zx2ik0QBracHjUbCkW3jO54VGbfLp64+PnHF3/9Dx47C7s1TOX84mylWleI + kku3je3YffT1I4Fk0CqmKAIsEEgKTncyHW/vaLs3c18YQvUozc3ZXC7GAAAxYE5MUEu+JRefuDox + RZnDGQq19fX4/R4KdSQ4kQwAAwa3P5Dv6th35NDK+5+fu3Tz//k/J90a9tqow2GXVKtwNLu4XDW5 + PxKLxcPN8QDyOkAg4GAIwRQWjobGx0Y+//Sj5ZVFc3092N6ZTudjoZDXThQrohpjwBSE2dLZu9d0 + XLv19NKNB78+9vGnx39p92ohdyjoCulFvbC0XF0rhHze1uH+3ECXNxUDQCCQITCXQChlFG3YRFvh + cRJMs16rqTYNM0IASSG5yUGiUrFUWCtYwNdLVeJ35E8YEAGQQFSH05OIxnLJ2OOJqY/e/8cLxz+t + lavRptzI+I4//ON/Ee3IgmKvm8C5cNhUIgzggDQAU4AQ1kETCAWmgeqUJi2Vyka9hsAGgMAX7Opy + vf22XjE+ev/Ysb/6i79ENi3gcEZcbmqYhWqpKvRivcqR8i/++H/w+KK0yQcSS0wxoZRSRiSWAkmJ + ASiiCEkwTTA5IARUoU77trGxqs7uP3p87dq1S1cuOp2ucDDu8/grpfLy0tzq8gsuxZbxXW//8Pe6 + +/qJR7NqJikAmYYVPgaATRBcSIKZbki9roMwkDQIAbtdBQNevJi/cOHLh48n6roZjiUwobheMdZX + RKVa88b7dxzZPNjWlCG2xpwwIAD1WrFewk6GVYViAGZLZNp37Tn0cPmTG/du/2//brI1l3EodHHp + xczsFGCebWqx2+12m41gbBF3VfpdGOg7ocsIQIIphMklUzVGKZKcIPnSvh9jghkC0wDKmD+4c8++ + par96u250ydPnDz+vk0ViXjY6Y5xYV9YKpcLi9IoH9i74+hbr3f2dmmaDSk2wLy9b3j3Ap9Y+fWd + a5fvXDzpdWoeGyGsura+1Nru27f38OPLq/N3zYVKUTf1ugAdCydzMm804FSHBoeePC18cfHce3/1 + l7/8Rwi6faWFsrnOmQpHv7+/N9J1/y9/jjChlMmNTwxSSoywQlWHhjGY9RpSbRKs5A5MGbPbNIYR + bpg0w8tVBwSAIcDmbGvv/NGP/EXzw/PnT/z8Zz/74FfU43T6XW5uGOuV9fmVBdWupTMde/e+GQqm + zayHUgAu67pRq9d0syaFiTdcAho7EiABhDDN4VQYxRj4S2K29aEBiCkRpcyuKTaF4g0mPCCkBsL7 + D702XZSTS6dOnjxx8sRxp93u8/mJopoS1kvl9WJJIrRzfNz+7rtbBgcwVcDkQKjEREopucEwYgQQ + IJBISMlBUEytmGwBmFDmdjkVikwTdOCKwhFjQG3pbFNXd+HEuXvXrlz69ptT4RBDqBAMRv/tv/1f + nC6fSgilG26GVnn46hZDBgdDYAmgaZpd2YiCExwMA4gEj3tkeOjmo6l7z15c+frGX/yHn/zip38R + CASQYtMFKqwuE70YsOOBTcNbtoxuGRohoFp8IlCAMAxYUoViigzTsEnx3Tf+jfGdVyUgZAri9nV0 + Bb73Ljbl6TNnPnvvvff+nnFNc9htDkbVuhTPX8xX6nWP1/Ov/uRfRoN+I+FRFRSPp7dv33n2/J3L + X91+cO9OS3MqGvbZbOrtO3cq1XIgmTf1uqIJn9fucTlA08AoAcKaNzg2tuP6s8L1yaVnd2/+5MqX + f2OaAZdKaN3kxUKp1NzZtWv/YQGIm4JwMCybJGqZq3L83bOLBCElF1IigjFmGCjwDdcmKRuNYkys + brFpVOvVWr12687tv3nvvUqt4vZ7fKFIzYTV9fLKaombeOeufW+89UYwHMSMYJsToBqIxgeGhz4+ + d+Pmwzsn3r915uQvPE5w2W3LC8t+j3/ftoN8Da9Ole49fcQYpgRU2FBtSw4gmMexZ/f4wsSjkyfP + HPv4A8Wtal6tUlzF6wWnyTcNDw8Ob+rp6VLcRANQCcbc4KZRq9dDoXQik9WaXKdPnv77v/4bp91u + p5TovLpasFG1qWvT9944OtLd5tZAByEAJFE40ThzIKoiDAoSKgDDG+uawRHFiqoO9rU/uRe5dHGq + XtcVd6RnaFs4mrApgMHyghCNOM4GVLvBArNaWRIBZW5voLun7/pEceLas7/4s//LRQ0VKtIo/cE/ + +/1/9T/+id/tCMbiA0PDA0PXHq189c2db/9i8unfKnWfWnMrvF4tLy5UuYRYU37n3h3bx0bb21sB + m8AoouwlDEA3jN03DMcRlwgwo5QiKfFGuJ+QUnBBCEHEeoGkc/nDR45OrhjHL9364P33P33/FyGP + FkvkOHYsF/Tnk88BZCKV2rVjU29HqhWlQIqmpnxvb/8nn566c+fujVuPPz911utxA8Ds1ISDGR67 + dDgcdk/A7dTMWkVyl2azgaxns9lDBw/ceTBx6vwXX509/dUX5wJeey4amH02uVo0gTm3je+Nx+MI + YZPzus5rupBEUVRNZYwiC+hrQGaWIY/EWGGUgOCGDpar+Svo9uW/sNVXZUzZtn372vTTC99O/vzn + P//k/JWenq7S6vza4szii5lMOuEPBNd15HQ4FEqk2Xgv8vLtGjYU1iUmAphhIoyww6aoDFHrXU0A + oqnB2PbxfdMl/tX9x5+f+PTzTz8niisRywQdDsbNtYUXS+X1ijD2HN7H3nhtsLsVGAbAkhDOgTIt + nk7n04mA1/FgYaVOHP6or6enJ5n0SBOkUUNQA0IAMwglOxzp7TsW51f5yROP/sOf/9nf/RR5g2Gi + uQzEeLVYX53D9QJSHX/sjISauqMBP0jEhZQIMUWxqQpBL1t9r/x2pESSY0QoJVQlIAVEYmHf6Mj9 + e9umjp85fe3qt7dvK153NBopri3j4pJaK8kqeNLpLVu2jgwPtzSnVQWQ4FaihSGxEFLB0kGkjaJG + 39GCWREgAME5RRIwFtzAGANlIAWoTkeA7hgfnynymcd3j31y7PMP/oE6XK5wsgrK8tw0raw3RwM7 + d+/ZsmVzW2ueMTA5CBMIQKVaKRQLAmBmZvre3buHDx0Glx0QEEru37/3Vz/9WxDYbXeEPV6/27Na + WH0+/RykVAl4nWz33gO7D7/R39/O7A3LXAQb4DJsPNqvdncAhBqxpAgDgkQi0dnddfb81yury7og + fcObmptyHhdBABxMKQFJ0oCrpQAETFE4h1JJXy4UarrOkSkB6nVAOpcYNEqANNrhVr4XQxQzBSje + NjqqIvXB44fXHz64fus6/AS5A75QwCvrtfLyUnll3WbTduzb++bbb7Z3ZLweIIAJUIQIF4gyjVHK + dR1xg2BqGaIBSCkExtbTggBjDMh8CQ1Y/XghDJNLiSlhKlOswJ/vQrcCsATEKGUgua43TLKAgUSG + xIAxYRLjmmkUGVUUxQYC9uzdg0z69e07K6trpbklIgHV14zCi/VCnSMQRK1z2r9p+8Ej3/u+/91M + 2sWIzTB1DkBUptgZoYhzHVEJQADJxplBIoGIwhSn280AuCFAwwAYuV2tPV2bRzffX5779NKXdx7d + +8efYa9TcduoBrZaoV4v1At1ZGD7f/NHf9Lf/YdamCGmMtUmBDIFxpgwQgkCYQKXIBFHhCqq+jI7 + iAtT1+tcCKNuLi+v1Go1eFnNvGLd/pNVwn9dg/zpn/7pf95fkBupwBsnqO8My76/4Wn+sqELnBu1 + Sq1kcB0Q6+3eMjq6Z6B/OJdLESJ1XmaMRyOO7dv7Dh36wYGDb7e0d3g9VkQfIowAEcyhelzheDiZ + zzZFoyGmKCaHakUnmCTj8d7+/t379h547dDo5qFoOGhTGAYJugmY2B0+zeFxuN2EIaYyj9eTyeXG + dox39/QkAkEGQIQEQwBmyOQCo1S+qbmjo29wcPuO8VwuSRkAgCQCY1SvVZ02lkwm+wbHNm/dMzzc + 53UCs1ZCjIBywEAI1VTN4/ElEql4IqPZ7Iwya/10OhypVGrr1q2HDx1+++23O7u63G43JkQIYbnH + BoPB5uaWVCrl9/sZpZVqBUDaNTWZiA/09Y/t2rPnwKGBkc3pWEDFnEBd6qRSNJyaN5SMbNs1FowH + FUpJwzDJAF6rlgrFCkjiS+d6Bge6Aj7VpkmLufRqEWtMqPUZBYCuMhRP5ryBNGBXqVh22llrS273 + 7vHBwf54LCqEUVpfrRSLqqa2dPd1DwxrdqdGEbPauFKCEPVavWpATZKRzaPtLc2xSIhhhKQJpuG1 + aeFEJt/enUjnPF5X42xmcoJJJpMe3bL1tcOH9u/b3z/Q7/P7mNWoxg1ubWMjgQ2Hby6++eqrR/cf + TC0tH/3hj7bsPdCUSbsVhPWiXq/FE7nh4fHDb767+7VD3UO9Aa/NjkFFkgABSTBm4WA4mW9u7uj0 + h/x2DUyjJLjhdvna21oOHDzwxls/OHz0zbbONrebWpuXVSQhhBjSQZhkdd1QImowne4Z2LS5f9u2 + Ia8bbBgIcAAhuGII6vWG44lUX9/Azt17+/vaVCYIqmOpIKQ0ng9ct7lIU1Nba1N3Jt5isyu6qBT1 + QqWug8ROhzsRjff19O7ZvfuNd94e3rI5FPExLBhUuF5YWimvlok/0jy2dVs+EfE4iDWjVngtbnih + Nxg/FtjELfUvJk6XKxaNxsJBJEzOed2UmGntXb3b9x546wc/2rV7T0cu4bEhJE0QBhh1n011RzOe + aIo7vcisI27EwqGRvv7vv/X2wb37s51dXKHhbLItnRnp7gu63AAVkOur03e/uT/7cKoczQ4ePXKo + u6spnY0x4NgUVCKP17v/8L433/3e3gNHck3tqqJWK5zSGsMGocrFCzfv33nOVHXn3rEDh3bFYkGb + yuq1CkGQyWXHxra8+zs/OPza213dg247UhAQCYBMbtZrem2pUIgnm3v7Njdlm70uggFA8uL6+srK + stvt7ujs2bF9p6YpGBnAK0CEojqD4UQ629yay3ldTo0pQkpKFc1mD4ZCPb09u3fvOXr0yOjollAo + QAhiBDscWjKR8Pt9ClNqtToXyOcLdPf2vfnWO6+/+damkaGg36NQDFzHmM8vzH755dWpp4sOR2jX + rp2t7dlg0IMQh8YpgmIgjS4s5oDq0jRXlsvViun2BnuGB7sG+qkCGgIKApAOog5mfX21XBZe7Ihu + 2rqjOePzuRCDKkgEkgbs3mCmqam72xmP2FUCpq4SzBTN5fWP79r9g+//+MiR1zdv2hJOhBU7AysU + SQLmgCQArmtmUTIvKL5wsq29p3PHnu3ZlpRPBQUACwFYrVUNVbV5PN72ts7+4U1btm0Ohj2aKhHn + imSNti0BxUYD0Wginc3lm8PBgIqxXqnpOlfsjmAs2tTasmPXzjfffmvb6GjU76eGSSwbUkqE5BSk + S1NMo+6JxINNbcOj49s2bR3s7nYqAAQ4khwMwg1UrwMiLqcv1dTc1NqeysTsDg0TMKqGMITb7mrK + ZDZvGj586NCB11/Ld7apDqcNTAJ1XlxdrVFTCeY6N40MdvudYGccCSuKAVNKLcfwvtNwAAAgAElE + QVQP0zRPnT5z/fqNum7u2btv27Yxr9dvdf4twT1C0Eits8pisKaT2hyuRCJFMSJSSpPH44mewaGt + O8bbOjo8DkVUi4sLCwK73IHk2Niu5mRQUwDAsDjXAqBer68XSlzgUDK7aWw8HIu7VYT1CjKqCJjH + H0pn8h093ZF4XLPbeb3OEKIgbQ5770D/th3b9x04vG//wdaWdrcNE1FH9XLVxLMrZU8o2d/b29qU + DrgdljUeSAHAG4YIiKjM7g+E2zs6WttaQ+GQYZimYep1g1Ici4b7+3oPHjp08LUjW7aNRiJRShEX + QBEwa74JAsx1UUMEGJII+Nriao27NGdi89adrblQwI0RMhhTY/FkNpfzer3LS4uUEI/T7fEGewdG + dh5+/fCbb/UN9EacVG046DYwccoYVShBmIIJJteYLRDL+KNJbyjETd2oVbmh+3zeHePbX3/jjaNH + 3/D5gpFIrLu7O9fU5PN5rBrWWr/JBmEHWbPIDaNWrddrhWIlkWtv7R7M5TJum3VxeMN6SAhABhDh + sqn+cLqjeyiXbw8HvYRIk+vlsqEbkEykhwZ69+4aP3z4wMimTT6/34qEAcFdmuoNJ0PRlNsXVAlS + CHI5HIlk6MDBscNH9m7pG7/39eTSVFnY8b7vH4q2x1RABAyVcwDF4w7GoslgwEuIyXmVAGnLt+8Z + 3/vj3/vx6M7RSCIsqNLZuyXf3p9JRRwYGAAyeGF5zaxzb8jftbm3qbtZU5kKAhs618VKydCR2jsw + 1N6WT8aDDcqrtFQLOmADCFKYx+GOBiKpXDYdjQYVhZqGwQ0DI+T2uFvaWreNje3be3jPngPNze1u + O5JGBYMhQVsr1ELhZLYlO7x1yOtzqgCsYaahY7O6vr5U0EmqdTDfNdzRGqMAFIBJE0kDjErdQAtl + bg8ku7q6ezvaYmGPCkB4HYTutDt9wXiquS2Ryvh9XoyxaZiAkM1mTySTIyMjBw8d2rtnz0BvbyTo + R5wDN8Csv3jxgnnCidau4S1bkyGbhgAjAyECEiGEkZQgxMrci6pQfNH08Jbtzdmo04Yp4VbQiU1V + XJ6YwxnCxF6rrTEmU6nI4NDIli1bg8EwU1Qrdx4DYKvpBAQQBQlISqO8Viosr9X1jk07m3u3tOR8 + DgSaFCDqgCQQQEQJBsNNbZ1tba3RUFBlVEgJmGp2Zy6b2zW+/Z233zz0+uvdw8MudxABVgEUBCCh + vLpaKKx5fO62ns7eoX7FrhFMLboVBUThN6st1CCLETAAcxAmAbvHl0gmm1uam3w+NyFI1w3GGEKI + aVpnT8/47j1vvfHGnl07m3IZh52BMCgBrzcSjWRSqWanw2YaVcOsOhzOrq6eA/sPf/+HvxdLZ2Lp + dHtTeqC9ORHwWsnrgJDd4XaHE5nWjkg87mQK0g0CIpEI9w10j27bevjI6/sPvpbNZJ0aAym4wYuc + ccU5NLK1JZuMBhxYApKWlkuAXq1Xy0uLC45QsmNwazrfGnZTBYACb/D+hQTBMcIYYUaxpqmqwnwB + v81hx5TW6gYH5A+Eu3t6X3vt6JEjR7ZsHgkGvapCEBIgdIbB6Q0FYolQPMmxqTJBKbfb7UcOv/6j + H/zuwb1HfK6gQ3V5w/7+rf3xfFxThAJlo7wwNf3i69tTL1bNHTv2jQ4PjW3e5HG7sEp0obvdjs0D + Q99/4+2jb77dv23MlwhjBCpwFWoYyen7s1+evia5ku1p+v1/+c/C6Yjf5zP0usKY1+1rb2k/+tpb + b37vB1u2jMZjHkUDQxqAhIoQNuXy3HIB2TLdA239g+1xd8OxDYShlyXmmALj9fnnE1e/uYcd4dbB + 8aNvvdGUT3rs1mlfEsQJstTB1jZmADIBEAADTkStipCpqCQUidncYWz3cZPbGUSC3q1bRnbtGu/p + 7qAEA5cScDie7ugb7BwYYCohoiqMmt2uhSKxrp72Q68dfPPtdw8ePNzWnHfbKTINhAlgxQofoBsG + 6ajRAAXghlEtF9fXkCPU3DuSau1JBlUkgJgGIIQJRZgQaUK9Aki4feFoOp9sao2GQx6XnSGo1oy6 + IW0Od2t7+/jO8YMH9+/bM97Zlnc5VNDrjCoejyedaYrE03aXz+RCNwxNVTdtGty3Z8eeXdtz+ZZI + qiWczG/ZPBILqAoyAQRBhCq2ZDqTb2kJxmKG4KJek0Y9lUwMDG/es+/g0Tfe3DK6ORD0UUKo0JFZ + rVfKrlCmf/OuSDLntQORli2MCUKHanmlBksVkWju6m7Lt2aTLu0VwY0CYDBAmoAZICaAMgQORsN+ + byaXdQbCnCjr6wWKRDadPHBg3+uvvz48NASEtfcOtnT2pFJRO315VUWDK4eZFV+JhQl6ZWl51UCa + JxTfsnU0HY+77aQR1Yul22bzx+LtvT2ZfN4fCGFMhcHr5bKo6+lEum9oYOfenQde2795eMDvdlGM + AKkSYQmAEQJsoPKKtLm8sVRz3/CW0Z2jo+OxqNOrggISoGo5jwMimNpdnmA63dTR3hoOejWNGSYn + TFE0u9dt72rL79i29ehbb4/t3p/MNSkEqDCRWTW4WCpUVKe3r6+3ozkXDXoBQCIiGiRjhAEjRBEi + IEEaQJFONRaKRpL5lnS+2RcO2VVFr1YVjEM+f0u+9dCRw6+/+6P9Bw+3tOQ9LkYxWLmdAEKv1dYr + +nq51tbR0d/d2dnWatMUABCy4ZFKX+aAC4EtSangAAioZnd4/KFIcy6Tjkdddlu5piOmai5XLpV8 + 58jR33nnnV179za1tTm8LoywVc8yDNXSOvCyAD64efvW7XtzuSaHijQm7DbNFwxn8y3+QNCmqNzQ + uWliQkKh4OjolgP79r7++ut79u5v7exz+1zYcvOXDS9/a+BGsSwtFYN1Q2yQLgUggcHEWDM5jkaT + LW3tO3ftHhwajseDCAMCThBmyKpKOIAB9dLyYvHYZ2dn54vBWHz3gb3NbXmXXcESKGCGcYMPy3WL + Oo8RxkCQwABUocTtcmSbsvm21lAiSTUbJUhwrilKJpUa3TT8gx/86MCRd7r7RlweTCkQJAgYhqHX + qtW1taIvkm3pGGhubo+4MG30pwFRKQEoYAIYJJbIKnsRtZJ2OHCjViqvVmtFuye4aet4Ktvid7qw + BNKAtnVRXy9W5VJBDyeautvb23JNPqdCAIFZra0vLKwVNW+oqb13eLjXaZMEStW1tQ9+9cnHH55c + XC68/r13/vC/+2+PvHbwtb27jxzYf/jQvr379/cN9JVrtaXV9fmlYnNLayDgctoVDLC6WKmVeK4p + 39rXkmvPEIZUS6YkBIAJ0lycm6sgmyuUGhnd3pKIehSEpAAgQEjY70+15HPtLc5wSKUYSU5AKlj1 + urwdbV1jO3cfffOdXXsPtOQjBHOKdCR5qVio12rU5h8e3Zdp6gn7CQNgiAPCwhRCWHltYmr62Zkz + 52enFwKB8P79e1rbcj6f2+r+NMj7r+Jt/8kw4b+W8V+WdfufHNZMy+98AwDY7fI35zskaF5PU76p + hw25Ojqbkung08kH3Fzz+1hvb1dz20gq298AIBv6RspBcdiCLa3+oDOy0LU0MT3z4PHE3OJSrbxu + V1kyEU82NaWaWxJN+XjQjUESyUFSkAowryeEx7Zv84TD2XxybnERCA1Go0MDA/FwyMrukFJBAMBI + MpM4+ubRhUKpJrHd5c7lcqoCEoADAlAcLt/AwFDI41peqdlcLeFEv9cLjAB+6ZSIKAAHwpwe72Bf + fzyRaevobbv7YHZmZn19HaR0Op3hcLilpaW5OZ/P5zEmGGMAJCXg/5e9N4uxJEvPw77//8+JiHvz + 5r5X7ktlVmZtXV091T29zHB6ZpocLkNI8iKKtiDohZBoSbQAwbZk+cWSDT0YBv1kWRYNQgZsGrZB + WyQM04ZFC6Ih0jBnON01vVSv0/tS3V3VlZn33ohzfj+ccyLi3qyhDIGW+DCBRtfNe2M58Z9/X5lt + Zq9cubqxsbm/f/HV1159/fXX3377bYX2ut0LS0tbm+ur2wcrOxe7c7OFgMEgKTrZI4/eWJ3f+2x4 + f3l5yRoTJirVJeJT0/NXrlzLpyrp7M3NzWS5hinF0WlbB+jSpB6AwGZibvErX/v6xOyl+eXXb7/4 + WrcjO7sXHn/80Y2NDa+OCHPzC1evX19cXp5a3pzs9awNM0soSVKzsLh8/Zq4bHpz//Li/JwEbV4B + kF1cOp5bW9093j58+6WXD19+5aX7X3zuKldk2dbm1sH+xb3dvQsrF2bnZsLMkLqPZx0TauMYETEz + k2yubz/2+FPHezsvL0+/tzN//+MHKyvHe7uPXbrxyPzecj5tKOiLbBDmHhQTyweHz17Y2rl89fD2 + zuuvbX/w/uvDwenMzPzm5s7x5Ws7+4cX1lc8wA5UglSh5ACAoTncxOHeY9/oHi9dd6cTF9ZW1qan + QtUzgBwyNTNXPPP0j21uH9/97KSYmD26fDkviAB4JdVgU4WW6EtLyyuLu3vrj1y7+NEfvLR7573v + f3zyri9RmMmZ7uLywtLmxube9u7OwUE+3eM4WIZN1l1YWnnyybnj4cLezuZkrz2mjBqtuJ28Qghz + Znq93u7u3sz09MHW2h9srX/8wXsP+hXb7v7x9d0rj+4c3+jNmTmTPL5eoWRWLtyc2ZKF7eX91197 + 6YXT+5+tLc1d3d176saNC7Mzn4u/b+Uj118qZqamerH+VhWGsyJjpl6ns7W5fuPa5kePbH93Y+XT + d97ns+HEZOfJH3vy6NqllQtbRFlZgn2J0F5KicRQZiG8tbX5laee2lxf2N9ef+XOS/3+2erq2uHh + pes3Hl9Y2s47DA1+Ww/A5sXc8vJXn/166Xqb2/tTHRuQXIlnF+Yfe/yJg4PDhfkLExMTAUJeiYmL + 7sT+/uzc4saV/f3n93bfefONj+5+5sFZ0Z2antnY3NrZ29/d2VpYmDMCdeXk1OS1q9dWlpYPDw5f + f+21d9/7UFWmZxc2t/YeefTW5vbe7HTGYTwFdDgYfnb38zdee8MYs725sb+/OzszyzFNOohoCrzO + V5DYglNW1i586YnH948HKxd3s1SZC3ZwFZSAzvrm0S1xkxv+YH99smcRZzQK2GJ569ryxsLx8crR + 3iuHW++99iYNSjK2mJi48dhjN64/sjy/UGS5z5xiqCg9OlCBA9RCzcTi2pduzcvUwQcPCkx0Dw42 + piZDigYb7YLoYPfQZt297X1P2cLSxuL6haIDgz6rjwMCAIhkvendi1PTC6v7B5devv3ym3fe/Ojt + 9/vDYWd2anZ1aW51eXf/YPfg0uLsTFdBp0OoCQ05nfc2M8X85Nd+7MmlS1ffeoCZ9f3DtdVeJ3Yu + VnIK74PKJnlvYfaZp1Y39y4dX9n73vd3fvDeW/c+uU8VL82sbK1d2N3cPNzfX93fHhYyBHkoyMws + rFy+OoV50PzVuRlYC68ksS0LwRioDs7OPvn0sw/e/6DfH+zu7O7u7C4vLUu7nCgRnIJIk1pMBCP7 + O7vTM/O9onh5f//uB58sLq9uHR0fPvro1MycQ0UwFy9dNpO7lz6j3c21PHhcKCRyVUrS7fX2Lh3b + YrKvsrq62i3i1EaosM2XVuemF9b2jo8v3r79/MuvvPv6azwcZuqybufg8uX1ne3lC5urGztFRwDA + A1lnbiF75qmnPynz7Z2dmakpApz3EiwWCSNhBCpFr7u3N3thY+PoytEL37+9+73vffbp59XQdYp8 + dXlxe3N97+Lehe2d+ZVVH7rFhnEhBNgYHaqcFysECy03Nne+jK3lbdm9tNebkDDPamVl9ZvPPXd4 + dHzppe+vrixBtdud7uRTe3uX9q5c3jo+NN0sS80QQ/0IpBBTgR1wAkeAwcza5uz6N6YXl7e3dzYv + fPzhR/B+fn7u1hO3Di8dLC6tLCyuffzxZxfWN2ZnptBihcHYCv+PEWjvO93u+sbW1579hussLu/s + THQavqleCckkYpHpuaPjtfWdG4cvv33nzv4rd164e/fDkxMFTezuHh3sbe1uX9jdXp9fnE/jERlk + zMLK8dRaZ3p1bfPiSxd3Pvnww0x4caV44qmj7d05+8XSxMRvMWCMaBRO7GE9MiZ7cHAwM72yujK7 + u7f81rt3qr473rt6/ejm9ZvXqFN9dP+9oell0xvz6+thUEnYjQsb61/+ypOH944WjlY7WWZCBYbY + icmpo+PjQTa/srW/trpMbSGhABicgYC8s7Aw/eTjS7s7a8dHey+9/Pz7P3hncHKSG9ObnlzduLCz + v7u9c7y+vp/nKWZhzMxc95mvPvPpZ056dm52xrTzNtig6OzsHX7rW1OD3s70zmaTdRvaIeXduQX7 + xONPrDyQhaULK0vzDZ1Jhon88tVrC1tH+4dXXr3zyptvvP7J3bteUXR6K6urO3v7uxf3l5eWZnuT + XsEAMSEvHrl5c2rP9SeWVxbnkj0rBCJOs0pItvcvPpMtHfezvd1Na8Gp6QeUi6nZ/YPln6K5+cX9 + F76/7P399Y25o6PjpeUlmxtjUigZ8FBp9CcA1O1N7V268rN/otvZvjm5u2kpdRNhjvncVrYu7i9t + 7h8dXbp9af/lF29/+NHHA0em07uwunr9aO/a0f7y6nKVFyexB0HEp8WVxSeeeuLevXvz6yudThGq + ryl6MVoVSTRSn6SxrNiYrHNhbXZmZmN/f2Nvd/2VV26/8+67RFBVk3f3Lx3vXry0u7O5tDg30bFC + w6pEXkysrK0899zyzs71717cfe317w8HX8zPLR5dunrp8OrO8dHK7sW37t7tGV5cmAUAEngFZ9lk + 58YjC/O7h4f7F+9sbb/z4p3hg3vLF6b29i+sb61tXTxe29wlAHqGrFi+sPHYY12e39k93J+bnkrR + MSUlgExWTE/PffmpZ7YH2eLBxdnJovH6KYGIYnG1BxPnWTcz1x95pDczvXtw8c23f/DhJ596NrML + y1tbu1evPrK1tbO00JPQPiwkYnV7C5PFs8/OLm3srK/NfPjhW/2zzwpb/MQ3furmtceXZhYXehfW + FraOTz/f3tuOs9/hNQwxZ1hDszOTN28+uj47t7W59v3Xb7/9yQ86hXl0//Dpa4/tbG3T/PQpYQgQ + hoQK6lOWK83NL9z60s3e+tT+9vbvb24Mz057ZmJzce2Jm08cXLrcmyo8Y+DD+FZWykx3Zu/6rW9O + b5jV7aWVhZD9GyiNhBUeitnJqfmJydyAp6aXNjYPLl+anIoprkw+NU8JIPZN6YgqvI8z97q9raPL + 3zALk/O733v+xcHp5zOT2Y1rl65dO87yHM55MtydOX50ZftyeePjT9dXZl99Zf2zTz/KM5mfn9tc + X798+cr2zv7i0kpw/lQkhiIR2ICe2ppYEVN9527cvFVsDPILR/OzU4TYQp04NF3TIPuRdbrd3q1b + 64vbxwd7O2++8v2P3nnjk0+/qCifmFne3NrZ293Z3NzcvLAwVQBuACUpuhc2t35idnl9+2j/xdde + vvPG2dnp5GTv1s3rR3trSzPFex9+8vY9/4CmF2cnLIUyKIixs7PFk19+fGt3d/fgYGtr86O338Dg + 9OL29tbe4ebupd2Do5mFTgV4cNHtbe3sP/nM1z7udzY31ie7qVVXpEZBZ+LC2vo3v/H14eT6/vpi + p8hq3stpAxFaxRARwCKzy8uPZo8tb+2vf+fF77327ocfvj/Ty3c3V7/06CM7O5vVsJ9Nzk0uby5f + uFDYVlVzbDDXVv5Zst7u3pHvrh6cYmt9pZPbyB88w5PMLF6ZnVs9vLj/6p1XXnrzzktvffbJ/epB + P1c53NvfPNi6sL+2vru+PDvNqTObD7ksLFA+PDz4yWL24t2zwcTiwuL25sZKt9PqnwQCpIIVsdu7 + O4uLm5cv7X/vYOvVV198+70PKjJkO1OdbG998WBrdefguLe0yROx7MJk2dTU9KM3bsxvXNzY2Jhf + mK91rlQZIxrUBFXxHiiVPBX55tXrU+tbm4eHL9157Y033nrnnfeZZaY3tba0/NijN/cODuaXZn3s + 5ek8wMQEySemtra3f/pbzxWTcxvr67nNvEbjWJveOB7ex97gHoCAGGRm52YfudrbXVt+/ejiay9e + euGVO2dKdnJqY3nla7duXdvd681M+YzK0Nkt7DthZ2eHvvrM3NLS6u6N3cuPdIocqMTI7OL8rcdv + Xdi+eOnS7bfuvPrhu++U/UFmzdT01KVLh/u729sb67PzC6Yz6xhlsOW5lW/bIEOk9jBjLHZGIoLH + 1PTc4WH2rW/J3bsnKnb/8PjCxganUArXvBEOpGf3v7jz6luf3L03MTV3/dbNtfW1bjdjgASiaa/V + gzyaOQGCUNOX53Nri99c+NrulaOj19564aWXP/zw/ZPTBxNFtrm0fGl759FHb80s76KIgbggQ/O8 + mF9Yfurpr34+7MyuHsz2JOSGQQHyBuzDtowOnaiBa/N8dW39iSee3jw829/dn+n1YruY+ACyRXdh + Ub76la+cYHpzbX1yYgIKOALx7NL8rSdvbdynYn63W1iRctC/99777/3T3/2927fv7B8e//iPf+ub + 3/5mZiHOoxzAl+Xw7KU37rz94Qf/2z/6ziuv3Hn3nQ++OFpfnl8gpu3dvee+9VxmO0t78xJlR/0f + wLJ/8VK/t77ft7ubm4UNeygQBfP87tbTa0trxxd3Xnrx1Zde+PSj9/zwLEM+VUzvbextHVzZ3L88 + NT9V5IAnBZHJllfXH7v1xOza1Z1Ll+amM0OhOzDFyYPkoXx6evrxR5+88cab1prt7c1LR5dmZmYT + KzqHPT86/tgcVE8K/uc9HFACJWCAQpW0kf8gOAoDWNUg6Aneww9hFRgM/Wl/UIrMZvmUelQOlVOm + SmhoMBQhLxMV2UGJ3KFg4Ax9KV13AK4KWHGMIXkvAzWOSADR0pCvRCphtWwAX5aWYJjhPVTBCleW + cKXS0JGyFWtJpPK+6vcns6xgBjzKIXwFFsCWZD1IBMLwhKG6CsOMvGBA/qwsxWHBs7GCzNeuWwca + +PI0TsBQgopTrhTVsCzL0lprRIjDoG+oqojUn4Gof4YjdHcKahSrGiJhqqSoBEPAAgXOGCeoClRd + lAxGZVFlXkAMDzjyp+xPQGfAzIP+1BBZtwPDMDgDRJFFrYiCz8un6skKdAqcAaYc9vr9onIQC5tB + BF690zK3bLRCNUBVVdL1WdcDBjCooEOENl4KT/mZ5hWzjeXYlfElyKHsAznsxEC5qlxZDUnARL5y + UBWSTl4I0zgPCYePOTxwCu8wLH/1l3/5N3/9f/qd2y/+h3/vV77+c//qhAFOPyqGn0xkM76aV59r + jrKDAaAYdsBh1iPCf2wAKhVD54hK4UqhQAbKnYIsWFCpWq957NyKEqiAXv9zDO7BD5BP9fOpe9Il + wJUoDLqEDCDtQxmenEcJ6xhe0CWInqG6B9eFmao8KijbL4TuCyZRTeKMh+oG2ekgO2OIlNa63LBl + MBO8oCI4gYU3+IT1Lqgz8Mtn2iGPiRCQYUB0CKfwBiQgKIPY17JClWOXHXjnpBoaP4Srhp6GjqTo + +cwOBR7ohKln/S/gz2AVqCBTQ+rd84QKrD5j7YBMcNFa/YKrB/AFTDaUCcew96Fvv/Pd3/hv/uF3 + /v5/9521o5/5a//OLx1dujAzQxgMeOBzRyYXtfDGK0RgOVphZxXunZ74//Tv/Or/8Gu/zeBf+rf/ + /L/5Z39W9QzsibwCpYPzVHSmCeI9DIeMbA8uwRVQlqrqewTrHYghBgRP6uAqLStGBpNDAfGQM2Dg + 1J6cqrG9XBiuhHOV10HlSDJjLTFRqD+jWs920AreV2XpnfOeSCxgPFishYAVWnqjFeX04ftv/Ob/ + 8ut//W/8rYv7j/34c//6L/yFX5ieBSyAyvsS6qzkDKsOroTYAUsf8No3rm/ZFqXFwIIIBWDRR3UK + V0IrkB3q7CdDyxPoCXKU7D+T0gAF+ooMZcc8gLLClOBSKwiZrPQg+G7OhlBRNcRpX094MDFppswQ + VFXgj2HuQmahMyd+4oxQ5XBAF8g8uqWSIZQDr6WX/ExNxVRYZCgFX6Dy0B58AcBzNZBSWQUg7wYn + Q6tFRl0F9bkaSoVclETBFugqTBgdK/BSAiW7MwxPAYbM3ZNOX9AFJjy4ghN14jzKHEpDB5UwNbVi + KbU8GTwgoxa5H2jGeW5sVCszDBgnQFZ93uMB/Al09gs/+0DQMcgUBRzDo3JQhRiU5fsffvi9F27/ + 3f/i773y6uvPfv2b/9qf/rkvf/lLQZkJAxCEIQT1caY9fBVmWoQSM/XOe3jH6giSl5YrAw8U8IU+ + IF86PzVUS4wCgHroKQiVOpdZQaZK3nOpVAIQdAm5nsGfQcUNFVLA5v3Kl2CrzvjK+sozqRhH7EnI + sAIWsOUX8A9gJwY6ccLCIUlWUZ6ddjOTGQ5dF6EOCOEtC6L+cDgsKzGGQN5rNSytodyayjlYS9b0 + K0/EVgCPogKFq6k81RMpKEcF9OHV++UzbytGpuCyyu0ZmF1ZgYVJXVUOB/3SmaIzQ5KpgWP41LuO + Iz8L+noJqeD7bqhie0AGqPNVhQqAenVV2e8Pur2JLM+JZFjFZuyUHBW1kRxCNgQP7+AdXAVWkPqh + H5gplxkfoQBGBQdyYCZIH3QK14dOgmf6A5TuzOuADaCFq6wxYg0MQ71XgA0Tg7WCH4AqwJYD9cgI + VA0rZjH5qckelP6Tu6/6/+iv/t3f/60373b6f+O//FvX/+QTS2ImoBMYEgjewDN8WWm/1DNXaiE9 + g66Sq+zQmeEA9gwTA6AAJoACMENFRQgmdgd9WynKDobwJVSqMr9XZtIxYiJAbJMbewK9D67geif9 + nPOuWDgPp0OqKq1cGLLjoGwE1BmWJAYdA8YQegLfGfYzBfsc3qACMiCvPDsF96EPoA9AU/d09oE1 + HYaNmkwJfQD3AFScVhP3uGsswhAz432ufVQPcNZHPqXFVOlJvVPvVFFVzuluGz4AACAASURBVHnY + PAezEhkBeaB0uWGggh/g9OzMTA27ecnoAAUgOAtYMKxK60oyjLJU7Q0kHwoygJ3PeAjjgQquX5Zd + 4l7lwqBLzzxgYRahkDobwkheCX3DJVOBkGfmAT1Beb+sspPu/ANBBkwCHS3hz+CG0BIQSBfS8UpV + OXTVECSeM8eWCBlpzh6sD+BO4CyyDij3ypVP08IVhjXjvnfKwS0LG8RxUEdTukzKBz5FdZ+5Aqa0 + 7IAsMbyD95VXp6SAKhli60J+mZbGaG7VVSfMJfEEfMeVUg5L5pJo6D1bmWCSknHKGBjkwITzphpC + XXRNZnkl+QOgAswZ7BAZoXQnTh90eh3YCU+iQO4H0D5UB9r93GeUx81ir6TKoSGL78OdwVdqpk7t + RD+gFtRqPyY7g6HeVxUjuK0IVVlWFUSGrho6BRsymZiMQ6DKaWZI1MMPhT2oAoyDGcJUfqg6AIas + xvfZaNEtcvSBEsjgCgyNCu5n+LD/ySv/9Pf+4Jf/q9/6x9/9+C/9lb/501/7xvWDxXKIAaEyAxHt + OlN4A4bLcAIM4Arc7+gDU7r/9b/9nX/vF//j1YWLX/7ZZ37hb/5FmhWGDvun1kiBIvPWpio473Vg + nM9oCNcBFSoY6JClb3FKKBR2iAkobKjIOcPpvfvvv/0//oNf+ev/ya8W248++2f+yn/w7//ZHlAg + pA1WgoohBANH3g3ZlOABICgtfAFh+FPgDCZX3+07rhy8A3TYySUzADt4B7JKxitYldSV5cAplHlY + OQCGOcusDSOGK+fIwJCLKYBghnodDIfWGitM8FAHP4AfwA08TX2qvX5BgcsX6kBUls4rMh2IOJQn + viR054YmhwdVA3EDB1NRVrEJiE2ErgWGfeP7lAlUoR4qStlZxfcfnGWZKYrCsloaAgM4HfBk3xgi + 5Ao7vMfsIDnYKszAU79SgKwvja8sQSVTNp7hGR7oVz5z93u2hDurdOqBTrmcc4AdcoLQAP4U5QPQ + 5D2aOrHcIRRBhiFOPMgA8afQU1AGLkpkFhoAArXDik81YyZLlWVnGKoVvHOcnXgzYJtbEMAeBcOE + JF8AVDhiAIIK/hTeVcjONHOwmaIIaTGDsn/yaWcqR8eUcA8wcEPWyljqWC801MJYn6vLqiH64n1O + Qb3tDioBoYBH+TH0c5ipM5r4wkwCYdIqJhyK6gz+LiSDySs2rhLLYcoyvPfel5WiX6kj07GcoxQq + IVkl2QAQBIZ8AuKTIZ9oVhTUIVg4QBXikleSFEKAd3ADCNT7flVlRaHel8OhsDhPw6E3pmDJQkzL + MKwFoE7dwA3ZmizUK3rvlAalJ8lYMkXsOeEURMhCKmvZh3OwFs5XVWXyHESV8ydnAxjb6xR+OCRX + OeaTypUiEzbvhlRQhlJVSgUUZQl16Fiw75P7BMyVzJVSEEDOib9nrCiyoTdeiZyDc+oqBglzVZVG + xBR5CAx7wqACwoTjxlKGQCmE1kMDAfXkiSm0mRmiOoM4UI6KVY0nAyGkoTIeJXvlEEjQAWj41gv/ + z6//xv/5K//gN6YXDn7xl37xsS9fX1mbCpVb7IICBZADO7BXuKr0hjpEBgB0AD2DsHo7cFI6ePLK + KoRcKQOB84HDgwpZB7nAoGKcAR5w6jDQqSEZEuQOdqCEEhZqaYiKHGyY0yE0gHpwBjKhsyE54AT6 + wGt2ppnLejmxVjAKIwCdwn/ufXHqJ4diLQfNDBi6LHsAuge1qtMD6RqBweeffvzi7/3Od/7z/+zX + 3v/B4Bd+8S8/882v7FxeFwL1S1QlLMO4jz/8wd//r3/tv//1f/zaD+7/1b/27/74c48fHV3IAXHC + A6iiyuCtegyLgEiDIVDCAN6XNHmP8spgCugq4HwML/fPBlD0JvpEcAO4ocCJE3aSUQ6TOcHAAx5F + psJnQImyDz/0NN2nqVKQMywgfgjnwSZ0dXvzrdf+4W/+z3/7b/+di/vH3/rWz/6lv/xvdXuW4FJA + AamHy4/GlP3xOv6osm4pRXBSlufYr3WzTQ51ih5kM+4yA9QhgjKswIAI1kAopu4YAGwDOwYMMmuH + HCxLBjOE2dgOo2IYDZliyBiG4ELdqoiEdYUIBzmwNwSBsTCKOKvdM2tWWE7Vk0agChEQS0pKDS8p + JIQ8NJ5nNjY3Ro1qaEqEONOEPFjZGqiBmtBsSEgElBnrvWeuay0AVa/KdRP51gcCwCzt5C6NXnET + a99CQTIj9ISRWFQqErplKkBhnaAcpEDWKTIJ7d0BjU27kzmro1RJ6c4Qa62E23F8pAezGoKCGMaC + rWFbte8RElpidpPJwLUFzqmoGGJBBkyWIEasdmKLLQW8UhL8Y4cq1PvYe8h5VBUUYB5W1aCslEi9 + VwcYdDvdbjEN6kqVw8GZ8D5O4RjJJcwuBqCCy80IsYArAAqjisrHgdRCLm1FLEaxALiA8fAFbJEL + d6AK8jb291aAUMRNYTDHKAeA0HcRYkEggTARmWhUEmCRibDtEoQhJherpklcCilDEZ4GlAO5ZRNG + LEuTkkwN/x1luATUgzgBiIjhDCpQn4EzZS+moqhNRs+5MdAMVIUkEUPUkVCjwgKYYKY6AqsFF9AM + kjXdlKSEDCtfucqQTnQya8iCTJ4bQ+wAE5quubpTYGAlAu4UWeWqs/7Z9NS0MYaJOdAuK0Ci4ryE + qUuG0iSclOamMEIMsaEKXGO/LyJiGEMs8IkBpowAIlPkwszCAFsYIyAbrh0JSrVgSQwmk8VcljBj + PeRHKYEVZJiU4N3zz3//+edfnuhOPfXUU88++3SeB0YGr8wUOq1SoDKxgUwMoGQy08kgMALXzqcK + c9C9AdmM7aRFJUG0krCFGHiBIRhlUAYyxDYTNuQojnQh5ZhdCghMToXkuWiYa2hABbgbiDQnaIrR + MSCciNMYBlhMnhhJvFlIHiYFEYkhNoBjlMKVmbDsOyHNpiNG2Hj4EPaqE92SuhA5DUwWgJJRkgoU + +oAQRY8EQyycgARMwqFXQRcEA4uMxafbaoS5BazJoq1ENgfK4CgkxPz+lN4Kort3P/3tf/Tb9+8/ + ODg4/Pa3v723u1cXJxBBJCaXNw3lqJ5u5UEgUREWY6AGSRYhLIQMBCI2T4V49S+GjMb0DmFJCUqB + HolDB3OxBBZlyqwYgoVhCLwwUcAPR7FmkgCIAecgMSx5RFYQQfJCghUVhyxRGv6hIMqsFZNJ4mg+ + z0J1IHvvmRUIZB4cJbFGTwGRjAuNCp8Fg9lkCgKMQmCieLUxEmJMbmxHlchYJfgGC5pDKdk9sACL + CT2hHQBhZiqi/uGzPC9YDJMoYE0srasF3QiTCF8QgRlpzBMXnJMZtrkfiLlRYgCB5CGRJLewWUfJ + ECuQqY88lwBl1qachcEGBKjYjAEDoizkx5oCGBrORUqnlaOSjRIrqU/CyUjIwSUNQ/kMCBkDOTwR + wsgOssjqsp94BCLl6IpPZSsS+qGYLOtZ41NC9ghbIwFZEEFsnueQMEUDBhkby+qD8FD1mnqr15pL + yEq2BatGv23QVYIDG8QgCyogeQFTNT70mnVYkM2zvBsYTGQ4DM8Qi4JhLBEZIRJDMAC8wmskq9jC + n0FG4gsTo9MpJI8Cv3kWA2TEEBHIw2RE1jKUQvZQjc0Czo3NKCiGBDArOnUCE9VIFZTbOF6kRl+B + ya3t5IJhep3IOFigPrCswIuzzMIKSByl2ZkgQIIOksfWlUoMSCMqQzMR4TSe7ryw1+YjgUlyEEND + z1CAwBZMBgh9oEO+MtSHjENL5ADHJqOA+URiIGJjD00fpmVCCHlUXiBMYG4NRZVAtAawBTILAqyf + UDBZ9sSRQTGHrGXLdgJwqQUbU9KLAxaKhRiSzMREgcDHUsJi4Jhh+ni4yogVgYhokYFCfXc4PIFM + 1IRI6p7MzCALNSxAphCG0Y5hZ0LkL0xMDGVPDAIsc+Y9qVeGZoZFiBlZBjZwlDNgBSGCkviXGpAk + GyXr5AM3GFaDIs+VISDbFQZlYBPkrgICZp8JKpCDKMQRxMIwcgIBhiDBZUMxn0Ydbj//+isvfeJd + du2RG1euXzRJpyfWRAhRaLNI6paApK0DYoNuTMwdhprA0DOJSOxBomwqAFHzrGwGS1Y5MykHLjoA + tAI7JqlAFcEQWCHqlCi3hlhqcQsSsAWBJe8Q1ZZOmH5sjHiFqAUBJmMxYAljDCTLoGxIDDiwnZDq + R4AYS/UEWCLAEJvcyLSxIS+HAaiBdzCUsQkySAA2GVDFiVRQw1xkFDR01qA/sLboLTOccQdMYDXI + c+USccpCw+IkB+eFsItxwZZkD9YzEWBSwmw4GGTAkklGTEQQWIIBHHmCiOEsh1C6m9SPC5OJGhuA + QAYihrIC1imMIiR0kLWd3nRgqIDPYZFZzjKDzPjoXWZLgBgYZl/vl5GU4ig50IUpMs6LFhOScBFy + sICYwMEuT4jHjNwQjIcjWAJrFvh2LRoIQSRxnlsQSSsnkNLetPJMKfQBIGPIdCoyIi7PlYmgpmMZ + bDyhClOionIwFCJrjEJCxyhiL6AOI5XdRxE50no7aOBEEDJswaLERNrpEDEJQzILtYaJgIpgAY7O + RBCHsgu1oaE+gcTA5OEfH3UoNmzD+xgWgFkMwcNHNdE4G4dwhnmcgK2LPhLcKP4SmGGQ1EIcki6D + OpCDABLYIJPIeY3OXoBhmELExgFVdf/+K3de/yf/1++urm9ee+zpK9evz85MBdU0OIeHFYwFk3pX + CoiYLNvG0cQhuQZEXJAtDIUIPSHhncIQ8pht1CIZMIlYxD5AQiBDAEcBCcOMUO4WLANtC/mA88iZ + 8gK2DCMtJNl8xGDLnBVkpU4RUGhU8ywo08pDwfCqlas8kyjhdHD23gfvn5yeRLPNKyoPy3p68uFH + H7z26p3P7302MTG1urIyPT1LEAcnBMpAHsRwqLjWcCXwKQ8jlm0BDBs9IbHozGTMjjgDsxQsRuAY + Bo6hAgYTDKfGueHNTQYVpjxDHJ7qKrAqSUA9B9WXX7rz8ouvMZmnn3nq2a8/Y/Ok87SIKfIfoKVE + /+j4l3z8kbhukwY5vq1Bta0ZRpBOlNDCAGKYQolzS4kAQMFbpkRBnrHEbrpsQDAKp6hN5+bhLSmX + 8D4WM0Dj4N/gsKsAlbTYeIbUOTm1Ce4Bp8HyTDnmQghtYhTsYAgcXYD149mDPKBgiWUCwfMHAgWd + crTOlojph1DC+e8JtTZMTYsoir4SjvI/fKRkRQEGFFzhLNJseRpglfaqlqUNWDm2oiKq3doaxzaH + uhIfT2MCxXZvzeW1iyK1ZahXFaLtENNYy2haNUjw2o4Z3PWyFd57NqHaIIgxBrNX+ABOjTsgwf5U + AsVMYmpctybhD0PqZs1Rz0mvmrzVgEIFVfLpMCGp+VyACahAIDhBP+j6YeE+hNRa4EaD5AzNozHP + UU1OZkEjcnw00TipJxGaXJ8CAfJAyMnx1Dwj7W8dS1HUAmsUrVJTEoAEEIqwilsDAMJQk+b6EEFT + J+XWraj+qWIYCd3jwSDjIJVXJrKC3LCQp9hWU2raDyHzBDgH8gQSMQo4eDZCnPAqDjEFkbBYr2BN + HZBrhQWkYI/oEA07m/KQKDroUDvLArQZCHH3lvubwKlR4A85GuW4/kw1PhMUTt3QufL2Cy+++ca7 + x0dXH3/81pWrl7Ks3i9iNoQ0rIyIBYn6EFKF631vmGRMAEZwCNrkmAAAGLCBMoxAPKFWkDQFhQJW + 1dQe/AfWkCHUgtuCimDPB9VKk4FBQHKLSF251Dgegz2rAFVgAw5eXQEqQhU81MGODW/k4RmBKYww + IQqoELsWcLDOJUmSxPuCEp6BDbyJ4Ccw1JL46Gl5yJ4JILEjnA1GgmkER6DJkJ7jT09P33nnnd/9 + 3d+bnp174oknbt68OdGbdC6UVNfKcXydiDmUZBJCMV/S/FtUlz5y5Dy1FVHflKP31Lcgn7aYY7iO + OTLe+s4KxMZ1rS/jlgnUBqe7pBsy0lifGGlP2xdXrtzmPQRJU+xZGDGiGh/LNeYowlQvjyohTlxD + 4FEc6gKIITX/IHBIjHUKEEzgoW2rWKGBJ0AFMBANmfWBUqg+lcmwHVF9x2He/pAwJsiouNIwGbyG + NhDiPY0WawCK/UwZwvAQhQuGQNjHUI7XQr1gPyQ3cahAjAjDoDAxjjyc45IMrCHDTEELgVCqWopN + 5KPjr15+3E9JNcgNjbQEKIdIQiB3MEJE5eGTgxkBhmSMldolGvkrBX0o+suCZ6rho5AArSDyuI4x + M2IhMAWFRBIcayFCMXEyNCpNSBUN9eD7zmIf1/ZBhFpfiLsWcCwQIBPYUIxr128aRSgHJPQO5ANv + DPYfS9pCCIhJTIAkIU04ASk84jiHOOw8aIajCouALdhwy8+Y8IHTRiCKvYBAras1stcQziOkkqgY + mldEaQZIYhRtjBtRkusXJ5vEx6hUI2h0RjOlpMhI9iBKftTI7yjxLOaw90xx3gUDFCzIoHaDar0/ + Wt0BLQ2IsrrIP8IKYYpc3GOuhTmobiAe70QYZdpozg2rqTXIKNI4yFWPWg1oOYYiNdYvrALnU2dL + RBd/IpVal02MmcgG6g6hRCKvowhZE6NGlFKJ0g9hjoyD8+qYyccTxENddFmG9SnYibAPljpQAZ4R + Eq07SSg7ePLDkCRQDqsXvvfaq6/cFZ46Orq8d3EdqKlAW8iS+sBQDQlOhCSgLJ7jiRA5UZT3KZjm + AAUsKXQIVDGuGxWOpFtrBaqIMxdmYsUt8gQx0kQdkq0gQWlLRQ+1pQOOBpNAHcSCLGhkMmD7aBx8 + UX11CZ85eIJDfuKIToWYux5eNsiq5B50wbfVPEAbr2j4zwDCGRAdtiHJhlOyBTQ5pllq7E1AbxA+ + 5chIkuGU0kUIPJbRElgVAdTW0FpMJEqD1p9Sq27Reg2/CWCK5PcPcViO8atR+FLtiA5SjRIoxAJ5 + CCq3KUsCW0vBHgIz8fn9avS0xHPQfhdigITINuCi5vR6Q5DqR6kCWSCrQAxlJoQuXhw9Zg7RUwzv + oUOQNWQqcF14T9EDETtC+VGMClhVt/MOnmUPEFGW2ShXk9+89ja0NI+kKSSNM4mD5iyOjNC31QoF + IvA0+I6TGhrQL7U3biwhtDlVYMUUonGgMNEhgwZprVG7UE8kBHalJ2YIA0NggKr8+JOPX3/jBy/f + ef3pr//JR594Yn1jKjchbQ4AnKIKgVxRryoIbhcz8nAA8DXbl3OGFUlMTWtpBVSTY7RJKemdCXAc + Ve6IMz65fVKGUriUhaS2YBNkqMZYSfJbNYiNAF7y3ikNCSD1Vuz09MzM7KzDp999/g9mlmceDB/M + z05lrqLBoHL9Dz579/lXvvf9F28Ly6XDw42NzenpHgEhRNJEV6AhSACiqAqpSwAYHRsVts9yiG+l + /xJnSPI3yOsW4Sd0Iq5fDQpVJa+AOlcNS3/79ovvvfvx8fG1xx+/dfnKgchI04mapFpLqZXBh3Lc + Hx3/go4/wl63aSObjW5IUqFNnikAcEqBI2pZrK1bNbc4/6uOyTsaedLIMhKLczGDJmTRhm4fiW3W + LocWVw046mNHJU7pKyCNThwP8RBCrDlNaqDWTCO9pjwMMv9cR0u7QUPASFwpaZ4tuCQGGJSEJq0t + qbQjEcQWCOp3De+YIrgtYzOtiNL9qYZawzjQ/EHjC67XSu0l/WHv3uIeSVXzqkoSOkOoZJnJMhYR + ZkN19lw0Bup5eYHPcY00BIS+tSrQOgymADVYEmWCR7KTAq9NCcsWxAHFgl/Yt/V6SqeNvxFDg20c + 1OCAQFlU8AmJLBL+1OsYk8rRXIqmMWPsWcmibGA4joWjW1RryM0OtXAqpJQCyXX7UHRmqMALUo9H + YrD1nCuZosgLy1oN4CoP+NL7SjJk0qISr2CvgAN7D1dVpbFSFFlob9ZCMUULtKMrid8pRqkvNqui + lvXdQnaQj7KvDsU/7PXGDxpxL7VxPB39/mnZf1ANy5dfuvPF/f7P/Zl/48rly5OTUbHRgCVR5VRE + pNO0udSmOm7co7UaE1SXRsdtYjlUb2VQxEOzzodIZiT1IV5N9SNsVA0TPqRwUbJZavdBw/UopG8n + lyWnMHb4tUJLjw3PD5RFANe4NrY6NBZEzfrT5oQ6xTHe5JsuXWOo0cLtBChTex1HvCbBP+X03Xff + fenFl1566eVf+At/8Wd++tu93mRZOee10zU0vtWjAihCI5x0jiwj3+Pk4h37feQ2Da9qXkOiGyVd + Hn+NTWc5XkajV6WEFW55LkahU9O9tkyAMbbfcOwx1G/+ISR8aWh0REA0cZ/0UEIweAiVBlNX20uv + TRIz+sCWd1jHF1pzqPNrHgVm+i5kHKMJQ7bOqQ9OhNlqVpZypSLnHjNIkkGpkNaK6jURPLkKZeU8 + eS8VMeW56XIYjBpMN46lviO4EPEvmBnJ/dza1hZTRwPlhq9zul2jATV7lVx16TYPY4d12KKmyhhY + bBzp43cOFoWtgTy+QJjawNER051i7QOxjmzHQ7BxnJoIoGbb0rpa+57OQevbGoI+YWd9tEAR3ZNJ + uGAkIh7eNzh/k5xuFkA+dDEaX+/oSzVmb8NNaqIcUYza7zN+l7Ta1OsWY16I9CDVxI2bCymw95Ay + za0v0xnUoHPjFoxCgmsU4tHljq00gpBCF4MRWYNmMZQs0khRKf9Ox/etuUbrm6OFJzoCK49UI0Yg + JI88w7so2gjtiwk1c/IaE8mZMyZW74aDs6oaqqJ0AMWEKiA6sRUgeIbjxIA9ULqy6DJbHgz7pmuD + xHQAHGzjmQ4+NcMwdTzQEQwQqjNA6uCHfoiq37Eevjw76d9+4fW33rrf7S5sbm0urUyVkaaUG6/0 + D9mRCCDW6EiJAyoaSBBihk2jk4SUwiqMoUeL9SeQ+6DfNc9VrdXo1gJC2ock4hmTU8ld2uBIa+9T + +uFDDq1Z3zk0rEVliIwk04iap2gK0vi6W/UY8EYFSnS/0Pj6Of3E7Z/OLZiSzkOtCxst6NwCuD6p + 5pnp91E5hjpyEusix1+AxMchKIHhKmM0ggoANO7KjNssob4Lo5KIkQRK/PoPM0LaX9E46AjjsHr4 + VidFOrgna/qt0RhIyKoAyAevLjVaLSW91MfCCDRqcLNfsVd6owS3FC/fpAu1Lhx92Qbzf/g7Rhi0 + 8T/tR3NLXyeQNdQBQsuQaX2X7hMAIJFhR11WAYghQOG1HFbCmYSGGtXg7PTk7Xfeefe9j84G5aUr + V46vXc1ysAc5H0o/XKiAITBITOq4r82LKsjVMkK1pjVfb9pDDkpGhCBwxgZGUedKXGncDRxfa1Se + 1KQRra5IrZEeKbhuI0/jEAonIYEjAGymJ2e3t3j/4OCF2+/+7//Hb/3O//1PNne2vvLkE4vTk+if + vvrai3d+8MqbH7xx9/7Z40/9zLd/9tt7u5uTE8kbkhozM8OCK1RevVDy0zZYlfSEFl+rT0lkNfqR + mn9Tch2FIHqbGMUAFQ+Hfe+r/uD0/hdnL7xwe9B3f+7P/fnLl4/zQijEbBuEcVHlbrHstmbw0A37 + 0fEv4PgjHVM2JoIfZt21vmuqRilN5EhnKJFP9JaOhmX6KFA1+X9rUdY2m6klb6KzNZzCBNG2RNCW + UtJSjVtsPpqFgZbCMAgdqaZ0te7YkoVJ7owgfft9xoFyDlZjPzan0IhUo2jDt9TXc3JdUvS6eYTW + qx3ZpxQrD1F+GG5Sl8f2l6KeQEktHXluW+zSyB/NmtGs+A8/0ineq489thVEZAwUcA6DgS2KydnZ + mdmZTsdaCQFtAy2gRgGf1BkBAKF6mgh5UAkgdNtowdCnBJBwMCFvuQ9aCid7cKioMgIO7S7rsNSY + jtJCMU6KVq361vqBpsq1kE4U5VIbh6JUJgCssLWte971lZ5fGzDNPeofml2rswVbgYBGqwj6dNxM + rZ8V9r82HAmQOjkoXOhNpYXYzuzMbK9ry/4J+RkDS0aYDHk4j6GHY1gOTVJSHpzHsN+f6BSLi7NM + xliroVQ8GpONPTcG4iSxFHXq4UNOCqD2CbCc9qUNsPPX/jAI/9BTs9xm0vUd/bmf//n7904Oj46X + V1aCBhESe2jEC67tTR5zP7boyAKCUD1JLoE9/CpxVlRoOEUMCMOk1GFHMG16BJAcvzF/AgxgmFgm + 106WETsqnhpnkTXseYTwYyrpKDtqIJsQOyp352BX+2SjMlPrNPU1LdJo+xSS9yFodW32mlabwBpd + t9S4yUf3Uf3S8vLXv/HN+cXly1evX1hbEwFIUlnduc2mUcwJX8UsxbhiHqcsGrk8gHk8Cjgi6ABO + eWla29GNQ6slu1r7oskv1vjsaOSVE6hH9iHyurG9aUsMat1q9G0oucuaV2ghD438R/V7RAvZQVwa + hMxRwiYbiaCctOHEPxuTpc3SEisDkOB5PmA59k5cg+jhBwVD4uFd2Mdg17Ds9o/1t4mHgzKmjhi/ + uLq0un3/JKvIV34w4DwHYgC5RXQEcGO+xa9ibkUbztF0aVFmC/cJqMvr4hpbdniNKhK+oXqLmtdr + gnyjYi66ZtouFm5ePKB3YF8N0cU7UZhnEn8awavwa/uLc5DX87+N1NG0HSVtyqh1mDbz0qRHsk9Z + 2aPoFI5UstNYp8DISgIkW3l08V2CMMW4Yyottf2e1NKWuPkuvV0KG7Qvb/3Rxr/wRkLtO6UFB66h + NWdt3iGoXGGUQzJ9Vc+tuoaej5yfR8zp5qUaRjbyRYQuRaA0oGn4h0ZA6ghqj29o80IjED3Pteog + X+AM0QUaT/HUUuYbYASlPuErwehQ+6feO5mZnltbLfNMiBwxrEk7HG6XNqDO1A6IITYvJruzCzO9 + qYnhsM8+ZJhAQqqo1qWLvg2IGipUt7cgJXhmYsvO9U8+u/uDt+/2S1pc2d/ZXtzd2Vmc6RZQA03J + K+1tGduixhlSRcbiUlmGqY2pul4wxZB99FWmHaz3QAHixinfyj1PhIWLUgAAIABJREFU0GxoNLU+ + IQLOBzyQcn0CTciIYytIEH0ogyeAktIasOh8TkXDOLkhm3CJSw84r6U0EGyhYONT5vb3lABAjT+l + rQyky0dUrUQX5xlFm+dRDfARnvkQWDTfkD6EUDQSglIjHNogpdr92oQ04lMZlAGiTe503cCNEPNl + x5nV2LJa3L7FINBscgtcVCsNSORNEXNsyPwPmJTCJKhTqWhEL+HU+jMGjppXGz3a+5V+D/KxNiLa + R2NztcRKm2Gd300z8qrxmb5es7b2O2l0iYUpAA91RJbaK3mIUETSmvzolxQh6D1AILZioNDSkVUI + dya6excP/sSfmji++dXjm19ZXM5BgfG4kPjBhOCwdaj7aaDZK4IHe3CoFoirUIB0REsfuSyoPqIQ + X3cIisjmUjoanb+85pDJGc+xd2WKftSFF3XxBiW/cNgAESgZQgYosefY6ZDJzMwvTHzrp35ycXXr + 9797+9VX3/z83snzz7/YYSeuPxieTE5PP7Hz9Nb+0ZXrX7l87cuz06C0UAWcj9I3MUqKqK7NwkfR + LO15YkhBe2t5fMbhNmo/NvdMrIQzaxUsBsZ2fv7nf/7sFMdXLk3P5s6VIrbhpYRzGPKj44/L8Ufn + utXxj3VoKKmB7TNGfbLwBK8wSelXwIGg7eU1/C65bhvVM8RyzQjOtf6vKcBEgERPREv5CeNKQ111 + WqhGjqm1q679boH4Xb18LUPlqML46EalWsKNQ+kcG9Vzn+n8jyM6W/w04rqNtD3i5UlHbdM1rluN + etYfpgp4sIdou0AxKU31x8j7HsqnW9/RiMStT+B6SaMy74ceijAJD17DRyVmePLQje3tm7e+NLW2 + urq6lFy3Au2E0cS+FZoiENeOH/KxCVktGDWBB7a9Vmp5jSJ2hzuIAxzYAlYQS1ybN63fLd22bqKV + mHWYIyOaHpdCxUwwLY/oiB6QtE4CsYdBaE6m51G1/qNZx5huN6IbxXs220MjW5ZMzVbwvznSqdx6 + vSQ1THdqaXfv6Mv9uaXVw8mJzIS0Z421P6HZRR1DSaMkNIQAd3e2vvzEEMqrq6tgKAlQoVWXPALt + htUQQHX/27HdQPBHhfOpBoOMnf//4Rgj1vNmgxprIAqPZ77yYyAZq0/WkUTY8E/tyk8OxkTZdSBI + QaTBwRe6uvnUnCLcwoAqcCjasiGjlgGgCthOKUevXmwgWwIABypbhdTRdUstJhJXRK5twLfYUSpL + bRlHNAaaxqRDKqxN7n5qn6QJoQxaa0Ctz47gZ/1HHTo5x4FbHDP92ySJtAGSuDhNz8xcnZ2/euNR + 9dGiNBJFzsO14rb0aYNWm99T0uZYuUf9l7RKvhqCbS2vZvipc0ij1Lfxv4ZCuA+ldr4jd6ORZ9eM + seZ1AtQoGrhYWwxF9bjJChh5lQT/hMAtxkHNHZODn4GUK6NodXBoSWGNLJtSrUFIMSZNANAxZWP0 + DjVlPWzj2puT0kcTux/hIh7GQ82484saraf5cgy8D/saBM+keafL125en5xYfIDh/OyUcV5qNjdy + eV2HPr70WsjSQ76NuRg6qvSzNr6Oej8CXTgoj/UPHzuUanlRA0FHwXKOCBttpCa6xFKIEMr5GS3T + elQAjSJRCyIjy6+v0MTVUp3XiM8onpYqwKn9Q6RNrSevPAwKsZtzi8+ds/kplSa366Op5YxFKxOo + ecYoZx6j1pqltD36D3eIpNMb8lFIXUYG9ak/WFRrfHQFpK9aVK9N/ydtOrxQg86B1cSew+3OTASk + IMEoGM/BKvqMWogxvqOUIlttedeGUH3UsrL5Y/SpipBLNXpNlEiIqD2uVScLxEFVIbDqDVMxN7ty + /fqN3tKDzc0LExOFIhWyp9v6JvnMx1ZjagFaWFr+8tNPzs+v7h/usXDN1qm9o1rVrtu2GG2x/LAc + B4IQK7xzlUIOLl3fXplaOlrZ2drqgQXDOkxMKdG43dZ9RDIQfCqTiR0P4p6wJk90uJXEc6PHJ5Bj + 2MiWi8SAYj1IjNso2smMIzhAkbfQSNZqmzQoka2MfPnD+DqQnMmtjRw/Eq+qnYtRuHFbHI8suMEL + RdN0DInLndcrasL/Z2bdjmUb13caO7khP0pvSONPjJBMXCptd2PvNDfzCFsXUkaCgpy0qeadU3Bu + ZO0a+HNgmFSDoAGYAWlqR5CuePh2NX7q0RcZY4PNXajN5EAh89eDCGFeN1ocpXGpp0wZptBDBoHy + yTesDW0ARfxQRYiTBDCdk/gJNiPfj/M8RXDfj0osGaf7VMVSf5Fq8pr7NRFpDfTK1KjJo2AaWYm2 + lOj2eeqdYyaQNYbVpRUywWbzy6vzy9s3nuoMCP2mF0pSGgjGhIYqvtF2W2WfGjeF0qtQreI2EqcN + p8DwWm2IWopuqwKpjckt2RXeUEL6eEwoSjdPrMnHBKnWNQAILPAkHjmjAg0ZQ2iYLDJhJuTGzUdX + tzf3jg//4Dsvv/7qu8PTU5T3Lfq9qfWFC8vre9tXb9xavnAwMdkjjrVSgVF5hPHgoaqDOFalUBtb + qJWhMvp1zQVqjp1+af5WRFW/zTpiYkcshzCGnDfW2Dz/2teeVU8kGFZnSmfNVsVP5123NIayPzr+ + pRz/PzRMAJCU2VHOrCObPqKVRzdBsvS0JtmGcUecdai1quZOLja8G9GAW49t8YQ61o1YpV4/rlZP + NbVoQ0tgj3M4bYojEHvoxDsEGmkV3NQX1EbYD9exH3aM0ckoPBJbbUnW5qT0BbeuADUvTA2xjwuW + 8DW7pGjUWufYyS29dkyrqM1etF+4YTaj9To0hhEPeePAT2McuCrLqip9WfU6HRjDvYlnn/vmj331 + q3146k14TuX8PrZGSJmuoavmiGDzoUdhbYDFXdKEkwkPo26GtnQGBw3BB9dTbVOGrIqRd0vqUnLd + tkEYHLURgRKLHak5qh/YgF/DotnDtEIRPxy1lMbiEA/Bl5au0lbnAbSIq7aa/Kjq1siPmHKg6UIq + tveurK4/8hM/mTHPdSeNUlkOTqvSW6NWCrbIUhq79/BVBV+yVCLUm+g9941nn37yuTzvFZ3aqqpj + POmx7fdOK47OojYBaR3Lr7ekBmoyLcfU2X/2Ma5zjB6q6tVVg35Z5EzMw7IyxrKBpvauVQUI6ibY + D9nLJEcJLmT6pMcF7Ahl5mnMWbiAKKGWBZI2HU3IiqBcJ4bUSlYjrcsWrnGAYcDtFk+u2iydav4S + /m0Ye7skippKxNEL26z+HBJTbTxSbX41jC/tcg2lZkPPh6YaFE0cszGxpPm9Po9gpOyXCpflRpOv + tmZq6eR6RS1yaPAhEHGjJSdnmXKT8zW2SAFBU45/zV45MpYRwVjvFDWwa7hY2tbQ7KVOK2t50/4w + nnF+beNMepRaWsBrTkl+ubpspXkqJTEU7xKLaKIobrE7bT2CYiCWAdFURN/y8DwMpKnLUdtWSesZ + xbuG3QaXhn8IFDjJ+9r0ejjxa317bf8xBkLAY3DmRLKphdk/9af/leoMZ6jy2QlfkCatSOv71Ly6 + pqMR6huhpjFdIyH8eL54W1TX53pIHQAZFTzn3rdRsdLO/b/svdvTbclRJ/bLrFp7f985fVG3rkio + hRCCAXMRzAAeLp6LHTZ2zMRE2E8Oh+0HO/zkCL/71RF+cdj+A/zscBg7hokYx/gWMkMICGaMCUDC + 3BECAQIhtdStc/p8e6/K9ENmVmWttb7ulgdaPHwV57L32rWqsrKy8lZZWTF6yi14w9R3Ljq6EzBj + h3d2tKiv9VFng2lFR8c0x0mCbT19GTGckZt0QpPuXWBvznJpromxn7tZUc67hiuP+kZVH1GfVsyT + NtqK2okA6C1eSX5LDTIkk54eJ0wyMwMNtpPlpprxLKOXOXFHYuY8IX/CQviVbFcPQJnSP8yjDNV6 + RiS63uhDTk69NHVBspEcaaKB1JbO++zBQxQemco9Nj1NOkEggK5r02bXOD33+D3f/ImXPvgt3/U3 + v7out8+9dLucRAHtt/K4hROjaX7unggo3/OJH/gv/stPEJ/kEfgxX1kbcLmCACKcSte8tGfmyJjy + L6pQuV7v2olPRFzPL73/Ay89/65v+8i/wpcTvYT2Mp7iqeKOcOp2307l7lwlwoPH/pl6iEPca5Xx + ybCotAYiD2xMSI9Dir4Xw2gYrJ42EMQXj7k/2Isa1bgzGNq+jj7APWnlXzsGYmpiF0eRUvz1FxV9 + iU+UaUMs1JOkjiyyMkcDELqzZnvQZwOqu8gHxzjge9i83VuLKcPmrWBVxIihDHXJjZNu/9LmpfFo + tzpt2OTm0JQ7aPCJAr+cRHmeiP1g+lD2M0v3PAww7P8qjr2e0Iad+tIYDLoGMCojnBaR3H8PRvCV + FbRoLA1Onc/FWZDuG8KExmiapgSu3oTtgAzyi46GBpUZS95q2aP4aDlsahGgokJKRqdEAFXQFaq6 + tru7tTKX5daD8BtuCizTO0DkmQTtkFRQVY+Jd3LjkBXaF9TQCicaFmd6xNL9LQMHtssYkzKPYTcj + EcjfJU50aAENHDdyJNSCGLZJz2aYNuXGUMJSyvn8vg++713vfd8P/dCPr8+qXC5Fn57oWT3RlSGV + T49f5HKrwNpAiCy0BLCq39SicUPRdiKSZrBRP/uu23Z48VCTJ2pY8F28tdYYQoWePXtDsN6cKzGB + sK6otXI573OjPJS/muUvyHV773RrrIUsopNEGJ+a3Tia6jj/Shsl9k/y9nFeuDOzpfGMwkAvFjfY + FrAqabPtfbb7rQTcVzIoPLAaW2veGgHU5iREACwpkCtZiqoGWq+y5y5vv9zzXpLYlDTYjYHYC3dR + lXBD0wxsuzUGU9LE5dqjf93O6wzd/lP/dt+25P2liYDAxFxLZaBUv6Z5XXE68fnmUWVlXPJ+r4bU + 9si+CMyKYTY7EbaFhSgiGX3E6N7bIGdO6gkRwutfCNi4NSmTYiLg0Ve+28QDIict7/AUmCqIdch7 + mWyZjNhpfrsQo600T9CS7uen6z1m5XZLZwKQfKO4N8XQCn7u/Oj2dD6rVmI0JeZamJirql4uypVd + jjFQF1JhEnCD6vOPbh7f3JaTswll2NEWCs1sRH0P1c2F7zylGTGU9v9tOPedpO5I25c9K9u/okRE + ZTmdWSDQS1kqEdYVd3eynLgsKLVr9wZ4V7zMRPLD0TROBQC+VW0WQmAjaMzf6p7/oT9S/DblqNkM + SlHQrzVLB/F7jrqhZ3mbRDmzvnFQ90345gd7y8uYlq5Z5CvTukONEGx7cu8MPdgNqNKTY6bB9/mY + syWkjwlL2C52b0Eh7e5yZV5KWbodudmQNPvfQJ9YcW+G4KNIO4sFqljDMbhjnD52il0nUUejzBs/ + NDjL6GtLi2Y49+DBMIYn74zOtEOHTSmycyNwqLF80BnVZjR56zMHmPceNRETtEKBuPQytieHb0sn + sAr0xsnMO5ctQsZYItgNyRW85X8zB9BNjn5/Q7rzoltS48d9I6MOOYEPOW0Lezk9YihQyvNLeYRF + mlayG6NgBplo3FbZkZl4bDzNHfdjlDlwg5zv9fc06fgT2N0/nhWrNMbOgDf03rdnBlSY5yyd/LUw + mBz+zyl2bU9KR/SdO9/uindEdc2HsJ2eCVLEiu5TqRl8bf0MUoAhw8IcGDgos3eWghtknjN+n6Zy + wnCvY6ig/W+7okHv5r3lNJ8CdRdHiBad4ohprPp5XB0lNBqDZ7FKFaZwjYDV/As2G8V66bXmQItc + aHzIu1QTBBkBGhsJW2QkSaGRq20syTgvTZaxPbjKNBuKFSBm9j3UK2NlnG5efOF84hsLr5ImhWMz + dVpali0BdpUmUHHG6QYAUHCHBqCgnAqA2JPXi+3lm6TrewkFYI1DvswgLHVhFdFWuIBucL559J4T + VuAWK+MKhl8z2fk36UbznBnJcN36NjAA0dBLdEjoFqd/MKXcSYlWBAAKe55f6Tc0HM0yjY95M2db + c6Z8PaKa/Ox+p8RMoZqeJarrS+O4gciB65zA2SBtV8rgiNP3bbN0FIeUGPCRzMoY2fBsHR9Sogzd + Ii2O0HtOFVeefPXLBuc4npShIeygVROda9yolhGxU9P2jJrmCuN5H1uvuHrmOrVL9XyjfH61u24F + EPACEMSZ1rQlShNP1ga9gmrPasfuENS0coZ6cUAuFBpTB2nANRImxIvdAqXgw7qngC6MgBXj+CZy + 3dhY7O+a5ch9iARY3HQpJ7epsUBtq5pBJ6q0gAjnsfDHehVcISi8ANSot5smx4CMGJUQGIMKt6RF + sxSe4z+1X+lAGd/pdUxNk29W5p+DYWm6LRedzy1QMhWICAvjEVkSXG1+dQhuuOK21PIYLLckt1AF + 601pKzWx9CB283Xc62eMgSAirbWV63KQBWWQn50HjztjNorBAc56BGEfZwpEMOTbrXBrW5YzaOFS + rper6FIXSwLH12srJTtDDsK3NzLioXxDyl+E69YkYnKH2dMjIbfj09pVwwYU8rTZCMO1b9SPBRpM + YaKf6TAqmU0a+l3sIXpqMVdGSMkTsrCd8GMFIJ7fp7CfCfL7dtMQGsj2tWpi02bTs2lpAraEUH7E + 1wHT7fC/Pso/eIcGN+NUB4e9JA3ZNwvvUXVyl5EH1L5p3pLxadsNIj+j+3/a/Dr9NPHiDVtTUZNr + xKWwXfKu69qk1UghQ4TSte3QUXWcV0s6HNkYGVsWZRWEsQJVJ0yxJ+g3o3WXLCp8ZOZEYEWyS4ZG + lgjYzelBrt1XRd1xleZpaEYRe6L93I9pHigHiB8fsyqpSdlKepTOLw5q6kqMRjxgP3Pnu/jJnTCQ + LFJBt4yFuJBCVQuhcEVhBa+i6/W68IlDZDGDaHEDT6Xf49rlOTyKVEuOcxpzHeJvpMXYYYNAIEnn + j8YxyXuLxr9DYXkblaEgIi6V1tZUrwBARRXrqmVBpbw11N1/GnbThMxwuaqiE3jBbohed7g+8wqj + 6EjtDNFe7bEtNAnHYvDUvCpDqTLNg0auWO+KKDyDjMHGCVh8aoLueBg51MnLlykIfviLg4EOeHwY + PdfzAQpilSXusv+oKIClVIkbFKKKQFtr9XxTSm3i+aVEIQJFvxBZUlg/XEPOJAD4Cd8J0ZYmBUN8 + xJcIQuizJfCsi4ODdUIZ49wK32mIBNGkJjvh9PS7yP/nEhZ636lKjSdh1lVozf6dHVTIIkXTvzPQ + FVqhStRGMnu/8WM0OXiuLtZZ8rgpcr1J1ZVJm9gIl2ByseenIIuZmvY4NenIRONoYPI6zUyHtOfC + s38loQwAEZhrP6Cv9SKrFCzUqhasNuvatOc3m522eeK6nRd+qxz14+y1U0Ixz52DYC8MITlOEh3M + I5yeE17i2CNSilMdTDgBbB1aJ+wzMplgzh0CtgBwqDv3c16dFkYSWxFShu3myjy+MBdH6IqOfhVo + ptalBaaxlDY9zhMzGgc8ipPS71koHyyJGYEUzHDQGB0ut4kPbSDM34bYj+1MHb/4J5oezEZ1Z7S5 + 49EOjUdB/lcFbawPSrXDG97X0TRfiYmh76bpjDqdn3cAJkRR5ts7HJly4WRDqWUVrAQufHaZuBas + FbVSWSoVARR2wX0h9bA/Ds0krrpSP/+XhijA2q7EhVEsiUUBoA24g1py84II+S/9i7/OAEpZuN2t + TfgU2vDimCXgBCJ33dpA3PKZJ2EgXNP5BgBQE1jDdZsmJrnjuxZgYszWuQfiWTKUqKyHfoExEdHW + kWjarIlOO0JpgjFVOX5nX8i5Zqds52no8nkHjCC8foZWJRriQHu0+rTvSIldz//e54/qi+QYIVEG + 3P1ESx+uZSKbFI487nEsxWCQyLXcJ/wej8ERLDMbtj8scVEVgDBFMx8brIa2jeyB3jB6ZwwKan4D + F5WR6HY7ZbYMFaWFAViU4VmxerOU3yUF0KArVJTKClvDY+9x00dnvLFl2EmEPK7fX5hCNJBsV92M + 8R50JLa8Hh1y7yIqp6BNxuXANREKMel6ba0xE1nyLgVwAnNZCqhE8snOcxWAaoMKtLA2iuxMlo1H + UpYHvw9Z+zrVvmgRUBCAHrmr4zhLHlAoVemH3YLpK2ra0dX+t1uvnrEYqlDO8l7QFGBU6AJq4Kvf + L4LaUK6tgVdmeIiyn6ZgouLsg1DIQ25VAZJ+9502ISaUg0Ni5FMpOi6TDBa/m/J4wdDY0mMKAyox + jEJoJE1KPYEVQk2bKoGqCgQsImWSCZG26n6V66F8Q8q/uOuWpg9vxly6N41MKKTKArSwnLtKxqP1 + aV3zcLEwQCnloKlHkXXJWaoAqoVEoAXVfxOAqUEJWm3dkYpvcVRCgd9MQR7PpXABRivQFAqPFLPh + sQf/efAlWr/YpwtrncXo2yz3KBfxWzcACRFYgT07o8TCQjrrJBXEETfDp5lFjJs0aVZkx8RswN39 + NCkc6dfppzfHDjErmULhGgZDwUxLBZeetc1DM6NVDa48hMCQdSQHqyBYHuwCqJp0euoGaWDf8J9c + YApPKuMDmiLFqbuuKPc1jzv24jaa9TQjLlBZXDtpvquddcIjfKoPY1tlknM6v5BICOiBw1lHVKST + 90MLse/lrDgDMC8GaTNsKaAQJZRKXIhhGW6hhJq1IZN7jcyYktGLYszDKKlC0ltpPr01tGAV6CaS + 6ghnOn+g3FZG1OYtheWg07Vd6sIi69def/ro9t2npTz3XKFxcqzPXVZZzXWboyxBHv1RAiC7XYHm + 1w3QqtmRr9PA+1hSTsJ+A2BV1xsiD8OEDUl9OW0Tpg0EzpHXAI9ojmVKkuJN7NLLGCcHK0rpgcPB + vPyYoZOlKUk5dGhmNWlOtY9+cAaLKDATtW8cOsK58O3jR0BpouvaylItFuXurrXWHj8+0eCoOuCm + HSFt/MqK2AKkDWo1ovjcI+4UPu5IGfx4NK3j5cPVTh1tiUQ0ZPIM6My0sRuJ7p7k50MZPwznDiTz + fChiBnaMSyou7MFZdfPzsHv6C0O03wdel5jbQYTo7GSVeMPRnsCYcq+2F4lDYR5Icx40vIEM2HJp + VxSATlDWKy5grVTRFE0aiSy8lLiVjiZdf9+ZZQqm0bHJSsoiJjHqvj0zW4kb1y0m5O8Wlf3kW5U9 + YQJ1YZT2SrcvDa1v0EMwnE5UnOU2TS31avOST8Jn0gJilqcRxG9BGbHcYurTPO6pK3YL+iTvom7D + SlV3VVCZVnLw0BlH2XHZe6dOaeoqHLDZUjkSSv03AnJ+EjL4VRM+TLhg834f/EzSUyaBAYBDGP5s + SrACIFWsXSZkeKdZVImwqlCyZjC4L58dF50bSzSzTeEwLcSBFw1G7ElOJ8GkaOoUArb+yy2YwQwl + hZhSSgzFCi3moEy+A4ndjJSSwr6xH+7uFE4KyApqnqxe/YqwBpwQGWfZeJ9dJqzU7GjS0ghgLgQ0 + XK4oFUvxViJjirsXEzHQBpmJpWrcxiydkyZFc2Ls0zqn0VRMiQAtNmYOSp8BF/zaIoyH9nVo8xQH + X9SHdtzXPT9ohjwxz53kcEtDdvI0hXUqd5U+DQ0HoYS+QDNzCDhz2zok1Tzi0ULuLtrxVLOS+SsG + wWXFzlU4lYFsJ5bR+jHqtmgSh1ZVya8WcQXLxSvHvovGGBzyQNGQDkM0b0/DaDfsFaVvjiLHcEyD + bYSVIIqTKYHBLEboh7+9uYJBG3QFRFFadFniTXIc5d17G0d30+oAzQdpXgWebgCCZF49x+5kMTgJ + v+ANbSJf7X814zOwSgjgHFCFNlUBQFRcY5EGJQYxldKVbQJUIEWL7YGdiATACm0EP54isT/WRRV3 + jwq649QM8zFGGtxGJzIeo9V7NyFSpD8m5Gwe2UQkWdw7SEcgGxTQ6rl9yDJ2GhE0gGphaoSLgqhV + XCtsO5pZoJ5Rnp0m1uuViiwFgDDTwpWSajStd6MK994OJhcBSfNwJkLoZDMk3ljqkTqILWq4tWeX + u2W5UeLL5Q4ELnQ+3c6r+nCVv8m6fyjvUPkLzHW7L0NlGs/sY0TzpJri0bAgsjB+dYE5BIXS0KYh + oBYhPMXuLDHxZhu8XY8AAFUiWSCQ4j8XX5YEXYGFoJ6Fp7lDVgACc77FoEFXsABNfR++xhnb2uWO + nZeRrsraNYj5LMVfGDo3i532+cvzi1mJmuKI9VgP6PoZjwZ03/ebAJtBTl+8s4ntvHlDwYUU5kxX + gaoqUWjWRFxqNn+mpAT9JAhAMJYb3k4CwIob9fnW2P/jmEDpG6EDKSBEPAJABSffsiUomShosSO4 + wSrBs9s4lNFXHD0ZaHUdm7vD8V4d0+CiJJVHLMMO+flLlgBDrTymnjR6HUid2km/Ur/INesPoYK0 + UNVUdG0gBZdaemxbU0BROVNcpG8I5bLjaKbTpP31XmN8B/TtXjdzF89jzegZ33X7/kbLPihGswym + ShXUuMjNo1OJvLbrCiWpVSMZgo8pVEU7hFgjPsUMgBbOBY7jyUZRK0jiHlXXQRQnHyhJjL+6FO/T + 4+O4AlfgZCcG7CCmYOUpL/MKXMyGtIsgEBohBetTP3WGQiM4x+ATd92OnjFoiebHNjWsqBFwK6Bk + q2qvRoLKfermVbSZCaQZPiIIx2pMzVWx2o2rRESFLeR2bSBQKSMMZ14ZvG06EXLif9LtFgctMi90 + Ci+u8dui7t7b0WtC12YwukfCbl3LbGe+Cc7St4Oz4H1wY4nrXDeBmkOQtuA5IngcQOChcWrnuui5 + 3ExRLfdL1i3T26FFJwzuHh+98matBNeYbh6cG1HXvVu8XKBk5+kUIKxYr7grYKBBKxExFCrk/r4j + 3E3QZ9KiDlJmpbMy0F2EWxzqxq+wUSw2WNmYihPVb5dDrtM9qn15BvEQcgvh8v46Sw4D19jsTLrY + QYtbAbKZvyMhqfd+2VQLLGnyZJETvyNqJry9lDGOPZH8HqA3L9NwdnFdBmjq8QAhmXln720/Whbr + dmb2gwRFj/BEE55lh4dBVftlOdo+GCa2GOo/RJoEbLDgfwg4OF/bAAAgAElEQVTgHaiiOQGsC40z + AJW1aeMamoWHRHBCgPHzOMWQqZEBEu5eA9v6J5Bt8unSHcUh/hVYIQ1cQfUCnMGkAi1LKUr1asol + gxbUIkQcCRgksZ/NmqJBb4GD5K8XwAMwM750oLej4yCcdhZVaXdmNzO7FWeuzwMy3z3aCMH9w33Z + E87B8z7GgZqpYqLS9P88msGHx26AR9TNauR4fTueLYs9gHlaoPMS6JxWp5+77DooSYTlE5iJK2nf + uvcfG8W+7NS1CkgIRdwktp7djdhPbOwGq0eDPZqrGc+JOEnGLRK9aUF4sWOdd6VjputDqajmG827 + m+PsHyWLUX3JTxB1IzNNVOvNp+1dxcZOp+NvO+JL87pFVf+pDO9IalB9PgoXBlo4EBlUgEJupKo5 + ZFkgwCpNi5zgl8xCFeKMQkppEbCtY4fWWGLffJwp1EvXgjXDPerFtbRvrhkY/reIGwthv2DUnQNd + UKESRCwWW9UzdDFpgUKEWoMwqFgeCCMFC6QS4nTQCQpVId+vaux5IzaqGDCmzYkrwb+TUAfDnfY5 + ZjQIoLhcFaBaIYCWpTIzlFpd2I5gthVcD7XMjqevS9d4KH9Z5S/PdbsXnDMr1KkWQdTdpAycNOiE + ANulG0m0wr2huBKuipPtQHurNJQb3w1zaSVELW1KAbGjYjxMoA0iEF9/XU71WDJdQXYYQcLmFkId + OR4ViLP3feUVOxxExY9f/f8m+5m/DUYzy7dxwCBr9luTa1IjUuu6X5ZTHZ1mcMM9yHvamnA6NKBN + dNLEqg6POaXWt5K9h3maaKylpKN+5uBVdxZRDl018dTCC2ZvVAGABrqAEQfTEmYpeGxSDGQcJavQ + ijhpWQiQBpEIvM44w/BSJW5McVwj2S6RA27Ho6fp8NCJhPIsx8YK6m/SRj1Jvx3MfurFa8x7Ln0C + bY1Fx2qXZ45hdlFJokDzGBdVVRVVMArVOKCVoDElwO5v8wRD7tuyfiP+NLaRaUNCkxo9nk8S0jdv + FRPSxrCOEYUjXB1WtqpEXABtuADr7fk5G5Bf2YC136nn5sHoYR1Y12w7tMheek4wNsDstSUpjvET + XYACLZ5esCcBcfK5AHfmllVU7Samu3GtvQY8A54BJ2gx/VMJw88cnyTW+QgHo8ZQW2gJ0RqKe0JW + KjIuo3Ofix2F6yonebUpjDde2Qoe7Ok+/GhRS5yD2ShEBELs3iUuDIIIRMCF2ZJXDf9CDwXbbp9g + eLBHx9B8cDBOa0VDMlGfJmmUm5hHnDG6+Zp4gm5rb9uk+cNBswcTFWz4iH/MD3UKOYlC8Ufixr0T + 4GHfrJ399giXEi2vwBUoRvFTtobDEhLpWNwZmjakpPnNDUqOsJAIanoysRcdPMvbZvZTE6vKStfC + NbJyUAGINXDRYdtB5V/Eom57IKHmAe9CPOK5kmcwGEjRzTD38mGLhSEFaJBzDHhnd8Tw3RvfCT4h + PVqYNQrsAEk/a5J5+7kbY7ofk3m50RYJezGxIapta5vRS2aKvae+e0MTmnc+Qwf6bZhP+8naTabu + iPyg15lZjKqDnxxB4nx1SNWZijQOSqfGCMjuf/vmOxA7ItvOyJth44AjbNGA3gLpbqju1Zm0LO2q + 34Y3ajGRLWjAtQD9moX0olB33SI6Z5g7iSliz7WDZSsafnH78Lw26AV6gd4q6hVYgCIMMLgCdQWI + 1hV3S10ZC3TxW817jOOIyeqlk9bQHwITnQFP5+90enFgbUM5SO3GEo2Pm6U4vzU7WA6mcb/INw2k + oe1eHrAekpACx+lejitv3Y9dlkpCzt5ZRaFjT8PUGWP5ySGgiUdOdtaMXd0COs/idj1MozgQr8Nh + 2aFW2yTYL1LzeEKnI/0CpXQQXA9GaSAPLnoE48CQwvNKOUInbczf0x7PGBkRhXJ6z7H07B8aYPkx + /b3r1t/MeMvIckWTFG6zpOlxfuiEMKPieNJ1/rzF89FiiZodUdMxJkWzoCMD2p3xxMAFuAAn0Llb + 6AJVBZQs378dVmZQHazC7VAtY66NyXnwhXLcZrdbEOiYOFrSSTc5psgjVL1tFgHXX5zZl5h3U86u + UIIu0MVMU8VF0URZtIArmNwVxMnnSka8gHkcSAkqaASCHatCvr00AzR2LhMqQhXejSSWxoi168I0 + mKwCKtLAID5ZTvVSobgSt4VPqtwa2goqiBOBkR2YcuNvpXs8lHek3HOu8esr3fK699f7VW2vEzdf + +3noxJ4b4WppATd8XbEKrn6kV4dsPBb1dlpNZfMUoRl5eLoxTx2nYNNRL7seQEJ9EkY7Sns0j6yz + F6Lko5AtdHuY/Uus1UmnOi46uMRUaccbnQd6kxTGwK7l6SU6lNy7uU8sZMca1dUG3eQXGw28hW40 + kEKFKqMo0ERERQFxT6AmBDfQHWhNoAbrsYujQz5H91fQxfxEzvJ3ue0B9MwSQ7qGJqLA2BnAPJ3h + kBuI7uSAWT3sfzoG30pAjUKj9uGapDFF+rY6oO3P6bUueHvENyJ0tGTe0p3qrV3R7nxjhbhwKcyU + r/IllAo2LcDXGYVAvQ86ZOypL9RJGI7FlIfhOE6UvUHDrMBSWDuxbmYC36GQnKGpYaCJiDgm7C8z + TiecTjUC+TH0RG9RduRkAdoKXAnXxAytSGxajVErDOrmo/RDmRyR0Qr3gF2BSw8WOSKLFjVbb/p+ + W2Kj/LdtY9RiJ+yYsUUPHKsj8HZcbQA8wdUxR/v9ig01BEHEO8vpdDrdMJe1ret65VCslxOWE7ig + Ncm5ko+NnJRpZDoNi+7ZiO8UdQbUlIaRGUSGmzL/3FXurww+Rr33HcnujaHxNJjK/XM+Kh3O0T2w + JQmiUN9/iErmvY2z05NwlFFfDto+BDIJqy0UGv0fsML9WkjybpBbxFjspyP+PcZCJzw0BREzauEK + CFqDaillibO32glpO54NvLkH3WPlHuxsB3ooPlLPW0Vj/n6gHczNH0J71GpSVXCA3A0XHNN4j1TT + zWtvAtx9JY0t2Px99TbTbmEESbxvgkuPQNLBkd+kr4NB9E+MpIAm8GJtj8vLSFH2a3ziAePZoYpx + BM1b1bqnoczXx396OMTjJoneFpCYEPtmkgKTT4FybAeo0LIsDFrl+uzyDBhnb4P9dwkwr6B+QVIM + buhPQz0Zaqe/gX52u1sUbJ4oEfMP1Ys8W+VrwAUQrFjvVs8BBuDALqMJsM06HEtwv7a7iJkl8KzY + +imKngTjGME7XjBSwW3LmyxXuufzXOdtHYfU7aDfRvdzA3sC3v90+NrBk0xQB9XeEqaZyieWqpse + 4hvlX7GbfUXmSbrj0oMqaMbDPdDu1Ift9BwiLfOZyWzb6QWaVAYzfeVNOXiSQPfyaccEvYVwpqPX + E3nQ2yGqNyHYDbRTH3N3G/Ol5d+leRVAQE2hxJTesG8ohKWilgrwFU1khf0BQAwqFIm5pwXQqYwm + lrKTLplzpP+2gzpARZ+snSJxhI+pTodv1XbV4GtM7FLRMtw541wrwESe9yCabILWUIsfBpS2iggI + p1OtpQDa1lXWBkCvTVrLiNmBNzj/NKtvW08ZKxYKKJ8WXhaALLa2XRvilggLlV4WS0U81OooW5/V + Q/nGlr+IXLfEwDI0Df+jAFEc5l3lKrouZRmJF+1/gYpcy82KZYHYhSMCrHb2HKgeUoPIgl9MjgtZ + yhWq5uyIC2ZWKAMnUNOmwsLEBUCBvIH2FKKoL1jXDHkEtfNQgkL+JyIug4dWDVBL6aKsghuWhsVv + mEVf4p6M4BQPgDNwsqO+cH3rCii0QD1cd9x7DQCtdLaj8cECD/3kQV+OHB2Ps4USGcB4lhE9nKMH + 3nA/ggWk6w/jcEd44MYtsASwOVJcl4xLiEKvctOAesgzDaygb7qGTGBG6SegtacGTmQ1cS1VQIgo + Qt38zLRx1aJCEN8qtDAHAYgaLq08KbhpUGrnahOoC9BA1AgKZdDZLmzBBdwsyEJC0YhU67NIJMLG + PUlZSydQsZu2gsX3WNJqp3SYjMwtgLEOlpily07LM0UjEi+5Qk+WrBlQu6nJp8MTCJbeQErSwNNU + GyieQJER+pXPErWe9GmQtMHCdvg9Dtw2xspQJxniAb+xA8tIWhS4WK42v3tTUYXj+HnOESVUKvCc + B02TU3UdMUrKKIwFcg5SMewaulkwotR6BpVYFwoADVwKjQBJAXsIQL8QSm0nVQAhKkTjKqtGnp6b + nCXEYu3aCEHLCBFWBhNuoCeiG5sJ8t5WVcNb7W8G7ngIbOeqrDgxroZfReNIeht8kgORnk5EAcUy + Mm3pOlic5wIXHwIIJriD/802VgVuPfFoN/rE99yEsMbFsQWLb7AHTlq4A4b2waYeTjeMt1UVVEY8 + U6jCoVBZt00hb1zOZQFTzxahQ92oClqg0AYBqCrjGmMZsYhwo6CSxVBUv1WZCCpQIlqMZS6spI3o + wmAhBrFvKBTbMRQCayNqhFLAdr61gc4eKhm5WgRoBJDdc2S7E+xc1vL80MB59UwA5yDOkKurrLry + stAYwXmYFU2B1cMFtDkBMosu11X8TjwY/bPj3afbhY3vS/mXxT/Gkut3NOmgCnZK8WBAJ7y+CnTI + UsSlB4x96KCO/xUQS99MxbKwWpxCAY3dw0IKFOCmk6hflaeOluBWLu1dRbHtim3kb4fEZswzCmlP + qqyeDoQAkM1OiE7bFAGBfVGlu3dSklcOTYkQYcIFqP0UwRAnJ8ILQDA7UpBW57HOsjUgJkXXi2IM + BTh1C4mcUffBNuSMPQaSMxZVW2DF57AGHWYsMUA2427kWLgQd+bKUEIzqrecgzzhV0BMI7Wh018/ + jss9hzfUkuUl3SmIb/CeHFTVN4cSz0yTq4ZQ1xoi3n4mYoAUlfyMvPZ1EnyZQWeX/iPR8glY7MQk + CAw6DRZnrK9nvTGevUKVeWVaFLxG1y6QgJRliUUakyVRDbWP7Kwo5RXU9Y7ZttLIKmmcmNVvUQED + TbA2PTEDJ4igPSHWsixX1AtODFqoryuCFktO1ff6yOltlyLZATI5suhoIsNqq5aCL45ZSMMhC/4J + 0T+G2JWQeESYvmaNp4aKM8MwCscJFeso/RKdj6FG94xScSYUNiZTepwTwHaAjxZaSlW2VR56IYMq + mFEIp0E+IWKNYWWOFTGxC3ALqtG7Q8xg0GKJ7qkftGGgFHMn+FFEPjHgJMzgWvrq7+GOMysecsGa + vXEmwOBHUAUtZcDQ37VnXTKU0QglrXUwHwJFuvLtpPizbruASsiXbZ2JMjSLM8rHvsZ45r4o5U3r + 8xtvDNU+EGbX0CfzcNArT0pRUDDyYKOHiXoHtsmHEEwxQ9p7m1YaTb/GJw49aWIR8YLhPzCVp58A + P5CVVllHLpW80Ga1MI/Hl+0sIqeeKnATBnIk3SJMs7uZ6TERmyczgjKSqTPtPKUBxaDcAtUcZdLH + dlTI3qUFfGvugHN3fAyQDrAyR0XFvbtjbng0gwzuyHmUMcwunqhzjTqIcQFubXXy3FpcWZGdAhnC + NOYJkRUE01h7/bFTHS+Q3dlOiOwyBhsTqM6ugKMpGWPJrClUD1dRRgpto1/woLHNuh6kbSnckpFu + 1dRRyylNU4DT8VCMlBenCAJVpCR+DFqwGEMoHDfhiaNyoTFeZvbYJBehygxGBZhqKGAbugHB9TBm + IMToxF52nymLs+EniIU/7kenYAAMshQYBozB4ggom6bTTD2UvxLlL8B161ecT1IFQaqu4qroqmKX + m4au9wz0FLJCn19xe0FhYIEdkXYjnGFmXAWvoCughEcA4jxvQc9/ufo6Fk8MqfXa7oSuJ2qMk6JC + IM8gwOmk5abJtbR2w01Al0bCXJjHqT11FjUYHWtYVza+UrBoP3Tgz7DiolgLuPraqxpmiblwFiCU + +QXK0ILwNAIAGuMKmL+Cw7y3VWmZzBOLDTbmpq6jlvteidcMrzDRPCBIMbuTICVMJj+FwL31yUYF + QGSZ5oP9ivtkFUAFVRvbGjf+2LvqNVuENWsce62gKrmyivvOfIBeFQqgQZmIC5jihnsCinmoLLcs + p3wZBVe6XunJCVBhXs+ln0enupJeoQw5QVkFandHNtM8+j5huj4pMMfOaG1oxVGsGMpit7WA4QcV + N99G2okVeAoAdnWeW/mW6JUAKjTJWVPIphNukV60RhpEUCXqJ3oa/Ca9uPwC6BudsUlofmpuAI/M + kmbfEYj6eWSGFjTqtdxtOULOKlaGJUK9AaoQuI+bXUsHgYsAV9UmKA0Lg1mJJQkVGIYuAmJawGe3 + Wm1OiKrPxKowZ+jiqPX8Wk1AArYXOFbAGjH1bGsxIk+JaYTFYAWtoMUikNZA4iNbGqvLuJ4P1Y4C + jMVPZN8pxGKM39cPUSFdfCbRPTwrcDG7WHLILSE5mNxUEL+vylQlO3opFOd6Ghg4e7IWbUSVgo2t + AKFW/3gFCFRGxms1B/TiInw4MpAYiw36TDj7DNgtjxavULWhPUMjlBPqacQJrSBbWqyWLgvdpRu7 + uBoaFtBkVdTCfq4Mvr0CT43oTkdqrel1PaNCCWTE6ptAsTtUFgh0RVtRioKuwcoI1+GKMYajVzA3 + 1DtwBc7OTMIPDeLC0IsxBwZL3GtBQGTOKVgJl4IFqIpyB1kbkfJJIumD6Xbr4FrFtUpxdamR391T + ALYNPCXICazqF5cRKdaVm164XIlFUVSrEPNIGnaFNCUliMrqN+QArdW2rrWgMCAtvEMEeN61yE45 + XG0KCCr8xjmkSugDUifNLhaN8rVzqV0cW1cuo4m55JbdIcgEaINUCGlBsKo13HwcAjo5KJ0p9WPJ + 8T/HkhTQGlq9y9DQ6l0+CdkStvvizQlvrTKpcyFPckKeo79gOyIaFzqPPxSCtRr5+bGfYju5BJD5 + 6xmofidG7IPZbpQvHhc9eg0BX+CRH2OnwFHjmpTlbgJ0Gd5bKnDxqS59HUbzFeXLN31vy2UoiGyX + lgR6hi9Ya3cVLF3tmQ0ry9pJPLbHXIhIX5a6hqXB5vo0oqwa6LIz7GRHCZzkQhUxyaVQyuFPNk9x + a4iGwuAuCIpqAYl5j1s/25k8RG7EEvWo+VP3ixlmKggjRZfLEYJdvGmrr6EpWIqelbnvmSgkIjhN + kaOmXP0KyuTl9spkp80MHhOIRMugwLgQKIkUswaVQGiQtWGpwAJ9A+0J5I7LC8IvXEAFWN0ct8XA + thATHoYXJFF7/syRWXX/cyV33brc70xiqm0OOyOfuZnZ+eX0OsxKsqayc4n601HPNdwlV0D38upQ + tUd3zkcKcKbEFQedhcXOtJCTSkhZMlc0Eyp1z38yh23plwRJgG8Ms/Y3Ti7LGFhQi7luT51xVwYx + Uzfiz77fTYQCLqFrHQ0NwEj1E+6TwT/51vT8kqCLDyUhwrlKn9SkTiDGzk4jeYnOH9OUlG2lqUIC + N5W0QRW/bRuw/hPVTN3PlpaPMVlgU2vJuTwRLDs7SsyfMuQbl98gqUSk8WFw4n30/DRQys95qsbT + 9cG87YYTM0xtctR2iNPs7xFbep3kjOwPqO//E+DbM1sukqdiGssOJelH6yhyrpQNl9hgs3vVRo80 + QbpDY3wi8GIygWPbeFpRGCxmYkoJFcOmpGgzRB/NEG7hd+WBtIcVATSpX84rpp0HR0/estXeXUA4 + OU1TuFZ1+22Pd/LXGcQoBPaYLo0qROa6nTgupZENJMXXAW2Hx592YRDVmN3xH4FU82xRmpe533Bc + uo22oSLOw+uIdZraum4rAGdoNCaNqXudDfQMHANL4WCrS1DdZg35rComHkXHfGxwmxKUTDohNIY2 + XvHnzJ3cmdPv2vWiiXy3bOehfAPLv6jrNvln8pI0z1v4VYGl2oWodG0NV5wrQE/BXwET1ef6tTsW + y6ThNFnQzXFCMTX4Znh0O5eV4QcwrwxWgdK58BPBGwQoarELM0lpvUOrRfVypWdXkNZ60zzUbFhy + zk5TWcHc+9YCqkST0iZYG94gXH1XTf3CnxZBf+Q2WwOuUO4OguTgMW2bu32B8HOGd1rNarBFFOvT + nZ/djLXWAtSAMGYsZeEWQmnkIWlnAnvMorvdODs5/Fn4KQEKJ1GE03qHLSUzmte6uFdRu8ePyFA0 + +KpvKGYbPqAdgmE6mOrph7rwHqOuta6A4LowVYZcPMpNT371Q7GgJ2nQBmqWfVJiRih6ZycyTapX + F5kSuQVNKofH0k0HSq5b8RH59DXgDeDkw7YIQzS4R5Zj1MaszbFCEz+OrGjmK5HI4FsI4SKX8G8U + m6GaF22cFROPeAqC09g5YOqHlxf3+hV31fktf4gVb4pyg94BVaEr0UKRVEpjJ8L7bkSk7i/kziKM + AtSAx0VRFaehsfQb6dSiolcFCMUSwMWM2/nq0oOcnezSvgG6K8DuJvXovqBPupqnQ2MpGiWXQC7U + HNg2pja6IRGUNfxYNPCsjmNg5MAeaoQ5u6++uasJaO+ZNQnsxCgYWmLaV6LSfXfs9S0wlCkoT827 + DIVaIvoSi9ZIi4PS3dlHnbbBcWWZj6nAcklb7qdqGS2E1gsujNPiYazW3h2ggtOKYjMciaQ6d4tG + zVCsDKVV/T6AAmY0iOB6BVVUJsKq10Xx+PEjNGhrTVZUAk6d0waBE2mDXoCTpQIMjn7tw4S5QXAF + Tg18AQAsYNZxiCn40goVv6sBrDg5fxCIrLWcPXb74g0+uzxB5XI6UUkeLKBBG5RtcCjjEG1BJzHC + peAKPUEWJ3ny8LlivA8kciG+AI9A1TJCO36ZwCwi6/XZqT42Rq8AF9xwZQLWu+vl2XK6QbGA1i4f + eiaPsWfaU5FRzFmn0GSTUNK/EWxF8wIMZNLQyPPPs4TS8a+tenPXiYsGcV5sF+pBgdbABKU4duEt + ZR7eM4XAHBh6iazQNZiihj6r/V2DNbluAy4tnoO5oEEA8cM2qtkuhkNKseAng54BzwOhCmrI7vfA + M9mJICBlvhybYgDitmsGFVDJkqv4NiZm72sPdecOn4LdMR0XNlJ4zft2XuAxEDDIJsSfRgwtKbAy + lpaVQwqXo+/SFihIIX7ApW/N5RG533Y6uWcJwo2siXus7kjN7bz9wMroHCy2+COBTDZvJsbECuGN + 69ZrNWcjWiDVpteSiPpy9tn1eiE64FtwcT114VMN2UQqC5lpKAptCkWlpTjxiRIrs7EcWwlxr6lx + ZDSFKGJrkDq2He9ptSqBzhVEVVtoNFUgT9BurqTPiBbTNrtnTQtUmaQ7orKtvMV06IvTYp9+Mi56 + XCauclRpZ0HS9N8ofNzF9JRxH5CUK1H+icA5cnVTOeCeH8X/xRWEkmv3enQ4jl6fNhBzcjSEakfI + cVPbMY62eds5pp969Zqf080OhvzW/GyLk/u/7cpuft/GTM5PN+7A+144aJq2n1KFgnvLgT83yHcj + EXa17oFvD/9bYO2enzZvTZjZjX83rVaBNw/eFBI+eCG9VDNR0QalO+wfd3E4fJv44D73/B6fZpi2 + v9zXOgALRK3WxekYpMNR9MK7inTkh7mPrdGGM9JElzVzgg0sh/w0qSSHhd+M1Q+EUcZQLgdrZoue + gxdHQMAevpi6aeBf19L2FnZjTsyzP5gYIPKA7aDNcRf3YfNNmOTu23CXvx1WFpDxPb8fUfYgke1A + DmT3m/Gch/INKH9515RNRUSEGlMhZi4MEjRBW7HYpveWLqjvjFBfp058Gu6s2I7YCjwByHKlF1Q/ + zwY0xaqK9a6ul6oE5lKhyqhgh2FdQUCpiZi73TIlIdwpBmk9J6di1NHp6fSCbtXq6XXa/NrNy1yG + +UEejrLDyMwbU9++RhN7Hob5rvKm526nUhx98pOO6VRdeF3tMS2AZSSQkH9Vw0E8HF4x7ZNd5Zx2 + iC2aftohzboXARfFIji1Al3cuQFA4to4sfMOdjsdCQC2/GET8Bl503do+mkYZ5sX448m5jq3dg9j + nGU0zRVpAmlLYANje7bNUYcw/AWdiKlTeGpznswU/LuKbSsmOiIByrg0iAByz0chsEVbu3tI/Dxi + DCV6LEcbjAk8975xftdYAoUzljCBjk7sfUsS49fUz0h/NqPUF5g6BsMDYn9QlD0Ujt1ZYr3UyYW1 + m4nc/0RbsY4zFjJbIlrcElSFh252jxvbKeNw5vaVYyAtFoqVmBanQW/hOJqD48EMH0efkX6Gsa+J + 3QKKmlAoE4MsLzAgFiKkIIk4eyiIaGGOdJaqpXDzrZvJVxhcy5w/87FvzV5yCiVJeFj7uxmZUDF+ + YgYbuRk9xu7MstRGbCH0NS2W7SLFtoME0pZQRLHeoQK11sg0A1WBsO8GNWhrtDRQYy5EDIU0CKDU + HTFU6rKXEgmixFY7h5+nbcffNkV3T47rHdbhMXhjS3T/NTIbgBXzwHhXN+Y8dm58WUx7cvF0HOft + SSqdMSpStXsvynCixmCnu+IDvN+azj918a4YC43gVxxSH+CewGKoG0/lIchfb5nw1udpMP6UGtyd + 6Lp53cL8m6WGAzH43N/geC8skiUf0C8e1Kwc/ktgRJXRDM+MlgNFKY+nf+ufdcsRErfMjCHVo/mP + AmQnEqX7onWome55bz6zsqq0Ws9Obszdq9wjOwcg7peW8WBApphHhBDtvhlq/UsDgMLczzw9lIfy + UB7KQ3koD+WhPJS/YuWdc902NKpciGslKKGtuLvDuq7ni5we6axjJktpowa7qRWPZjU1dNUGP+1l + Ee2LAmvDRa5oF8gdNYWs18uyXkWV60KopYCuytS4VqUeANVL94Rg9qpgAODadPcwUnaJBYC6fXCw + OUvb8QwYprK3PHamwuZ3e9aR5ijnra/C3OPpVO3oWuOMRcKGW48cISCb01NhtHhSVgLMdWup0GZb + fTZidyPjPZqOMNagwNqeXd+4spxuFimLMnjpkWOrZTVWQFGUiFiggtZA6LHH87zsZmkLxYEpuLeV + Z0P/2Hocr2Tja+MiIHQbdfuWT+lkrtK+ViAtiFTHQL6CRGgAACAASURBVNI3Hq1MqfxjIiEruACF + h58H7rodsFhkfENhO5hhUaIqsong8bYpx+wQMLwOBgCnpvPkkznTelrVvr0zjSLSWpjLhrQfX0Rf + 5hTnmjcuNAUUq68CtdQsxVy2guG6dePcDHWa7Op5GmZWsJ2qLR+wEFwDcQURFU/yqCMAmmhp24Bo + MHqmaYsa4NTPxKx2mzQDAZlugiZjryriond7vpQmkDp+Z74KhYU1Sk95LO6Bjl4K8OyN69ouN4+o + lFJIrlK0gVG4iLYm4hfeTlvjHmytiRftsM3h8ZcyPGJ7p1/39UyLjoFCRTvBsa0nKcuiWi5NYZ7y + NNmB0C3TSK3v6Q4AVLGu11qXwiwg294Qy1ysxVbjpbXKjZZWlxNAWNEa7i6rgpaFCkst4OV0zGaN + 309r3E7PTMENnfvvUITE8N5KVN3j70zrYeOH3263JCg5NaeJhe261vB8uqMdMyfRLt0xv8J+D3Xf + 8dqMaz7IpptfD0YfVJ9HvH1LASLy7Ifzj3NtHjxt21NffRtIDuHKHfUf7neaezUGpljjeCH2grLg + 6qIGo6tYV8K4+smhebF47SFc+gcltDIS2JShHqj/e+wwH8Bvf85rMuEibTsNfHSeMK3nnvylS5AJ + /2Tx0dLzcxSgAZerXlWWQgvr4imOhaSRMrA4KkcOXG945qKRNHkQi49jN+UE+BGmQJeiNZMufbPr + vg2Jh/JQHspDeSgP5aE8lIfyjSrvkOu2VrvuK/kN17V97WtffPXV6wu0fOBddM6+jRTkgtDX3Z0S + nhUgTKZxPim0ZOKlQiAaB/JF9boSL6eb51Bvn1z1ta+8+tqffqG9/pro8vJ7PvTSuz/07peWhYmJ + oGiyroxKtfR8LJNRG/2pw5o0/mR7wl1g2R7wFvQgVD+PdjJbJsNrr1G7wbm9BWjf+tRRfyRxvwqS + MYnkm0F8pahh2RH7/egcwZtuCxSDUwU08qwJQFQCPwJU9RSrNs4++cMw2lmN/SaczZgwsGuG7qLS + nr76pVe/8rWvlFP94CsfVNAFgOBGseAKkjChiqULqMSsBcI9XbBurRfafeg1tpbwMWzJuJt/mqpq + OM2P2tqgJBbBAXDjJ4BGDPvwgFCCxWa2k8C08tLa2rqTfK5PIIUo5NoqmpYVRdjS+LfxvoEuatGN + kTVsdkqkwLaSwehmfode3Uk2Dd6ctBSnsLp7kiJvkO+skGzGWOaB5TC8zfkZxRV4BhRCgVYP1rZV + AUg/3Wvuoemg7YFHJ77wpp99pfyUIn+itRgpL2O7gWqzvA+5aV2hF1C1G3V2/lOeWMIMg86bBml9 + Ji4HorjJgpApmKOeTqvJyWy4ckQgbWVaiEkJXPoxB4YIrnd//NnfebWVR9/0kUfvee9NBVcLjgeA + QuUqeO3J0/Pto/N5UIt5NHZhkSnDRl8fAKGxxYAbNrbcpy/VLZsViK5a10KWb10FsrpgJb+foLvF + 5wW/xXhfvGrh6XMpjHq7sGBd27PLG8uZzkutHNdnKVBxPj0Gv+7zoARGYXz1K195+uxZKXj3Sy88 + fnyqlreAaQ9AR1tIgp7K5B7/1ZaQaAz1YFz3FNoujtjryRcgxYH7fVs2IaRjL2u4YCfemMAiv6Fk + rFDqDIO2lREZnfWQB2bCmAesY2dialnHr4Oapk+DjRN7zgQc+mcpuQf3uPHodAaQFaptod2sdjjf + fOp2PNLWcoyREGpBVx92c61ggnDkYRJwXLtmyaQk8iwVUFnTHLjaoFcAoJOgqHN+TegLUEZ3GfID + hFBse9q3oyUai2MTS7+rRzGQ0bW5bl0uAhC0dne3/sEX/vTVrz196aUX3//y8y8/viW0pTJYIdcr + WKjELWiY5nHoa3K0O+ijJ09SEbSqaCJNhIWWEtCVAqBdLq8+e/W180u1QN4hy+ChPJSH8lAeykN5 + KA/lobzd8g4paK21ldbCpeche+3VV3/v1371Z372526++Xu/68dPH/7Yx567tUgTAIhDrez5GgHA + 0o6NNhkg8MYksRPRLICACHaKmYvgXEGndZVf+cynf/HTv/1bn/mVu69+uVze4PNzr3z8u7/3b/zo + j//oJ2p1e0BVqPk1A6asRzI1j8LRoQpHHYfCA3M00ruZqs9DydcwDTjHkNJoqTtEZkPvwIrSZBx2 + U6GjML0zGaPZ1QxAOVyxs184O1xGlLNf/zJlYB0BktStfPXMokSOh3BwMVE/1t3RpikB77BOu93l + qKUp+CsNi9JNuQBW6NMvfOG3fvqf/tzn/vDLL73nlX/w77zy/LvPdAKZ104t2W4l1Bm2AtSwkxv5 + fbIDcTR9w+yM2iK6A69OFXufO6Vk+v5dY3ZiyNl8dot0ePSnUWevEO3CBjXl/8vDygCnIaSA8eSr + mBLidBPXjT8F8QJUIlao4qrSyNz7emtNcgFjAU5AYRTu+d2TmzyAK9lKzqBuKsaGzt6ehmdKJBBO + nlKaAu3d16Eg5HvQJ0oe13FQD+pqFVcGRZKPURgouFCn6+3Rbelg7f7fH89HOF3ns84zLgZs016D + 0uxxSD43srgqTF4MTt90emN2no0e/YszYPcY50spBw+yJALEkDm4mXzgnW8TiBkqomxt9YC2drnc + ffmLP/upn/7tLz554cPf/sN/+ycef/S9JwZILpfLV7/0tT/94pfuGt77Td98un1EE5AFbBdt6RIX + lkM3Ik+BFYCiaHezbBdrX1DDu9cXOAAuRHFMQ589+/Ov/snv/uHvP375lfe/8t23t+egKbsVy1xa + W3aBKdSWh8SgTS2LSKdloVIEENJCcYMNGIJGKKpnUiZqIvL61974vz75yc997k9ubpef+Df/7se/ + /SOFa3iUx0zrGE0eduvyL0qDpeZNHvEdbU7HAdJn3T7YVWL3G0tKaZGInfZv5C8ZIoLSvKu1eaGM + t7yar7UhQ8l+tHhGof1uk7e1m6RUNLyXUVl9R2GMrlh6kw5ZX5CxNdW9t32MaReZyoZKMkONMH1E + KthgTfup3g4h0TjtdzL6wLn3hKGRUNDjfpq2GYXI7/7oQ9uBptPHSVbEnVtDHLzJTKSfJl40/QLA + twQFOTVz3jjQMUsjqDhJoXFDjJZ0dEkBUWaqYIFYevmml8vT15986lOf+szv/cEPfP8nfvD7vvOF + b/kQoxUInj353B/80atPsS4vfvMr3/Li83zmniMpMfUNssbkdhHdhlQCgYiYGIUt8qEBKmBev/Bn + /+yzv/m///Jn9b3f9kPf8R0//B3f/vj2LdD4UB7KQ3koD+WhPJSH8lDeyfLOJUxYdaWFFKpKpPrq + q69++tOf+R9/8iff951/fP7g97znQx/DbQ/X0riaOentdpFOBN+w29MpOo/Cj6huYBLbTREKWpfT + Amlf/vLrP/3Jn/lH//iTv/Tzn3rfi49eul2WF17+/JeenN7zwR/80U/cMqqZWup3U2gcnO9ZLgUs + yMG4QDKk/KYKMECSTtjmP6FSTyeRN+b5ZPXcZ68GdOrW55z6NAAzMzTsir0DUeOoHQHUL+nu9Snu + FJPZX9HNJ03ujAiEaR4G6DdhMvkB+clgHQjRuAkmLNhhBQXGdBhOm7EY2Hk6GvTJn/zJb/6Tf/JT + n/7Mn330W3/kx/7Wv31+Hjcncw+taKvRF/Pw2wpQwNBqt6gRrRgheN71bBZmEzJP4IAkORKIulNi + KlOC342jIrW373QzWclUn38KEugV2EmFti3CrOrsQiALkVU7mp39efAVAQIZfTCB6skuOiaIoomu + RRpUoaXJSQoqddctEyrHHZoAVBpZYtORF9pg00OUJiMcG9pIbpIGXBVKYJLaLW5N9/YQxf047q5x + twkNLxsofBQghOs2XTPS3RVQxiUCe+NE9rDradQfeFSAFAWbO1W2jOHAWKaBu9mhcVzGgku40sAW + UWovWuNNozRRWiz3wau49LSdA95qjoNwfqUdr+R9UtitfKW1VUSoTFt018vl1a/8+c///M/8wm/8 + 8csf/cQ3fez7P/7h9+oJxPLaa1/9lV/59Gd+7TekLH/33/i3zo+fvz3XlLeBwRUExlqxxGILT+rg + JB6jHDfLT0tRx/QPNGZWqFDmgshp/OqrX/q1X//0//FPP/nNH/v+v/l3Xn7llY8+uhkskx3bMGIa + fY2DJvv46zErVpkLn+sCPAOax30zQFipSVsLCvQs2urSmj57/WuvffKTn/x//vmvv/Cu2+/93u/8 + tm//iELVbvuL4xJ5Xvtcw+P1OOesBlqkra5bAT3qdPztf8xM7miEBHZ5pAOOjUBMCyMnd0+ZqDPK + 3tLRRKFQ9EbQqUOdJ0pkTN4L5Vl6z3PaV9ycOV0jRpIjXweN2hvwB7Vslqc9pP2O2qYSzKXv2ztx + vTXtZm6LqLfEW6/Gfa5CmG6SZ6cB0fajhnuxcyPd9x2o2XD78TTOYw04EGQ9z1h0SnGuYhaAoxUD + ixIiFWkPm1Ai3zbSlbKZUNUEfyca8cvFCsi2lATS9PL0yVe//HM//3P/5y/8snD98Ife/60f/qZK + rej12Wtf+uX/+xd+9wtfa7fv+1v/6u3HXnnv+XGa62N+r4OxbOZk7EWCiLigdGyKoNIXvvCFn/u5 + X/zv/vv/5cWP/+Dp7/+97/7Qh3D7+LCPh/JQHspDeSgP5aE8lIfyDSnvXMKEGwJArTW5yrmglHI6 + LdIaoMtyojg2z24xedyNgvxOZdAUO+ghWzwuE/GH3Z4DSFe5u9M7yPpoWV57/fXf+r3f/2e/8It/ + +vk//dYPf+t/8h/+u//Sx155tpyfLI/e87G/RgV3a4M8W0otPIJDBb0bCQufhyMEw140rw35dcmk + 5o6IP3ZoMen3W1s0fdxGq+zqANBIVwYMOyQZK/3S5eF3kmSHdFtRgQasANulYRjxIxr31JNaEjo/ + et8NU7dLrcs4uL3G8e1uvwuICEXitmiJkMWEE29ThusiHqqCSr9LmsbwwiCJ69ECRSuWttSnbX2y + rsT88ssvvXyqWBsYF5IL40rlDCpx3r731M3gRmjsg116ZG7qoiMHm2DhPD/W+DicrzHcaMl9dtPc + TwFrGrFehPDQ+YyzpZuYEJJcgXOEFdJpSjKXXLbwD4r/LIQ1FliZaxtoGM8JUAtMVUUrQKkCFkgD + XZ+8oZflvPhh9gWRqXPY06QgC+hjTxzrwWEW8M698w68XXijlAbWyVG9QcVVIYQFWjttSdpCqH0l + KEB2UN0N7TiWS6l1MFrFahkVJncKwJCCK1uryZOQ0dpdSd3VoO5n9/2P7D2ge/hAnqWDn1W785u2 + dXN1IYjGnkoileweyX5eJEIiu+is48YcBgWMyCaaFnIBVvLduLhYXO1mNUkQaedpw6XQ7B4wqgWv + vvpnr7/+2kdeeOn20bvqCaLCJJ/97O/+t//1f/OHn/+Td73vA43q3/nXf+Kl7/wod28WMVBBRFhP + aIRqyc97+86ysNr5bJ18SxtM5wh3/x4OG20qDK/yq7/6yz/5D/+HX/zVX37Xp//g83++/vv/wX/8 + 4off1emBfX9gnpkgZA4f4gHpWKcMbdB15UWAK7QY/24itEBLI2KiikbAG6BWT+32Ua2lsBbSuixn + EH3tjaen29vC1Ve1cdm0jqyEQ2oDRgNaMATqS3IPbZrW/mzIpuNCRr0dlozsowhOdK9d7ysxCY3o + VUpe8lhaEqS744TUMRFPuuByV93GG9gXl04Pe7OkQwoAUE8CENlk5pjcgam+iGh6HCB5tod62Gke + UaguGvG/PHjaFp20mxudJm6DMmDsOERtiUTbZWQHzpiYSYOM7fCe0uZBILP2IY41rpubzmNRtDaY + WLA4Z7kpDwgNmhjd2bEij9rW4ZntbIO7MFYI/HCXhAgy7u673kSw2NumELuok6pvV2PF+sazJ689 + ffr0jau0cuLlXOqi12fy7Mmf/dHn/rd//FO/8P/+cXv+w7cvvu+52x941+P3B3gDk/OpM3Obj6va + YuNBQtb5RDdRaVjYtqcLCEREhMvl0qQV24Z7KA/loTyUh/JQHspDeSh/lco75LpV1QapVIntDL3d + jq0glFLP5zNvggMh4iZHHGyUEpa1jiN/OuXE9BK3q4C0Qh9BCKiqd195/Y8+9/nPfu7zer79nu/7 + rr/+4z/2PR9/5crn167Ej18+KXjVsygKpNAFaGiMQsOI9Xt+ktk/3JNhLbiFL8PaBwB2ZbqlOhGz + Fgp1pI6lYWv2Qfk3nT1CCjS78Un82KSGz9QrGtBl2C3RCQhkx3Ib+luEiMpE2PLdVdFNU9oOvbsV + AGgzR204NLvBY3kvJmsgvMnw+749y0UHVVI4IQPUE+OqV2jQBqqK0hIMBAXWBZeFVVEv9OgKqKLq + tfKlsAIFVKFk/j3mmAzLcgECWcykZm9BMva6CW8UqNhe3d2ja5MjMdNDzGBDJZTeC23mFh72ZsRG + RJ71jyxTcDGQwnWq8WsxXOkGXXZLFXH2zG1LdiEQAGGshKbgTWxXOJvSd4GIEKmyMkNwvTx57Q9/ + 5zf/4Ld+4/e/ePft3/+3P/Dxv/78uSoAYVElJkZYoH7qV32BaCSQLU6aGwA1meOdUGr3CvnM5I2K + 5h5gAOpBfX0pMsH3BdQ2bLrbhILPdN+1EErFWbAISrPI4YCJgYrqGVE4/JwDpcPSjk8eLG5R/Nnz + kLxI4THchYctPoOWErRHmhJoJBax+gIw1f44cTPbFKPkZqV0oLsMbPuvEts8g/wcGgCg4NTu19aR + 2ZJiF6mmkcRmhi96BZQJSiMMWhUqKMyPbpfTwgDuLu3uirXZ1exycz69773v+eKf/TlUHz16VJeK + 7lkBQHYv4gqsBStwq6iSBI2vHW3mcNZ8ez3c6RcjzMwgUvr6v6TJOXhze3rxheegcnNzfvnd7+G6 + uDBSgTObwyKMplDFkuXLZqWSuW7VFvfy7MmT3//dX//t3/ydP/niV77zEz/wysf+2gc/8BIgXC4W + ri6ySLtAhWkthhSqXAEu0s91ew/u1yNsTmlo94QBsG2tyB1NvRIylP41E2xn41FVcU+RtJXY5cj2 + DAqlHEQuNaceaeNS3ACnG37e29nxaBrV/eY/mbJdqAfox1bMrh815tIm8SR+NiV4dQewyz5CybdF + MXWUafqTAO2TQR0fGR7Hv+0SHTP/PKzRF9x1ma8s3ZS5G3H34YYAEGG/hINWekAoMWRB3weBr4Po + OYe+K4zV3dizRCWadA/KkstAEuyTfaexuGM9K5aqfWS+jzj0KwF5Zh5toNqz7wwmDyVS07TDv8ug + YoeTql6wPhNpjXhVFjCDKzMX3LA+Wvi0LJflfPPci8vpkToOFFgBAi0xyEJYxuWUA/tctsNzfVBV + VRVUnM+2FUCplQoT0YHf9sGR+1AeykN5KA/loTyUh/KNLu9grlusZSmValkAhbS2ro2Iaq21ViSL + 2vRvDsulOzIiNq3BnRkWPJPcn1CoCvQOWEFnbSfWYocKG9avPv3Sn37py1957fH7v+V7fviH3/ct + H3nxm94LunmXYlUsF1QL+wMBuINcoQu4jmC0nlXAvyftv3lMrrmSYyyTue8393KEivBGG54Oqx9Y + SZOnDAqEq1PBHmuxg0snb7EMY5wYxDoiRCSMnh4BFLEw7rkaDUbrIz9Dn7Iweyqo6jBp3PRlFJlC + YABtnumPRixkmKTqvkjzItn18Vsj0e/fkE4cNky5LHh2qoxyekNuXn+GFxoenS6VLbyuqo4Y0jD5 + GgjuqIdQBAWTt7iZ7sBEXHTTndzJyQW/9j3P8CgNIIHdRZLdehOWu4uBhovWbH5PCjKN2m908aC1 + yZ+i3XVbO7qyjT4Na9zII8BKuBJOFrWVXjAy0o4dBa6rEoGqEqvo5bXXv/TP/9mn/tef+p9//lc+ + 95/+5//Vj7/v2z74nneLojVWFYtu7a5bMFlYkvv8wkEi3bE0AeifKILGkS/F0842zPevHlmpi8Gr + 6RLtBQBW4AqLzIVNRg9ShudwcQ+umfQ3hKWBL/Dg4T4zzAXQiE8n97eM5ZF8S4Oxse4GF0556r53 + DYq3d6pRrIatbjXjziXyO2acQcTKomp+W11BPfx96S2nsNLe1SZMrrvVyF6U1H2/373nuLArA9X9 + NcaphVCCUXF22trIiJnZNx40KJcY53N97rnzclqePL17+my9rLAcHh/4wPv+wd//e+99+f13xN/9 + 3d/90ssvQ2MPiS0ynauD/QyohHObg+iDHAhpp2gfHQiUCMZUBM0mbyL1SfrIKx/+0R/5l7/46pc+ + 8l0/9CM/+mPPv/BYnFg1kXtkG0EMEgKsPEf3URBK70r8G0ELdHn6tT//pV/82X/0D/+nX/rM5/69 + /+g/+9duPvBNH3hJoER3ttuwCl+uTdrKWAubs6aeT8sIEUx0JyEOeOBn7N5pX5E9BFVHNpaOCBcX + 45nu/qVRtbc85kNidSLtcR74jfqy0+G3FW+u/67dhxk9xcx2x97QMSZWqL0NqMaQU/PpXdtK1ml4 + U5G0ckNwmKdPdfLqdp63guK6zLHHm+W7Bn/LAaQz8AcJlJK4eItC85Slx5vx9VoxBwo09xgqVAaw + pACaZbGO5tNCKyF5W8U1OEr4baOMHYMZJnj/Mv9oHfthjHTEB32TmAFSnT2VJkk5azaJj4qi2oI3 + Obu62teA1YJ/h3i1UasAQsSqLKHnsNppFiWsrFciEl7uhFZLqlAKlvredz33N77ve/DSx548/ui3 + fvy7Xnz5eQAAh8CyzyVW3BKU4rgWxKDRU+h30MY1DFBABOuqQKm11krM29ROB+vvoTyUh/JQHspD + eSgP5aG80+WdS5iQPYAAWmuXy0Xl/2PvvYLjurYswX3Mdekz4b2jNyIlkhIpiaRISqQoSqLse3r1 + 3lO5rq6ZqumaGBPzMzMxnxNjoqPaTUVXdVX1q3pO3tF77wl6EiAIgPBIA6TPa46bj5sAKXXN57zu + D6xgIABmInHvueece/faa6+tOOeO48hqgOvDJ2WlAvmEhwEAwH5ch0HhalOpH3R88QMCBBQYAOFS + VxK4B0KBQJoAg+oKa1wzpGFIQ3MxSMZ0rOkSCIdqHT+XnuI2JQR0OeeEOc9vqKeilbk/rhBwADVf + vve9gPZJFC7mHp3JDyKouahDzrEeT0U0c38AqpTa3Gdi9fQhyepbn1aqIpgLLdCTU5j/GDTHCswp + UeYuzlMxN0Lz7gg/fOl7oz4XqKhqGSBCfjk/8fmOalQrEACac0gE/5SfYiHnOYu5EGuOI1ByPsSF + J1GuHxYKQHI++p0LtRSA0IFrWClEHWVUGCAAihmAA2BKoFxiv9McAl9zqAC4AvyUMvHp031yGZ/8 + VGVb1Dzjg37wXlXtd/09IkbNn5fvqkHhByan8x8gq5Wu4smYPD0sZP6sv6+6fTKe89eN+BMDOACA + 0tQcyfEDYc2TQA7PR7YSAQNgPu/2/WAO/EXq63UwAkxA04mUhgQHQGKkNAqapjDyGHdLpULFcRQA + QoAxUpKg6nxRqtoCSqq5kQFQc7W2yh/op6VDP7gqCvmTXtGnL8ETTsN/vwTFQaGnpU9ibjQBcQAX + FAag/ukrBPCUU4U/D6vHpAhICoQIAA+AAOj4ycGAooCUzxMT3w30CbXxNEs2TxU+acBOqn8Iz1vx + KoD5BnfqKfJgbrkxkAx850QggKp5EfKEGKIYUTV37Z+kN3xLkzmCdG6uVb/OraCnR2+eVFJPKSK/ + l2vxOe85X1ilQKrvF/6jeep2Pmui5pjepzWdgEApiapCYExAceAeq1SKjlPinGOqG1ZY08HjjCIv + kYhue2XrurUbXEzjzS0kYD4hv5QmEGIAGDCuUrcGzAnnnmi5q1uLv1TR99ZxdUv1jxdjXzusoHoB + 5/JrCsBhruDCFDrSoKmpfmv4pRVrVqNQR6BukW49NU9hjv6da8I1Z+zgH4NvCly9KlVCSVWnjv8t + 87iGCSUEAIEkSgjBy4JlhXSDoZhu1vqck5AVz5OGEcHY0DVTMCaYTUkMYwwIcVU9kOrEqg4FkoD9 + IoT5u/D8y3PfqbkE5LwycW4zeWrE/hM8fc/84ev/6a4C/gJC4KtZn+rAOfcHEMBcIYv84fYITxjE + eeEtevoAABSe/0X51N7yve396TGoLigJSD19P1S+6YKay3Qh9MPTe8r9Y16o/NRSFvP7FHp6ial5 + QW6Vun2KZFdP/s2x3d8bQDR/64Gn3MmfnM6TN/9TG/r3gZ78YlV4O3+Y3x/vp05bVXcWVD3Tp0sm + qtvK96/m/Aeo+f/2d1bsd7OsPkqp6uMJAoFBzWuH59bi088M84c3v7Go7+fGFPyQTH/6RX/6CX8f + nX+qVE8umUTzl3GueuNJdnBuv30yRtXtRQDCaC5FzQGoL8BXEoBpSCAEXCFXKF7dCyWAQPHwj97b + u5ElMlpbTUtYr9oNYQAFiAMoAE0CmVt9ZG67Yv7Woqq3EoV8nzGYvyciBZgQjBDI+XSNriOMGOee + x7gQT8bk+481C1jAAhawgAUsYAEL+M+I3xF1ixHGgCUoqSQITCRHGOu6DggJIRhnSgFXwB0QdomL + PEAxEDQMg1Ciq/nAwX/8RxyDADD9QJJ7XrFSdF1bgQxYZjAYpIGgBiAkIJ8dIBIEZ45b8spcCqQA + M6Qc6RZsN6yD0mhAQxRAAUEAkvrMCZaSgzubKzCXB6iKGhAxFDVCCL5XUw0ASHJQLkJYcSW5IrrG + FVQkL5bLusRBYoYMDWsCMAfFQOmANBAghWJc5PJ5h1cQkeFo0ApYGtEkKKEUQb6jxBzho+bjTwm+ + XsOnXBBXgJiCMhclu8JyOV1w3bCC4Qg1Akqv2svOReMSFIDDgSMgVOqG1BACBA4T5XyuUMZWhATj + ZiBESLXrM1IEFAepOPcciRQxsPbEYcBXs8xHlcL1HMc2TRPrRFUZFISA4CehlCKKg1Q6phhACGlX + 3JJdrLhcMwJmIGAGNTzfAUpwEL5rBeMgbRrx0mEl+QAAIABJREFUdGzMn4uUoARIDwRyANtE10g1 + TsQIAWACBCkkJZfgIQqIAEjpOeWiXawwTFA4HKgP6QDgazH9AlyBwQMQCAgA4Z4olwvlog1Yo4Gw + GQ76AuynOhn5UlYiQUmEPCaK+ZzwXCS5jlEkFKSBmKLYb+A8X1ENkoNifsm5DUrYNq9wYAgjFokZ + hq6QIsA5eIoBFpbBSHWosZKIM4QFCMdlrrQMjrFQgJEvHVXV2FJhT4iyIkDAwGAACCmoEqA4cA5U + U9TwJAhXMdupVIoCCWoRyzKDJGgCQQAKgSS+8IlRYCCKjFGmTISx4YuEmWd7pUIlrygYWiIUiFa5 + VgoSNKU8ACUEd22bebbkjpLgek7FsSsMhABTAcWA/WlR9V1U85NbCUYkAUnBYy7jrg4aNf3poCQU + y5WybWNAETMYMQ2s+0QzekIS+Cyrr6GW4IAslgq0wi0PlCtVMGrVN0r6JBAXAKBcUGUQwB1FdBPp + wEACUdR3MFQAioNiilVcrhl6DIB4GHg1XePH9RyEAiUdUBxx3dIwQgiUEgJJAgQk5xW3XCiVPQGA + 9UjQDFuapoHPzvB5/kgJEMyV1ANNNwAkSM6k4GXbq7hct0K6ZVFj/s1P2EefJfEJG5ASuAcgbcdO + ZQsS61ogHImH5mT56IkbrVuucAl6XJAnPeMUKCk8ggQg3WOYScAIDH/VKwnSA2UDgKs0hg3fIkEA + cC68Ql5VykLwQDhoBi3NCEmFxBP6QygQWFHgmnQkRgAEA8HKc/KsVCwXdcBh3dB0U5oBRfUq/YH8 + M5PVVA0CTDSFiAJAGEupKNVidTWxUNxBBIdMb35kJAcJWDN8Tkd5HFy36M7OlnKgJ0LBWCBQldFi + TAEsAMAgDXAJKFAUOPI8XrTLrmBAwAxauqFpBOtPGVPjOSmbAqRwNbcGSIGhhWkkGIt6ehPTwJtj + OgkokAqYAM8TlKIAVQAeE16p6FaKCrtWkGimjmgQCBUSSNUTQAKAVFgiNDfLfet3LkXFdUp20ea2 + BKm4dCoyX/LClHs6GJj4Xs+OkBWCkYYspHTwy/ClLDuVildRFachEAlTCwhSFvEHVgBICWR+zxYK + MEgFtgu2nfa8KY0og0RMLWZZMUXxfI/Lp7KR88rUJ8k8EAJAAUZSMMdl5ZLtehxhHAxFTNPUNX+H + nKvbUAIAAcJSYa6Ew1Su7LqFfJDiqGUEgmGkaf589rlk5K8dxaracwnMdVnFEw7zBNNDphYyTUMH + hYBz5khhBIQx5wOqOEbYcYnjgUbBokAImssXIimA+Cp15oF0K8pEFlDi3wEFVggUBiFBAVDkcNct + ueVCRUNE08A0kBUwlGHOJ4oAADgH6QKW4NlCKWFZHCMMwDm4paJbymrKi5hEj9ZLnYo5XhT7DyfV + 5Fw1nasU5MvFkiOklBSBpWuxSAj5a0ZKQIABSSnQnCtQxXELZcd1PQrK1GjQsjTTxJRyoQChp708 + FPjNZP0ffL9yDIBAKsAUQEkFxXLFLdnCZVRhzTJiiRjS5m9CWIKUILGfj/M8QAh0TWnEAyWZW8mW + CAfdMK1gkOoYz7PESgBRSgnHcfL5WcaQYYZ1PaAbum4AwiBAceYRohGM1dxkIfPGQEoqhRQo7BuL + SAYImOBFV5YqDnDbwDIWjaJAXKLqcxGWUO1fiUBKwIKD9IA5gCSYIf9BwxVevjxj20WNolDIDBqa + BkY1twogQDJgOnDwbM4Y14mnm4ZvQuwy1y6UKwXAQg/GcCCGNI37OWOCsKTAJUiGQQIoKYEJVZXV + +2NOMWls6MQtJgkIfW4j9UcJXMAKQFegOwzsQqWUmw3oxAzgQIhoOgFkKdA5KCyAAgGFAHMAqZBS + QCTIUsVxyzZ3mI5pxPTMsG8TBVJJpZ50KljAAhawgAUsYAELWMB/Ofhded2CkqAkyGr0IQUhxLIs + hEAppaRybJZhUEhNl7PTjpOSMtfQkKip7a5JdFJq+JInQAAglfQIliCwW+GlPJvNpiaT4/nCrJKy + tqamsaEhUddsBuKEACFYIRCI2255ampydGpkZnZGeIKXeS45O/ZohNoRPRCsa2o3QhbV5jrvKFkq + lWftUqFcGX48WiyWowHaFLea4mYs1qgHKNHDuMrrAAAgyYRTcsq242ClAuF4bZ7Z0/mZiclJXUJd + KNbT1hqN6YAFcAYKA4hc3i6WSqVyeXjkcbE0SzTZ2t7c0NAYi8cpNSilQEFKgLlOZ0gBRgpAKamQ + 9OVGAhBXrJxzVKbMZirMnZ2dHRsxGQtFoo0tbfGaOi1eq0IGf0LdKlCqMDPrFl1BtWBdvbIs1y6W + M1O5qZHR8UkrWhetb6lrbI3GawJBrAGAQsCVcJ30bGG2ZJuhWLimLhj2a/RAASDpB0FS2nZyJj+R + mmloaYvHNMuqVg4KRTACUq0oFEgy6jqAwGFopmBPpnOp9MxsNheJxmvq6+oaGyPxWNxEGpIgmHQq + wq3YxVyGaSjaiuO11AQCfnMlCUqAZ9uOPVlUZRKNRkIhgwQ1pBEEQLAkIJWQXCAPa+C4kMmn08mR + qUyxYIuAVddS6zXVtFoBIAG/LRYiwBHYUjHmELeC8rnK9NTU9PQUpnoo0VDb0hGJJ2IBSo05WaoS + IIVUzBaq6Ir8TGZ89HGlVEDcC+q0pbEh1thhxeqVRnTic6IASoJioFyQqszYVLGcS86WMnlpc0JE + e3t9IhGMmEHMkJu3k+VKuLUNYhZWQBFgKYB7WDhuaXY0XbQaAMXqkQRdB4UB+QMiGQiZzpYmy1i3 + QvVRiwYBuKDAQXngulzotjBTuUoxO1uYnU1NTwrMQtFAbU1NfaShNlgXNA1lgQBgIIniFHl2PpPJ + l0tuJVbTEbFAuW5xdio1Mz2RGscaikWa21uXhoIJ3QINgwIMCnvczczMjo8Mp5NTdjmvJOSLuYnk + dHw4bEoR07V4JBQKWVQHjEEpJZX0NWsCFDBOEAXXy2ezGVb2LK2ppVXYrlNynLIzPjWVnskQwM01 + 9W0NTbHaOEQ0iZEEJH3+WAiQAkAAF3mvPF2cGZ8cU9mCxRgr2UZNc9uKNTIQJcGgbgGvUrcesLyd + tyemWCTaEUgYyhDIlIAQAUWQAu64pWx+ZrpQ1hP1S/VAWGDg1JeyugAcnJKosOmyV0GGbtHaOmoa + GAMIwaXHOeez+Zl0JjWZTBZtj+hmc0NtS128PhY0AxFOqCA+0QtIMOZ66Zydd6Gmts5AQjmlXHZ2 + Op2ZyZfiNXWNLa31zfVP+RfOUdY+/a2UlODalXIuI7mXnMnfHxgCakZrGzoXLwtH41EDNArINy/l + bjo5mcyjQKLHjMbDwbkskRTc8wBzibTp6VzZ1Q2qGusjIQsBkiA85ZVKzM2UCpzWRBNhBWC7pdJM + OjsxUZmZYa7T0Fzf0NRQU9+KjQgQUFUKkivFvLJghZJdcMPBYDgccbmdKqQnZienkhMWJvXReDQa + D9Y2WnWNCj9xYiUY6VTzW0ciTLgEoYBiChK45wHzMtOzZSB1nT1gEgQASgJnQklENMZUqZwtZycr + +WQ6545NF8xQfXNzV0N9RyRsBE0wdQLYVJIhLDVwAGSlpIoz3szsbDIzXXHKWKOJutpYbSIRiUSD + lqnTeVaj2gsTMCEa0TDiCEACc+zibDJXwFHNrI9wBISAgRSAkhW7ki2XCjaJhGMt9UWHFTKp9MRo + NjMN2KmtDcZrE6GYFojUCwUE+RSh9EWPSiEAIIRiBCCF4OXZ2YmJsZH0dNItMiVkMjP5eKI/YArs + uHWBcF1jgBpcQJ6LikaojoPAieCqbFcKTnFiejI1m3ZmcsubWttjNdQy9foaCIYUgFSAJBi+EbUA + YKLCKjmbpmZT6WRfPj9k6Thk1cbDjQ2NHVa0hli6woCf0iArCQhD1Xjdv40RrITggknp5XKF9Mzs + 9HS6UCxjQpuaW2pq6xLxaDgcJFjh6j7GAYBLlXcqhZKXLznjk+mZyYl40GiqiTU0NCVq661gBOl+ + QgAIgJQCSw6YSs6yJTc9lSrO5O1cqeKW442JRFNtY31dkGiiYo9MpI2adqsuqht+1QVXgDIz+WTG + DQVC9XEtEaWgpMJKIcS5IIoBeDKdmirnsyJa194eDgNGEoCDJCAxMMWkV5TudHZmZjqTmkjqWAtY + WjRiNTTWBuoIDgf5HHurBAPPQcDcmekpG4dbTWaFlQf5zHRmcnR2akRXblM8VNux1KjrVGFLBwAJ + GCTxszIgABHhsYrtlSru2GQqnS0wjxsajUUjnW2t0XDANHQ8J3lXnHEEUspSxZ5KZyam07lcXkMq + FrTq6+piNXXhaEwhQjVd1+cMomFO9A1+FkQqzhHBAMjzOBO2y3jZ8aaTqWwyUymUdUTCsUjX4u5Q + NAQhQ+m6ACQBSSGAKxAyl04DlypoaTXRnGDZZGZyaAQ5IhqNtXd2xWtqTYOABODC447Ly8VKdmYm + NzaWqlR4LFobiSRisbqaurgVpFgTtucYOsZYU6q60/sGXAopoZSUEiRohAjXdisFz/Nmy14qX5lO + z0inGNCgq6M91NCJI/XUAgyAhaR+E1aFpFTCdUQ5W8nPchSobV7kKlL2irPF6ZGJgZnMtGXSpsba + lnoVD7VbhPo6VwYKcQ+IlPnsaKqIY6042hILELtoVzLJdHI8MzMNiMfqmhJNnVa8UdMNQweEQEME + lATBCEilJCgQ8+Y5/sOy50GpPM3IFO0I1hHTmPMGVxKUA1I4DLK2O5P3kqMT48PD8XAwUavXN1qx + mqAVbqBGjccwEpJSba6wQXLMXcZyBWcqOZNNzTglO2hYjXHS0xZ1XAf5rcrg6bzgAhawgAUsYAEL + WMAC/kvB74i6ZYy5ytV1gxBKEEJS44wXiwXOOSFESnn6zJmHt3qvnz9LeFnIWSlnoxFrxYpN2155 + d/36rc3N0WrtIwKiJABLTs+eOdl79sz1+323SpWcJypIgUE1yzA7O5d99NEfrFq9vqE9IABN5tL3 + b97+zS9+OfTgYSpLZtJczmhfzH5x8ch3EdM1IuE//vN/sWbjpkQsKkAxu5JKpz/57NPL165MZ2Zs + xjwpqXKDxKsPk5bmnm2v/mjDxj3NbVFJgCugSFhITU2O/5//+/+hcGTJsg3PrHvh6t2b+08eycxk + iMtaEnX/43/737ywYUUwYQJFXsmdms78+rdf9d7oTaXTZbskla3pStNRY2PLM2ue3b37rWXL1zJG + AAEhoM3VMEPV6BcBRrLCXC8vZeXevasnLt88feX2VN7WXFd3KrrrKEC6FVyyfOVz23Zs3LUn0hBV + AAYokBxcdvjgwbu994oef+ujj2gscfTQvv5bVzKjQ4wLSS0jnGho7njn/R9v2bYjHgaCwC3Zt25e + 33fo2I3b91c9t2Hb7r0bt6zxJGgCdAUWUQASKoXTx44dOnv10p2Btz74aPPGDcuXdFk6VQQpBA6A + JpGJMQIOwIGy7ODD09f6frvv5FjWrZTLjm0TQoLhcEtH++t73ti9dVNzPEAoFp5z4eypcyeP3RyZ + 3fWTP122aafRHpEKLCQ1hABUeXri7I3+L45fzZPoxueff3XLxiUdjQAIBCCBNaJRKiRiFRcOHj54 + 5/xvH9y7ni0xR1INR+sjHasWb/jgwx+1LW0J1CKgSscMQ8XjlSuX+44dvHL31sN0Jul5FYWJMkJG + tHbXG3u2v7R+wzPLTCpBCXBt0Eg+V7o9MPrJV/tu9V7nri2Zi4VngAwYWlPX8u173l+7ccvi7oQC + 4AIoZ6AYgOsWK6eu3tp38drNS9e8fAm5HCMeCtE1a5dt37S1KVb/8HbfNydO/ewv/mLF5s3xEHU5 + Q5Jbhna/9/qFU0c/P3Bi1eY9z21/Z8vLzyIMUgrkOphKsEvDo8m/+eLY0WsPFy9f9cFbu17fvEbT + NLDz4JTANCql0s3hx7/87NtHffdL2axrlwR4RIdg0Fq9aO2OTa9u3by9tjPCAQAwQQiA3b5x6fCp + R2cuT/yzP/3f1qzoOH/ywJXzxweG+hQWTLhIBULBunff+ckbb++ub45jHTxPDg3279v36ZFvfuvM + pkopKFfgq6+++PpsX8wMBpR8bunSna9ue3HjhqamOiDgMU8ipeuUg1SgTEMDDuXk5OFjx07cuCYj + wX/+Z38+MTTWe+n6hfMXZ3M5lzGiIBEML+nofH3P7uUvPtfY2Y4Ac8Ecm5lUB42Ay+4/uH/0/Jn9 + J4/P5mZoqRDkrq4UM2MQqulavWHDll2vv7vN50CFdGYmBs6fHfz7vz/c3f3C89vXv/7eazqiVVkV + gmJ+5uzR/VfPHh8c5y+88uNnN7276LkIp8CBY+AAdunRrYNne789e7N+ybqff/zjaCwcMEAKjhAe + HB46dPjQ1atXxifGBKAK4xyQqeGmeHjdqmXv/+injV3LjViICUDco17Ftu1vvz1w5Ny1des3LGlv + MRT77JPfDI2Ne0IFY/F33n3/D/74n2lPPJjnSpAxAoQxQqlU6t6t6ycO7R8eHJhIzRZsD2mWHogE + YzWv7Nj56ubn161aYhLF7PL0xNAnv/3FiYsPl6zdsX3Px9s2dzAJinPEbR0rz62MTqb+8t/+4+Px + YmtTzT//o5+sWdmjWwoozqfSV24PffrdORrp+NnHH09Oj9y923v++DF7ZhZKZaR4MGR1L+7Y+uqe + 5zbubO1pBgRMcYVcC+FTp06dPniRVdQr27evWbv2s68/v3r70uDkoOeVdQURzWhtaX/mpa0v7dzT + 2r00bmGlQCNACOGgQEkpJRMCEY3ganHz1PTUtXOXL5695FDzx3/8p21LVxhh3bErJhZE0yXnl89f + PH5k351bl4u5lMtxxQOih0PB2li0/pUtu955b3dDY8S0AgAVKcqCl+wyHDl08dzZ2zdu3HRZRUhX + YUxNq3vJkt07X3tpw7pFHa3ITzYAQXrV1hwAYVKtSp4cG7125dD+YyfqF2/ZuOv3l65aHgiBpzhR + 4kZv78HP99klb+mG9dv3vvX5vm+vnj8z3HdPemWEbV3nXT2da9a9vf31P6xvSpghkAIwFgAEIYSh + So9igFKpeO/upQMHPjl75HQpUyxlCiWhPv/qky/OnYxrMd2jL6zYsPON9Zu2Lo4nlBXASgpWUbbJ + p6ZT6VMnDxw7+Gh4KD2b0RyvhtDuWE3n0qWv/ujDZS9u0gnFCIj/UCAlMKlcceLkie+OXXrw8L7r + TGCYVdzFygrqie5Fq17asXPra29EEkGNgpSgYcAAGCMppZQcpKAEAcagAGGUmU5f771x+PCxO3fv + l22XCwWI6LrZ2t7xwvPrP/7492pr4lgqqJSACCAwnUp/dej4/oPHcnmnYkvEKgZwi8hoNPHmm++8 + vHnr8rUrGAdGQCO+s4IEpAaHh4+dufzprz9RNkMud5itR4zm7pYtL25a1bPIyxX+9h8+Xbtl98ad + 73b2NCYCgCVLZ2a+/PLEgSNXEvHE26+/9O6eLaZFEcYIgBICTEI6+f/863915s5UWWv8r/6H/2XT + xuWhQFUQDkKCx+/3P/jNvi9v99/PTKexAGAKASNYdnW2r3jhlZ3v/SxQVxOnYBqAKBEV7+Gd3tNH + 9l19lNrz8z+T0dap0aGj332ZHBvCbkHj5QBmek372x//+TNb9ixpD3MJpGoS4HNq8ubNW+cuXjl9 + 9kIqky2WXaWUZZqhYDAWCb/95u4d27Y0NzZgSkBJQnEmM3P3ft8vf/PbweGRfLnCmEek0LGyTGPZ + itUvbX5l67btTc2NCqBSURhB0PK9aJEUHIMEBEij3LUdhzmud+zEyXMXLj3of+RxgbgUtqcBxhqi + prZu47oXXn1l7eYXdSOqACGEAUlWKh349ruHD/rKBHb+6INH6el9X3ydn07Jsq1r5s8+/oOXN2/t + 6e7WCPYcdyo19cU3n168em5yKikFlYJKgSnRG+pbNryw/pXXXlq1dplhWQRrfhkWJYAAlAShALBC + GFOMpVTlcmmw/27vpfOnz5weTWYd0B0mECtT4ViW0bzk2bVbdm3aunNlZ8KkGASXXEjACOFsdvbS + icPXL55lOPzRH/z5yHT++u0LV2+dm8qMOOUcJTIcNLqa13z4zp+sW729vluTFDAQneoA9vXLF/7q + H7+s6Vm7bNPOl9dv+PqX//HWhTNT4489VkaIISNY09y17qXtP/v4D8PRsK4DVUARBoooAYwxaLoV + DJFqV0MEGEE288Vvvj720E5ZS/70v/ufYz1zOSMkQXr5manTlwe+OnR1aGS2OJOTNtOxpEZRN8tr + 1i97ftPrW3a8awXqglQDBcAUIKGoTM8kr924+4t//HRiKuOVHaKQBqQ2As+tau9sDns8hDEmBP8T + bcrm8P/5wgIWsIAFLGABC1jAAv5/xu+IukUIYYQRICml4miefaCEptPpS5cujSSLpVQSpEokapSC + fKEwMNCfnrYreWygKCXr65qDAkCTEiuefDx48XL/518eHhmdVeB0dXcFAkRJVcznhwaHJy9fplai + xOnLkWeCYUkUCWlGczRatox8lkmkPENXoYBeE7KCPBQK6ESZ3AmIcLlY6bvbd+jEkSNnToylJ2Lx + RENzUzAUIaKSGe8fGx/ufzBcLlnlfODVN94M11EUAAVIce4W8gP37uZtbTrlDk3OPBwdHHw03NzS + BNip2BXPcwRnIIgoF+/dHTl28uqRI6dz+VxTa3NjS5NpKkLZ48cDw0OPRkfHg4EIIoHunpVEA79S + da7fr5oX2SGMAVS5VLh759bJk8enC0yLNXY0NtZqBBfyyUym/9FwemZ2NF+ERN2yF7d0JYyqYYKU + mVSq/37f4+kUDkdQOHLh/GkTeCieMDRjZHxqvL+vr/9RIBzXArGXN66rDQJGWMM0PTXRe/lCvlhq + XbzimRfXAAYN+z3mBQhPlvP3b9+8cPnq5YHpl97CxLA0JKm0GQn49eAKQDAewgq8CmQnbp89fPTk + zcvXBiNdazp7lloEgDlFxx0fnxibmMrlC3UhSjQlBRsffXzn1vWrA6lntu1td7lvyYmQ30JEMruU + mp68fqM3T2paWlpt1wMEwCVwJCXFioLwkuP9X37225G+0zNjD8LBSEPYcrlMT+YGHtxMD00aOtr8 + 5q6VL67QdU0D7PFc/51bZ05cPna01zQS9XXNiZogpiRdYtP5yujY+ORUK1qzDAAAFCDITk5cuv3w + q8OnL9+455SLyxb3BAxqIkmFN9j/oPf69TwjLrbCwa31CZNi3yBTQmryxqPxb/cfPn6jLz0+sbil + vbml3jLQxMTQ3dt3yqlcU7R+rH/44rXel/e+0/acnQiFMSZIIZCyXCyMjwxfungdEp2NK1+u+oVW + 2+RIEMyulAcePbp29bqggdlcQQIghABjkMxL5S7eHPrqVO/J89c1kN1tLUGzBQh3WXl0ZOT2jRvF + qSIFc7VaV9NVh4jPSvFCNvlooP/8ubtt3SdHh5rOnTzI7ZlQOBKOWhPTY+Oj45nU/XAwFImbW197 + NZKISIkptULBeCJWm6tkPcooAdOytEg4GooElQpHw5Zl0mpJNiCEgPiOlhiAAviODNmp8eG7d26n + hKxrap8cHhsffMwZr6utBUyy6dTYyNDkoz7XK9m6ermxPmCaBkYaEiDdQiY7MDh84MiRU5cv3ux7 + 0NHR1tbe0Rq27Hz2cTr/YODeeDafKrguCj/7/PqVrYAwwgTKpcKDOzdnpj0jRl7cuTkciiKQBKRU + bjGXvX3t+tWzFx5OKRlYHO18uYdGAIAApyBAyamxsRMnTp251r860ERMC3GOHEEA3b4/cOzMxa++ + 21cu5kNBa8mSJQyg5HnlfHZkZLCQmiB6YPMuWPLshoAOGGMMUnBvZGT44uXLLpMj/VHiVR486Eea + HqurK9mOY5eR5EhSSQAjvcpb+OaN0gMmHt2/dfb0yf2Hj8bjiUR9c3dtHWBaqjiTU9OZieHUVAtf + vlhRjQlZrhQHH/VdvXaNG63PbCoo8DsXYkwIIZI7PJ/P3blz9+FwttTTVqnYUgrlOoh4zC3nspmb + t24WxUhdU/OjR/emkyOuY8eiUTMUccv54eGH44/7Z2fLoNUa0eZwAghBvr33TDr14Obt9HS+XHH6 + h0dOnjuXK2eCwWBLc72Ty81MTF6bnJ4sOLMM794bsrrbghpgDEKISrkihQLfRgaDBHCFCgBhLhsf + G7l987qrB98oFDyPCakRAoDBLRdu3X5w4sDBk6dPcslq65o6GhoxwqVyZTZTun/nGq84gN3X3ny9 + tbshSIJU2fnpsZu3h44ePn67b1opaO/siEYCHEFyJlu23b6Hj1YsWewbsGLl+2GAoqD8y6AkSATA + 7Uppenry+rWrXaJu6Qt5UXX3VAAql53tv3c/mylMFQuzjB09f2Z2aiwUDgf1cLmUHB29l8lMzuSD + ZmTFps27Y0EAoXQkAaSUoDBFAH4hOCgIWuF4pC4ejXr5HEEcYzCtsB5tTQQj1GbhiGaYRAjJAYNC + GiUg3dxs5cSJE64lbty9GY6FGxsbDdebefjw7vDg4PBguLWV1jV1LV4UmHNoAcYLU5nLV3oPHD17 + /vp9atHmhtqm+iYMPD/jzCSLV65cqQgMZmTDphcb6sIamfMRUn4pOUJoTpkMqpjN3b9794vPP+97 + +MhjsmfRUtMKSsClciVfKA4ODxfLlWgsWqV6y7nHqbEDpy8cOHnuzr3+np4VTU3NEYuAV0qODQ4N + Pjp0cH+lVGEI13d0GDFLAiAloVKaKVQOHz329ZEz56/0ruxe3NXQHAgbqUJybGJ03/5UX2OzKpXP + nzkZbu5ZsakA0IgxICWEYCOjY5cuX6urbVi/ZjHCtHqzRaD8snzBhh729V59WDbbc4WyQuCbnyoJ + yOE3Ll0/cO7EwUMHhUFqI/GOplYdiGMXM6nJgYGHo1nXs2qf2/zausX1PvUmOMtnZx4+uHf20gOz + ZVlBr0+ODuaSacswa+IWyyfTIw8H7o/UMMrdAAAgAElEQVRCrK2o1zc1bjckaFW5pWKF3OjE9KEj + R4+fudj/8FFzU3NbS2MkEq3YbmZm9sq13kQiXldTk4hGAgETuFMoFM6fv3zg6MmzFy7rptna1haN + RChwt1wcGx25detWeiZLNXPDC5s6OpvmNZdCAJaSPLEBAYKRx5zpZPLatavXrl0FotU3NNdF4hpg + u1CaSI5fv34lW8yUiYx2tDYuXhQACyMCANzl44/Hbt+8PVkqesHg40K299atjrr6gKlzzj3PYcwj + oFi5NNzXf+TUsQNHjw5Njzc2NrU3tkatiFfx0sl0dmr8yIEpDxyHqNVrVgcxAQANzXVWlIAwMIQA + aRiASzYzO3vr1q3Dhw9lszOaGa2pbwGqGcolrHzz5o3HFy+O5DgNNyTM5yItUVBKSikBEQqubY8M + D968fiVdwtGGnqGpwtDYfYbsWE2c1IRKufTkxOOR/lnq1ahi9OX4RpIARRABBJ6bmhy/0XvdTDp9 + M7LvXt/NM6dZNt3Y1IQRz+WSA4/HRifTxYpXU1v34pZt7d2NTGCKfMNb4bvdUEoBlJAcJAelwPWG + BoeuXZtKmoUPs3nOo0oHAADXLcymTp068d2JGyfOP4jVdbc1t7TWNnrlwmz+0VQ6ffnSpdkCJlbz + 2me3xBti4HtvCVF2KhcuXN5/6Ni5c+etYLS5vqmptt4t25XC+Lnz5x/FaTHQVCqXY0oJIX7YqWyB + tF3AAhawgAUsYAEL+M+N31mbMs0vqWScS1dpBiGE6LphmMbA0NDA7NdlbmxYtfL9H/9kSVeThMzj + kd7PPv2H/ruTh77d1xDvCsVj8eY1TAGSQufenWtXDnx3+sChkyueeWnna9s/+vHe+vqIZPzx8OMv + v/hy/5GzX3z3LQRrmjrji7prooHImiXLW3/6s/6lV767NDh+7LZV3/z81ue2vbSysyUQ0/W22voa + nWgAuYnU2ePn/u//6y9pzOxZs3T3njfWrV3X3tJmIPfymQNnj351eP+FE0eO55J6W/uSpeuXxIIU + A1aSI+YmAsHUTP7Ovb5Ldx4GoqHFi5e9//67yLUz4+P19XWUIOBucnri9KmT/+7f/iOTxgsvvvCH + f/xHnV2t0ZgOqnz8+IFDh47v23fkcPQI0aPtnSt0CyECjAMlfjsYpZREUgECZBATzEzanpocT05P + bXhl95qXXnt51YqWoMVTyfv9/b/65LNL13qPHj0W7OgRdU3dL6wCAEAKEFiGiRWaSWW+/eY7Hgwg + EB+9+9aL69aYun769NnTp89eu3X/1MlTQossW7osZgY101q8qKetqUnHcmRoYGx0LF+CcAwogI7A + dy1witmJkeFUJgtWvLF7ZUtHm2UI8MqKU0F1vy+Q7TDdAL1cmBl+cOHwl+cvDOk1q3a89eF7u15p + iQXAzvc/Hv30231WIOQ4rmAciALJmVPmrl0twaU6QaDNjQYoToBjpFzPczWBNQMRIhVwJqhAUmgg + kWLORP/Nf/Uv+xJh+dyq1p/87EfNzY22Xb56/vrpA2cf3uz75Fe/oHWJ1nUrogEIAHKKmQunD50+ + 2TvyuPwnf/LRqzs2rVjeRU3aP5o8f+P+RDLteYxSWu2mpZH79+5+9+2h//DLz3tWPLt9+/Yfv/9u + Q008omPC7E9//ctP9504fOiwHm1qamwIrV8bCQJoBIQcHu4/euzcd/v3J5mxrKvrg/fe37RmTTxq + HT924OzZY6dOnjC5Jgqup6BYKpUdFyCsYUyBCLesUWxoREkQUjFA1c53CCENg+KApKlTQigQTbOC + iOpVj0WNAoZH/fe//urA33xxPNLQ8fbrr/38xx+2NtUSTeUK6W+++urMoQsH9x80adgxYEf765iA + Xy9NEcegOBNHj52+fhnNTj/68N3Xtu3YHK8J3bx19dzpS2dOnb9x4yI28JJVK4kV1Clpbel84/W3 + VnQ0Xjr+Te+5Q9lRePnllxe9uHdZZ09QycZQqKWhNh4L+6W5mq6hKk9CAUBJDwkPKZtgBkqOjU79 + 3d/9Utp2Z2PTxz//uGfxEkzpvVs3j3339dWzpw7u/9pqqutYs7azuzNKKDEI2OWHA31f7Tv0yVff + pnO5ps6Od/a+//pLG57taX3cf//0tRvfHDt5/cHQsaNHbg9k/vv/6X/tqV0Ut2iiJtbSXF8Xj9j5 + 2dGRkWy+iGqiVCMUhGR2IZd9PDAwOzVTLpoDw6PPZIuS+K0GBQYOgs9MTd26davMqBaviSRqiSyA + XQZMjx058tsDx27evLFz+7bdr766a89u0LRsuTTxeOizX/zd9XOn/uEX/0DCTYn2VW3NFqUUaxgU + F1JUbOfxyNjgvTuqVFiyuHv9pk3LVq968PDhou4uAgIkkRgBIhIZRHkIBCgBjHvl0u2rF86fPfNw + cPjP/sXet/a+u2LlKi7kyMjwqePHNEqE50gFHBOFKcKIYIFAAcISqATQAAjFGjUISA+kEBwhTKih + 6aZpWhhjxy5buoOA6zpRSg2NjH32xZeTE4NtrTW/9967q7p6olRPT459+ptfXDp34eC+g3Vtq2s6 + 1i2xmqJhTIECcJ1gTaH05PSBA4etK9cC8dCGjWu3bHt+cU/b1NDwhRMnDuw/fPXqtUfpUvuSNS11 + TcEEBQSMucVCQQpFCMUESwwcQDpeQKca1TAowWyONE3TALBQMmAaIFgqOfn1Z5+cPH42mcu98d77 + 23btfmH9Gp3IiZHBq1dv/eZXX9y7c3VwYqS+e5HZ2GCGsY5JdnTo6DdfnD97S48v+vFPPnpt2+bu + rhYmVe+d+3ceDk6OPOZcIUwBADACqSRnklb713PBEVMaYRgrjSDX4UIIqhl+nyUMGJCiGOmYVAql + KxevnLtzRwXM9c+seO/NXbURc3z0/uFDn1671nvn9m0teLK5Y2N3a7zajl5KxgXSiMIIAQipDN1Y + sXx1LBxc2dV9+NtPblw8ky/glzdvW7p576qudihmWyOBlo44NT3HrTCGDE1DwDLp1Lfffqc3hBpa + G996a+/S5Ut0u3zuqy9uHz9269HghXPn9aauusYWI2oBAgDObHt4YPhv//rvewceq1B899vvbH15 + 1erlLQGTjgxOXzrX+7f/8TeXLl/OM5VoaIqEV+th39MHpJCEYEyrruS+3e34xNjlK5e//OKLFStX + 79q58yc//TieqBMKppLpI0ePFwpZT0gmpaVhMM382MzlS1f++j/8zUTB6e5e8Ud//CerV2+oi1rS + yV06c/TLz768cun6THLWA/zmRx81JyyhAJQoZmfu3Hv46Rdfnu3ti8Zqt+7YueulLR1dzdfvXz11 + 8djh776+de4MtaHAQAqOMdYNIBiQlIahIYQcTzieBKwZgYBkFSWlwlhwQQFApwbBlBCMqWEENR04 + cAJCcOHkyt9+/e2Xxw88zk69/sHeN3bs3PjshqgVLBVmb9+8/utf//LUzfsT5V+ReOtzS+sBAKSQ + glOMQLDZTOH4ydPTMoLc0o/f2vn8qsUdtcHsxKOLx74b3n/u2PETPLFoy+aX6kNawEBKCaREanri + xPET33z33b3Bifb2jj1vvrl96+aOjq5Hw2PnLl757NNPxsYnb9+5+/y6NQGDiHJhuP/BN199/euv + DzS3d736yra33nxzUU+njtVMaurQgQOHj548cuQo0SxCzY6OJr+QXyngDDBISv2OWAKUQjoFkMnk + dCqVNE1z95631zy7blF7Z8S0pkbHzl06O5kaHR0dYmfPLHlhA66pbUgEMCJVVbIE7rBkMv31N/vc + kBVL1OzctbMtHisWSosXdYfDQUzwTCbde+n8X//VX6Vcu3XVip98/Psb1zzXXtcgHffWtd6TR4/9 + w6efVhAvabi+q6c5YZkIqJpzyPVTGgj7nceYELPZ7OCjR/1993e//vraTa90rXyWGlaICMrLf/mX + //LrUzcunD7btOiZ7ob44ua13+skIITnVJxycXQk+/nnX+a5Ga3X9374+qJlTWGLjg72Hd7/9elD + d77+4psY6e5e3VNr1uIwUqC4bQunIhgbn5i8PHJsXz63pL1pz+ZNv/ejdygRAwN3v9l3oPdOX9+9 + 23/z7/8qWtfU2NVIAVmAQEnOmRTcd6lQUgjOFPcQCABACAshbNu1bYexqNIBFBQLhcGBgc8++eRM + 73AZ17z/6qtvv/bG86uXZ1O5/kcXLl8/+NlXvzx37gKDunhiUU9djCgAjJQnksnUgf2Hv/5uv26G + Njy/YfuWbc8sXzWTTN/rPb3/q19cuJgcdQaFYQopuODqn/JMQE99XcACFrCABSxgAQtYwO8Yvyuv + WyUlkgiwpmkYY0BCCOF5nm07xaIwwuK9997b+fLLL6xeFbUQ4MyKlXVKFo8aV88dv3P72o3Gzs5V + G9eAAUQIZzZz7cK5O7dutrS2bd+1e/cb25pbWw2NKaGWLV+2+403wIz/4rff3hkYOHvhclvLzppw + EIVUuKnR6GwbSAkjOCDi8YZF3YuefaarxQoxVoupDggq9pXT56+evc492LVz9+a3t23atKkmHAvr + AR3sXTtf62gwLRK9fnliamTy8L7DKELWNi7FAJSSIKXKdQrZXF5BtKl9x2s733znza7ONioZL5fa + GmKBIADL3rh25fat25yJV3bseG33zvXPv2AFNI06ILXXXt0WsMKZdH58YuLcuQvbd+5t1OotE1wG + UDU99E0qq0CU1NYmPvjg3XVbd8bbllkNnc2mEfIck+JINMolGIFQ8sTpZCYznclUmylLIZnHXM+t + OOVSOVZbu2b9+g8/fH9Zd0djIqIhaGxoam1qSqb/fSqbHRgcLtmex4IaxqFYbMmi7tVLF93sHx4f + Hx8YzixdURvQwHVcAzkiNzM1MjQ9MYo1c9nyjdGWHt0CkBxYSSldUJ0B6AjMUBCkw+xiOT3mzYxG + Le2l1/c888LW5va6oIRQAJmRaLSh2Ygm2mJGwOAANkEqYGqmjjECRIgnoMgAE9ARgBTgOpK5GsW6 + rhPdQlTjQgkJVDeBBzAyPYeB9MxQsL2n/b13du145YVFnc0Uc+6UeprbomAcceW9oakHDx9dvTO1 + YnWTEXWFkxsbvFvKZ+vrO9eseX7Z0lWJuMGk197eEahtc4SsDWCEQLkekg4o7+rlS3fu3mlqbnl9 + z5t7du5YvmJ5UCcmcI3be17fpczE5N/+pv/hwOkz51atWBEK6op7qjh79861c+dOMyE2bdn2k71v + v7hmdVM4jJT79ttvdXc3X27tPnvwZDpTDISjwUBIM00FwAE0JamuMc8RzAmFIBAKEd10OHANFCjw + +6t4DmeeAgBMXQEVl1cYWBoQUFDKX710/tHAw3Ak+tOPf/+N7VtXrVoWMDDGrKYm+N47e0Momh0v + Dg4MNd69//LenUSXAhgFFwOjGFHNyOXtRZ1df/Ff/8HaVe0tbfVWgHR0Nnd1dFVKxYd9A6NjQ/lC + vllhXTeZ49XXNodXrEkN3hm8FQZVbGhsXLx06TPLVwaECIGyCAIlwLE5UkIjUiMEESYFgDQwBqJM + KoIWBSU9JgFpH37w9hvbty1futQMhiSgRR3tMR0ihF3u7b1/997hw8c/+PCDuvowSJeX83fv3d5/ + +LBA5I239v7k5x93trd2xC0tgBcvWxpsqO95ZsW/+7tfnboyMjoy3t8//HiJkVgMRsCor4t3tDQ+ + vJfOpFKFkhOUfgMxUcrPTE2MTo6OyQromjk8Otk3MjGRWltfDwa4UlV4MplLZ4SQnYuXti1dEYyi + kBeA9OTY+NS1q1emMpk1G57f+977O15+qb6xwQNlJaKNdXGWn4lp8OV3RwcHhweHRuLRZYbuGq4t + BUMIuBAjo+PttbF1z2/8vY8+7F62NBCNrHnuuWg4aGlEKSEUlQgUwQQboFxQDLyKnUv33b6eTk4/ + u+755zZtWbpyjRGwdCUXd3c1xt4hSGhWBFPKABTCUgpKQNc1IZXLlMvB5yQl94iwpWKaRgFhKbEQ + inNBMNaDAcBMKa9SKQopedkZGh756U8+2LF949qlS2rMQACwXLooREVHXfg3Xx+5fq032HK6c8VH + EpADjhSeYlxTCAsIRcPdy1e9/aN3Vj/T09wSC5m4u7Gxp6nRsd3Dl26PJtP3+wYWd3Qmwj0aBoqx + aVgEEYQENTSJlAIwLYMgBQBKcp0iMDRCCKE6pUQByyQnb9/svXT2jAmwY+uOPW++37FyTSBiBqkX + XtKRiIQ1QAf2HT/V23f9Tr/Rtia6MmQhcDPTj+7eMHVt2Zpndr/1VmtD3DKxctmylSubu5e6lXJ3 + Yx2A76fsJ0QIB8VBUsBUoxoAKKHr1DQ1QkDTdcO0mPAtlWWpXPQcR8Okkis4SEabG9/7+KdbNq7v + aW20KF+yqH5xT+Lf/Ou/PHUpffHSlc07xp9fGw9GCRCklBKCIyJp1eRYKqU4k3WJJrJi+Uhfx9hD + /LgiW9o62rue6eiuj0AlQV0zgIB6CunY4MVCvpDLKMUXLVqy7rUte955s7GpNhQJhIXThHiHpsb+ + 7lePB4fv3H7w6utvAACAJ6Q3OT7Rd6d/6OFwZ0fX86/t2r13d3d7LBaWVEl9Ucgg4cnp3IlL127c + ut0/8Ki1rSUarvG7VH2P2REClASlHg8Njz5+rOv6hg3rt23b1tbejrDGJSwKxyKxBONuU3OLZlLg + ZVXM37l149Spk9PJ1KpNW370wc/Xb9hYW1NjYtAj+iuv/L/svdePZFl+Jvb9jrkuXHpXWZXlsrzr + rurqrvZuuoec4XDIabKHQ9AtX7QkBUmrhQQI+ycIELTSYiUIgrASIJEQOUMzPdTMkN3sMe172lWX + z8xK7zMjIyPimmN+erhZPfOwC70I5D7khwQSmciMwI17zrn3fuczz4wMDrv83yzNLb/91luPvvDC + 4NFR5T17uzA381ff+c7cwtKRU+f+8Pf+8IkLD50+cCipqfpI9dCpsQunj/70tb+98c77HQP21jnH + QGGt77SyrENSxkldqMiDrHVlSyczpBAwDnkKW7BzzvFuJ+1mqFfAcM3mzr3P7t69dUcp/Ruvvvri + 13/50YsPD9Z6A4h6JazGj22sr+5Q7Sefz35649btC8fqx0cCKbQQcIa8NQazc/OXvvytV3/l5Stn + jo7Ugyp3g8mxHurMNu3rn68sra5/fvNO7cLkYC0Ce9Npz0zd+d73XltZWz9x9vwf//M/unzu1MTo + oA7iEydONAZGz1+45E3aX6+GYQTibmvrzdd/OD19b3Bk7NXf/t3nnnny3JmTlShQ5OqV4KWXvtRO + i7mFlXv3pm7fvpOlv6yDvXo6rQEvTZFrBYiyyy0PAj154vgf/fE/LwyPjU/UGn31KA4hGkkSJqqT + 7Xz3+39zb33t3vT08Jlzrm+sbAMgBy2UK+xOs8VaXXnqyd9/9TdOHxzt08IUrrd3KIprRWf30w8/ + +Pjdd9ZWVp7+9Veufe1rzzz77Ei12iOldi65/FDizfTczPXV1Z9++PHTv7RWTRphrLiMVfYWABAw + oQAUoIPo0MTEN7/5zRefunpgdLQyOK57hlhobTuUbn/tK1/Zoca/+z/+5ta96TtT0y89fikQQglF + JLwHs4/DoBZHWsqNze2Xv/H7L3/tqaMnh+OGD8icPn5Q+tTt1D59Z3luauHtH7/7/MhztVqF4VUU + JVo5UzS7rdr44StPPv2bX37+qQunJsYG2aejI/2TJ0//t//dv379Rz+9d+fWp59dP3Tm8vnDNTgB + ZiGIBOBsmnaZfRQEJAMUKTwrqSpJtSfqDaNYKRCQp8XM1NRbP/7J/OzssWPHnvnq7zz1wldPHj6h + FAYHepLqQwcPJ6sbs2/89M5bb7937YnpC4cPj/YkMGZ5aen73//B7dv3qpX6Cy//0pe+/MtXH7pS + jSpHDh0+Ozn8yKUjf/1//2//+/ff2ymglE4qFSEl9rGPfexjH/vYxz728R8T/pGo2y8e6ETZZWyd + INJaM/uBgYHJyw+/8MIL1y49dGiAhAEpHhj0Tz75+MZc/v4bN+anZqbu3evk0CE4TzcX5+fv3e7s + ti499tSlR65Onj6VxJDoEoSs6TPnzq5tF9/+y7/fXFy/e2eqWzgjwiA26Kv191d7e6uh1k6HtaTW + 39s/WIljpKEt0N7d3dx+56Of3Zhd6Bsef/TRx5+59uSRA4ckIAEF9IyORLiQNXlz4QefvLv26Yef + nnv64qQ/qYQHOICPSMCziqJHrj3xzLPPP/LIhShEwJB+SJMDtbmbX//sk+mpe2EYXXnk0atXHx0c + jByDWBHU+PjYuXNnrz5y9bvf+/uFhcX79+fi+mAYE0mUFd5yz3jKcBakIURcSU6emjx4pmaigRxR + FYgkIFGNk7NnTn98/XOlVbvb3e2mBvBgCBaCnDG2MPB89szp559/5ulnn24kYSAg2TVqSd7ePnF8 + Yuv6/bXNjY3tre5QpVIhCHF0YuyhcyduTU0tLsx/cuPm6JGnBgIIFIBp7mzfnppZWNuKqwcuXbky + 0FeRAMhDkhJ7RmkBaAIJ6Uk6oTNHxiMKg0oUJCEqDomXIg4r/ZUcqADkSs+gI2bBHmASgqUqjbh7 + lWvwEo7YA4CQJNVexYcQpT2QnRcQQ0MjL7zw0vPPvXj5odOBNPBdwXE0Orz68JmVu/fuzM7Nz89c + v3HryIlRIHROp11X5JaIkzhIkliEFLqgEcloAEyIAO+gAFcUaWvz3t3b62tr4+MnLl68dO78hb6e + SAPC5PD58WNHr7T9kdffnV5tfvrp9a3mTk/PoHSu02rOzk5NTd9Leo6cuXDpyWeeneirVjyc7Q4P + NaqJalC0cnvObXS2pCaQ81wAupxA3hN7Yle65FlI/qIW/EHJFHvnmcFUOHZl2gYDWbq9tnr9k4+3 + t7YOHjz62GPXzp4716grLUAkwxCnTkyuntt49+iHM1OLs7OzzVarFkUsPLiQ5KQgkDh67OQTT157 + 8aUvj/TrMCaSpqe3avL85KkjiwtTzeb6yurywW7W14hJVYIwjH23mjTCIFJyt1ar9fb19tYQQ8Ye + yoOsh2dBcAQmYhBIsif2KcGAu0W2Y0xRq/U9+uiTzz73/BOPX6vXIsdwjGCwnl55qLs2feP29Y31 + 9Xt3ZzudAp5h0oW5e7fu3J6aW5w8f/ni5atPPvVUqFHxFi5Drx7r6ekbG/joxmfz6/a9dxem783c + v1+5dOwwgqSnp3Fy8uDyzEprZ3NheS04dKx3UCZgu9vc3NhYb7XRkwz1HP58qbO9stBc3xjsqyrl + nPULC+uzK7uplUcnDo8fHAkEoAy2Fz/76P35uXkV1x9/8vmLl64cPHjIehtoKYXQSXTx4vnt+Zm/ + fu3vFxaX7k3dv3j+FIUE8tYWzjsmElKdOHnqyy+/dO3Ra70jA0aAJARAzNa6skSI9kzpZQIme+/S + bjdN08g7KWUQBFoTs4x1fbCvDnaOVc5gBoOJmNh576x1jqkUh5YJkiQgACKSSjGEsc45TwCUBBG8 + ybPUeR/09J45e/rpZ55+6okrQ/Va6CEcw9UevfxwtrHwxo8+WF1e/uzGza0dU6tzRCABCVaelafD + R449/cKL15597uCBRqQLjVxWkoaWj1595N5a5957n8/MzM0vLFw8dYwDL6QIg4hYeZd77xieACUA + r5x1psi9z703eZ57z2V10Oba/N27t5dWN05PPvTIY0+dPX2uZ6gnlBwqRpAMC33h0vnPb9xxH1y/ + ffvOobPz8txpkDaWdttpnjshfaORRHEQanIkBmphj4DgwQTYK/wpVXJBCHgP5rKXSwKemJ21BTO8 + 99Z5prL0zygBMLvCeOMOTIw/8eVfeva558+fPJpIrzjrrfmhPjoxefTD6+ntudWtrVaaAj17M1tJ + hbL/3QMkpBQAyUA36tV6pZqElSDIk0pU64t7+9GDpOYSL1Im61GAHHsvEVQr8bVrTzz78suPPnqp + HDx15APnz9r7twd66wubWwtzK86WLWNGwM3dv//ZR5+3ttoXnpx4/PFrkyeO9VWEQhuwDakPH44v + X7584/7iZ1PvzS0sbG5uH5/ox974KRcd7HVdMYHgvbPWeucECa11GASQQUCkAtQao/6BNd87n3a6 + szMzt2/fDsLw9NlzTzz59PBQf6ShGQGFydhIpIIzp09urWzcuXVrcWFh/PTppK58btZXFt97/520 + 4BNHjz/x7IvHBof7E1IalYHx6mA42ltJlxab0/e2WtvEe35wAhN5sBNCSB1CqMJyWpgkFAB5hi4L + Ur3XBC0kkXQezjOBGH5tbe3tt95aXlxp9NafefqZc+fOjw2PBSByPtCUJMH58+c/nW/+3Qe35xeX + 5+YXLxzsqyUsBMplPApx9NTpxx5/8qlnn5sY0FV4nW1JWTl9cvLSheX357q73XR+afniyQkgAvPW + 1sbs1L3rn34ik8HJU+effOb5sZ64rryHVHGt0t9/6PDhvLMrXBFFIWy3tbn60XtvbW5ujo6fvPzY + EydOnmnUq1p4RT4J5YmTJ86dvX/kyM9m7i/Oz8+vrzf7B3pk/CCgae+bAzOIiRBF4dDQ4MjIGGRA + MiQhlQeMC+vVicPjj1175KPr73+2uLixudXuZnt3e57gyRnvrdc6PHH+4hNPP/vEM0/3K1R97j0J + EXovbLt768aNO7fuSJIXLlx87NrjB0aHEkZgGZIbIyOnTpy6cvnyvTfeWFpeXlheOjI2MhD3+nKA + sQcz4FC2zAJCoNFo9J8+icmDEARdc6pqAW1ZKHPm9KnJu6vQQavd3Wnt4sGQFQT2ECBJEOxr1dqJ + R64+8fRzj167WqmxR0sjDxuNhx+6tDHjFz7/h42lzesff/7wiw8nBxIJASkUGM5LqSaOTv7y177+ + +NVLk6O9WgMurdaT4dHRx65eWZiff/P9zxYWFhZW1s8crpWRHGWqMsAELwkKewlZ8GwdO++990oK + EvAMJfzK8soH737U3OxeOHPoxQZkxwsAACAASURBVC996dDk8d4KyQIyRJD09vafunjhkVtT+af/ + 8Nn0zOLq6upozzhEttPcevvt99ZXW/39I1evXjt//vzBQwdhWTjIXnlwJNlem/p4ufPjWytEJOg/ + GHa793v+xR/2sY997GMf+9jHPvbxjwHx//0n/3+AqCQEwIBzbAsjlarVqlLIU6dOvfKNV65cfnh4 + iMjDZLBFQRCnT504d/pUf73RXN9cWlzuFiZntDud+emp1vpaNY6uPnZt/OhRHamsyL2zgLfWVGu1 + kZHRSpAUrWxtZWM3Nx0ICIFYBqGXwktBggU7Js8SpAEoh2x7dnHms9u3Vlrdo6fOnzl9/siBQxpQ + ADGctaYoGvXaC889e/jAIZea5bnFjfWNdpZbeDgjjKkGQRLHgyOjv/Ybv/nY448lIVTZNiEBwWBn + 8vTu7ZtLi4u1Wn1y8tSBAyNZhk7HdrqdPMtMkVWS5OzZs4P9g3lu7k3PbDdbTAgDkIBzrow0hfcm + z2EdCNAKcRhHMYNNSSkIAe+QpaHWURgAsN7nzuWAhwcJRKF3zlsXaPX8c8++9NKLSTWEgAUcswj1 + 8GDj7Kljvb31bpYtr693sxRSwJlDY4NXLpxsVPTi0tz7H33c7IAIWgHSb25tfXrjzspGq9E78OjV + qz318oQztFaBLLnvPZ8dSRUl1d5BGdfbaX7n9u2lufudHQgGm9wWBaEsmoYxBsawNc7k7AzYM0jq + MJIQe/l2BCWVADtrnbOeIRSE2HsnY721kkhKNT4+8corr05OngIjzwspRRyGFIjDh0fOnT1Sq6m1 + 9eXbd+8xQYkqUVyr9kuhd3aaK6uLW1vrKByEkApl8qtnWGOhpDXF0uL86uqKMcXYgfHB4eFKNTIG + ReGKNN1tbpOgocGhY8eOOc8zs/Nr65tpDs9+d3dnc2Nlp2WGRkYmjh4fG6xqCaWRxJGQcnRk5LFH + Hn3owsWDYwckUVHYbpalppyogotCSyEJ3TbyonAgKfcoPCgJLSEFEXtmMCyT0EGgQIS8vbuyOD91 + 57Yz5tjxyaHhUa1Vp2PyrMizNM+7SoqB/r7jR48559fW1lfX1zNTEBhslfCC4Bw/8+yLv/7KqyOj + cVRRJCQznHdai4mJ0b7e2JjO4tLC9naLCFEklQrhlbMMT1pBKV02LlmGZ5AEtESgRBhorQUkA4q0 + EEGRF3CFs7vN7dUiz0ZGxl999bcvXXw4TiIiSAmlwB4TB0cvP3S2UYusKdq7mSkA75G3b9/8ZGZm + 2kAeOXH20JHjKoASACmwBmsoFdWCa1fPPXzpLIyZvT8/O7sECoGgVq1cOHdseCBMs9bdqfuL6+28 + gGZwu9XaaXYs1w8dO3nxktIq3VnfWV+GyTS8czw1uzy12OxaMTp+cGSknwD4dGdt9sN3f9Jud4ZG + j1x9/NmevqEsL7aazTzPLJs06/b0NA6MjVar1bXV9amZWRCCIBBSWJtba6TSI6NjTz359K9+7Vf7 + BwaExBcnuoyj/AXj6gNbqxBRGPb390kp79y+fe/u3aXlJevgPdiXebh7ocfMYO+VJPZFkefGeQhd + 6voFoKUOdCgVefZSKhLSWvae2XPpfCe21ubO+0OHj/z27/zuuXPnG/W6hCCxF4vZN9g/eWj84Miw + NXZxZXV5fSMtcgkVktZCKOsV08ULl776a98YGm8IDeMcgcCOwOfPnTl58mSg48WllaXlJecNsxVE + WgZgYazNityT39um8GStM0Vm8m6eddvttjGWAMBsrc/Pz896qOFDJ0+dulhL6gFA3hqTp1mnk7WT + alzvqelAz92fXVteiwJABFpX6/Xe1m5rfn763tSt5vaGdzYMQ1W2b+1RWoCQ7L23Fuy/4C72oiGJ + izzvdtreIc/zdjclCQZyU0RxqJTMO6ki+dClh//oj/74xOmjSsNa560BsU7CifGxsdFROGS5y3M4 + CzBDiCiMtCQAzjGIZKBkrCGtNbktrGQV6MCzYWk0AMBZGAMPY9E2phMGQaPWN9Q/8uKLL117/BHe + O1Fg73Rv49Do4FBvjy1sa6frvWAwwQrB96dmPv3oOjk5Ojx6aGKciAtXGGdtYb3zWunx8fGhoSES + YnVtfWt7uxyQAlCCCLRHqxFBCUgxMNDf19ebF8XU9NTNmze3m9veuT1hX8lTAR5wnvMs21hb21hf + Hx4dPXz06PDoqHXIM1iHPDdFnjG7ifHxnlptbXl5bnZ2Y3NTEtkia26uT0/Ph0llaPxwz9CIisgT + IAFQEiYnJk+cPXPyyMSBQEAQl6NVK5XEWitBQjCEhyys62Y5g0DkGUICUkAiUiLQWutQCF3OQYZb + W1358Zs/2tlu9vb2TU6eSCqV3BWFza3JvTVgHhwYGDswDpJbzdbq2lpe5PCOwARP7Hp64m+88srT + zz7f06ulhJBCBhpSDPTWJyeP1XsaltFs7WZF4TyY/frK8sLs9Npqt39w+OjJswNDfUoptrmQCBSF + GkmCwcHa0Ei/jiLk6fbK4p0bnxVZPjo+MTh6UAXh7u6uzXNrCmdNHEWjo6OTk5Pe+7W19YWFxW7H + sS8JdABQgQZKKh/QWsVRlCRBHCmlrLHWsrO2yDrIU0E8NNhfryckkBXGeux1GbCAJ5MZOOrvHfi1 + X//Gcy9+qRYkWmhSJCXAltkpKWamZ5YWFgf6BsYPjPf1D+QOeeHz3CDLYX21Uj154mR//0BhzdLK + 8s7u7p6RCH5vM9uXIdh7C6OUEoFGqPdGOXvrwcyQopJEtWoN1ZrUIUgK8fN1VAoIIdi5Ikv7+vp+ + 7/f+4PLVq0EMRrlwCgImDo0/8vCVvkbf7nZ7+u5Mc2PHWCsh4DysJUa93nP63IUvf+W5noHewjEA + KAWlAFy7+shT1x7RAtvN5vrmtimbCzzv0aTEoVZKCsDDOzADVBjbbnd3W7vwHgzPCEK1vdX89KPP + 8y76+4YmDh9WoSwsjEWe5UWWek+Hxo8fOHAUqV9aWl9ZXgYXUCZL2zc+u5V2/PDQwZMnz/T19xOg + FJXxCEGj8dBDl5577vme3l5mLgrj/32BCfvYxz72sY997GMf+/gnxD+S6tY6a1AoqQkgQSIMAOR5 + 7tknlWRwcBCALcCMMACCAGCtZRKF9ShJtzJTFJmx8Np2dudnZ4p2q92SP/v4o8U8fm8gCrld00ZI + 7Twbywv3m6ZbZE2ztLi20dztRX8dLMkWdrfbbTpTeMuucHAMOI8CpgOkOpJOKxdWonpfGFQCBjsW + kgRBSC1lAFIg0VOt90S1ze12nhdOwMDCGSryYnc3DqLa6FhcbUi192zsHXLnEuVsu7WyvOCt8c5t + bW2/+eaP1zbXREhZ3gpkp17zebq9uNi8/tn00uKyrPTttNqF81Tq4AApJZVyLyl0GIAFvIVk2Dzj + rtfxVjtdXV6opW2xvryysbmwunbj88+yLM2NsQwBOHi4AgWHWkdByM6HoY7joGRLGZBCgL0WNg5F + EMpcB1GlorQq2dSB4b6Lp48dHO2/P7/57ocffm156/RAX490aO/cm7r7o7fe61o6f3Di4sVTjcaD + LFprnEtzKB8qBoyHJB9FlcGDhy9ceezjnRuffPLJ/P/yP999+8iLV04/eubIwLEzeXm8AlorGICd + lqQlCaIsL9LCGAQa8Aw4C1uQt1oJZjaOjWOG8AybZ4p9FOhGva5kk6Ru9PQlCYUBBCpKpIyCUNTr + wdBQRSlTFGk3zY0HQ1SrA88++/LSavLa//Ppv/23/+PbP/n+1ctnrzx6dfjEhXggKGWJQgiAup3O + /NxcnnWdc61W662331mZnyvaOwFcjCJBQSa7u9K5efP29nYrDINOmuXGF96srS/vtrd0gPGJiZ6B + obLGzTEkcampC7TWJNi4rJNqpeOkGum93nYKgjgMo0CWA8OBLFAAxpqQLNiXyZIegFBBXCGhTEn+ + gCWxLbL2rl9aWv7+D35442cN5dJ6JKSyjNTlZvHuxvzsbJHmxtj1jY1kOBwdyEIBuMJZw0yOpbUw + FoGEkCAIqVRSicYPDA0MVlaamdIKJJ0rBwDYit2dbtrJrEWn082LXACSQALeO2ELwEGE5bF47Ck9 + gyACduPQj470VCpxLkOlYqVCKWCNJymY4EwhhY8jSiK5Pbd1+9ZUZze3hRFZu7O72c1S0tGBIyeG + DxwUtFfjBhHCA74D7vb3Bf29FXjXaXdbO9284BhcrVauPXrpvTf+4cMPZ9786ds955+JzjSEx+rM + 3RvXP0eUHDz/8NnzT/zko5mdtYWbH39w9lTSG6s8K2bmVmdX2wbhyTNnjx+fUGCYnaK91m6ud9qd + 1cWNH/3k/fk7twcr5OFkJbQStrur0vbs9U+b29tZ2NxutoyFc06Qb9SrURRJpXv6+vv6BqqVis0t + k6LogSoWEESevlA/ccnOQqtKb8+TTzyxtJ3+5Q/e/Itvf3t6evqRRx65dOni5JFDUaNKYA9QyUAI + 4Z2Fd0JSFMVChx4oPIRA2X1mTdHtdDrd1LOoVKphEDIz0i4iE2iq1ypKSSt1FMdgeGtIaWZPZWVX + EvdVkoAIzMYj997CO9iMu7bIlUcsg2qtUemJrYAHQhkIpJBCJ9Hw8GClUs3aneXltZ2dVhAqRmpM + XmQFQUmhHDtPzgG5RcQyjpLBgb5GPQbpINBCSMAB2ebq7MzM1E4nvz298sabb0/PzkvFxm6T6gid + goPWdnr95vXddqva7WbdPE9Rcf7QxPFvfut3tv/0r27eu/mv/tV//fiVK48/cuXyY0/Vhw/JcG/n + Bg4+y4QQpBWo3BVkC2eYlQOxA7ySIgoRhKFUuhTjah0Yu8vex0EI64kRxwnKGBwiIRRIwmN4qH90 + dCSIc2ZVWLYOwZ7HolwXQYqcYZhcBRbSa0Vsnc1cnmZZ0TXc9ejjkoJXkQc7dK3jQOskrPkwEiS5 + FAcDBChBgIs1hvoa/e2wmvRICth7IzqUu/bubreVw4m7t+++9tp3k74oli6gXDIrhK6gmbmV23fu + dtNseWV1c2vbAeJB+qhnL9hTqfn3HsZMHp98+OGHD46P3759p939s4Wl1fOXLp86c+7w0eNBVEqK + UXi4PPfemzxvtztps/nehz+Lgj+XPvG5Em6nrwafr2+vbt397HZra0sKYu+Louim7e7aytbGSlGg + v7evf+xgtQ8BIByYkFtrZR6QrVXjgd56qCEJJbdM8IKYBFtrC+t6okoQVaQKHLMgSAlvWdgCprB5 + ZrLcwFoHx3DwAch7v9Ns2cKura794Ic/jD5u1IMwAmnPEhwILC4t/ezzORRmc7u5tLLKzBDEzhZ5 + 6k0hABUEQZzoAIVFABcIgrWhVn09DQZy4z0JEkoICE/Enrxlj96+gZ6BES+gpSYQbGFEUEghCJ4h + HbRAu7m9vbYobJHbbGF5/fU337rRCETeCsmALfuCmaemF+7fn9ltt4vC5HnBvCf3lPLBlr4geMAY + EENICMHOOc+AWFxc3N1YV962tzcXV+dv3r1+48Z1BgdhWK4n7EGeFKlapR7q0HV2h4bH6r39KXyA + ImILIcE+z/PORjNLu844J/w777y34Hw1inpIVIxFmmrv2pubH9y+dX/2/i5heXWl3W2jdNcQHty/ + +PIi4soV0jtV1vkJtHaaM2sLLAO3s9Jamro/M/3m+/fQ6UodhnHsPeCtY7hS2g4QcxToWMVKBzKA + UMjhgIJgFVwlSfp7+xR03inazY4SWkntfSa7Xel9vVpNg4h0KAIEAuqLLTYhEehAUgAv4Tc3NhZW + 1na7GAyEDAIh92S3SpAkMJzvdgU5BGGjp7dWa25zCPYlf9ztNLe3tpubmQ5o9v7it7/zHR8mFabE + Q9nCI/Ocfvr557dvzUJVSIbWWdvZVnartbPlHClZq9f6Dxw4SEJst1rVMAmkDGQEvyOkjOLYe++c + +/cG3X6Bfa3tPvaxj33sYx/72Mc/Cf6RqFvCnqe7ZB8ghHMuSzOApJBCiNKfR7yn0mQYKUU1qQz2 + 9e+sb1jr21kGF9uiaG1vc1FkXb51587UrhqscMy7gWt7EIRyHrtNst6HcRLFidIhQRNSOKtdIdkx + 2AnBWlkJA0TwcIXttHa3ttm4KKr19A6S1NZBEoEgAFmqrZTUURCpQLHMTbvbSbt55iINIRRgs0yr + pN7oqdTrSsP5vUcLIoDYOZvnGdix92mWfvjhzxaW50VA1nck7UZB1+Y7Ozt2dWW3KPKBwUoYRlIq + D1iG/oKmKSvGlYQhOA9yu+trny/cu7nUvruW2eWFRt6RG6sb282NVuvu7Lwx1v7ibfjeU5lSQrFn + pYQOBAALMKBKsg0mjmSShLkKPbwrtVBskegDo33nTh37uDk7s7Yyuzi/fUiN9Rbp9sbM/OKdueXa + 0OEjR44dGgKXvc8kIDSBFJwoo3rLpN6wgv6D5554+XF/YP1H12enP399/tPdmU/mzxwbP39laPJ8 + ffxIXC/lyiSFCAKlJQEspGQI+8V4Yg+wlhRoTSSs59wYBkQp7RasYGyRO1Ze1mWotUJIEOQ9nIXX + 4KgS1XsrSrM13azT9Dk8EMTDZy488exavNuu3Lo1+/67P11ZuDs9e3/8zNTw5IXjZ04f7EMsBYCi + KJrbW9aYIs+Wlpffffe9uzcSl3UCtlVh68oVu835Hd7ezsMo6untFVIyyHnX7rSLIpMKjZ6euFIt + rZ7MzAQqa6allEIIhncO2JP8Anv8unPWWUMCUikh9V58BD34TNgJApWmdxKlRNkzXFHk3a4rTJra + Ym31nXfevZuokLOAjJCFoMIVJt3k5lqmta5Uq0wEQYIIgCAPMHtoFepAWVc2yAMkPDORjxIVhhDC + Mztf+vFLASJ0qCNJunR5g8Qv9MqUDe4e3nkiT+KLQ2RmIi+kiyOllSIoZkkkSkH5F2rTKFT1Shgo + csZ0O7kpvHfO27y1s9VJO1BBXO+Nq1Xae81SAhaACcJGIUehAPmsm3U7mbUSOhCxHz08On6grj72 + n12/8dDSpikOArw5Ozs1NV0bOzD60OXjZy+OjXy/2Fq+98kHnZdP25Hebmpvzywtt3zP0MEDo2Mj + 9ShABso9dQrXNc5tbnY+/vjWXGh6g0KFlJPPyVGRVVzRXV0SQlSrtaRSsw7Oe02QgsrPr1qtVSpV + GcD7vfod90Bhy1KKBzkkgAMACMgAcXD+4Uc2Um6m5s703I/f+OHSwtzdO7dOnTx5/NjRI4cPDw6P + BKFQgCNHcIK8EIQ9vSEkwTM8ecALAa2V9wwIpQPsOWjLBdqDmKQQSkmlpZRCEMOVJTtUZCCqR3Ff + va5XmoWxhXWWfTlKJQlFQjKByROc2ONdHHvJHoKqlUoUxXDodvM8L6QgweW/CimUVEopQaK0boAF + BAkpS3qJpZAkBMHBZb5opVmaOnV3pRt98NnsvVsRdrvczkXGsVMiTrtufnElSaLeatyrISxAuj5y + /NGnqrfWO8Vb79y6ddO2d1bmZ29Pzw8fPnXg+Lkzk8d1jBDwzgmpIWVJhhOIwQ8MxgxAUPlFROS5 + vOQJIkgSWkolJO0dVjmaib0jchAUhToMAmbyDGaivXNctn09IKlKFSQzSCgpg0AKcs5ZkGDSX7wq + sy8/NxJsTEHwtWpFiL335Z/zL04LrkRhoD1Bl3GfgPPeFFmWtjPj/NzcfPbOW07bWLqILBtDXpFX + 7dRtN5v1RiMMozIZk7+Ybsx7WybYWw76BvovnD/3q7/6Kx9+9Ony6sb3/vZv70zdn7x+4+ixk8dP + njp0ZKJ/qFqmdhhjijzvdtm3Wp/fvJm1IVxMJlTcqseGi4202elstbMs7anXoyhUWhHBmsLmuTMI + ojiu1pUAAFOgMHDKQQHwgqAES4IoA0IIe2EtYGNtmhUeJLXWYUjCMcMBwrMoz6h33jnvWSoty4sl + bJ5nnXa7yIvNjc0PPvjQRIhIVIQSxpC3Epxn2fwOUKlWag0dhJ4Z3hNBSaGVEIKsdZ4hy6Itx/AW + zkHLeq0WBgGEsM7vnSdvt7c2d7Y3wegbGOgbGsHepZbhLMN7KeiLIei9M7nNu3A2y7KlldXX3/zx + YEKR73C2AzhJ3jm3vdNdWW1KIeM4UUpLKcuaMvHAsv/grqOUrjIJzNyfn5ldml9cW1xa7WxtaHbd + nc3VzeW5pZn789MIG1IrkvLBqiukUATBnthTGMVBqAE21jgUUsUkGOzyIs9ym+Y+Y3Pj+qfTO+sS + oj+ME09mt6M8+yxfajWttb19tVixIv9AYCvBCkJCSAEmlG0A5L3f2drcXpiamb53e6l5f6MDGfrd + 1c7KzNrqyidLOQrrPDPTz2+N9tZWdtaAvSBiKj/fvYiUEmGga9VaJU4IJu/mZbuZd25vZwVQWksd + CgVJIMA5SM+lVL+SRLUk1JK6aXe33XXllq3zzjMREbGzhXeWyu3hcpHE3uW85G0BTtO2KQxBOiPn + 55Zef/2NQqvYusjamJjZWZcvr25ubPhq73AS17VWJCzcbpGn3kHJShzV47iqA82FQxlvIiQsKaWC + IADAe9fLfexjH/vYxz72sY99/MeFfyTqVkmFUh3hPTshJExh0iwVRM65TqctBbSGNHue+Rx5FKBe + qx4YGV2bz5jRarelrQnnnDEKbAssLS97W2uFNrTNfHshzU1crXlI2JqO+gaHDp04eXRwaDRGQmjB + WIKvRIGQggItk8grUdIeYJc2N+fvTZusqCT9A/0jziMtbE+ixM8fcT0EoVIRDJPlDNdud3Z2dw80 + +qB1rDSMJSCIopK6NQZKQwkIIQEjpYiiQOxxU5iaml5YnqcAOmRv1jut+WpCWjWAar3eOHDgwOjY + WBwnziPLgQgRgcHED54xmOEtfH7v3t2/+v47/+tf/HB9NUWe9tqs11gDGMACqPd6Zsvs8EAfttdS + DrCXgoSAh8+9cywC6QNXgIsooGo1SSnqZGluCpCCK4BCJvqxq5fe2ZAzU9nM3Nza8eRsPVpdXVpa + XW/m/sLpS5OTkxWCYZAHhICOBQVVKPuAuBcQ0DHkwJmnviIOPEQ9b373hz+6+bN3v3P93e/B9R09 + /eXf+oMnv/LrIxePsvREJLSMAq0EgTmOExUoy3tZnGCGUiIIojAgIZzjLDcMKIIINQyxTbc3NwpL + rBqWAYb0ABUexgqrABXrqBKoALbdzXe3TVo4H8hgYGwi/MqvHD45+cif/emfv/fuTz94/903fvzj + aGhi4sJjf/yf/4u+x8739hAY3rsiz9n7bjdtzs4ut0xPElUCodlELks4S5ubO6iFg8cO9Y8eP36s + Vm8oTWzZOQO2QkIqRUI9MH4ywF8Qk1JILaUSylpnrGUEHmDPRNzttLt7k0WrICh3OrTU4LI9yUkp + Sl1wbhyDyund7Xab21vOoSg43d5u37nTGyIhk+6sM6dB4LSQgW0EtjE4MDg+Pt7b21evNyICwHs2 + UkZcqVaq8AzPZXwlGWPTLC2KrrFd6/LCFK4M2mWAoWQ42D9SrzXgN5OkEkah25MY+1AQlIADvLVM + XitZUngeRWEibcC5tZn3noWwlkvjtQz2mGitJFRcr8WSfBSG9WoPsYRneLvT3Ox0uyy0YVHmWTrv + vReyZJJIQII4AxcQMEWRZYahoGIQw+ux4VqjXrk9tzg7v97c4NGG21lYXFxcnHj+q8MPXRk7PDlx + cGx67mczn63tbryUp2E75Rszi9tGT54/06jWY2aJHIHTsSPlQbJo29n7y6t+uyp2g4g2u62OK2qB + 6BGoOjM0NHT05MmjR4+VlDeIiiLP89w5F4aR0gEIIhZCIHewKAWzEAQBCG/3tl0IYFFOjCMnzyW9 + Q0ePn/g3//Z/evMnb/1oYf4HP/jBwNDwY48/+Zvf/K3nnm4M6IoSYHYCXggiImtL+giKQIB1VpOL + wrCnp0frgMiWLecAkETArnNFlnYBSKWIRBgGgdCec0nCsfPt3UCjEgRjg4PhTNoqTGFdmQ0aI4yC + QJP01nW76U4HjV54wHonjJHewFlJpJVGEAmhAXh2QkBoHQShFFpJFUWh2BOrAgxrXdppZ2nbqPjB + 9qCDz6raVapVp/LFJqs7c1u61YfNTmC3OeuGXiB0RiiZTByeOHX00OGBeiQApdF38GBj4De/GQ8e + GvuLb//l1J2p1167+Wff/pvBiVNPvPjV/+KP/6RycKQaSyklSoqrzNZFGWgBUgxHovRoWzjnPLNz + sICGC2SgtSJQFIRSiCzLtYvKaN68yEPKKYEp8jTNTJZ7DyGgA0CVRVHsHTvrvHOh1koFsAZEUohq + JY4iIQSCMJYqKS8RnlGYTEkohGHAnXbbFFmjXlNKPaCSH7jEvRNslYA3rsitKRwRNEgqOOvytChQ + bKxvtO6ZVrETSx8Ln7XbLmeJoN43HMQ9k5Mnjxw91t8/+AVv6DyX6dhlTg8EIdAATp86/S//xX/5 + V9997W++94O/e+NHn35+U6gwqdR/7Ru/8avf+PpjTzxeSUjpoCiKPMuyDEW705lbaG0WPlOJbFQj + Y9KVAK1YBJRxIPWhQ6N9fb2VShJHsU3iUEvvwSBH0gK5BTIUeVHpRQAN5EXeTbtteBB8Sc3tKf7Z + F6bwWZYXFiSCQEhJxsEYqNJIr5UiEkRCyDBOwhAAcpOl3W6WprnJsqa5f//+tuso6+pBaNsdk3Vh + TaPRKBqHxg8dOnHq9OEjR51nOA8pq5UkiUMpRbvTSXND0IEAebJZpshCUiWJK9WqdkFhrGN2QFEU + 8/OzS4tzAPoGBvuHRva2wdgBVG5+l7NYKrjcS0GxluyQZWlrY2v1nfdGa3Iw8p2tZaUoSbQ1trDC + OtXfPzA6OtpoNHQZkMD4OaHpfbmUc14Uxngu3nrrp9/+y9e+/8N/KAoO4KQrQuFZwXjkjGicIQTo + QcOVAJHM0twUlkga4zxDg4w1hgupQgillFBaF9a3M5sbtzQ3V2wtddvdwWpvQkHa6gSkKkEc9FSG + hobqh4cPjw/3VKIHe30CZGTXFgAAIABJREFUKG+zmOAIlqAklPc8fW/qjdf+/E//r//z87ndTIWe + dMydKlhLrIk6uCfNTV4UQOlpUmUsgmdvjTFFXpCx3ru9ySIFtAQLGCFkFISNWk8scmecs95bx54R + x1rKtJuKXqWCkB7EKxHgnVNwpNGo1/p7aqGWzOxAYQxbsMxyYywRBCFLu9YUCoQkQeZsYXZ2O91u + 5gMrZXm3VuRFVwrVUx/c2motL61viE9ySTpPZdqpayWF9h6WAwqGJw4d6usbrlQrshbAOyLvHbSu + ahWbwvaG1TCUBFDJTCullJZlmjnRfzjqdh/72Mc+9rGPfexjH/9k+EerKYMHG2cUdKgkGFJJrQPv + PTNLpRjwDt5CAAhEKAPnd1vN5sriUt7NpJBhkqhI6SisJbFkjAz3PPZb3xp7+IWjg0lotgLTdBBC + BR7Suxq7hqSeqF/19TU8wM4TAWzZFM7bnH3mnRHI4XPkoUKtUTlyYCxSQXcr39hoCqnDRJUmbnh4 + Z4RiEMMYtj6E7pU9/f399b5eAQlrYW09ScQu2p1OYR1JpQhFDlYIy/RBMLzLslRLefjw4Vd/5z89 + de4EtGdkEk2JZiALQpW5UpggqA2NHTtb65dSII6hSuGrMZr8F9HE3U7n3t2PXnvtu99//cPx8YPP + //qXXrp0YdDlAyZzRFvtzt/9+Cf/7of/QEJQSR7DOFNIVs5ab50gYvaeLeCl0F+MBS09uNhtN3co + CKJQSIK3CBWYkKiTxycGBubx8Z2fffLxk4fo6sDB2dmppfV1I5MjZy4dPnxEAwGgqKym0QAEjHAp + g6QMGMJDA5KTaOxw5ZWv1x99+NLy3Oza4tybr//9O7fnvvOdv9zyQc0++cyFiVg5n2d5mjprwNzt + do2DLkU1AKSEB0y+u7trrRWRTioVKbUDUOQwqYbp623IBZf5xJQpnQBcV4ReQDFsO21vtTayvJ1E + PYO91VAK71AgiERPT0989kz8h7//zZdffHJ+fv7tDz/+8M7ijRs3//t//T+sTj/7J7//SlUWSsq+ + 3l4t5cjI8MPPf/38tecnJw7EioRJQ9utcC5ttit60mAgjwYa9erRoyM6RNHNH8hnYKy1vgy7gy9D + MwlgZuucMey8kjIKIylVBsR7ShwkcZTEoXcoiiIv7C/YGveS86QQJASYc2Os9aUSOQx0EkdJREOD + td4LD331t/7w+Fh/aLvKp0S5kIUCadPQRd0YTeONwcmjCKzBegBnTO6dC6PYGi4K6ACipEm9lVLG + cRCGEii8K6Iw0DooiUU4mMJ3O5nJLAHGWuMsAFFGc8CCGAIgoYQqd1CsB3tEUQhjiqzV7ex471QQ + RlFFCMnuF4RgQqLb3d3ZLPI2OylIaxkFSgeh6u+rV6pVR1nhhXHeA1rsSUXLpFi4lJAJMnA2iZNa + rScIqiAHdKH88aNDp08ff29lcWsnm59dHE42dpeW2GN08hSNjpsgOH50wl6/sdiaXV+YDfvM2rJc + 3mwHfYcvXnlybHi0RxOQgbuFa6X5rgeOnrzwz/7gdycGZX+cWd81io1CwDYussQUrBui/0QwfKqv + D0op5B6EMAiCINxtt9O0uye0JAgJSWDAlsWJDHABGJRFgFSqtAR01N8/8NB59d/8y//sW998dWl9 + +/WfvPPpzbtvffBxRvHm1vZvv/L1gVogYCUx4LxzzOAH2k4BKKkIorBFp9MhEIPy3JQStXKDQUqK + opDBzrOUEiiJMBAgtFK1KtLW7vbWwv3ZPC+iOCEpHXsDy2BbGJcVsY4q1Vpc3RNpSqGCIIBjsOm0 + 2512B5aDMA6CkNkTPJwrcmMKWxRFlmfG5B7QGtIBDGsKJRGFgZSSQfAWsFy00jQ1Mrn83Nf/2cvn + zjTa47TUimUzFDuRBEI4JRBGVO1LBsf6Dgbl7BMxpB47MP6lF54+ffLY4vzqrZv33vrw81uz62+/ + 82662/5PvvWbLz9+NQ412MFahLLUITs4C9aOCayUDLTyHmAvpZQSCpCQHs4URZHlgkiQcM4JD5aQ + UqgoBAWglNhLIh3FQnyhn+VyMZWShFDwUgra+x0Ee5+lbVN0iBAEkZCR2wt1gRJlWIggcBLHgtDc + 3iJA670TTYAvcuGNFlxNIkFda9h7gJngpECgVBTEcWFf+tLLz37rlxBxLHzAFsbCCeElyzjXFRPW + e4ZGRocG8EC5KATxnjr4gcgeDO9Jyb7+3pe+9NKpsxdf/e3fvXVn+qOPP3vzxz998803u0UW1aqT + J48PCuucI0K1ioGTpx79lVdeeOqXqAg1J5HoCL+laSf0UhqhrMyjaPjMmbC/x3Nui9zZAoBjNkwe + kApRjCgKROA9UgerlIgCCYazhXMGgIextpBKJEkia3UImRe2kzqKPEmtAygvkTqkaXlmpdJ5XmQF + ooi0VmEYhkFopJs8f+oP/qs/8Q2dkIhBsrBwRngnhNgJh3aiYTl07EQvehuA2IG3rdZOt7PrnIvi + WAdhqakPhVBhBJeC2VrT7XQKacMoKq94QaDHRkdGR4aEuLvd3Fnd2s7cIS884CC1ED9f5OAhiKQg + RV4LjI2OPfz4i1/6lVeODVbq1KWiJcgJyd6zZ+0RAsHg0IGJiYNRtEfVlsvJA6cUASy02lxZe/NH + P/nrv/nu7P35l1966fKVx04dnQiF02w7xe7c0v3vv/63H85tdNM0Lf5f9t4ruLLsPvf7/ivscBIO + cga6ATTQ3ejcPR0mz3A4ieSQIiVKl1S69oNKepGrbF9X2bfKUpVdDuWHq3tdki3JEhWuriQOSY2G + M8MJnXOjE7oRGt1odEDOByfusIIfDppk+cG3XL7FJ3wPp5Bxztlrrb33t/7/3xfZ6q8aGGU4CUe6 + nlWe5xNDBJv2XK/K5zfKGg0i6frJdH0m5fyLf/ndwRP7rIHPk1yLqKwc7kjuamHKIkANtXe1N2Ub + LDTAq6eT6hNl0A4MwQZhcO/O8BeffPzZBx+0NDcd//J7+57/spOqqWFBQhfWV1c+uT37vQ8vE+Ox + 0vSMdWsBY8A5a2iodx3HWuO4niFEtlo/++xKMAyK+VIht2GMTbtJaEsgR0gUQ6OU4zgFrSOl7bN5 + awEuhNUa5WJubTWfW4mjCNaCC0OQrs893wJKK6OVlHyTdRvHMIaYcF1fSgdEKq4yyTWRUUpHgW2s + 7zjw1itv/uavhNL6KvBU6MOS9ayRxvolqi2Zmtaubf2tZfBVoKx1GEfGghvNrWVaG8252OyFq3K0 + VRRFxhpjjfqPMRO2tKUtbWlLW9rSlrb0i9d/GuuW/p8fVe/l6ee+Yqvx6AQLZgFrhbROKmJurJUJ + CkJHDI6lzRwYghtE+dVCZXZ9o0xwPZn1eJpZ40ivrinwMkxne7r6Dh88sqfHlybwWAlwteFgnrWk + NJSGYWASCpZZBuYBycBwYy23sbTaMXDASAuwFBKNiax1ZYzyk5WZpI7eZoDe5G+CgWAYDNlyuGbU + is9YJlGTTba5ogYxjA6Jh44fCiHJjcHIwDWovlAGAJK4z50MiST4qpD2wP6+F185zD0oA4bAESEj + BfiAF0UssoCEYZs32Bawuspw4wAHkRGohMHIzRvj166tzSy+8/K3Xnrnm+8e3Z81AXQZsOHa+tzG + Gj9zgTHOrBSAY8GVhuZGUwQRMyeyLLbkgPMqGxEGZARTjHQUxwE0uGuZY8FIuDAafm17z8COjge+ + uL129/LMDm9+R9210eknSxvpuvqevp6WtmY8u9fTVjzD5VkYDRDjZKyBNWSJcZ6pyWRq0r3bOsv5 + weWFec8RBX7u/PDErWuXBxoTB/s6/Zp0wFI2kdVeIka+UsojyPvICKDaWw1LFUPF2FjmCOaSZcxa + Xv2PloXc515C8JxU67YCUoCjQcQMOLMMolhhCwWxoXw3XdNcX5NxjAOQVsQN9/2Um9iVqd3eP5DP + rbZt2yZPnp/9+NTErYt3W5z1r73kZBNMem661sqk6/P2lubnjxw4tL/fIUBDqJCTBgxYqgJEYvMg + agPLZE0im+AZhIsbK4uV4jLHdk4gCFt1b43WJi7pII8oIGsZ41aQARgsWQKSiWQykYRFUAmCSuVn + 1XPVVlEDozWMBZg1VQxDFXwpfdd1HSeBRGNDw3NHnjswUO9buMwSKdiYwKA9KKCCsosogQDg4IBf + Mn5suSs4UyUdWimI8c1IJsZdyV1BTGhrDUh62kloDquq8z4EYss0GGCt1c+ohACsgI5gFIQgYvpZ + q3UVrAGGmNnIaBhIw7ghAxtxMGiyvDqqoopeWa8UKobcRLIuRT6zUkAmMjWNmURSxrnK8mywvirR + xsA2/QcBkANkckVZKASwpcZa01jvCclAEuSD19R37+3dweT5J+H8+NSEl8iaqbxvZLa3s6M7ZWtE + cXBX0+oN58Gd3OyThVjI5WUUNsLt22oH9+1KZ5NgGiBwr+I18Eyj4KutaffYnoFdOxqaahHrCA43 + RBxKxIHUMZxMxGQZqPqvgBcZlzHpcdiopFVYpYdW9x34s3fPANoaQQzgsARjwJ7VgRITiUSN7x5s + bt4bxMvr+dqG5mT67Ic/+WL09s2GpHzn9VfSXqMk6QrfZb5k3GpldbDZJQ1U4QNGaRVHgIHVWsew + lkAwBMYYCca4tVqbwOiQa+NYIuIWFJN1XRdlPl/RT9dyseWNSZ31kWBCwLNQRnPNYIS2CKwG26Tj + ECMBrgGs53K5QgGwyZqaVDrNqzWsVisdGSjAQGsym834lgFkYA0ncM6IkSXSzOE2ZWS9dH2J9W3N + 4sjh/kPbEkLmIDl8rwwJCIKo9jhLC1bFRG92WIhUujaV8Xq6e/K5wuDAzs5tfT/48Zlrdx6eO3nq + 5UP7D+/d3ZGsJwtYXX1bHJCBFdqQtWAwEBH5msGCk4qc6rirjnrDlOLGOJYxSGOq0XAWYA7IAXgM + KG7gIeaBNpZpAlxwbqhac20Y14CBJcCHThmbUsyPpbQUUhy5YeBAEqAYtCWJZ33b3IuYW9YGgjjb + 5EoDgOUwIDJCkiEVIwZnBM7gE8WJhExlsRbGzd2t+547kW2syTDtIoA1MMJqruDEHDGvumjPlvsq + MoVgQVRlXVgLrUEEzplwOrd1d3RvO6Lsg4ePO9vaVBTcGRm7eX3oyPHjmbqGhtaM8NPkJoVkmURi + X1/f268e3YwUs+AWHBVYDkXQHJxFLioA02AgzoTPYfIbWF0WBpwBDsiCwAgOs1IbHZlAAYZzw7xY + I7a+g5TkIu2IOhGKyhKCDRhlLK/mk1XXOViUpRNxESsFrbiGAONwPN9J1fmVciXbWLd33+GajtZ6 + j3kIgRBGQDFYWRKywlEBfMABgGRIoqJ1pGML4zuOw1h1gjMiCAEQSEU6qqgoZIY442SlBbcmm82k + a7OcI7+yUpxbFBqWERi32mq7WenKDbgBgSCk8X3rkef77bX1XzrxQn839wFmY4LhZAEyhmvLiVH1 + EFVjPn9G4Km+9upaztjaWv70qfPjoxO+n3nzjTdffuW1gR3bOdNAHBQ2pu5PPB6fHHm05oK4jTf/ + CEETDCcjGAyBWxAYrAcJ7YExWGhhyJUy4QifkbC7B/rfePlV30sycGjoCJwBBAUEDJGIq6B1trnl + CVaFipDZXOARl4Pc6J2r14eGph4tfPc7v/76V7516KUvuclUSkawpaWZp0/1Jf7JJdeEzFRPVALV + iQvNKCJhjLDaKEVhlRQvCAxSIJaQlZJeLWzMh+u5FG9oTQjP+IgYGShtLRfc0zHFgVUKVoAAQYqZ + CDYyZOdy+Ye5oAiWSifbkiKlIYUCZ4yIjGXWOkwSecp6jvFBMTEiZhgznAwZJTRcwdNOwpWOJmOF + bW9vee3FF60nE7AuNJmYrATzAAQaxQhMIssSoCJkvePMMRvGwXJYWokrBaNc8GpnA8hyxpyiYuVK + zJTxlJJGkzWbCwUBpACmLBliVAXTb6Imtopzt7SlLW1pS1va0pZ+cWL/8R/5f9Wm17rZFP+zL9Om + FbD5EwTLQb5wBIfWEaA1l8pJKu4HQVhYW+Cq4DBwUb2bZxa8UrFrxXChWIk9L5VO1Hssa0PPkenm + jijVVIjZysKq3ShLi+r9g4GKIq1jAoEEyIWUkBZpKDAJ8kJKVgw3Fg6pBCPPkA8hkAClDM9qIT0n + ZpWpmcmr5cLyZnM3AAITApajrFdzxfmwPOdZ1ZBMpd0WZtM2hLEhF+tggZNgqVpF0iiIWHkicrgl + CwvOZdpPNydrmg1oeXm6UJiPo4ogCALAwphr6xt4BowkuNgMIROAAGysdBQL4YBLMBYBsUQYRyO3 + bq0/mW/L1H31jXcP790vOSAccADaMGO5JcE4k9xKBqRJggSUtYZCyJBkaFlsyIIMLGkIaFjFEXFu + iJEmoawASeIOyAG5cGu8rt4DO7Yfa0nGD27MTYw/mct/fm3i8eJGx/aejq62bF3qpzBTS8xS9elL + Ro4gCUs2VjZWz9pUNaCZZKnazPaBvm9++5u//du/0dbSMPf04fWh6/myAa+xfkO6pZtnG0Jry8Uc + SusuwDWYjgELixLxkKRwU5zcoBRYpSQAR0K6IfcjEKfIVatRbtFEGgJwHDIWkQJkIZCLJS+vM36q + rq2xrtYnn0GYMhBVdxhCSJlItXR1vvuNr3zrvbeP7uttStqwsDw3/SSMYnI8uGnrpsNIz04/jUsb + ojoLGKxwIBMQCcPBRDWLaPMmM51ItTZ0ZN2GuIjphw9yS08E4ACMwW6CP5VBXFSVVV0p6DBUmhny + GGCgjAZsMpVMJ9MElIrlYqH4M8BmtcjHmKAcGG3AOGeCEds0LRl3HUdyAWPjMKqUy0qBcxCRNiyK + mTXO5mLgQnBIYzMwHlwgVbLp2EiHMxYVTLhBFoAhWM4lwYFhFFuuDYFb5sTc0QRlAILrWj8pHJdV + TRtGpIFYQ1Wd2lgjimCNBSIgAgSDZFBRCEHwHXIkgSg0cTnUVsdkQ9KabXrUhWK8uFreKGs3k2ne + 1sKSPOYMwk9lGtNeQoSlpQej6zNPXYBtWtnVil/X8vqZBT2/sAKUOppZR2uakQYkWBKUSXXs6d7e + l2Fh+cnNe3dvjj5afhTU8WTz9pbmHi+qd0r797Y2bfOCuPD08fzDkaXHE4txRdfX1/bt6BEuhaho + EFjK1HU3du/0XJeX19TGgglKAFzX4cRZ1cSSCXgZMAkLYaumAxQlAu0YAwnlIuJcQwAShqD1pgvP + AB0bZTSYAJMwiKJ4k0/JCGSelc8y4Sda29q/+fX3fuM7v7Z3YIcKik+mphYXl0qVmDE34WXSfk1C + +iYOVVjUcXUkGa1CaEUWDERVzrU1nIhQLezl1gitjYFSphJU8lzH3DBmuQWrADmj88rOR2ypEhmu + azOmtdap9RIeUrrsEFzuOaENCqWV4sbsZkXfJjyZQZvFlZWV3DoYq21qrGtocDivsmABxYSVgknG + XC45oAwUALKcETPWaGMsLIOBC55xs9vrG1scKpn8/Y3co5hHcB1LQsNhcBkk2yw0gwEgQM7PZWxZ + DitheSab3Xdgz3/+m9/9yhtfGujurmzkFxeX5tfWK4B2uHFEaDW0cSy5MTnPkuNCTcVYGJmylpmg + LKo7F4gYGJFjtKuUtIw7KcmYBqyNNRSD5QCPrA0RGzeuUDFUAVNA7MFIC4phFKIYFY0SAJALK4iy + broBqbQhBPmcKOV9gAGRQRgrgAQ4gxMoVoFLfpI5nAHGqs0hwj2ArFbaRLGJFFPcFZxxjiSsm65J + ZJsFT4Tr5dzM0kYhgLZV30YbHZeVMhLVmuLNjSrAKKgYsVIWZIlpC4C0NuUgBADGYLTRMYx2Pbln + Z//Xv/LW7//e7xwc3FnIrY+MjC2t5LibStc3y3TWGCrlNlQ+hxhVm8gSYm2NIRCPtFVE1qlS2uEw + 5rluwk9mPARLi5WnU5QHNGJCRVedZZfIC4LKRmk1ApjjcicTahgQl3WOTKU4pW3erD9CZd3l/Kdb + 2gYAsSIXZderWBRKRQG4DAJMQvgJt649y7OsHIezsyvFnDaqup4VYaMg0jGkw+BbpAEHMEAZTsRd + Kx1wIrKu5MJoHYFZsOolFAOgQh3GMBFBGc3JOlojCqQU0ve5wPrCQmF2NgEwoohotRJG2krABVzA + sYAlclyk0yydrgTBxvy8KRSgoIGyVpGBgWMhY2ODMKhSHMIwwjP2B2M/DSZgsAxWwMr19dKN68NB + WfVu73vj9Td6ureTFBFDwMkykRQpL5CsbOqTqYRTHRGAgJVccYrIhiaOdEDQPphUhEBASzAHritS + nkhJI+JcfmVjYz0sljex6AzcheHQBJJwBDzI6hPkYFqpyMTKxmAWZBkgYDkiG62P37n69NHjRLLx + 5Ve/duy5l1NukhkTawPGIyGN1SbIu7osYfGMDsEQMRbABrnCamBjLUwh2IhMTNWYQXBuOKyrS3p5 + IzcfrRcabE1vbaKGpVgME4Mxzj1Ono4oKpugCB2CTMxYBF2GDVnCn14vjK1VNPdbm5sGmjMZY6Aq + MJoT4wQBCCYJnrYAS4P7JFisylqHnIyElQbcilQqm83UCE+sFlZXVpfKGxsII4A0RElRSdsqNoIJ + pBNIiOqg8pDuqKmpd7lSxdn86tNiflmraPOkoxEoMpAbMRXKISmdssYngtGxRQwYpg2pyOootir+ + 2fah+Tm0xpa2tKUtbWlLW9rSln4B+v9r3eKZe0s//wlAm4W39lmtmGWwDIDVFsoyG1kqaVGxzvi9 + 8Q/e/7uhC5/PPJ1WBpagrSmF8a3h8eF7kwVL9d3d23q6m1JOxgYpz+vcuVe2bl8pxKc/Pzt774Eq + aQ4FRAQruMMAY2CqGEgD11oeh4gUrKO8BpmqY5zbqKiKeRFpH+SyJChFmbbWbT0H9m4f6OAq/2j8 + zvWxiYWfFgMCDIatz668/6MPhx5OBq3Zo19/s6evywvLqJQBKNcrSa8AkVcsMuAEwUOgCBORgbUA + T7rJhr5dB9o6OgrF1Yl71x9NjWgVMjJCOEKkDCUUWGShAGJwJEwEFWgOeIw5UhK40Qi0UQwlW8Uj + ImUhY62KkQmrmAIAFlZNTU89mnmUL+SjMCbNXIArIFawJLhrZEIJj/tp4acAcJADkDWwMadYCssE + t+RY5lrmgARIgifgZsG9npb6NwZau5F/OnL3nz46PfJ0Q6Sb9hw8WN9UJ71NR1b93CMsGJNEHNpy + YtwiLhbC3JoqbMAoRBUbVcCQSCXT6YTVYVM22d29nTk1ISGWtSLbgnRtReupyYm1mYcu4DMwsjB6 + dX7hs0tX/vRv/m5i8olRLOkmHWK8ekPBZJm8YqyjYGN64ur3/vh/Gr5xwQRlWAviwjJV0Zeujv3g + 0xs5lW5q3da3rT3tKGkDUoXS2kK5VNAc8IhcB5xDBQnHdDclfVOwYZ5BW1AyU9+7+0CmsWOjVBm6 + cmly7M7qwtImL49TTAgZC6sTrErarXY5a9SnGlqyHbU+VmanHz8Yfjq/EKpn8zBWC/fGPvjgh5du + XZtanY8dboiEJVntCiWCta7rJhOJhIf5ubnJ+w9yOSgLVo1IqQTz9yf/rz/7s5s3bgKkYmW02bRu + 0+n2tvZt3d3W2PGxexPj95aWchYI4yhWFvAM2KYvKCE5klCurhhrgEQkaw33jIrSjskkmGCwCCxi + C7JgZDk3TBgiyy13qyV4TAJMg0Lf59KlKMbMzPTa6roEOIe2UGF13AHGWEv62UrCCcLhMKpiFLkO + WZqefPzH/+bfnT57Zqm8GsIoQBvoCKPjj85euJUr62RdfXN3i5v1jRAQid17jvRv7/FUZWlybHpi + fG1+PQ5isjCEQOu1QvB4tnT20v07I/f8DN/dX9vX2wjEobaxcSDrZENfY0t7QwJ6cWTk9vXPL925 + t5FI1m/rbKjr8eMGp9hYrxP1yvLo0YPpO1cfj958VJtu7OrsqGtIuCkJ6BAsgo+G3t79x5oaGjdm + Hrz/vf/zyeT4Zh6RhbTEDA8jlAJbCqI4VExZCVggRKKsHKUhrUr7zHWgCcrCEjjfjMFhgBAwsJVY + acuscITrAzBRFFdKUbGgyhXoamEmAXA4T0jhcficEp6brakVToLg1KTr015GR/HkvfGnj+/nc7AG + HIaRqZSKVy5f/qvv/eWjqYfGRAnfNUZrZaqoBlgOkOOIx0/v/8kf/9EXH3+yMP4QMTQoAtdO6tbj + 6TN3JubLQV1TdqC3vj5hfEuuTbq8XvBETLakSpeunP4Pf/un808fKBNYa8JIRYXi6uLSjZu3HkxN + QYj2bd2tbe2bbGNGXsIhbmE1A3jV6GUAg4U2OiZrCDZWsbLVZSfT2Ll35849aVfP3jtz9tQPH0zf + z6sodv1Ic2s3o+nJbBawGtIGplAob+Qq5WIZysJKgCOoICwSGZ8jxYUvHddPsEQikgiBkEBSCCak + JsdKgGA1tFJWxJQKjce4m3KEayFR/TYJ4fleLZDQFhGCGGVAccahGTQBDEIYabUts6RJJNzqmKg6 + bhGUgrKIgBAEKCACqMZNN9pEKtLIryzb9VUXAGAkHC/BIQEyMTGZioS/EcTFoKRRduhZLCAEuJSS + ARpMQ4I7IAIZH0p2dbUO7GkNaX304b2rd8bLChYMUYSwAoB5niIowGg4BIdAgORwJIQUyphKFJfD + OLZE0nESyUIlKBYKlsA4I8FgDci4zHrMOtAcEFwS90iSk8w2tm9raetYmV94Mjr65P5YHJpqYifj + ZElGlhnXCR0qGVQHJTO2pra+o62zqyXrhJX8w4mJa0O55bICmAQBubXitfNXTp8+fWtk2QjEoHJE + sYG2MEhInqxLeu0pm1Jr5bW5xblZpYwxCEOUgujm6Oif/+CHVx5MroWBn0y4nAsDii0ZXt9Qd+jE + AZlljxdmzl0YWluqCOLQZegcTMTdpBVgFr5GOgLXUEAJiITHEgnmcKXjjbVVVSknnJ8LIzUK3Ehf + kiu0YMoaoyIyMSQgTDbJAAAgAElEQVRraWmqb2nmHCtzc9OjY4/HZ3LFUsw8WZNlkuIAyzO4fmHi + ytkbOoi8bDbb0dm0fZsxZub+g6k7d5fmNmIAwjFcRiANxrh0XNcYBSjfZ5xrgiHSxkRKh9aa6rAF + ZKUYbeRKlVIch0bHVgWR1coCmngMUarEY7fGc49XZYgwX7BxxcHmXkhotCKrOcFhwmVVwDk3AtqH + kjYyEYNM+907ups7G/Ol9fv3xqYmHkAbAMagEiplYQQ0EMWII8ufFQpwIbjD4SC2oVKhMRqwsKEw + hbRvU4kEF+lcnsol63LmCw7iJWWeLC8vLC9QXPJ0WRpjLDapQ6RAZcYCy3WE+OH05B//+b87c+Hz + 3HoFGp4RQjnYiEZvjn16+tRMuEp96a6D21K10rUBVABiKsbGRnllaePm1eG/++tPF2ZXmAlMeR2I + UMkvPH186e7YtceLSNS0tbX0N2V8W4aNAauUImOZMVElUjE3BEsMEMZqYoaRhomZ1cJUy+lZY339 + jt39TsqZnX169fz58lqOQxgIOCk4fgQUlQrimAMOwcRBsB4g8LM1DSee29+Uxer85PUr5+ZnZ4xF + qQylESo28uDJJ2cuffrZKRvEvorj/IZRsSEYjhh6Kb88OjH+xckzF87ffvx4tViJ1SZaY0tb2tKW + trSlLW1pS784/YJYt8Yaaw2vpm4TjDHaWG1BxPL5wv37E599+snGenH/vqPNTc2RXpmeG/viizM3 + b43FOto1OLBv76DvutpGvu+3d3T17tg5Pr44PjZ69swpL5nf3pepqYPnNJFRxTyW84X51VUvne5s + SPe110tWbScnQ9X6hmrrr9lMwbZkjSXhZOvqd+/e9eTByPjT5WtXL8eplqDyYmtdJuXAlteLi08m + bl76+JPPllfj9u4jz794vLOz9RkJDgpkGLew1iijFCDAGcxmLzsAEHO9xOCefffuPxybuD80dFW6 + br5YamnrSNdkhXRLlaBQKm3kC6ura6lk8vChg1WvzxpehZxqra019llZHZdOY1NLIuWVFit3h+9Q + 874atPvxis0/nV1aOHXh/PDduyoKHcE9WQ3/NrAExi0jS8+4BpaqXeqcWcDAWKOJSBIxkDFWWRgw + DgMwAQjYuLWl9eC+XefOnJ6eebpw9uLi0lpn9/aDBw7W19VJ/Myq35TFZlgxVe/VWblUejQ1ubi4 + QGR7erY7juSccc4nH07eHRkpFYvtPTu7u7oc1wEDl7K2rr6+oTWVTD+amro5dKW/o6GjLuno8sba + /OjI0PmLVx8/no6LGbLKldxarZUWRCBuiEdaa63WN1auXb3UVOeFpZWB/m0JX4Zh6eGTxbNnL4yN + 3ctkanp6e/p6enzX0aq8sbZ6fWhIi9qGtp119S0ZnxwKVhdnH05Orq6sMEbpVCqdznApXc9vamoe + 3LN3YnJqZHTs4oULCT+xa3CwJlvr+QnGRalczufz6+s5znmmtrZ3Ry8X4JyLTKate9u2nt4r03N3 + r9/4tPmj5w++0FbX4aGw+OTuyPWTZ89dfvL4sQpjN+MYa2JjAGYMjDFglEjV1DW3tXU0PigW7t+9 + dfnMZb2jOUyDlZbnJkfvXDl37ebd5SWCn3AQC6sJUDGk9LzmjsFDx64v35x4OHvhzEmpcoX9/U11 + Na7jW83IsmKhuLy0rHXc1Fize08fjLUAOGPcJcbjOCJovhk/Y621z8izjLgkErAwSpsYZnNwWTCW + ra9P1dQZ+3R8bCx7Z3igq4eCyDNUx6OOGg6HADLGGM6qfBJjqxRjBkNWGzBslDauXL9a2yUiuT64 + s68h2SBjsTI7f/bCheu37llK9vT0Hti/K51kgIZwGppau7d1dXU1r6/PXb9+sb29cfeePU0tLY7v + r66vz87PTz16fPnS8OpyaXD3gd0793S0dRMkrKmOTz+ZaGyq6+1te/zoyf37d4W35qU7d3d11WZr + fS4ASqXSDY0NtXX1s3NzlXI5MrKjd6CjszWVhBBVX9FoUCpTt3PXYF9f7/X5sXPnTnf1tUUIurZ1 + eZ7HhSBgaXl5dXW1UMi3trS2trXV1dZKRwBExGBhjflpyLs21S7jze5zAhgxDVJKC8EZEWMMxkZR + tJHLjY+NhmHc2dmZyWQ9P0FMLK+sTdy7t7a6mvC85qbGVColBAAOP9nc1NbS3LI4Vxi5e/Psmfoj + +7Znk0aX1qbu3b5y9sz16yOFQtnJNFaXD7uJwGTWcgtGRFhfnVhY/qy2NlksHi4dk231G45dXVi8 + dObs0PUbYRz37+w/cvhQKpngrLroMGNspFWkosdPHpXPnUk0ZJ7be7Cno9MnbMw/fTh68/KVqysr + 65n21sGdA12d7c+GGCMhY22UVoKIW1MlLQAwIG0JTBLxKiqEQBaspbV79+Durq6W5ZWZCxdP1zfW + Hsof6d7WS1yChNG2UCisrq7lN3I927pbW5trMzVhWJl+8vTRwwfNTY1NjfUNDRkdF1VcrISLTx4/ + zm/kajKZ2tpsKpUmIIYlowST9NMVfvORiHEmZJV2yRk9Ow1AV+0owRWsMtpozUCs2s2PZ69TSMYY + 4pDB8E2I5+ZfpmclwZu7o9UdRS5TmVovkY5jTE0+nBgfa2tttSAuZMoNWurhCmaMJU7EYcnEcaR0 + 7HAfPwV8Mq5JRMYaWAYNA6thASLW2d29e++ezNnL00+fnDt9uiaTKXXXbMtYh3TshBVWWVovrS6t + lovB4O7djY31nlc90YETYmOtMbQpxjmfnHy4tLAopexoa6/N1nImVKRmZ+dGR0bW1lZ9z+vs6Mhm + 0ozgel5Pb9/evfsWTp25Ozz88Y9/fODYektXb31NmpnYxuVSJVpez+dLFWPMnj2DDfUZECGRbO3o + PHjwUO7qxNNHU59+8tFGWOoeHMgk/dzC7PT9eyPXvrg9PLyyCkMw1hhjiG2+oVI6zc1N27d1LS8v + PpgYP3nyi31HjmaztUqZuZmpW9dOXjx9bmVtg1haCA7o6moBzurrG547evTS1Wt37y2fO3smlWmy + lc6uZpMQecPIcLmS47nVpY215a6O1qbt3aKGAzAWFlyTsGBGa2Y0rx5U+9PjzCwxAniVbGAMjAXn + tbX1nV3b+ge6ZubVvbG7H/zTD/cf29nd35RO15Vyq4uzxamJydzSXGNNct9gVzrhNjS1HDp8dGHp + 1uOny2dOf1GIlvr397a0NbjcQay5pXwhv7KyHFQqra3Nu3btBGCt0VpXL2ueLcyb4811vaaWlpnp + meXl5eHhYSKq62go23Axt7R458HFc+eeTM8QiLTlFhybfHlLMARljdKaEfHNVa0aYVdd2ZjD+eCe + PU/vTd69c2d4eDhTkzWG6hqb3ETKgBgXQRwvLy8vr64KKQ4c2F+TyTiSiEAgIqZ1XOUJWa3JGiJe + m61NpJLB9NL4+FhbV5uX2m+YXisuP1l4dPXGtZGREROFBMXYz5eNUvUqkYgskM+bW7dueK2fezo6 + 2N/Xmkk7prL49P75cxeuXx8yMD192w89d6gmm8EzqoYBKaW1VjOPH3/y8Y+bnNVgf3N7Xcoju74w + e3ns4fXrN3O5XHNfX39fX3try+Y/JV5l1TBrbRxbY6iKqdAUGZCQjLPq6XCzrY2xrm3dL73y0szS + 4pOnTz/79NNKHPfv2t3Q0syEBKC1Xpifz+fWVSXYu2tXa0O9sYBGprb25Vdfnp2Zvvto6tSpU5RK + 6ki4bqZSMksrj+6MXBm6fmNxYVEpI4h4FTJE1eOE+fn5c+eujdx9VJNuPXrs4LHjBxJ+3f+Hq/8t + bWlLW9rSlra0pS39p9AvyLpVSmmtPNdlxIgjiiJjDGdcSqcmm00lk++///6VyzdfevFLL734UqE8 + f+7CJ+fPn515WhJU//wLx55/4QQATsLzElI6x44fn5vJv/+Pn/zN3/7lles/fuvdY4P7utpbBxyW + nZxcuHrr1k9OntqxZ/Abb77a/NUv19UmIDg0wlhVgsAa7UmeSiSISGtYo00cOWSYdPoHdj7o28Eu + 3Dx56tSNqeV7Y2MvHTvUUpdam310+8qZsesXb9yfq+k/tn/P7gN7B5saAZYHSYRRECliTAgmmDEq + 0CoFSdV85Wf0OHDHOXDg4IOHU6fOnDt96tTw3dHLV4de+9KX+3fuymRrn0zPTE09mrh///z5i9u3 + d/8ff/InLc3NrsO0MsSJLMIodIQQUigLQcjUZAd273147ezS6NQ/fv8fZ6OMr1704rWnY1fOX7l4 + 6sKF6aU8PL8um6lNJ6usUQIDF8oabWJYE1SCSilwaxIOwKsVaLGOIhjNYQGj4qhsdAS41ZwSEIMx + TS2te/YPNrc1jgw/mlu6Azfd3tp2+NCh2kx6k8z7jIFWtR2M2WTVgXEYtbi49PnnX5w/fy6Kgrfe + erO3tyeTSecL+R/88Ic//vzcaq5cV1vX1dXpSE4ER4qGhqbt2/o6O7qH7z/+4fvfn3kw9uZLR3lc + GB+5efXyuTgu9vfv2XiwwZnhzMZhGEWR8DkgiAtjwYUQgoyxf/XXf/WTT37wG9/9dldnay63+v0f + fXzn/lKgUgd279u3d0//jl7PQ2Wj8vDh1L/5oz9aL7H+PSdeeOHVnq6mhDQ3hy6fOXPm3Plzbe0d + 3du2t3V0+L4PIsb5l998s1AsDd+588EHH9wdGTlx4vndg3s6Ojs9PzE9MzM2Nn758uVMTc2R5479 + zu/+XlNjSrguTKJncNfREyduLXx489SZRyNTM7/0nb0DB5Ki8smP/ure8Lml+bLnurWptE4klYrL + cajhq0gzHTkJzuqaunoG9h04ND308OGNy//7xuK3vvzCge1NazOTJz/64OHoaPP2/mQCJR2lpPW4 + JaASBJKAbPPLX/7K8DI7M/7Rj/7D3w5fPfXS84e+9u5bDfXNhXw5CuLx0bGzZ86WS8XXXn/hD/7g + vyXGGOcA41wyxqI4DMNKFIVVfu6m82NhwYT0iLvGICiXo3IQB56t5t4J2dLW2dzawcXtK5cv593W + Wj8ZbZTqvORAW21mV2em3gOR1kqTUy0kZIDDCNJ1SFaKZRCclMN8958++Oezlz75xntv7d0x4ILO + f3Hm7q27y7OLde3tR48ef/P1F6xVVofgMtZo72x/8eXjH37y6WeffXjj5rVf/bXvHnruaENj6/jE + gxs3b5+/cGl2br6ru/Pdt7+5d89zjQ0Nz8wJbU1EwjY21px4/vDq6tz45JTFxuHne3fv2un7iWqT + qOMk2tu37ejrv3Tx4fJyzknWHe9oaWtr4gLPLAmmgWQyvWNHzeEjhxefbHx+/tSf/tmfXr1z7Vu/ + /K36+vpkKuVIOTQ0dOPGjYmJiXfeeefNN98UAwM1mQxjzPM8xlgcx0EQqlhZC2OMMQYEIQRxqhbe + WiINkIW1VmslOI+iaG5u7t/+2383Ozv35Te+PLBzZ1Nzq5DupctXz1+8PDo6sm//of4d/a7rcg5A + QMi+/p3Hjudmz45eunRuZurmd779bntjsrA8+/7ffa+SW5TJzmQywVyhdEQMz/a8oA23limlAIuE + /+lnP5m9ffuFe3d3vXxsXejPP/7Jg6Hbhafz0nVfeP6Ft958M5VMMjBrrY3jIAyCIDAwWunpp9P/ + wx/84SsvvPytr73XVls7cv3yFx/+YPLRU9Gy8/iJQ8efO9TTVfNs14ciZYpBFISR4MSt/lmTBwhM + QLhMSKIqUpZbg7rGlr179xw9duiLk59fH7q6sLj66v3pV157ta6h1pLdyG2Mjo5dGxp6cG/yN379 + t955693nDtVY6Os3Lvyv//P/smtg95HDz7304rFkguXWF27fuf+TT09PPV3v3N7b1tZaV5dmQBCG + RgdeQhpjma7GIGqQgeCu47qOZ42N41jFUdVsNTBhFAZRGFkVmihWymrDN08PqKa6A8S5rJ4d4yCI + wxDWfYYb2iRLKBjx7HWDwASvqalLJLJhgKGhIc0by5UKFzKRSDTXyxePD7TUcWthrOYCqZRHZI0y + xH9a4KlhEBiqRNoYAxOHlUoU+VpowXnbtm37Dxza3tt3dnR27Ifv3x2+9Y1XD71zYk8m4WgnvRaY + 85evX70yND8z/6/+1X/zyssvd3Q0G204B3MYEUkpJXcJxlqtYnX69JlTJ0+uLC9/9StfPXjgoOt4 + G+sbY2P3Pvzww+npufb+wePHjnZ2NDGASzm4d+8baxvDI2PXhoZujU48/+obL33p7eNHDoXlQqWQ + W1xePXn2/NSTGcbYv/7X/93zJ04kXQZQa1vHu1/92t2ptUu3Hkz9xV88Xprbd/y5zvbWiyc/v331 + 0vKTuykKk0nkN2CtEZI5DoigNRzBe3p7Dh05NHTz5tnz58aePnn369/o6+sn4j/+4P3Hkzei4lwi + kcxSthjHcVRRCsxjAKurazjy3NH+gV137y2dO/3F5KOZm891/8p7x5uyICevae3qjalrly/euHbl + t3/r13/1X/5mc7qFGJRSQRhFmphwMpmM50qtYdkzF9GSVrYSxEYpIYxbjaWzFsZSKtM/sOtrX3vv + /R+dG75768bIjZffPH70pYODu/c9uDd38+r45XMX9/T3vP36yxv5fDqTqs02fvWrX19elVNPTv/9 + 3//thRttB4/ve/2NV+tranUYQ+k7d+6cP3+ukN945523/6v/+r/0XNcYXSmXk4kE50wrzbBZoe2n + Ul3d3S+88MLHH388cf/+v/+7f5/P53ce3L1WyZ2/ev7OyUuPb4zafIm3tSQTCU9KApSCALgjLVEY + x6VSScdKgCQYoGENrCUpHYDBHj16tLC4cub0mWvXhu5NPLg1fPfw0WO9/Ttr6+orUfR0eubCxYvD + d+9mszV/8Af//Z49g042rbTmjDgRY5wTiGwcxoKMkG5zS2sqlVpdG/3k048jRMIXltuxyZGfnPr4 + xvDN6ellhIZgBKfNmWcAbcCIwBgxzrkjIfzE5x9/NHTm5Lfeemv/jt4kV1fPfT5268qDpWKmfcfB + A/tfeeFoLTRsHlwAEXHuen6NqFkKyuND1/63yQu3nuv+9ntvR4WN8eHb//DJyQe5SrJ74K03vnTo + 4IGWliZERRCBSS5dxhiDEWQYzGY9gLGRsky4UjrSMoK21V0bIfoG9/xypubkufOXhoaezM1duX7j + yPFjr3/5zWQ6DaJyqXzzxvXhmzdHhof/xz/8w1/++nuZbBZhkMnWvvXOOxcvXTp549Y///OP53K5 + p48W9u87Onr3wcUrX4yNX09lWHtb+8TEY8F5bTbrSMk2T2ls6uHU+99/f+TuVENdV6Gw1tfX0dZS + /9NUvC1taUtb2tKWtrSlLf1i9AuybgUXBJQrFSGYlEIIIYQkxpRSA/0D77zz9tTU44eTM+fPnxsd + HQnj3PLKE6Xs66+/9kvv/eZLL59IpxNhGEinWtQknzvynCtq62rbr1y6Obc48fHHP7lwkXluPUOy + kFeFMMrUZHb2DwwMDHiehzhGHEBYC7IWxABrtIoBSwycCUEOMzGs3tHf/9X33nPqOz+7Nj46s3Hm + 9On7d2/U+JzH5fX5Jy7sO+++u/f1bw68+F5LIxgDlAIDpCNcVxkDoyWzviOkwM9jJKwGoAA0Nja+ + 9trr0vHOX7z0YHLq9u3bM/MLqUyNdLxyJSyWSvlCYWBg4LXXXs1ms4yR0RCcxXFktZJScs41wAkG + cD1/z/6DxdffnI2vX3u4/slHHz64fb5GVHiwEhr1jW9+c3px4fTNiXKxkFtZDPQzdyAIjLXVmA9H + Sld6opqybUKBCFwIkbBWAIwLcl3OBSw0bTLvBKyB7ze1NA7u3TW+Gs4/yjV3b+/t7WttafGcZ9Tj + n+XT/Zw2A8cpncn0D+wcHr59e/jh3//DPyRTCddxrdVz8/MNjY1f/eU3X/7yV/bv35dIwFoQ2Wy2 + 9vDhY6slEp+dfzC9en3o6trspGfDKCgeee5Yz/YOt7537ns/LqsgCkpCcMdxgQgWSlttUFtb3z3Q + +97Xv3792rl7Yzd+9MEHnsPjuPLwyUKqpvvAnhd+5Ve/e/TwXt8HI/i+39bWduLEiXNXRi9dvPDg + /qNMgksK87nlOFb79h/4+jd+6ZVXXkkmUyBmjeGM9Wzf/s4770jXO33mzOMnT0+ePHnj5s10Ou24 + XqUS5IuF3HpucHDw0MEDrhDVdA8I0TO4+2teZkMmf3xx6MG9x59/9tnQ5Vs+K6nS/MEjR/bvPnj7 + +ujE6KOpXIELLjxpAdflbuwgCmBNU/u2f/Hd3whTZz4bmph7dP/DH80PZSSLym0Njd/8znd6dh36 + 4ZmbZ+8+TQnrkOaA43mIKoBs3b7zK193Tbbrk9MX1lZmL1288GhywnOTRiGOdLlUKhfLz584fmD/ + AQCMbYZIxbFSsXKkJGatNajiGImMMQRmLSpBrC13XM93naQrXAm9CSvxGto6dg7uP3joSfn+4v3x + 0b/48z9lgTq4a0/L269q2wkhQcQZdxgYICRIQ4ch58YlJ51IW5j61vpf+fX/bHp6fPLh8IXTF25d + vOggWplfcXn28LFX3/2lbx5+/XiSQUNzC0D42bqDhw9mGrONbfUXLly9PTzyk88+unx1yPXSuY3y + Rr5cLqu33vz6iy++8Nprr7Y0tcRhNcYNjFdLjGIvIQb37Lh6LUMstsx2drb29+8w2mijOROQfktr + x47+ndeuPY5tJSHR39/b1dVWNeFMNbEKkjOQL1948QUPNZm6muF7d8fHx//6b/6aMUbEOGMbGxtx + HKfT6fr6+tq6umQiIQSPYxUrxRhzXNcYo5QyBlxwXs1vo59NJiJIIYgI1lSr813Xa2xs6u3tW1xc + +vTTTy9cvOR5CSbk0vJqrMzx4yfe/crXXn39S5lMijHAErjYuWvwS0HycVEG9xYWFmbf//73k47y + SbW1tu979eWmzsG//sfPFnIG0JwTYwwAmAAJY8ho07Sj9zvvfSucnduYnDp15vT5iTtFF8tzC762 + R4489+5Xv3L0xHEhBHsGkSUpXc/zk8l0uubo68/3vXTkk7OnlpYW//Iv/iIlZXFlfmNxae++A4Mv + vXvkzV/e1lkLoFwJ0sKAuGUymcn6frlUyFsV8p8uLMQsE0FkQh5LIaoIWwIDZGNj4698+xvtXXWX + Lt29ffvhmVNXRkbuOT4DV7FS6+s5KeWu3bu2b+urq2klQiad6uxqGtzTvzC3+NFHP7ly+aIUKo7z + K2tl5tS/8cYbb7zz7sEDBxwHFnAcx2obhiGRw7jEMwgsVFSuBOVyIKUrhNBGw1pW3blyXC6lZhaS + cymkEBzMQEeV2NkMXqIwVjpWEI4ENhsW2KZhTrAcnEOyampdNdnKk719O06ceOnhdPnuxOKdO3em + Z2ZBdODAwa+89TyBWXBtFGDAlCXleo4UIrKhII8D4BwRQkOKmOt5Cc9h0JyBcQ4bwfX6+nf+/u// + Fz0XR34yNDH5cOqTjz+ZuHbaFdAyEVg5t7ye9NPHjx/r37GjsaHekbCCVSH3YKw6XCMVk7VCyJ07 + dz5+9Pj+/fs//uijc2fPV8uAC4XCzMzcy6+88qWvfbOvt8f3YAxAaG5uPnHi+d+L9Mmz56/duntn + +M7jmcVPP/pnqyITB0Gky2Hc3rXt+PHjnR0dnFFYqbjcyoam544d+/avBon6a6euXB26dnV06n46 + 4SMsNzY0vvvqb1VW7s1NXl65HhujtY4BaINYKY/r7m3dr7/xpcWVldNXrk48nf70008vXrwkuHQk + Xn7p5cH+1hs3btweWyutWM4t59W3iBiXmUz2V3/111o693x66vrE5PTly5eWZ26nnMDwRsPqF1di + FYW7du3q6e3NpNNGgRwIIRw3wWTCWIrCEDp2ODhgFaBjMMmdhHTKjpTCah0FnAhCAgq60tTU8o1v + /JKX7Gw9O3zh2pV79+5NLz46mT0XlEiHcvv27tdff/WNN15tbGiAMET+9m1977z9tnBaTp2/vJxf + unzp8sSDewnpcgsdxUEQWGuOHz9+5MgRR0prLQDHcarLlOAgYtboOFKCi8bGxrfffrtYLF26dGl0 + ZHR1dTX1UaZiA0WqrSb7O7/7uzdPXrzy9EFQqZgwJsBaW60k1tZI10mn00ZrbWMiAcbAAW7AYBFH + Kk74/pEjR/5v9t411rYsOw/6xmPO9dh7n31e91l1n1V1b71vvbueXdX16He73XJkK46iKIqQkvAD + ARJGCCQLRco/JIQEQUGASYj5AYkBEdpYCBI7gjiOwHbaHbvtdNx2t7u6q+o+zmPvteacY/Bjrn3u + dQL8c/86Q1dXR0dnr73WXHOOOcc3vvGNf+tnfuaXful//cZvffPXfu0f//M/+O5yZ1dCHFI+Xq/v + HhxcuXLl1ddevXLlymw2r8ucAHdLKUE4BIkhgrybLd546+3VcZNS961v/eHXv/53f+O3ftPYM6Ui + 6YP3P/fDj+7+L7/09xaLPgROIyp4DA6g7GAHwf3c2eU7P/ET3zvWj77z+//oV3/1n/zq/xl9fefD + P9hq8Nprr734hZ945q03/1gCSdSJzW0265975lOfev76//3L/+3v/M43/9pf+30b1se3b9+9d/D6 + a289+87nPv/eB1cvX5k+BQYF1igigRCELI/DGlEgohzbIZfVej3YMTzVGrHq+nfP7P/Fv/yXb/7y + L//K//EPP7pz51d++Ve++du/0/YzAKvV6ujwcNF3H7z3/tUrV2KMMANxbJvF9vaf+qmfbPf3fuEX + f/E7v/+dX/j4v//7//s/vHtnBT7+0he/9PhTV8ib/+Q//s8BH4eh7jgOrIcVgXZ2dtqm7dpma7Eg + UPESTmRXTu3UTu3UTu3UTu3UTu1HYvKzP/uzP4KvqToJOWViEhFhXg/Der0+Ojp65VOvfOGLX3jo + oUsxtoeHxwRqWjl7du/JJ5787Ptf/smf/OlzZ/dCIPMsCiYB0XJrZ3/v3Nkz52OMsUGMJCpwzZna + dnb+oYvPv8mr20AAACAASURBVPjiS5/61NOPP7q76NgzSoISr4/vjnyQ9dLNZ59/5slHrjy06JTM + lAE4vOi8P7u7c/HSdTQL7pZNDI1SI7SzmO1ubz3x+M33vvCV1z/zhRvPnJ8rGkewNRggsfX4B9// + eOfitUeffO6lF547t6i9pA3O5uwgokJkHHR3e/vylav9bN52vROrBnMvxdq221pundk/88FnP/j0 + W289/PBFArm7KlWphBgCMzlRrfFsYYtZu2xiWJxN0lMzFx8i593l7PqNxz7/lS9fvv4It/OHrj52 + /eYTTz/y8IKMfETGD354x7nZPXfm7fffvXT94SBgz+yjIsMtrNe3j/KxLHav3nzzjdcf2ptthQrd + hokXxEnL0T/79u/97nc/+e7t9a0XX/30W2+8/OJN5fvd6njzrwY2PJXmTk2nmyauh7WDWISYQWCR + cxcuPv/Sq1/56k8898IrD53fUgYAgccg865d7pzV2LezeR9l3oblvLv08EOf/9znX3/9zWs3n72z + KvsXLj/71GNPPXr5zHJGeUAeV4d3b987PvvQ9edefOPHvvrV7WWvSkeH90Sl77tzFy8//9Lrb73z + ufff/+Dhi22jEEIgVykEIm5zkdi0jEKw5fby0ccee/XV17705S8/8eRTUsEbImLp+n57Z/vSpcsg + iiHMZrMmNkzETH3X7e/vX7165e233375pZe3l9vKFGDwAfN47uyFdrmr7Vyl2ZovQ2i6lm7euPzu + O69/8O5nv//dDz/8zvf/6M7BW1/40uWnn9nuqSW0MCoZ8NC25x+6UiiGpp01Ydk3szbsbG+/9vob + n3nvg2dfejVTDG3/7FNPvPD041cf2gsMKgY3zJd7exfOP3RZVbdmXd/F2gw+xiaEsLu9c/2R6++/ + 9+5zzz+7u7fNXMU5ykcff5zHuJife/21dx69cWm2CJCMKotKZGl1fHh7WB3N9y69/Mb7Fy9f3Z1D + CgIKxNBIRLa4FRf7cbEbmbe67tGr11549olLF87EvgGLSyhEDCjADM4roUO//fvf+OY//7Vv/rC0 + l//sn/9Xrlw+t7MVxvXdyOhCOLt//umnXnjjrfc+/6UvP/zIRREQSksIBJB0W1vnz17Y2Tszn2+x + xqANkYrGruvPnjt74+bNL33pi++889bjT5xr4lR4XgmbRG4luVnXtavVENvdGzdvvf3OZ19++cmd + ZYxaRBjEUpx5lpKcP3flyaef/uDzn3/kxvXZojZjd8AULCDhsDPf29/Z39nb1TaEtlHVCrMS0XK5 + vHLlyq3nbr3w4gvXr12bz+csZOYppTt37gTVGzduPHvr2StXrk7txxjA1KJt0n8hCPOke0LEzDGE + YRiqS2EWBzHL9vbOYzdvvv3OO595990nn3oiRgCQkkFFu7C1fS5pr/OdRR+6hvsoZ3Z23nzttXc/ + /elbL75+b5V3z158/Ma1V1+6dWZviyiDyvr2x9/6zh/9yj/+zdnehT/3p3/6yWvXz8wXP/jkY3SR + Z+25/TPP3njy3Tfe+vGvfe3CpYekiSLCFaUxfOs3vvHbv/mNj+/efuXdT3/wta808z6wjKtBiZaz + 2SPXrn7mvffffO8LL3zqlcUc0UHj2LDDS8n5k9t3u90LD12/eevFly/uzwUQIK+OVge3ifzclRsv + v/nu9pnzswiFsY0ifPbc2b39/eXybCkUY0/ixTIYMbbLreUTjz/5xmtvPX/rhYsXH+p7VhWzJBxU + GwbnNBByjLzc3r/1/CuffvuD9z744Oz5bVUAqDXFVkpkYZKJWYsCL0dHh0frlUEef/K5J5967uy5 + s01jjDFAju+m4Z7t7J154pUnn3n1WVaoESdXEWgCDZ98/OEqz+L8oTde/+Cpa5f2uirqicJWyAQu + IAExGhSuLbrCIkRJEpcc5m2/3bZN37WPPHL9uWcev3hur2skZ/3+9+4s5vvXHrv88uvP7Z/fdodQ + YEdwhx3j8PbHh2Pce/jKE8+9+qlXlr00DPICMu6ay1cfne+c1X6bGVsNN2QEgIPEdmd799azz77z + 6beff+653Z0eDmbIRuS+5vCsZLgLMzOL6jimGGIxuKPvZzs7uw8/fPnHvvrj7372c3tnd1gRgEBG + jK3Z/MLDD88XW6Hpmm7GIVopsBJUZouthy5deunlV955++0rVy63rVIalQ2Rm+X+7vLMbGvPWPrF + XJvQRL1++eFPvfTClz//bifp3sff/Z1v37721HOP3nr74sPbLUPdIlvs+/2tZdf32rYU42yxaJrY + z2bPPfvUZ95+4zPvvCkSu/nZM+evvvX2Zx6+sC1UBBlMxHz+/IUz5y/1s6WG0IbSqCmZUQfuZ4vd + 69eufvqtN1968cWLly5AQIJQBhsO0/FhWJx/7lPvXLxyc28ZAoEdZANxho1H1nzvzrj/8I0Xn3r8 + icsX9+Yd2GFJm7B7/tJya3++tadNmC/nsW2E49Z858qla6++/NK777z5/LNPtYsWamAPTb+1OLO3 + f4GE58t5N+/dndyDSBPD3u7eY48++sEH7z/zzNPb29tETiAVZt70mHU3cyvGzDE2e3t7KtK2raqK + SPbMShcfvvjKrRe/9sWv9E3nXfP4C7euPn7j0u5ZMSjIiv/gox80bXP+ysOfeuu13XNnetZY2x8w + IJ7IPJdO4/Zi69rVa7Fp266XELq+B3NxJ5Z+Nrtw4cIbb7z+5ptvPnL9kRjEzJnAtXlCKUxgJmIC + HIzF9s68X3b9glgkqAFO2N7bufn44+++//4jjzzGLLeevfXUE088cvWacuUvOLwcffLDf/qNX//W + t76JbvtrP/3nrz9+68L+3nB4oO5t4Ivn92898+RLb7/79hd/7OIjl+eMHiY2ICeY//6v//rX/8H/ + 5Vvnnn79vT/7p78W7DD60bhexRB3tncvP3rjvS9+5a1337/11FO7fRMdgIENltZj+f6dVbu1/8Lz + L964du3MzqJhMBdOBx9+/Ama7XOXH3v77Xcu7C+CFLCDKIRw6crlnd09DmE+X3R9LyLm7mZB9eyZ + M88+9fR777775BOP7+7s8KR9wBJ0/8yZ3XPnklk3X7Sxj6Fdbm3fvHn9x776xddff+X6tUfv3T28 + dvXRp5584urVq30b3JHysFodusl8trx546mXXnz+0Uevbi36OknoX0rTn9qpndqpndqpndqpndqf + kJH7n2izgRMhwNpC677+a7EyjuO9e3djjIvF/Ph4PQwlDTaOibiESE0bgsyEeuYg6rGpzVmAWqlt + akY54Xh156NPvhcjRLpxbfP5TjPfGp0SSU/jdkuEET7CE8oALD4c2qOmnTfoCJxg43EXWNlBBZ5g + I6j96MAPMOu7sLpzG+PxvGFP68jWLM+mbrESMLBliL6Cj8gFpRSL93jrUEgDloQeDk8+2ip523Us + Bk8+HIOYQiw5H62GewdHx8NYzEXDYmu7aVtiDiGqkjBKARyhFmKbm2UhKiIZZECPhHSM4R5ye3eM + d3Lrwz1b397uabm3Q00c4QdFxjDPgm1gCUM6gGm+k4bcyLyzFikCQEOpwYow4vgIw4C4dYe2fxDa + GLAEtuxYyIEOzsgOuo2Pf/e//ht/8+f/53/0q9/65Kf+3F/6ypc/9+arTxQDE3RTS0+1431l3UwS + Co5cpdx8HIequjgMwzAO47BebG21i/0iHccYA2orEgHIE8qYjA9HvzfkdHTX1wdbDe9uL0OMEHGZ + 385618EFuy3aYunoE8U6coLOjoaYaDlfkBvWq9t3bv+AUUIgDjNuz1JsDWgUUaAELmsbbx8c3HPe + 4rhztEolHTPSYtaqqgMhBBFlkUkpmMjNci5DSmZeSkm5HB0drYcBwGw27/s+xhhCVGUimEHzwOUu + ZIUwN4t3Rjo8Gu/eW7nHRVv2tmgW1sOd9V//D//63/v63//GDz75i3/lr774Yz9+ZT8sHcsyEm/a + v5EcHKV7a0upKHsl7HRt28QIiR8fDAeDL7ZmfURgcIGURDbCCrhxiUMux+vj49XhMA4ioW/nqjGw + iEhUYTHi7BiJB6KxeCrDMg/LIESK0QYOo0gjHK1A7JD82A4/upPncfvyWhAK5gLJGfkAOsCPizd/ + dGif5AYZvbZb3Wy3Fy0DfA3VEvtjUHJEggAdjpC/vfqtX/ov/ptf+rn/6dt34q1/92f/ynPPnD9/ + BjYclPVgQ2YPTbfTNFuZQDNAUbDu3Vt3rNbFzIglNO5Yj+nDDz8y8NZyFyQsQUOUwKqoGFxlTuWU + yXOMnMaVldQEHYfhOLUmSwjaiEZH8ttURqrkyjKzsS15lie0CRRqN0YjjAojjEgU0KEEy+UorQ+G + 1dHxMRGpatM2QYOqaghBlZnMvCa3QFivxzSOIcYYggilVCoyW8xADiIVBsxKDsJcyb6lAACRp5RS + TinfuXNnPSQNcbG1bPs5S2CRCvuNI5p0KCHBV+DFEWZ/eIT1wcfBxz5gFrglXzSKdvsohRVRADpB + 9BHlGJo/+fZvff0f/Opf/U9/rmyd/bf/tX/z00/furLY/ujwznHLh8HLkPZDvysti3gXsvKQxo41 + ktDx8Av/1c//nZ/7W//kt7/55b/wZ376X/9L8zN7UiwdrvLxcaQyCzJfLK3bG5UMiEB0l3REZYSN + KOWY50NcZkVkNAAbNB1yPkReZ12UdmctHB0t5bK6O6ZRg5KymR4cDuPoY86rYcUibdf1/SyGqBKC + sGz4e26j5WLFjg6Pb3/yYRMx67Sf7yXvjObSEMUJPWeA4AJXJxRCSkACFwhAlst473jksK3NrDiI + VqKHHYSHJQ4FCXmGtMAKR61pjwbFIXfAd4D1YTp3mHdJMTfEFQIBLXJjK4yEJDAFBcyRGCPAQDzC + +MPbR/bx3ZzQx9hoCBpiG1KruYuZeZmOG0tkASXC4yCIDDKHrtaRj1DuosjdVbyru9t7TSjQkoMY + xiOUNZpZRn8n69Fq5OGepgMvySVSaNvZImgjoiEgJ6zX6xA1BAlKZgXkQgDMShnHsWYZLJeDg4PV + 8TrnMuvnXdfH0ITYmOrK4YoZIXjG0SfDmJvZ3MGrjKOhHCfP45CG1axv51vbR+uRJM76NkYoIbrB + DmFH8AbWobTHxb97+4cHaejbuOzbRRvnYfjf/sef+/p/95/9nV/8xtt/6i989s/8O8+/dH2/wwwI + SCiOVJDLwTjeWQ3rnJmljU3fhXlECMXGtC7zEb0zuMDTva25MGMYV7FpCnXHSY9WyYePOd3hcpQw + hyxjt9c2IQhUAUUhjPCYDxqsMNwdbFG6c4fOlLFo0BLgxyj3YPcyL7+f5/fCbKnoRizLII0Da/gx + ipq1qxSPhnGVh6GMpQCujfY780UX0DKgBhrhxzaM4HlB98O7ByaEIHfv3bU0Rubd7W3Ax3HY2toK + KoCr1m6I7u5WihVzM2YOMU5SsE5pHNOYSikHBwervJJOQxeW0s3jDIOtPR+IpXm7pKZzcAYcSFit + ju/ldXtmOQpkGHc5IgPIpjYGBLCAUBxOyCXlPGb7+Pbto9UgGtq+j00LIg0hhBBiSGkwK7O+k03f + BC/ZvUQl2FDyityBCG+OV3kYSypwYm00zloJYqBUirkHin3DdeGqj/Dh+9/+jf/hb/+Nr//dv30Q + 9v6Nf/8/uvTM62fmfTk6yof3OB/tzWMr5bBZrme7R4QlsIDp8DGOj+D45Z//Wz/zH/yXd+dX3/ip + f/Xf+5mvdgV09L2j2x9zscCMdqa7Z0o3t1QWpDMCYMAx0r318XDMi3XYFtVO0TKiA2WN8jHMRt9a + 0VJbREMoA8QwCRDDsq3GdHi8MoeE8N3vfc/d9/f3Z33fNjHUnBmDmOAGOBy+Xh2PaW22zsWKCHfC + rcbcdqQxw+J6VZiaEEWlqnS4aDEfxhH3bg/Cs+WyIQFxJndh4VPu7amd2qmd2qmd2qmd2o/KfkSC + CQ6vdLMq3uhuRNw2re5qKSWl3LZt16o7W3Hz7CgxBkKwAqJJaTEXd3NmBoHgzNS00LjQcFGVRGIp + EAmuGhgZCCXCR1iBG5jAAg5bsWVGrJ2pBaJBGKACd4wJKGh1a6tlEga6nW31PpITipB56AvAjlgZ + HwlYjxBF6ETaGU3CcDIRTIWCtAxmwAwlkypAcJcQF9q03SyZuwMsIBaREPgER2cGDCkVFWaCm7uA + ADcjZjihOLhFP1/2bXSism+pbbVw34KIYYFFBbnS00rR4iDS+Uw9QJEUPhGjUsIqCkEbeID2fWwX + BNReQFQVzdgdRIRiR0eH3/r27/7wkx/2/eLatWvnz5074dvSBqGHP0DGIMCspMRMpAIgqkRrUxq7 + 2RzwYiVoQJgVSHKUVFm7mZ0IDGqC8Lzn0IPmkfK8Y6PYAAJnIomKnhEJCrBz280YChrAbSddIGKG + OZq2O3vuvNvIVCTOM9qEqbh6ulsmDnG5XBrNnVvVBt4Im5UkKjFGAGY2jklViIWIcjEHuqYBsbmn + lPu+r7kQZhLRGNQB9823MIMDOAEopfSh63bmi7kXooYzl3uQpDHmlIej1fHhYSmpwCa5C66F5wpi + OGZt0zUwp2LuIBYVDUZUDLO+7zsAkFKxPkADPEzygrA2UNR+PmuzWSluhqAxqqjCC9y9mIkQkQJG + BA0hBoLBCREB4nWiM4MogDre2tvxeQkAoHVIRUFt5WALd3sxtN7AKBBHhtYCVTOIlJLBGoiKoTg6 + BoiEDG6x6fp+t5tt9X236LJ0vQ0dRhLpSGMhpAHk0KktYPZSSKOQiHMxYuHZfHaeohPFps1mRKyR + HDBHyqbKAEpOQCEigFVag+ZcQpxvdbMMpNpEBgKouxMD7OCGXVklKApNDMjscEJAoNpxza3mH1h1 + 0c7jvN9aLs2diUIIIIK7mRET8SQbSAR3NE1sm8hcI2eICk16J+yonQVBYGFJKTEhqIAZpVguAKkG + kXDmbGMOYmFRYnUQ8SS5oApBBAqcQRSVd7Zh3R6XsRN0ImoZXuDEgqhoGZTd3UkDCEBDFIIGEip5 + ZCGa9ftdOG6o4ZJW6y3tWgS4jzCzEkMQMDtRCGBKVpKVru/39/e5aQOI2xmlJJ4DXEJThAzI1QcQ + kQYwwRVEHXdESATdaLOwRsgMqsoNhAPAcPcsyo10LIFYQSzaFHczGrOBVDUEnRQuhOHmKRUVJUQg + xVZiDLNelY3FoH1EV0BGyI7K4PtjmjAEqAAGOMhhDtBisQXpbUpiqUAJhCCYAQaNMKCBstM4jlEE + zIA6NGhYBhQgZoQG1QszEECAMty95Jy0NJMKDQRxvhSNvWRqmaXuj4LMtmYwSEJHCEgOVhQIVTUa + QGOAR3CPGJdtL9xMzHcI3CABMF+PaNp5izZEsV0pszyuSYLGhlhps+WRgrpGmByWUmam2mXNAWIO + tQYfcHUWXSwcIHdilia2xCh16B3FEMgRQiMBzCTaB3Wx4Ew+AzwIc9DQtk6oSiLFMQxD4xkECEMV + RIHo3P7+DpMqqZdIDi3jkGpmTYRjIykjF/im9SKY0MR52+hintzJiYkaZSpDHkaNXd/2EUgGKuDQ + lzKYe4ztmJJR6hrVELjsBG9tPMzWcbME68Q+NliGBAgMDnemMGtkkYRbgBlKcHMyAwfwTKVdhhkD + AWi0Tu0MJ0ChytA+hNA3fZklByAECKERBNyXJwIaFoYoC+/ubhmzMfq+tzSylfmsA6iUoipEcCtp + TKMbEwUNFZUrOdOmF2ud9EGDihJz13fJRgSCoIXAFVYC0TzqWA86J5JRDTrthToTAqzOTwiBhJkY + 5u61HAHFQBRiEzrR2KRSJg8mShstYGIQRfdibjllt9zECjQy2EFB6nCzgsM8xi7TmEASWIUDjOBA + o5pg7MwAVT0aj3AQBzOknDMy3Ng9KObLmfSd2CoEA4ZeeiMcA6NjzIMCaFoMw1hsSAnkbmkYsNVh + ttxedA0bxLloHEMYAQ4aHJaR16sYAW3bWSM6b0UdoIKSYQxmBjUwCxxrERMTAIIVwMFiuThJ3/cs + WgcthgBH27XMzFMpCaYyLTe4Q5ia2MXYsMRhLJm7ZsYM4khiBIKozpqTg1wxuJmbEyiw7O50TKxh + 0zeRTym3p3Zqp3Zqp3Zqp3ZqP1L7EUG3cKp1vvXcV2NHIhZRMzNzEWVWN+IoJeuYEiMwE3M9faLi + vW5uVYiT3L1URdS+n9dW1k3DpViqmqJA0BOebpUVExAJT5E/AcRgFSIHCqz22CI4REQBK4iBIiKj + AAI4sbBBDTx1/+bsrBCwgogJtT8TV+VDMJhYahW1AQ4ROCwbs5JK1KCbtvFjspPvPxkfMFDczWpH + d6CWA07QKEGmZzAPTKJEoQdlgAxU2ztjA6qaV71aIMhJr3JyCKG4uwPCEK64A20auDNA92MmgJCO + V3/4/Q9/+/f+2cHh0ZmL1y9demhvd5s3Qpwn0gi0kWqst+vuxVwqQmEGYnBtPM4sDGK4FefKNXYH + ocCzu4C0zhxhRII0QSIBCS7TPyM4qk7p9EJDAyKYIxl4kuisPdNj25mJW2LW6fF54gVn88AOFTCx + i7kHIeLA7Kt1pgqvAzA3d3OwuxWbGOtEFWMW4cC1ih1mBjhVzN2otnkjJxgDDGczB5MqZkoZoCJW + 3EBETMzmNg5DSYk2/ccnwYnKuHHjKZbjnFGcwOJUm81BFezIKdcEhzvVZvYMJqvQOJhYWTTGnGwc + Ej0QghncJ01orQwsdzoZRhYG1fGut6WAQTpChKGWsfsJXmwZ7oAoS0+bfnWOaQlzABHMGCaQ0Tc9 + 7pyMVEKrIaoKwZmcYQKwBIqROdS5rHLSxEndS8mmIYIjXDCaGcTQdn1d/VbgKOZMRETmngAlwFGY + QERmzqwCTnkkDqAN8O1V7DlMHW0ccIabIxMC1flbYbSNxCdBiQxmQIYoiBRMXN0DEcHdzd3drAB+ + Pwh2r4A4zKZhrBhu/f20at2JwMTFa0qsjhnZSQc5otg2YAUop1xKJlYCOZBGiACiKAwXOBwWwNIw + lSYSlAgsyNmKGwq8kpONGGBBKcWUEJiE3evkBoGaGNgDiEIUYoBqV0O4B4hscjpgJhUnsEgMcXJy + RLFpxY0sg+TE+UxTkgVEJ12cyCtYNEFtYIErSik+eS2uOUJmgYIjEIAiUjNdIhpBdYHCHGYgmHsy + RykEE7dpYsVZDxR4niYrwR4sIKnZwwcc9sZfG5zcWTgYCKiuiQnicKp/TqipgLpWSskQnqrHwdUn + o4C81h3U74KANx2VaFom9UNG4IY19CFmqgk2FINnAzEo1M87wXzyGJM7J7AKssAVpJDQMKz6UiYU + AglYiye4h5r3JCYoxZZFRdXqZuEwgAhRiRilUDYjkpMGnUSkotUlplRENARl1jQms/slPzrddoY6 + NAInawBCAIsIicANqbgo0QmA7jDzzSQhWIGJubetNAICLLNbgrmDHYrJqeXNUaQqXXhFxEgkgrVe + tRRmskLFWKHwaQxFIa4l5ZqBds+1nEgZDGELrD2hlaDZJzFq8ympKTAiLs6K4MQEBECk+lNzEFEA + waEKNAADygB4OlGQghVmhgSoigqD6f75gqoWgTm8Av4EYrA3kZMjA31kD62XUvdG5c0JkCX5aObV + 4xEz0QmuTVYynFgVU0c9aAzKaigGgwGlwIsRqcj9k8Bm3UOgTAUIIBGFbzbsmpXOGSyb1+EgJ1DT + tQ2mobPpLqaLqRCgZmUCFon4vmeWOsogAgpUZHLlUo8iBPiUhbS6i02OwwALcHEn83raNDKr6YDQ + sKADBpRUO7cJQAXTYUYZY6rlUETOKPVQISzadNUtKXFxV3gAMWDm2TyCwBFwJtFp8z2pUqpTo9gD + ifBC9RiH2raMyIlJVSugvTWbAzWLV1XpCVQVh31KJ9c2bCBlVTFyClpFtk+8ySSffuLrmECbqVsV + KTZvlviPJ7BO7dRO7dRO7dRO7dRO7U/afkTQbUW3HE7EQixceTc2jiMzd11PoGKlFFNEB8G5FAJB + xB3FCuVsqpGESjEiZnagDOPajZvYlwJzowARGDDaFPESC6hCtQVOIHlQhhVT8O0TKBZbeIEhW3bW + RqFT4Cs5pxoaBYY78uCmEA0620IpKA4mIYQaNDmKg7xqsW0oMBqmlvAnUKij5OzEqhICmyEXVyEA + OZsKK0Oiupm7CUs9giuzAUSC2KEUGI5WRyRN2wZiBiumyESi8NoSODAgGgD3VKiivwQvMIcENBoF + 7f3w1b3GMj6htTzdthMxPvzoo9/4xj/9vW9/h2Tv5s0bFy6cmc+YJkHbCjWjlumV7EaQqshBFGJl + GsLNSQgs2rSw4g5icqdKu9HpCQQYqQAglBqlAFTM0hQYOqFqPwpaRjEbjtax7UGw4iwEp3XKoSFm + uEEERNGRWBSMVKZImwBmeMGwXiHkEIFcQMZCTHAiEPX9bHpfALGoQkTMbBiHSsUdhiGEIKqRQ845 + p0KAqIrI9PZ9A70blSGJOhptupCgE+aQkdPYN63AVnnsZ/N+MRcVy4lK6gA3lJxFKpBDEEPJsAyI + sDqk+DSjhcEOBtqoAIphGEawSBBlSO1Wx3CzlIo2bVBWaU6gQxKwcSknUZkItVCFIxvMQV5YQDwB + VpVzCABOZSzuUgggZyYIW2EicdCYRyhUmxrLW8HEdyKoVIVCNFPwLsg+unbzbeAHx0eHdz75aL1q + HJItCQWSUAqIoIK+Q5oYmmQFKKZapyEksBtScg0EhjmCcrY8DEexiUIcAjGMQFEFIDfPqagQcwhN + mBC2DbLvBqIAFhtWzISgUKpk3JMQVmWaJVSrVNXKMIpbBZit2Gq1atuWmYdhFGERDRqGYUwpdV3r + DjMXIQLMMQ6DqMYgAHKxnFMTG2YYkFJipqghxEjuDoM7EWtsTtztSVMzM3NHUAYjJRwcHPT9LDYV + DAxgNhuJWwVESLDJObk4rImaGA5XqWh1QbIxEyiUVLzkIOxWYAaIwQjeaKBClU/NxDpB2bBShqMj + MPWL6+1GyAAAIABJREFUuTbNeljfvX17sb9XShnXo3a9MEOCF/dNJoY33hk14UEE4kDYoKV1QRIQ + oCQkG6jTmMmzlVJUpz3Akc1rkXRkZqpvykFAziNzibE9PhwFTdcrCFOtBjmIIQFEZhgTOFYc7aTI + gOGGUiwn5gn1RIgBAEkxL0ai1ecVd+eSBeEkyyUgZ6KgJ9Ato1EO7rCECr2KnPxxTaoxk1DtVVYT + cwVWyFAzikQgIozDcHx4bzFrNSoTwUGKSJNcEU4mB4DiMK55KTbk7C4EAUR9zCik/RwcADBhTCmn + se9aIvJivMHkSyoOF2YBq5Bw3Ajl0JTjAQAvxYcxda0yq2NqxkZAqY5FKia1AcFrvsIdjCbGshm0 + IdswplYa3jyDMrSrej5AKmYjWJo2FEKZ4ChUPLufLXb3zvaz3x6G9eHB7bZBI2Agp+zF2CFSpXrq + XZWSkzM0BBUFpBhyBiscyGYhRLMyDOsYG9JgcAa52/Fq6GLU0NQ3yIJiUAUxihVhV42ATLnJaZ7X + ZAeBA4hhSMWhVkHkyiy2lEOYIN6c05DXyaRpt4UIwLCGlTHMIhhAgVsVRnYzYgcKwdyoFOEIJVA9 + ZwCbLwdATdvW4bfixYyJRabk2Ho9uPtstqhtUt1KzeYxqJiPKZchtyGGpikOuFnxyZfV0TRYcYCU + SVm8GHmpORAylGIgrSTqE43dCuIWcxIiYEylyjkMY1aVIMQs2rYEr/IxbkZAKVaKtW2omQIWg7By + yMlLJhA4AI5UBlFimiDe6URWWdikLFFFybwRbgSlQAhSuzuajyWhaRqgU7TaoBTkBCdoiG2bYFGx + nEGBYoZcVBSs2NSjEGDFAHSzHuyw4Xi1liZIVAJEapK1Dm0EQyjW/TGXVPIYoxILQBJDvV4IwYrV + RAIzc1AhqqOWSzYrQFGVmsRel+QFbaNBVGjKf2xmAE+H0oKSi7lr3YCIa+7u8GAl3MzmUoqBjPVH + Rfs4tVM7tVM7tVM7tVM7NQA/OtYtAJCbeQ0uNzRNAldUt1gBoMLELhBozBmgJOLmgyOohgkAY3ZD + 8SJSNHjJNAxZWEXY3UCFwcoYDclcuWJjhFwbdt+nlE7UpQrdlYSSoJU/K6gksxOEl8ASsnkei6hE + gipZSSlbiAFVFYzYDTAwUD/sEyRco3wC4GNykGgAs+UypiwahPmElSP1AQlay0sdTHAzd6daNl3j + GvNkFkTBAljbEhETbBwTK3MIhsrOIIUDiREKzFkkVHCjoFYiEkqCKlDBjEpEEiaaoNsNTOGllFy0 + UXzvj77/67/5W3cOVg/fvPDii8/v7W4H3QSfXilnJ3dZQWoxN7iLSOVyEEvJBXAJChZYLbAVruRn + gxlUC8GIqRaSy4RJ2H1dNRKQwGAJFNFw0VaY3J1YBDCQxCYUABlcHxooudROd+6OTRxVMqwgRFUB + KE2kxw1SUCk/05x1OIhYpipEdwAiUltyu9mYkoioCBFKTiUjxsgnnBkCmEUDyFA8kxXxyq9kQENg + cjg7sB7HVIoGFYL4BmVmLqXU9lOWivKGoUpgYgenAgOCQAjsBjOQ1PJ8Y3LCWBDctFbcM2vgSkN1 + cyLmCcABMTPpZuLWF0lWQLUHETPgbl5BOXd2M2YFJMp9FAAMgF0iSMHcwMAksFwcYBYyQjFP4xij + MiEbgcWBUopIMGmOhrweE0mZdU0ThOF18XtFeQhwWCkQqa9LJWhjqFoiViv+oTq9SmY4nNljZKZC + sAoOAUTQ+oOIwtkLiIGCAqCKTJRCWjlQxlyL4glM8OLmTsGAbBNGk7M1gZnYUcfKQOZmcLRNI8IA + xaBEzExEEBFmYqod4+7PlBBCDbbdwUQxhIn+7lBVqu/AneFMNM2wSg31DS/UPefCzFWOcBhKzqXv + uqAMcxBXTp0wCUqlNsJhBjbARUTSBsedBjQVcGTp3BVObMaY5Gs8JwqsJAycsO6YmTda10QcY3Rg + ncZkGSBhcTMlCU3j5gUuxKBKr32AzFWBA65caZKJh7bhNzu5V6Iibxy7lZSIIBpBYhlGhYMzuTPl + ZNWlFQMqUELkKLmMMURytoxcBuak8cFdwolo0rgGbJOgqmRtCDHJBuOfsoCV/VqxTQJA4m4u5HXs + sWENE7uyU0WsBHBy8oQAQKa5PHkOwJ0qCbUuSkwfClw1xpmNUCdRjMLzeQxKlaRP7u7ZCph8osv5 + xIrVCJ9o/UKIMql20CRVwWCBFSuFRYMKU1MMQk5ca7fhYK2wFoEmF1lht39x9xeRtu1E1NxTLkxS + M2QbrAqhajWQIRUrZcKGSiFhFM5uqizKDTW8If1vSLtVKZURlH2CX/lkC2MGGIWI1FzWA0S4bdQN + xVE8R2HUqTrhwZbNCBYCmxUiYVKcSM/XV10RXoaGyELmli2xiDA1Te9OpaAK9Tg2W0ql4peS3FiE + KBrxsF6RxOrfQAYncwZFFqENIdLrnA0RXImlhZWrjASI3MCMJsA1Vo88JSVI4OQucCMUAMIxPHCq + MTczq42tpjaIVXtnyot7TZZW2nAIYcL5fNo+ptEnSN1LSCC1ZgURBHY3GE0PDp546m5erAizMxUr + CmLmqLWrq007kLubk7C755KVAhhVW4EAZWaa3uwGeLRaG8IiQpEkgijbcc7rRiIhgFw2oqylAIyo + SmQEc2MDyYmXIiVWA5k5g8Q3M2nyywRRpWATwR+Teg0JVJPTahy8N5QxJXT1pCH1cEVVsotg5iZE + pAzCmEYr0NiLKHnJuQgrC2NTZFahc3IAzgxEdebsZsVUAgArhUmYmIXceVoImMoZZPL97OQFDhCH + 4OylFIYwc90laZpqDIdlI3BQccCKDescoxITzNsmMokbpL6Jmnb+F5f5qZ3aqZ3aqZ3aqZ3aqf1J + 2Y8Gup0woJP/JmCIwCIbYlaFtrjiPqq1TxfVSjYil01JHRNKQVUdFCKI5NGFUSlpGzISCJhQsena + dPLrE0qpV2SgTAXMVLm3NNWEP4gfEINBOWd1VyYoxoLsUDzQp8Gca8k7Tp5pwz0Cwclq5bkoQO5m + VpRjjRkrvneiRymyKR4HNsE0o/J6MME2BhATkUitI3Uvbm58cuM01Vo613pKEtIKEZmjCEcn5Gxw + AgU4wQ1kVVqR75NxuAKYjlyZxE2//cytl28+98bzLzy3vZxP6hPu7pOcMT2AzT84hL4BDa0Ur8q9 + Ndy3AqbKcCwTL9nqg9b3RhtganNRhk+KFeZgt0gGFTNCDUCciYQ12shmU3zhU1NrmkoHaROnO+AW + YmXDlQnofQA/qrxsmm4IVAEbIhGpz6qqAEopZqaqogKg5GTFTgYEU7EnQSLMACqOjEmaMACqDCcH + s4SzD118/Jmn24cefvjihUWIVYUAImaFprdvG/U7Ak3xWQYqo/qBCV/Z3lUQBDnDaTMcxAI2O5lK + 7pvVUbHg+9ExAJAjsygzAHJn9/tv150cUnG1+7+tkBMHUNUktQnd90LkRFpfRzFzdyaQO1yozk1u + tTu7e/byk0/x2Dxy8dx81jYMI2KHuGye0cDmZaIKgVmIAqbJU5npU0rihFXECMzqEyKJglJBOXcn + gFUsm3smVndzvy9jQCTTkGozQSlEEyZLtfrWiZkcXnwSZHFiqcvIHU4sUWSilqucjJNMjMvqDjcr + lxB0kxBxZ64VwVPhsFRId1NOXlnr9Tbv+9hpdZiKsog5vBQCuq7CYQB4E6+TwCcy64bpWBNcNJUV + EzYCD8yh7ef7Z87eevaW983Octk0EZs2a1o1DLDJ+RAJKpgIIpKgu2f2H7nxmEe9fPVyEyMDyqKs + lrP7pBtB9zsbbjJeJzxVAHD24hOdkxxUnJgnDYQKHbqDOZBI9apmRVyIWmIxni5WEUamqm7pZhSD + wFFKhZEB1mksTtbRyTBtbnByc1VFvXKz68J0rute7q8iMaZJMagicXWQQTQJ2HDFZAlEddeSqUp+ + UyZO5HQiieEwIoDvs0RB2GD7HkWabtrZHQCZobiVKg7OgMOoYjYS4FolOAAXAaoEBgCWqWzE80Sj + FmbmlKeeeLCaizPmE8QX/x9WPTPHqA6y4u5u5OTTXUxunUBCFY4vDmbZvAIHCuoEZAR+4LonteQO + IUEIcKkc5Q2UX2EshvH29t7Vazeef/6HN27cOHtmd9pk3Un+GAUVANyYSJhLye4A1Vp4nBANJ4Vf + 1FScwwuskIApcGxKskn5xDABwrRZqUbFCMzECnezopw2OGiVPZGqiQ5A6mboANXEUqn+jYUDyDlk + hxcQIYY6Gg4ywE86WREpcZoWPN2vDABqMzJzs5Pf2H2Ikk7Wm5kToCEQn0gn+fT8cDiYGEK8SdjQ + lFugaeDqrN9oytfaAgicaqkNMQjKqJMKJ1d98PhQEydTRrgKlD84sTaqRUwkNCnp1oFwh1GVp2ZU + fHZSliDdbJgPJIoYbTe7+PDlJ59+bk3zvZ2dNmhlbRNQ9UcgKlWqfTr2YDqKcNg5e+7pZ5/BzuVL + D50rCRBMjolkoo9XT2q+yaahOAwcY8vkbgW1scNUb0AGIVICCAYYMxEUQEEplbANmhYrAUJU3dOU + GppWXB2NgjIRuyEQ8mz1WGJlkjEDUEVpHMabfdMdVnNdToDHWMVGTnDekxPqqZ3aqZ3aqZ3aqZ3a + qf0ojPxP/ATm/9IPeAA7ffA3J3wxnQhMDKI1MAIN0PyxP6cCjEACei86HVYZwGgIBiqAAuIFPj7w + qWgk+YEvVkDc4OMka0AEqJMmTLHfJkbB1HMG2MQ4dD/ouX+Or2Ev2wZtoPppd6AG9jQBtFU3YYP7 + /r++g/vxk29EN09wgwlpqS3RUAM4d7INz63G/IRCmDgiDpLKWjQDaMPSqldwIMEG+ABunBfHgAMK + BCtV3cIcbnl175OjOx+vh1FmO+3OuXbWN3QySv7Ajf//WZ1yG3IuHE4ThfNEBDkDa6CDyTTYDND6 + fpzlTeUCggA20ApQR+ObsIUwAlUMdxoIpwr320b1UWt4X2+aCcAI/D/sveuvbcl2F/YbNdfa55x+ + 3Nt9H742vtfGQLBFAk5EyENKJKJISBFSJD7la/4UJJRI+ZZ8AAWBTCAO3NjmZTmAnYSHbHPBYHCM + uYCNL8Y2xsH32be7z95rzRojH8ajRtWsufbe55xuGrJK3WfvPWfNeowa7xo16gQAcoTcwD1psZTb + aVBnYIqZQR4abV57gqDoihwY4BP4hMNhLcv7OBxBR31OAJ3AtzjVd7/67vm98/t0ePKpT+Njz54W + HIEjQKiOD+o9VHAs2r44UVFgI/V4ZW4OdQCGu8CBSrGCAzJSv7KUvultXwHAtlHhtXxI4v2ao0os + HTMWaCSiPVzkFus3cPuVd755/vrptdPyqbfefvv1p3hyALlHv2jCzgoA9YDnAICnwEF9TOTpGNXr + 37xdk7nFyhKCbsT9rYtgYTemDTzi1cii6gWFUSTAJ9BdHxIAK6DW+CJYNj238TgsJ2g2VMhjhs1N + EHgvmyrN0W3MFdC42gpZsVAlnECLBnYHJjGwgItUrMVyiAJMqITb+s3T8y+//84t8etPn376jbef + 3TwFZC2k9wseEelUbckJADPfnd//xjdvv/HNlevNJz7+7FMfp0VvWINwJRQNpNWkKoC53PKqGZOx + t+oLIpcZcLeok0IgpRTQAf5iXHpJvxs9iCMw+5oUdd5JvwS+s1EjSXOOYeO2dpVwAoTxTGAZawq0 + StxLeQJuCQy8iXXRXSYsEYjNdiNZROHa1Es3JWrQaps4AMCi1ILiO1YG0TRDQKzOuO3G7PfoLe3T + IO5ul7LjBf5Lbs18reKgiXdpVO5goxB8Cs8ijlFBFO1wjFTds0kssLULYazv13e/fP7Gv/rKe3fL + t/zW5dO/qQBPgSeoBwOOdmlEQGDbC4ZutTqT7ABuAAKqoBIEOAIHSZAQGHMhH6eQcT8CCGtJLlNl + Jh7UKaYwoHBjKQw6A6vgLHgqeCqJ45J5KhmygG2rG0sFPQcgeCZY+vWQfhHmYq5bqkGiNKFCpq9J + W/MsOp1/AoAUzekkSfvxIxCbL0Yp1oM/yRlpgbAAcAu8T3odIJ7oLWTaibIzwUpODpYkfgVO7zx/ + 91++996Xz8ubn/i230ZPXyvee4EsWIGVcXPGsgJPgINU8AnMOJ/4G9/41W+c3r/5uHzsM59+G68v + eOaiVtqwGjAFllLWE8jWRFCUMASEqqwtsRR42nsKITCFjm/Gic8eRaPAZbLUY8myZ28BruVaruVa + ruVaruVaruXDKh9C1G32SwyehT1PBQB3v0ws7mjBXsUV7d6CGQHNeA2LjixhAic/TYvlDI9PzpaQ + +iM1JjWHXmSGtI9h150BIKJmQgDQux78BKTniog8Y1NA9H03cOn42J1hxXoSgDQDqaQ2CzTPJzHi + UKCbzxbwpDAj4Ag6gwBiApc4KUiLBz4zqD577Y2nh6cMqocbfvK0xF0x3RpNfFDdEmbXQAQbikM4 + QyVscImHYZyHZSPACvdtuyFTPDNHhq+aaQb53sQPgNmJ3+hib2locHC0qEmxObZO0YCvIcMojLJ6 + GmY3PDUsprz+1lv0ZnlzOfLNTS2eIM/ibNlG2IZvfwwL0Jw8eY4k3aQpvw0DOD7KE6yA7YZ0LZIb + 3dbC6u6U7AOEey8kvnDPU2umHfUsr+GmvPaW3NCxlifLwodS7AoYAmMFpOCg8aCUxu3zqe43W5xO + LQpPMnK1VbPlVBeM/8eEo+BIOHQooL7gZu6DzPWsV2d5TLMEsU5oIRfa+f3eCr50kubQUxYoI0FB + i6/ysS0gdaCwzooCjRovre0bvZHt5vD6zeHwxmt3tErlw1GTAnQYOQxYB1GW5fU33nj96TMUqk8W + LkvjyzS4C8VdrvFHvHEUNafegLXhhyRghWZCpqfmYrLBcepIw8iDjdwBxY4gAC4oAm8TGaEvtk/S + /LZ9neS4ixdKoo116lVm4wnkhD0jt5FA+eSmjq09chLzF0w9iNXd78IstiQDLM0nniLsemSTjHhR + YQRND6ZEbdtK4YaMKOY2MeN9w1dknAWGnGl6FiMd9cphee3N5VC+/RPl9Nrbt8ZREfSahz5If1ur + XKnzJ/qWg1912gCjwqSjC2MUgalAdfwrnDsRmDO6Y7YFWIDV13SAsjv3QiQ0LuTg6NZwH6W7yV0o + jRI5b0SnCY8cxXZgGhrZ+0Y03fjuYaDd2Bv01C2bt+I2VOlbx3A1owBPnj47fOLZm0+4vF6ePOG0 + 4SeuOWjUqW1Xh/95OZY3P/Ytrx3eP7x+uoEnJU6oFfjj4kGFhXQTDJJdYlPKd0s0coA8Hnkqo3fh + Iy5z0fAjvdxz424fX6h8LddyLddyLddyLddyLR9w+ZCvGris9smFv+6v33eTjBJXzP1WCjUwav6g + KfZmAQ6GRWrTIxhc7U7VzHjTc6ldw51lgm50D5nobILJmDajuLORmmVLG4DADb/SVy9ha0SuW2q9 + VSqgw5FwIyhlKbVEy71RuykvMMHm6YC7aETSUppNtpDat1XTYAi50yx86SO4aHQRu01puZDDlnv0 + oOObycfJ96T3dltYDbdhWMJV9R1hKQstS7HkHvGfN0YabIyElmGISjIS0ZDWg23Drzia1JJ8Nw3Z + fQGq+sftwqRhxvanD7PrP+ZfPGpWIm499aXmrcaD32A5FKoHwlK4lFpQFDxiYb3evjsApOvpElu4 + 74wBpf8MsbODzSEWCUWsf+r/TlXL5PFLl01zD7K9u+Wi9qNNeCDi5v1zNqD5WelwVD65SCktTYZ7 + AL3EsWfzrRU6Hiz/61Kyi5MapT6kXHbmDHiFWeUNGHZLCYwlhOgYvgouo7yQ+hp6XvzeCZJfz0Zp + PYZRB4mPc2it9ys4dEqbR0HzPddrHIK7Nc2Qf3iay4fVs7DCxRmUHS9PXbvDy1s0fDOHb0mYqtI4 + uZmIUBYsT3AjwLIsh47OrQPFxpQswHsM71v2tM0EHsVA0UFKms+StkukzvMlWKd3Tr5RISHKHLco + hqNd0ri0CQlTWPTeSjyCPQ1VpXXz8DZSWxtX4Iy17dHOxS8z4+W2h9jkn6VwoIDVAsiC8hQHFDwF + ShHbIxdrRfO0WF3PaEOWrfl4c1iW47KIXW87mwxyyhUaX1nZkN183g8EOKW+dLUywupOcImUOQ6g + GEvHc1z8XhjbtVzLtVzLtVzLtVzLtXxQ5UNz3V7QwPe/2Py2V6N/Khs3SgEt3GxrKX5W1IuYJWhJ + LTs9Gs21Ieac9UjXzZSaZ4q6Y+zb2JVuelvQ3KuYtwnSaJQlu5RgB8hTjG2ryy2qg+CBS2bWJK8m + CWnaOKLlABzU9wKALWJoY632EHl8Ic0DgM5zpoF4BVgYli+hqDGk60KSvIbUYjL7wUi/vrlTtmSd + dDm05AE202RJpb3SqNt0nDw8pFQ0XBiL5b5cJA7BmkNCXdgCqt6WwcdOiyuqh6sg8Fa3Kqj3xuXR + aciYzCLOATBwph4vZkWSr8PhbO4g8vB4JkAjuyOPsbswABBowVKo1IUqUQWkJ9TFI2hXoKWkTlWK + /9WOU8eC0Og6yb9Rgpl5kTrvbVefkiOP0h1j2fJt6/N49rdbNkN5mGcjPzJz3hhH8STb3VuEld/7 + pgpAWOweRxmODtC0VxHR7CIHu8ku7i/bwaTON7HPOXsHVn5lb9RZU/ovU+zn2Owy97akzYad2FsZ + xtzc1umUM42VkrtEU7joOXIMcMx+2cB24jySHNNn/MAOZXuHMfI5wiTXrYSPVNo8I4AxmBbtN7ZX + 6GJ1E6fSAoHJD0CMHyVM0/wABSlAsgEvhWYTEeiA8gS0FFqCMhOG7+FbEvTz0Y8MlSO21vrmFDXZ + axSIV+DEmT2IeaQ/XQtPuTEoFUJ5fB1hJLR0GAVX3fRxufQgoOa9nRLGWBKBWr/jpsaca92nIFEg + j8MwMX/D26bqaMirABBVbDy0mQhyBMgSFDkVJ9QyKrYjV+LkUBYQLYfDsVjfUGkqQqUHjhUmT7OT + ppDDhMdPyCh0iLrdgRF1j/eXeOomnjUER1LdzbrG3l7LtVzLtVzLtVzLtXzo5cOMur1X25tW2Cip + D2hzo9ZbkKN7+qolF7ASFu5o1Yc57P4JbknDKH8Zv4jfkEFu8fZukEdN42L9zkbtdXj/JbT8HEkU + X+t/KYgymTrmohNALJAYVC0tmzZKIHBBpeYimZQH2fVzJ6f5bT0fpMNciD3vpJ0XbdeaZSs/Odcd + WMlu9cVLRrc0123LA7stF1cqsGaYTv6o2MVnKRumzwLdmWsxw6p4TEzCsZbptxnlmhCToAFcEWQL + AGCIenolubxjdOJ+25xJcoBPBc7AEUheSrGXyQcg6b/UWPYSAO5lYL0pKhmqet33IgTQoUCA1YhO + SAIfUIDVX90kB0aEmMf8JsbtuIKdy6H4v7LxjAyl9BOb+iEp+88/MGP3BRrOTgNP45JdZO4nKCho + kcrS+RoBJGdcBnruB+3usHA+NH7aOO98UnZOfcvPA6QTuOcBug8wv95HALvXakPHErtxI9+nrt4w + Ft9z0U7Nv99IocNG8vwePQfHvAzuzCaOuoENnjwyPO1HmnmmI7LKr5xHOCDCNtQ0yIdx+SapZtU5 + 2Ff04ekjxCt0S21jlXZ0oe2E5dlLYIrlONJTNX3YvK6iCmxtuPOqBUdrq92/tUbcIdhA2rjrqqfg + axqhD9JEHHtEZ6OPtjOS8axVaQPZwgbDnyPUX4gdTVeaZj92unjYoxeqNPiASzoGEPJwbFBMY5OW + 7khvbcTByFOaKFYq1jTEpDHRLBA9Q2Avdcc1IK4J8GeCRMez9NByIbgjeoJXOCedv55+56w7b+Hr + tOpmy2qPnjdqxbVcy7Vcy7Vcy7Vcy7V8iOVDTphwoSSbqzM05j4BAJO4mfRbskzNoPLoAtVWLaqx + H0BJV0OE6yz7v1IuubmGS2jmuhCI3WU8de28YHHvY2qw5GDS9K95jqhTtzeeEPul6JUs+iwdwnWz + JfcpKNTdZjwdJnoo7Vay19lZaP5HC++IHJcpiapb8+6woFmA1tjbmNYw962u2z6/4AsUuuRQIkCv + fXYHbcJXdyYku19/9vFUzbLrnEv2o7mG+gVD77DLJRZK8t/9R2yXbvVDa7U7slO37ILJihfYfT68 + ORlemsuiYa8SKQNL6UHg95wNxBUW+2yqI9ho83p4krzrk/ZK70NrPpy+zkewNGfUkMnFis3DQmvF + NxZ01UQ8Hq1ng1vw6WtqAX7hhBLz3BrKZ+xxXjXnLMHb7HG/+sMQdnnezvMyvmoox87b+5vBYpK5 + rQaRwGWC3Q/Wd9j/0UU5d6g0zQyymVIOhevlX5OVMdLJkB2fU5pc5bQLAVKAVe/DBCguvOpX6EFQ + 7uDa+jdSCtctqZRrgbedTuCox5Dqd9bZo46tCBztdOHIMlh0uNKLTQlI6r5SD47Gle2ROcXavkbO + MgzXN9pNU8ahJNDDMuRGrlhqrQdd5ESlgO8i9ODeALW93nKhDM9HCbuNPPd/OtqbNumCkT4ktriE + JuZLkO/Z8zERS8sarOmMFssJEGvsbyW2VYN9xbxLiTv1QpKJ5IzL+Se7gEvI1N/4R6F2OXRD6Zsz + 7Z2SOt6qJdzJuGG68VFX/1HYci3Xci3Xci3Xci3Xci2vrHx0XLfo9H/K1svUEaM1t/Ge5gkQIBsn + TUUVgVRgiXS0+dukZbeIFzfb6nhcdDokAoRBeoqziN8P1uvvr6CELS+AeMDOxiJqIAoTQs+bj4cr + AaAAN/pLtiGIiGjRI4TSHZSTojbkeHquGZlbm6DzXww+QjTbVrJdS2EsUXjhO5vDf8jMOglz0f+a + +pfAdg/JzDOTx/xCpUTYj5tgBCwQNyXNSvOjm3Ejj0CN/hZo07mqOlPZ4mm257L1j5nLSPJvzXUy + FDHkqYPrKIhU7UhphqW7+WTr54qRe/xO824V4GAUCgJpGNqBLFCYQcuCssZ0HMuWhvkFEAPq2GFx + YZG2AAAgAElEQVQc95Z+irH945HOXSlDLNfw3m3pQPa89bN1cnwUig3VXbFkqUW2YzU2XAQCuwtd + ABGqJAVS1B/GvsLzaWspJW1HGI51AGFWLuMDSC9HiCevnfMzR+GIJ/MRNdQCcLaw1nGmbPfvtbyo + NsCM6x7j3rvuvONe1qAfvQR2UWLCud6YwaQTLwldKVxF+nQmE3sC9e5Jd8La25Ths4GMACl6Rx+E + Qj56fCAZNxKg2L5aEsyPY5y5dr+ciJalzUh8+7CHkLC7QxkJ1vrvQh2QAQAFXNRp6jieOWBKgC7I + tB9XPCU2OYBZJSrpInGEJYuDkKr+tcISodqpED96IZ5Owby6sSQOhXRkBL6nlfKpdpIAgm4XIMf+ + 98N+GbbUYe1Muuws/M6rlxzNrCxJTeDmukXI27hekf3MywGytAhvcbTwLxrRCfviKgdVtUS6dEwE + IiLLl3tAA5k2XUnXseMcCZ6NQpQaSgQGPwpQW+UsgXqD0JeazqT5kRFo13It13It13It13It/78p + H1XXLeJnmaQgbK/8j2S60ES1NH8fAEAgNUL2hkabOdLuTY6sB9VufA7LKxVpjRBIay7w5LiUbIGX + 1XnDriNNG1o4BQu7Gdlm1H8ZXhoCyNxnKSWi4EipEpqNW9z2cJOzwT3Zjv30pqZ8V6Wz99ntq86C + 7kJrieA3h/S++NZ/ipKW9G/XpnQVdOKIlfIoszbGx5fxI0qJUWEJP9WGFwNqAYg44scUygVCEveq + 2fdk6NdRS7/i/XFgzw07mNmjA2I2/gYpJtRGQ7Pidq64q8s9ZQSkcJ20UJ1BC0BvioGmVjb3/YHA + C63AGXQgPPHFLUGWS4YDLZ0p2khOLMHC4IA2D8gywRptNF0t79Z7DyMFbDt8assiKd3lR8bMtbmJ + eaOkEft8/QkIrysLWjShcUYyekHHuPverLaugTDsK6J2paA7mgxaiQlHQ/pbH0UraBlsxu09j1SX + GOsJuJlF+1XgZOTYBsxKkCnXqHOIEVbmBB+xooEgPJxkUIeyMydhSXURoxYP3Gv3YRb9yHdo/DsG + 2JKfSt8zifsch0F1CUYpf2FzlZCP4n34zobG3QtP1v1RaJ63+yT913bmPGuJe29bKGz+yo/CpEUC + QJAlBZQ3RGj/+zF5A8hmLCbKLeRcNrkypKtN8G0qAQsgTbMSP7LQ3IcO/raTJJlDNhwrhjMkIZEE + IBQxR16QUPsm+/T9dWkPutWX+artYPO2RsffBBi2SzbftFcygPPCaF64HAxJJTh/bQKCGo0BLCYX + FgwbQOlXUZEcvmDxSwRAAFXjDkwQ8jTuRESkXRehEqkQfOeSY6PRg7bdUSwZuBTo92LngTKXFe/O + 52RKYJrvIAxSEzEsSs+u5Vqu5Vqu5Vqu5Vqu5UMpH0HX7fZh2VEV3XWbTZh5I8kiA9Tgz8EUuU72 + WqWvNFY3TI9pF5TsTIaoC2DiGHkVRd0gtc8FAVP6ER6lVt1PZOaRd+dMxZ8QYCZ6qx9p46JhDifC + BafN8PtYNVsLzWZvEHPohbncZalN1ioNlnQHpRakMoxzNDx3FutlLcrO40Bmt6mvaFHL3N0mHNcD + sV3SxhQfKZq3o7KdF6rd+2zuxt7N4flz3XUxiyXNUO9Xxh7Wthob41YrMkid0WNuXrL/kn803C5d + SwQqDo9qmU1osYi2FnckAOGonxzEki80cHcLm19Qi+frHlL3IE/QwGk7CG2pJm6LcL8Q7JbCj6xt + K9K5rtKbNh8kyBAaFcmAW52n5gKno8Ae91B00WPh1swpVuEop/4FAoiHBbOz5rmpi0Lk8qtEpYKE + CW1ivc+JdARat8v865WTD0ak+2wKNNuXYkoA9ypBnktHXXG/H4awcUXIpeuoNWYrkEWE/87DFwTb + iokT6IHrL8QcN1xWxneSut4MfagYgavRrjifmWUL9pnRUHdoWB1lwp6Hh23PLa1Et7hQHqf1vQmJ + pWbksSKzE4Gd+UiI2CBEGRje7zbdUybYJql99iXNeEfKTcvF1ZVcJa1Wm8gEsftXAyW9vKztxkfA + 4mIrorOXYfa+nqZ7kAvaNjL/tSM6Oxri3IPaHnNkofczMIicGImzjTjujYcCNlAtUc/jurL3YgZN + yrMYJjlAZfPBIzDnWq7lWq7lWq7lWq7lWl51+Ui5btGZ0M10uaDMzy0zmtVJCus2vZe9SNq0zL+S + RfX13kTP41a1nMNAGbTd4cPNEO6dYB5ss1q7FsIikGyHqAnQKoehLmDB0nmaKAKCunguNyCYBGan + XBjjzkyyS2Izo2QcNf9fzIdyPd600xmT5nDob9Ieu4s3vTMlqg95CyezGRudV/VVTyl67eQsoIdl + NS2yG7DuoGIP/05kYPF6/SHYku9zSt6IQDe7guniWl2wynapJr4TgFEcJ8Qg74PKZuIYNp3eWqwj + G3JVQCBHFPARRChcQObgpqMPhwugLpgcmNfPtPQW+YCM1H1DyU3Sxh/R3SQBxtF74VkgXtip9XKl + d5XsvbG/zVea2ZNNXyQyEQt67LOTxS9qwu8xc0nOwE3bKYW1NBRSGDszyCwbML9JzAgEPJuMBQAO + FredMELmuUGp9b+Ze0OEy54Oc0/P2IpPgbH1/SHvyOUBOdaV/mVU4ekZkQYD6T3EeZypAwmnl3Su + 2zKZobc871A2//pz59LBaEahNqFeSf9NOhg6zjTvhwNofNc1RL6csnkVNBAo0XbObAa2OSzG4bcx + /44FrUY33Y6xkAfyeynU1UszC4HTJlX6mi9CukNP/id179L9mbNeUspgNATfdrFZjaHWA/iqfVHS + ijBQIYfMFHwgEosjwyZPj4Vp/D7bbliWFKWfFs/0tIi6tewarsxQo7kUGWvI9BhK246/fdHGN5LP + 5JsJN3tx/LmWa7mWa7mWa7mWa7mWFy4fVdctsoJ9IWCK+srogxHVpqJNEGAzmYaut+Zi+1MPZhbp + QpX6ziibCu5xmGq7D7BPLhe/XNttQo+0SZ7KbPtbrexFjMLAGYDgIA18nFx15kR0eLMdPOT8cGz0 + /vGPf4Z91T0SQOzYI7LHzP+zJc52Ds3XsZt3wojOWxQte/hry3PYT2+ygHsGUEYsJpw9hrk7pU9m + OzKw6PHNIoBUCCBL+KlSdsZZXmP3/HaZDdTb2Kz3nYvrZx6KzmzjvtlxnuJx3c15kX0PzZ3pg9Qw + dumBr25DhqZQrhpRKIR6BAmWlWglLIwbRNA43UEYeGYnUg1ZqxNq/u9e61caCVFO4mwziCltlp96 + sHts74bYPriyz2FGF0/iSAkmHRBSXkh7Rx5bygImzQg5pD3cY2Dskds0i/bONO0YLkHuzTkrebDS + /ECsoY5de5FXwDCpn0prw6+usikq1Y1g6x2FY5EWddvIbwP5BCPHC8k105IIwAGyjju1AWRx1u8H + 9d1OxuwtpfuPNh9FpvEWF+pwYqcRQ/cIYh9wfMIf8yS3kCQMkNkwo+Ezx4rOARptIbxdonmFpOvZ + 8HE2kNYQRVN9t2O9aC+ec5bJgB7+aGwRwJCVIly3hMnk41GCamnfSa7dzUkCueWCm/2hZYtJU2CM + z31c4gmn8sgegDzTFXogSw2KjEXx8PGOqERQgTVQYtk00U9N2n6S8600ITat1Ta227JLm3Ie0hKN + w/C2m/IEnjv653TM4+uZ9Npv6bFvr+VaruVaruVaruVaruWDKh81120uZiJi7mya+wt7pZTCU5Qc + wARa0I66acWyaYtSE9E2ksU6DmnjGaKpgryjM2eDNoz2ra2VZ23mAbnDeDog/32MELlvYM2i30SI + 7TTkNmNcqNLDQt2rva+APN+mrc+Su3ZbkwkAEWiBp8cMf4Fd1EKLruDcEwGBgEhvqCEPd6ES99gI + 6QHUyN7o3W9vcm6OD7EIHV/2Ps1fNhnbp8lhnC4oKSjqGgPBk2larjzWOnp3jSTsIJ1Ev+wbi7ej + EWm1JegiVShka1fCr5NMzQW4AZZZmFQ0Ie3Y6JCBFm2/QwBC8Uy/hwTx5HXoMUzS+foSuSTaa7vj + Jzw+OhJHttn9Y9mOHa+OEvexTElK2lAvtNjP6h6j+lGlc/C09jZupFho2UwkSC6FYI94NBQjgxFv + pPdR2YjSVke0xIKlDbr5uMI3qICn1tFsCBIjQasXs/HXkuDio0vEIA1aMRqKyrAbvRRMNpVIF5Py + hHoDbJyiOWAkgpXtWfEeQh7tYIFVkmHBqH2uEDO0hjWX3veo3L7a9BGPF88eLroCQpAlx+pSTpfj + IdjxcEIke0UcgyB9cHIwdQNN8I60km2l/YMQGRzHzCn1RPYTBFC6U8pXVBuUtEc3gZNCuMuxsgFr + f+MbEah0lXRCR4Biu46AlK58AZaSkxkNa9+g1PC/9ENs4KE2lwyQfMcdgOE+rbFcWtG0iIkNzb7K + hxfsSTekSyPY9nj/4BJu9JVyt/06E0AmXYv4nQpMWEiZAcfNn/CFK5bu1jkotWwJaI+yJ77hsu77 + LwBwo2LeDzG52LfxdBO9B0SJuQwUlUine+1RwURYCMd+cyu0DKehQZVKMcUyGdtjZd1M3u9Wmzc7 + F1dNHOriFEkkl0VQVPdXg+if0VMrWWcc3soGQveMfAaFTqonYM1gP0JzV1V7OM9+bFGpOLHXBu2k + f7wzmktzxN40BSC7lVp5a1tq0t13U1CaEkniSYc2t6rACcL56hYJRvyQDsMuAHoHJPeXseUeLcYG + O43xA1r3B1GxjEv2QSHhB1ruW7Ut0Q2TfdnVzljrL7hJj26ErqRSq4/29qXKjAypf9N19a9lyf32 + 0Y4/j4oL7fz6kStNU3VWMzmNY2zAF92F2UR0SK+fUQeWpHqnPyj9/UgI/WsQRv92l4+S67ajGo08 + jGdNgd6zFZKbKb0j0tyMySm4gG5ABzRBTcACKpQdTu5E6N27Sjh6y/0xq2amrEuFVIAgi19o1rGN + CQO2X0e+KqY9S46WpXiGAjpAil6pleI3i9XNkkI/oaIOxw2pEOHgnrt4F1Z5of6qbspOgy7yM66x + Okofn1nMlaaHbt2xofoVFTtqKgfQInbFR4BLirpuUbDYYXqd7wKILeuCclTLd/G5Ssd7BCJgKgXw + 4/YVBFqKv9f7sCKI0JPV6SQj3FTsUi0R2KVddNSg7hYl6mvR3Uztw3Jng1/gxXp7id8tXp+AFyo4 + LA5bOsb4PcWopFYHJprWdVQvqR9Op/eJruahTdq/QQs8vRG8UXDT+PeAsIKFGDgX81wcjLcYEgXS + 6GoeIAVSYpnJK9pGBAy1FixFfzdGRYTDksgKWktK9lkARbCUcMJKu5oGcXGaQ05QGZWwKCmlSGh3 + j5ie33YsKHmLHJhibCQm3C8Bb5Zkol738nJT0guBW6SHUE8ivrCxSiPJg/tpjJ8ov2vASPRmuSj6 + 8ZPdbRR5lkvMtbsMTGC01LSDWOAN826/ubufSiSi6bLPtruAGojb0KjkzM/Uw8+8/N0HQTJbZUI9 + ODY6cZBBCnATPj4itjupSEFcCHIAkTBkge9gFIBwTPxAkzgYHXQjjuGQiyKU/FYfeg0CpKgAs5cH + 0vXMLkYCQMWhJQG+kitE43aFHwMLStznZp7sfKFiOeoXi7tus1+8zyGQJJ4un/SzpQZmj3AnuJuz + OYsNP02eBGIICuHgOb4XeH0BJESGD+BAJjNjRA492/KpGnHdQ8bYIUqLwXSp6IAMduiPCISyGA/U + ygvwBLQIygI8AezEPqlb8KDLtICOMYBYR2ljABB7xsnpoMP2+HJLMiOSU5ubxiLAEpdiYaYIXFCu + 0ea91R9MuQgeVzpItsoN/OY/0TWRtKoXOn9AkViXKNW5N2x/NxiW4p1gQRG6IRSBsKouBYvpQyvK + QWJjH4A5gxaQw9hR2w0qIpSS9guFFrFVloLw8j61D0nifJYLwaM3Z2vcyJqSXpdXy1kadTMLaPfg + a0mHCTgCx9SUppdnlYaRBtp/cnwdKkCvxGKDRDR/FON2vxh2EWswMLuWcpe5xhKDM11vBW4ES7ok + 0AifgbhQ1GMHVqDYSSejq1XZbJMebSaxV73ZIW7+Q+qdmQ0mexTndcXVM5U6matK/tyXI6WJzyg0 + yt4tNT2MvobJz/7KqZSofzF0mOrn+0pTR5IHn6VFN6RGz+LtUqmgO89IRnZ3rKIBMY4rSFHfpIpV + B/AkXS8JU6ksq7VoOvIlr5+PoJoq5hH2JeeNuUSy8ftQc7dqzqWdIeI4mNZYMICM8q7MqynSmF/D + u3jZ8C7dyEKN6pFR8wFjekitfWbz4M9o/9XOquVJS3vfrRDFonX8sh/sFr0zi/XPbP0T79U7Mo+G + FJ5+5wA/lgQSKtzL4wGau2OaFUnjSIwvaDoN2/cxcy656PwCS3pUGZvTh5ZvkBe1ahMeGsdnu+3V + L4tQN8WUQF6IQ77CYnm3yCw6pWZmlKKiIYpdn8OCQkKooNLu1EkHSQn6vTsfktQAPOGnVovlo6Wr + k6a4mauknxvUSi+Hi1Ou5cHlo+S6RWZTFVilqR5uC+zqh/ZYugdKhoIcH0QFeKIYk6T0orXTQbnm + REhe0ZqOjS+SMt4SABFI9WyAizsSp3NUbWCr+LauJZ6LJN0mk4u7hgWHRkJBCdIxNGPaSuSDIqnu + GJJuuEvXV3qRjVTrxwZWB6d2Hq7lAxBKXg89G1+ikdgP14mrc7OofxMl7q8KC5CgN28v4a06gCtK + bedSqZkHIhAqi905ZVdgqQLnfSsSiLllm0HJbVOeXP+RapnzSmglbFq/i7USoaDhBDbYuQZTVcs8 + N//IChxQFo0QhpDdJhWg7EJ8LpdBRge7lDiIjiZ0yaz6fP14i7oVsZDbAghoNcNmJLZ6wNmdtofe + M1sLTjH7hrCieWr7/eIiPsrmiycVtlQo5ysxND94PEVgHREWvzTcMxn6bEUj1W2aVXASVI0pBpI/ + IasYXdBwhOXGdd8BCIuybMzG6TBRdLc27etO2I2VZHhgcSJiYcvWPgOVmpqig6ziYaQt06TxQTK8 + L5SahU8hasZwkmtQHU/5jkRpE7Fbtsj9jdHtXDc1wyeCqTfCnNK2WXcjHXxXTkb2FQ1NNA1fNOrW + RBCBj/kTB+4RxCiyAgW8EBRJxFy3OELAAqm2w9SCkXtXUna7BW6nYVH72U0kPbS+i3k1iiNnf6TB + fYh5CYcNHF/t1WFBDFqoOfj0/QEouiVZIDgKCmHJF6V5j0Jg6TOlOMl3GYu62UIYEi5miHIZkX4x + TYiJBTArBS627XfQxhWLGRWW95pt94lIcU9lSWCMg4AZxFjsNEYEPbaVoBK7ic372G3spF+pYCGI + Zmggs6WPgiPaph0SwuqzJXQFRfFhMRVTBCyoRV1MTCGqlHgCvDVdoWUPTC77DlYx/NjqH1O5QsNf + wQNMiJuo9clOQ9esnZaqgKQnzWXYYOnY5bTsyMDEJGtvMBbj2MGUYdYnoSx0w86MVI4faSVSeV4o + mhCPeqdie+GuLUSv1O87MMqK4wIuOEMYfAMpxh0OWEFsyoiy7htTfADQ6qtVyPJiqPTxfqTpdhli + ru64zhe6gxGIZtoSQinNw7QC59Scal6kE02SKTxl29MMvrK9g2ib1Lsx3MhvnqXtUFuQ2hxEoGTv + SP4usWABrcAJODCWtj3DHLcu9tJZgNWo0ubBwNl320vA1wdTU8qL9JbgaqPuLYX3tqk5Ww46ThHZ + CVLE0xglekWcX2jaScDNL7dwsMYsy9jP/cSGcbCZXyQR2mfB7xOcbWa489gX3RAZikvbVUYDRQRX + 6GkrWonuAAZu4P7ZhYETsDAOmrLsIB6TBgbUdXvsx6Oqo7I3sPlB+nFQYxptwyCl/E57jRPIbQtt + a2zmzINWkfio0mfLAyWe6Mt59av126buEw2NeIE2EIMqG/uibrqTkXW0tqnl3Wy7SzXun++W5dDm + 1f2rhsibFb+zsyFKMqhXgqUjh/4XSWDL1GENpg3qCllNEUIcfpSDgloYtABS48zUZPAPgxQazLvz + dh0HDXok434kQWpT2GKGMA8vMvyR4MkQRi0ohKK6XcRXGd0CKMqmlWrGXf9Juw8byCDNLuH2bo2R + vyrlrKFHWbqwreuWVa0WKivoAE1vx35deOwtqerb70iJyw5ivxac2Pa/qHPvUv/Lhi6DFqQhfaYO + RdbuvNm1PLx8xFy3rbSkrbtH+zbkLnMW0FRY+5MWjNVo+qRjc8r3jBg59IuuL+Ng1Ett+9HE1Dib + 4HTohLLAI3AknczajjpzAfJu9IeklyXxigwnGmXDtqOxbKysbpCdbtPJoU7/kpz6D+ihaUEGepSw + q2fiJ4QFRfxTOybvnegqcNwOlPuW4DnSjSJCQGI4IT/NjSqEfEFSODiHlMoznWQjO9s2YGinajpq + FLADIMNoqz/kJ5T+nVGIv+rehSNiFDXsM138bHIAY7jifJhi6f5CJZyRrsLbjriT/r3l6XBCg18H + gzYY6R6W7kEnT9ykAcsAioBSGwxcnDPaM/SR5f3wNrQjCbojxxgqbYps3/dYGzMTsDTcpUySXnT4 + BMANq72L+IbBpc8BNZwlXBnjqPq0zGleXeXgUvkmsq6bjJOuF1ocVuNdU5IYWpoMZTLFDjl7slO9 + xbGlhREgbhEMrScedkxkb4IjVuhfzva7wQ7JFuKOyCbZNpPvb03rp2Y7OFI9oJhyks/GXwGIGqdU + UQRlyTY5DV/k/xzBBnnQpKLEeGKgaiOLWtEyrElDh57S7UcBk7tsHVytNlEPLFLJYrhahqxJic7L + COEJHed2Qwbn/0pTCLilLzBvOnW7E1kMIqrFS0T0REO4BBFJEE0keUGaj2VA1HGRuzdB5pdTMGyG + QMOL/rVsftkWuu9tg41yiTLKlKELin8U0LIk0UCaSKWBu+g6p31irTYeWWCQeXzMfGq7G2KPyJ3w + qqvkgyFZYOZ7DJAgJt1f9qgLqx4WZciO7Bxr7eWXLWwGor/qCLxHD3++wYNopH22GXar0T7acnfZ + NpO76D/VmuZdT20VTz8dsbchKSVBetN2HrYRlz8d2F77Y0J9WwSf17tUgu4CD0aAtFoffJEEG2zF + AiZTnTOmcRUngNmdkyDuXq1ZKTTAcITF9GxTq1PkoU/BEo1/S6dpdFyjw9FUYzvIARKZhndnNJl2 + Svw/Yl3qJM48ybbnDxAn9jDwArdO428hxbOGpAvcvK/nV1UesGrS/TsB+GyFHzPent/1WpF12swi + ATSUgIA4NNHQZqN/XpLaF4e0IwaQ1IEtZczLK8PIxIDcuzmOtI0+/UoWKkWvnDZetsEkdDrGJd28 + uvqy+VWGBWj3VXBep+6HSrhtysyeK/d/7q+ybP69lhcvH1nXLfXhlYDiRmYFc9191BxepszwK+JT + t4aKq+7oXs6HknX7Rj5pE6uVxVVPj+t/5OwoASWG/gp3X1M/lP9Iv8tYcVN2SNnshLle2wBsU+xN + Gm33ADvqZFugAsQB2xKqnLWkdUr4SBLoBC19KqVwDURyi27ypG6+yZV2CUwa/hTKCA0xPh1G7cDt + YtlQSHsQTBeGCwJMpNYgfgnDL5T/KnFGrbXV+l88eij1MWQh6vvdnUtWhxBMoaPHBOFuqCTwBCDV + twdSMNxsADR5OB1e/EIZbhl1Kb/etpDl47zG/l8Actwajc+Tsa7T3wH8Q8vgRkyN7cNmpPGeYC50 + 9ThBP2MWu63PGs8Ll78Pfz/uWaj7X+3XzNw69/Ti63Uf/LTNhZzvZQnRLx21U8WbcSfUzuNPokZS + rW0ZdcNh2DuonR5FRgY/PkA4dAfkie1cBUhvzFNTXAAs4IPmF08CErsycgvR+aB2dBFq/pYZGc7a + IuS8IX2l6SApcR07Fphc0K+q7LVHuAChfaA+vIO9tzK81v/KxI85k43kKO4Iu8y9vd7MoFb5CLpl + 9yPO8IQVS1CG91h8WxRAhGOLZl/pWRltGMLlMqd7SsckEhcvDQYqSZIWohUIB5hK01mMCbgpvMa/ + zVDKg7joM7i/xKfS/w5khyq5ZOcwICDQfE1ElXovtgCkykAbLuUM1Zth64bLCCl/hY6bJDyazn6K + Y/mProkNJ+jajHWlAUJTOfKQZdjw412hkjh//CU7PT+yzOA2gcoIayvdcaj+7TJUtT+n+8I03gyZ + Povzxw+dziOKDToiVDb8nBCxHp5bL+tp8rIkt+lNvFekXyaUDiBHc/TRny0nCsEPLqaTG23I1Rse + vbeeMAiTFXzERB76zX6FQM8YdOls7ilF9M2Ommd6bF+0XC6xorQxYcSPlS6Q4s431p3FV0GI1kIn + mjqvXEx2Q4Wzzl9mMBe+dXuT5rWoG74eBaKw5x89wAkeXfrsAU32AmALwp0mUnL/kR12Jg3JtgVK + rpQHllfGUa7lEeUj6boV9FeDKFeYJb/fIPOjbPwHjsUpmbba0cYZ4YeqGr+2mo2zdQPWaIt86sqv + kOhmr0K4JklwuUw441yBfmVlVxy0R93tOwLfJey4nWRW3ynV9KCJd7k3vZMFiGP4Gplo2SQ7DYsY + xZzj+Wybx2pxi461OtGRbOSTwA5XOdr4iwQC8sPOkSGVQilJIqWpm3sC6GLZ+2DHJbQDY5r+Gg/s + WXbd5uraqM6ydLCYjivtz9Dlrtub4ZUrrygN7734pVECVDE1MdFsaErD8IcX96yEoR/1EB2/2bCP + 7tULaAuJoCj+2Xb9ihIMTTxT1L9/kEZ8YRgzw+nxJa3CPnLPRMmM4Mbp9hQ9q/1ocykwjWbPX2TV + 8jc9QM0Waqe8LQ+p7SptCHDvNHyus8V3h/2MejZANq49USs7QZbEshdLyAsBiEErSL1N7bFP4mxc + 2xJ6LwDYc+SkKw4vTvP+MrCM9CKHd4wYNbPpGj+8dwjKejwfkzZWJDPeV1pertGAwCsaW8cPyefO + ubNNtb52EreSxLF9u8ONLlJmJCktLQBqFOkqOhkQ0NlqxlGY7lDCpVVPZYfpxM5lP4xE6/weTboA + ACAASURBVBt9o3G3kjxcjdBmg5mg9M7QLzyTUYLslA3JJPXPm/NsQi3HNQRAIbDGtLfDKRKcMD5f + BkCk/pJ/lgYbhbydDR+dDzv1aSOhoVLZqbhluPZXw8vsOn1hObL5ZLL+FG6m7oNMcTK82AqaSZ9Z + KMr4mMwySk7VDrW1byEQUVbWW5eG9v0wieBToWEVJTrvN7EFVEGgloXq5UoeUCPKHETSQUTPyndv + 08evXADQ5ldqa5RpcMNLho9t/JFzrnfOtrf92dOGB+yeyl08evBEXqIQ2lEoCf1Rxtm3yv3nrtF0 + ABxeg6IL6T7ybEhWNEtSpN8hh14JE2s28Z6J3jfXxEecOkfhS5nAN91Nx/CiZQPMoPOpJrlTyC3+ + FxvXK6eweZHGf7Z0PaJcYxtjuZCpQD8alzO/fLm5ZgT8kID2b1n5SLpuAYCoO+D/gA8MoTf6zisc + UYdwXTH2qGpfCsudVEUQU/Ub2kPPMb5M/dG4vp99qFycMaVuXmXZMOutU5CC0eyMPeTdprVYx851 + O06hSSKFD4fR5bJ+AQSk550ZdpdIOj1lA9dTdSWNPLigu24lFtvVBxt3HhRHGq0+NWc/eLJGkq2w + YNwyGxWeLfAet6Rt0KHuTVKQolO6BuWRcnxnelN6fhLo5obrMJeslo0+HRqqtElmA6CbUW49ooai + TnL4IFCNBYs0b1QoN7v6zAM0kHGOtKn0oNW6v9IoSTPxxNpNuqNhnI/isg8doq8Jt5THW1vf/uju + Urm/N8GjXM+ZiYwrOZt6AIWGmvkevJGmvZLdybBjrD+uTKXYq2He3dRJZWYyNWkFFaQM6l42uzId + AKl/ROm/2SfUP5gtaYLtFtsrInspsvAgv7TQ3DESaSOS/MuZQ20gmgjM43T7vl62zJCB0pwb19tz + hvXCYOOe2Aw3n3QXPfHwYKL5AJDscg+vCszbXtRvS20HFhg9P2mQit+aNVMTLJCfjLmYtS4Wsiky + XhTHuIuKmixEiKrwQRxjpALi4JW54UmRnd/bh+NxiSYENIGe02wvVFSekl34J4mchhIf3stru2zL + lzAlk0TP/Ce82tJtH9IY/RhQcAw0AiLAVyfGMJzUoYlLqP26E0uRiTXDvofGPXivjeSxbEY2tLTh + knuHvl4RxW1A4qL8Qe3POcT804b/M3AXUzZ8CJRXkeD+3BLREj2g+iV2sPoaTrWEieQjAMR2T3Xw + jZ2JY6vz5teygU6rFDnbPfVfUo4J7Bnwp1rVAyNgHlXm6D3gOnVRt+OUYnjtv6znU/yWfpG9tx9U + 2Vm1vX5jdqV/MmUj45e9iApwSAtG6foeMZpQBVV6Oy3u4LqwAOPYdiZH6b+upcgE1TFAW/1LBt8r + Kb30873rzL1lO2S0hTEkTd7bj1RRmMoE7LOa4xJGfrx2fWDnYesFdgBgk7rwxUviaxR8+lpesHxk + XbelJ/b4d5/buSW2a/68aBHAw2n7+9O3CE3FLvjy8NFRUWpiRi+miF1Ev6cqV9TJ2NEXanrntPQz + 3lGrH6YyvmAheMYBJOZB2TfdMRNByrfSANVDVxoApSVGH1lObldZQs23iAMAFZCl79Zk5AXo8nub + Pe/MMUlFgKlt+cbzcBi3S5LaUNON5f7BxvpCQbeoFIeRk9Ab1mq6sFuEf8g6072UkhKLioO4XbkG + X5ukIBRfqe1I7jtENrM0w9XKQxPiH1gG6vxZxB3kxlrifv1cCJyQzkmt+pQmipZXDjSTzauNgLtP + xt5bRgW1ezzwlW5IAtB2PN1o4/fHar2Dmjhww0SvCmP3r+1ovaYtTVpvj9IgOxfUg+C6W2mmzgXD + mdnE0ZIew2p+DgGIIsaq1dqUexX9Bw38ZUq/3kFE0Z/GDQll1+2WGRFmacEyOiR/WWbPozgkx9sE + WxXlycyUySm/FThEflo0XmHIRuAFaIlH+5EuqGLnB71OSnUboXQvX2j++yzfyG6n7mdqsOsUjC1j + dRamYYDFb3dLqWUeM7eLdQdzbM79dj6jod6rRXcjUT9RIdllE6jY4x0R9DY7RtEzOCX7gIYhd16Q + hsPOeLuKhER1Ko8sCUbQo44zzmAdoj5H7eksu9IojdxK236YKY2CkGVJIzSS6XU58sQOEXAgPb/b + IjthA+Z+KO3xDg7dz/zTdMSV6mzXEMxGZUKNawh1+Av5LVRhtOutYp1/o8QabifQ/h0rXDoh9wL0 + 168jJds3KvTLMWGz9vsrNpAwKO33FR90sKL+m11/ws6jTJMSAqcnW3ZMLF2P7cdhly1evgnA5+Jf + atCGjANI85XNk92pbudHAIRaaGqqYCPhuXM2I8qrLyNGdR3R9uGFgVAb61TJzRXGD199uW/V5kwB + yGkVO5mzbX+v3/RTrZ3RFPB3U4ke+RWHh7QzkDbCce12qlMenNGcNJ7Us2ikDXT0wHz1pbkXICgt + Dr/XeglTVHIa+wCJ5cVKY/iEEbWoc01Qll/p7JUKKVblOkdGzhjfgAWEyY7Xzi/ADGky3WR4f8TA + /G9O+ai6bjs3F4U553+m0hjjjpfjlZURw2dsTa33uMSsmwPaEJmwElbT0S30r5mOUVIEUKEIDxyU + iY34uOCJucS5X7pwvrbIDfcy3jEWY5S4O4K7BIr2dV8f+bhHNJFEHCVurHlmu8Tt+i2D457FEjeA + SXwmMjtFoHkt2kaw6Ow8mSu1FWkKnPmO21DJnkfFkP5u9VG+istihaSDnYwomAHWUIw2D+estFO5 + aLZKADwoV2+m7O6imQ0lGa5bFTwPzLl2Juv+wrAIHOvt/HjWzDmHoJ6zTq/ajCrAkEM8ZPPbl35w + /b2p87Kd3fBq+nRqY1/soVd0Or6WFqGvPtz3RU02diud+eoLF9r8GEx/ccWSGlJEaYtIO37bCxAb + mcNcf3igJJjKk1lRPPRhGS2PR6AHKdUt2wVoT8TWYzDm0cV5V9O2GxYVtQxvaIC5FMreVPJTCNO2 + jS02trw3gUZONF99cQdc8uGsGkMnLv18Qy80WiHwgkpYCIcN7sgCFlRXSdOxB7KppqG9bNm0MsfW + i53ZIvXAL5hpvmQ7bX4BG5Y4sb/cN6E9xn2xSBrag7SvkZ9PaeVBrGkkLUOzxv416raMShKlDzqB + WYEKYsJRhh3lTZ9ZLidNbSuiOy3Ev1kBAh2jtgojAoAVVCx4Lskkmp9vnHBVn5bFMVDg80jp+oyJ + 0DSLaKB0pj+1n0tIpIuM8yG43dY9yzhpBDhtoGP+WaXQ/XXRgTeLoYT7nrBaOHMrrJ5aaWJokP40 + GU4U8Yyi88F2QjG9fBBub8jQ1ZyWjXGoIu5SL3GlO9q/lMfzIELdKZNvH9LWxTo9Vc3Z730NFLM6 + /A83qFLGkiEY1uBBkc5r1nDHWtLDRmuJNjVoI3Kqj0MMDN+bw+Rv799/hleOpf+GEgLAP+0vM30Z + fW+39Bg1qj8S0tll/MTO2GOyXQnr79XI5QeW/VULBOg1bgSnpYgh2YG7yLguHXOzN/nQUKaPVraS + gWfowQ9JdPtwzpB5L4Ij0VaZawgSqWk+qFW0rhqr9GAyuYD8xRaiG9FLcki8wgkGSNVx0TKiaOHN + 1IKPNQvL9miNRYzXeneygTDgrXbXnWO4VGTnd5o8nqVBvZaHlI+U65bdlVQAyxylRcx/xIJCk/Pd + EkLqAxFNVkq+WDwuRYkRWFEMt23exImbOSFioQGWdt1PbRvfy585vyHq0pD39PDITfR7efeji/Wv + Z1S789gFAbHtIMWnP7LV9KcqTFGFVRc3iI4KM/Vfuwng1TSURlApzg116OKXlMUg4gWx55CKQYHy + NhQJOm7a5pQwxE040VSSsWwCYdCCLlWaQmYICwYuLVxMdRQcl4mi6QYtK99WkxJKs1oeRGm5hVnt + LRVvPMlxiwh79utmw/hJjj7NQXhvk8uyrShHtkFBqVg0bwblZmfg2ZndZqtzd5JDs7TfbIdD2y9N + AyZgE4qlZNLn75hq7NT/O6DNvWXkH5TXzH4GsrAJfaIWphXDt/2JMs/6ean3++q+Cu7W+e9oyy3Q + E/hUR58YKTtwHlFCdmq/Uj1HvEX/30rLNLD9ItGVfy4dFKTBrSmE1lbX4XQ0WrejRHfdZjelpnJb + BBEn38DvErNza5pvysc/Idfub7mHSB9Xppr8o1o2+kgrYtxyoL5eO64eS3h/lruHjmz+LoPrsUCb + wH2X+e18PuXa/p8dpt4dU6Y5YaBCKpVDAx/QTGz9a+J9CIzdC0DrD+ZQBRFwyLFIaeztiI/4PvCw + t31fER8puubFKDBxfCYU8/Yr9XiW6bCxWxB684zFWuc5zv7qxjNW2C7zRRwKYI+4jslCtDfStpyl + a1sEpIeI70vhvafwWLKf/miydKPZlIfg9izUUzT8ekHzJg7ELBGyLVhi4wE7IH0x7hajH+g2m2UP + b7mvNhWX+e3lVikGQV3CBE0hjdjraiofwdUozVTWHRn00YRMGbhrG1LTXkh5SJN4W6afKedB2ozT + cHKntPDJNo7u5ipVBS2BAk1G/sEV3zLwdOuqrJPeM0IFoJZRYlL6o6Ud4ion32RtovG3V15mq6a6 + 3xaYG94/R9tYNzvoOX7b8xMiCh9ICuBx5UrjiHx82qs40m8E7F6kcFep1emJIT+mhG0IdtRrJdGp + e0cfKcceXZLk90iyBhUf3zBGdbE/WAzdP4LcyKsuMkqxEQ+bJ0LC0aHPobmXVoBUeUb32YDiSr/G + Wiiwbcu8+t/9/R6foVxpxiOv5UHlo+O61Qgg5+ohNimwRRrWjt5b6eMZX/nIFH8p8SvAEii0gXA7 + i0WR7SGIhlpT7IGB+unBqMgbIgKwAifNj2aJ6pBObNFwFGJUhffKBybZdPhZRY7u+tTyjR02DjsN + dEzcNmpyEjotN5n+W1qnujEFym0QQLozEBvv2z6L4OCGy8gZqbPXBt7laXXaQidx1iSz9K9yH9J3 + iAym9GTcnNgs6K6wmLDpyVyqL8V43y75TGJPf0crMbnYd0FJ3Uyr1N6zQcDaoBTcxwIIFom9QgMD + Gz6UFpQUa9StX6fYNZnCKBU3oUc4dlL+27dqGjZl8E0WYnyU+dJQdgJ5GkJMSyCPRChiGk4CDbUw + wibE0UlKB1GWog/kHwNiDI9Do4vdKV+3rgN2I5OChLc97Qzg3rLBzJFiJ48TG/XB6lYOFcjuQnoQ + 2ogCzv0mzT982FNtMspj+Hm3stuVtvhYazFct7knQrctYCCyPauES8lJi+AG4uIwaHO7PMEkZYQm + MUq6TlsvMzkDR/1t6Ug0GHsBbnQAbSY2SurvW5N0q6R26tTzAI66vwoDlknwlHg0oagJDbZa1JIY + Br1Pk1aIebfFdgRNyO7v895L/I8pDxVD2+fUWJVc/AIx5ABH+4qAZrPBU9ZK9iREv9I4j+oYGtBt + EHQBEckloYc80oaBRNRt0McwTgvrTtLPO5R8aZgPndq0YEl8Iup2F7IdLJXU7N+t6OpxgA0+wbZN + Pra73hGIKz4h7yjvje+wpuk65tXZfmLP+yfBu+lCJZgLixMJU/XcL76EwYBWYCUcu/36CXzZGh6D + BaRxeOeQwxR2JigTNBlnKkjeBA+oZEgRCqVEawWfUgQ+g0iwxLVN2/QvWd5vpjuu1HRGrkeMoZTS + y6lUc0/WBsY3uaKPM0V7dR6fUd8sKPKQLAEiSv2gUOf8G7YntiNtD/bojoI6dPh+5nKrB0f9QZQ/ + SD/o3Hsd/oSAcxxob/tFeYy+8MiSFouBkhlDS3InDCqyvVCs0zNS5oqR4pcxF0T3YcniFffCcxx+ + LpNP+1Vr+DAdzdjCnGu3lep3fqbfknfu0kAOoEUAmYt0T8BIHTjdBzfQ2qSMbVKPcRJtdgdDvRol + P4ACTFKq8cet0YMruyKgeycies3GbI7ciWNIybfjpOZelkN2Le2NeNPEpFZGdKFs3vnDvZY8oKeY + oJAK6D2ox/T5/QvxKNKiHkaXaOQBCs21TMt9CSg/1MKgOkvREuwynJ4DDsgsaPyVly7vmZNQk+0C + xBF839wFgUsXrSliFymEK2CBtGPePoc74D3gVnBmrdlNkbu6Xi7P/wMjkEbQHtZq3ZEguW98FBRf + sTvmfGZpTg4Ncs+Opv/XXLUCNxo4Gkr6VoEUy+Wf2JLl3F30rm0y26Q5ZARgHNgyDg/glTKq7drR + KN2oMSSJhz2sZLZ2myeCDBLHf4+ZaeridlUfRwV9+wGTzk+V44vbYmVe3hTFBlIZf3Dvx88jZUtg + EEHGEpO0KNoJxntugOoYltXWjcguvqw1vlojzLhTPTJcHbM2QI0VSesyqZFmPafZroSqOn8Z3TGc + NPr+QhFs5GOvpPGOjH8SbT+iNAK+p46I0Sw4j94/ZEiPKh0FXW58TneZFY+sJBVJjyfUKPGeIVUH + OQaCApHOhDyQWzzPXOqYLVPH/UU2v9w3zkeQ+qRir04RYkKpt+laJCTVQJrpMPKtUN34eXcu2reM + xCYQEPumIACn3RWoksg/MZlqI41zDhsGBDmAj5DFV0812rXDnZ6F9YPqfr+4EDIQ4ACQnhIHIOXu + qNdA+sww/dDciWNeyMyDeG7MWAcX/ntYmaDx9t2F54EGF79o38n4r3SL3LE4ah/6zYji//kbJfkV + IpIVF+MDtojsBnSsGtm/Pca4catBnR1FmeG8zYkZQWcMWn0hbST3AKUTqpl5wAlLArTUnnKPanZq + RdIcKRv6il8bnrRlVrPHPPCNy0JxhkMzO9r/I4sPIv0j/mPPSFb8vLBDvEJW6A7wOObMiRyjEgah + vRpwYZz5/nQGtiQ9uGaVpQYGJsROdST0mhFt7P1mPCO3nfR7oT77qaf9VmKAlJZqb4JWL1cdbaxO + GczQJuSk7SkDXaBwF3XrXWHK5HrPiz2hOA7eT6HLEwf3HO0X2vl32r3+mZprsJmR24QwL7PRly0y + /MEZQtKQQ9R2k5Fg8rB13dquqmDYqdmoLY0xdc6lcVwPnsCF4isl/vssfLUTJ3udDMvE2DoA8lcN + AQWooBNwcisgfebdEqCbbnZqsz3Pkab5i3nZgYuPSWUlBHncnvIuTUY7YRegj0PIYUF3P+yQXbLb + YcMqBZk4TVZ0uq70v7wYh9yXA5OG9qc2snlNmDA8Dy2m7W102nduiIFzbE7nTglo6ry1lfjjBejP + 0Cjb7/OXc8Z3LQ8t/3qibkWE0AStiJC5X1cAkCeQ0Z0iAMBxCaA31JRgrfoBYYJ0uGaaawGLZ0bI + woe6qmK7ZKTaITMIWCyUXQqw5AyfQgBW4A64BSAoPBx6REw5mLBEmlTxwWFLFAMFvRJIJa4lljAh + cQyCH/IiAJzvlNEasp7q6b1zqYfl9YKDbd6n8I4mrQXgJsPE/omaAngwtppDiWWY+h4LtACrJ6wo + GvWMtoLuKbatubBSgiNaf35yEG43AvDrYDJ8HHU6TSWqZbxRntlXJeS5Ws/prWxD0FNzNDyNNtH3 + DwB1xek9OT/n5cny7A1eSt9RNyEN4IKwBcjba6fflNuKurcU0eht2SyGKU83lt9pJxScGMR6xun5 + yvX89DV++pq05D1BqQNUimkbvOLu/H65ee/wTJ6kkCL7me1oxZDKlZ+/v5Icl8Px5onj6MyE3GSn + k2TVBNTDftpkq5M2auk+iAri7k6KZBqeesNW5nw+v3t7wwVPjnh6kz80KTyMsYn62BeYlp6/ZgoN + hriBCUNW1LWu63t8OMlhLQdelmc3eEKyoKoqr7lI7uNGtPllb4QI5YyALoAvzTazjr4PRb9Ac+Vd + EhZqcAlXdNqX3IPF8E1b6GCbkLzTFrs80nlOW34+0valktvYTDeqdBdfWYVJ/HxC3v2+WgdbR610 + UWABB1Egd6aFWDCKgBhL2ierQIXcrXV557zclddev8GBNO2uOichAsYBMqy/ALWAgMUV3WKt0eri + 4CmwpNk5L01ntLegnAAgBHI4GqSk0CzD+QBwJxlb9nMEYTuRAlQA5rSD1jMIwFSLCr7D3d37cnhv + eXZ4hpKkyCXk2d4+N7mPbiiZV82IDXldm+jpOknPNx9Pu8uYnWP0WKEkPa/z95I/z+LXIoNkxe27 + JynvHj9x9wzOQdlxgBiFKTYHEFG3sRyNQKxpanHo5B3ZH91pG+MRZppVrM/PJzw/vHZ6ulBpKWYz + rGYllmDMXxs/Kf3gxMNbcBwWleU+HU801K9N6q6h4Wz52SDf8qG5uGpgHKaQZ7rD/GeoQXoYRRKT + BhgHQJasE+hK4oz1+flc3xU6H197wxabWxA/6arHVtCymXFtM06cTuwBZ8qeSB6RHpITtS29tV2q + hrcJxYVAwljvcPfN53zLzz6xHm8ODu5olKRt3tC0y+xzHEPC+yUOD3zOZZXaRNfy1ps5CLT2m6Rf + 0tr3cFM2ngSok1rBNj6d4LskreMuVC3xqMydHP1sUedHFsLD0Q/3slqTwT6plVdo8mnWGwYuJ5tf + hj8erDjYl/cyfxPUjdgr6t2JzzgVHF5/VgsJxK6IhoSR4AcaHJ3F+VDHD0d6SHjm+LDRmiaVL80u + 96alF1sdbrT8BrurtjeCPo9bHCNW3KIswlxw9O0ofFbwLdZ1vVu/iWfl2dObJcRQzpmgm4UULj1k + vGnqaE6jO8x1BkAKKhZX7go8735UDsbump76eVfIgmK+nk5mbEtagY1A2QF48EVhcMXd7S3Oz5+8 + dro5PAk6MY7pnKgtfSUQobD/vaU/E9zxidXY5ZA9Rx2POw1TG4vED7Fe0k076eL3S3BMYskaKgCk + Yr3FWt+Tcnd8dvMsg0FMB06XlOrQqQNKa2y/iLcoNKLVvlp3LY8pyx/8g3/wQ+tMNF5S5Pnz5yJy + OBwAnM/nu7u7pYBKBU58upV6pOUARhVUiax1q+AMEGHpMhOYEhYqaHHPzSNF1HTAMMTXzXoyE77h + HaX4fxFURtXteTs+x2RxxCvkJFgrEeMgOJAUOUmRA+RQ1dwsNmjCc5J3QSfB4f2VuDxdUPiMRcKz + VJ2PkwhOp7MAROV0XkVQCvW3PYGAulZmbgf0NJRMwMxEduHWuq611qUU90tIrbXWWkph5lorgKis + hblaZ5XXQpXoDPNzmKLMtyA9i1pWhjCK+W/P4Ntf+9V//pM/9TN//E9+/ku//PUF9Km3Pn6zCKjo + 5d9wQBespDaVxJIXENWkLRMgXAufqZjftoBwZtSi+LISVmuTCOcjmHiFKNocADBZp4v6I6XqksfV + JQABNxBaKwSgZcCxRRmciAhOREw41rqQeKYNa5641nWttBxqRV3PSykQkSqqiTa9hSAiQupmEFiw + doEFoCneG4bGV8KsWkFcopaVhSAMUiVeHQAEAL/yz770f/+VH/6T3/fH/t7P/uy3f+47j0+fHW6e + rOJaAFTgLV1KBV71wK53sjLuWKTQQVU1XiGi2WQFODWiCRMIOJ9PLLdLXKAjxFwMT0mAs0DOoBWk + eZIKA4Jf+Nm/93/8xT/3x77vj//6177xye/4zcfXXr8hHKSaj1Kgh9xXZlF8IyIUef7u+1/+tb/w + Z//MD/7Ij/34T3/xY9/y27/1U8+KYLGoUEctEERYTufzba13X/rFX/pDf+i//xt//Se+/NWvfvaz + 33U43Nze3i7HQl0i4gKhyqir79wQQDXF8bn2JKi1enAQ1cpcuSylVlTmUsw1zCwQSoxMIJXWFfWM + QihLRVkBrpAVB+1LTu+98/Uf/5t/77/7H/6nn/77P//uu+9893d/F3CW01pWBoCygKjCjsgyo1Yu + FqUkXFeI579vupvnqBJhYSJb7vNaK2NJMUyxv3o+r7XyUghY8c2v/sI/+sef/7N/4Y/8se/7Xz// + A3/2z//wT3zh7ywobz87fvyNG9w9vz3x++uhHEshRfkqItLOEQszi6b60lgwEbfKHSoCAphxdzqB + iAoBYLCIFK3DSYXwByCsgpUris1BhGGpOsRd5AysYBFamIoA54pz1SA6LqjgFVwtgxsta9qCKEDh + FfUORTQ74e3dmZmXJaUi0QMERGAWYak1LE8IWLCa8APzKM+YWzMKllpZ0TzzeeZaz2uJvK8cPYrA + YMhSz+cqfFDZ6VspRXAEFzeLKgtrak6uqs0Ji27G+TGLUDcl9L3Cmh6oMTUnAsF6Psu6LoVUdguV + FSxSi7pLqpodBQVnwgpaQAVCrJzk9uv/8pd+4qd+5n/8I3/iJ3/6n37y7U9/7LWb12+KxZmut8/f + u7vlp7ca72i8cj3QqeCOICyHFQS9s4PUe3sCboEK3JyxVBP3ILDSLNeqEFs5UDKRCCLYD1Krn9n1 + UMe6AookxgprSmvOgsoocYFI2B7KAUVqFRYqhSxukzSCxXMYSvEQF0Fdz7yuJEdiktPdv/zlH/rf + f/D7f/BH/s+f+Lnv+m2/+62PH5Yc6yACoDuwL1JrPZ3PpRQAXKvS3el8Vs1NNQFhplJEBG0D3kkr + /yIiEGaua9VvCeDK67oSLSAwy/lcRaSUEnZBoIkBp7LZt9ZX2CrkdqRmqDSNpYCBKuuZUVCWwUAq + 7JH+hUSgKMbeFtYTrbeg9a9+/n/7w//L5//63/ni+uRzn/vMW68VFNyBK9/dnm5vbyvj5uYMVMbS + pLOPxTN3kwCsBUAh8r0fgdS11NX4BoQZUqgCdUVhLiIA1ne+/gtf/Nk//Ef/5y/8/X/4leeHj3/q + s689tU3mDG4rAXKIAAxmYaIUSaTqw1rBAA4sxJYXlCpOlYloEfXXgsEnlLKWcusxwGU98RqaG2pd + BedSFr9LrQCkaKw7uesqqidyrSViG7iCGcU4xqpDdP1N6roUERHhKmIBtNVYWXHZCWZhZmbl7SQs + 7hiHsDBzKQUCYgYq5IwiXJaTms4rFlYkVFK+A26/9LM/9f1/5s//qT/9w//8X9x+z3f/jpsDjuUs + zMwLK8lCGLfn86nQgfRCggqpvtS4E141oKSuqGuprKndlekZcrFAhYsIB9EJM69rBm2WRAAAIABJ + REFUsdpwFq8eX5VzTXkjCwavoCPTcoIdaFlEQLSyCKjevfdP/+HP/PAPfv8f/b4/tS5Pjx/71rc+ + 9iwC9aWCWNUiVEGtErp8RidhPp/uVARTSnII5zEIRYZXqmcoC3XOJkBlcFAmICyFBML1fCrFZ0QE + QWXjo8KVIKCiB3u37g+CSF2NG0NQV15XKhairhldrUdnvmDIyiKrCMMiee29sJzuToCUQgAxm9as + K8PMpnohpCEqL/VMRe9GAASqGhdmETlQY36ryFl4ITp2BpjDNuk2M0L2wlwVIda6ijAVSyFYlP1j + rSygo0rk0x3WFccFLGeRcyFaK59XBg6aekzYcKDWswiV0gX6MytPNmyo66o8i4DKfDqdiKiUoiOX + vnJghbSxnb/2G1/9cz/05z7//T/wV/6vH/vcb/mu1z/59hOisp5RV6B8/f27d3ihQseCQ3B6gUil + dvCOBCSCdZXKVJqXt3H/02k93a3H5YBC7dxdcmSvaxXOX3jusKxeCgtzkuVqvReguTdr5cpVNVQR + Kek8EXOttRLZBTzns4LOgNPCSAAi1Iq706kUoqIqGwuJb9+pBuFt61kIVwV1L1IKChjre/jmr//s + 3/mbf/oHf+SP/okfePbmZz7+8bfeeP1GUBelBAEKmApjMQTzRrmuUqWURdbVhg0CNfynQqqwBVvo + 8JcEIryuEKZCqMqOFqFSHfSFV+UGFTivPiURnG9x+169uxM64nAMZ41434Za57MpU4UqV6VIEaV6 + Op1PzHVZ0mWrziRlXcGVSgEE6xnvv/ejf/Ev/Mkf+KH/5+e/xK+99dnPfPJGQCszn89Ya5GCUshD + E+wUlycHUk1ZcF65FGKWu7s71YjW9VwC88NOIVJHilARwrraqjMDIgUebaOM1DdtbWE5G+gJYYQB + Zq7n86kQES1+3pwLMXCWWrguwgSACqcTpNCUI+qyZ6lCK/nUFgjqe//vF3/6T3/+h77/B37kH33p + a//u7/xdhyMKAbwuXImrLp9+fmapshZaClLaJuKIsuCYmVmK7F5eCLiu9XQ6qUQ+nc5EJTgPs5xO + K9FS7vEAu8D5oGIy/w0uH1LULbPZ0mSOHhKRdV2XZVFKeP/997/65V/+F7/68+9+89033viu7/iO + /+DtT3zs5hkIWCtAjHIqtDZTbOa2dyX+la6z2D9mNahVL/y1r37tK1/92jvffF7lcPP0jc982+ee + vf764ah2McCWnhbC4BNwPtfbf/Hr/+or31jfPS2vv/6Jz7z5sW9/+22ITWgVQFAWsDw/yLs3dALO + AECLYKkCPuNAKLFcvhdKAFERAXvsbXU7XwRcmWDeCWoGmznQBcYxm6ISRr5IgHldVzRTqgoQqxal + Mtda5OAmZMVRiRwMXgGqIMbB4o5Y/5evfOU3fu4f/txf/tEf+52/Z/3spz/2u37bd+DJUecW+3i2 + AszyzXe+9rV3vvb1d+5u17U8fetbv/O1T3zm9Tfa3p+wiDAtBR648uV/9etf/4133r2t6+HJ07c+ + +dnf/OlyAw1/KmDQGcwgQjkCRcRTvdqWpQadeLRmDm9Vt9cdDkdQKeorNf2YIai0UAWILRJCXLkV + gGtVh+kiou2ttRYAVApBGCuvh6V4KhvR8LCqx/poYVFtN+KXlVOKev2aMqWOZqGwABCbX65Sw1Ua + VeW/+tWv/OMv/tzf/sKP/6bv+u7f9/v/64996jPPXt8QQkpSYY9E1jOwYCmwsxhUWCr5bWBg1Aqh + c1nOxfJYAcDdLUhw8xREfvufACDBYnAmSF2BM5YFLiuC6L/yG1/+4j/4B3/tr/7V8olP/+7f91+9 + 8clPylKa28i8iKJnPliIK44FzOvd7Tf+/t/9ib/2D37t+Jl/73v/8z+wfvfbBwZw1vh3G16BbYkT + 396+/+u//mt/6wtfePLk409fe+P3/hfP33jzdSqae5fbpqKUmHKtEEIRoVJRdL/hICK2TeKqlPid + n9J8FVRtS6WZVcIMcmXQAFVFygpiKkukuhQBr+fT3a/+yi//6I/+2G//Hb/nWz79RmUsi9qy6lxg + qaUWIpipA+C8VtuYN+eRmA+xkDkILaZGBObxM1nq/EeRiNWh5JoXIPLeu7/yz37xR//yX/pLf+Nv + /8wv/Orx9beXJ2++e8Iv/uIv/Tvf+rFPHk9/96f+1i9/5fZ08/F//z/8T77z2z/99ptPACGCKN4K + 676R+W2BZuIanEWYofKfVKaoBkUSmIDG+RRhI1hRCEKkhO0LH3nIDc7mjCWx81ehV0MKNFwYYBE+ + 18OBC7UkboKi8cQMFAYtVIpalVwrAVTMGe33H/p+vnsGxY1mEayVFxSKdJQCc2Q3xNDNQuFQpkRE + WFR/FIndleABwmBiIhaw8hMScxgKFQEVJrJ9EBFiscw/ppupIdm0emtZwMJ1LcsCWliqBj+yB59V + CeukcSEwM4ksvnVpb/3Qf4UcYr9ULBZe1ufvfeNXf+Wf//hP/s3Xv+3d3/uf/ae/9Te9jTcXSMX7 + 3/zlL/3CX/tbP/f8+C1vfe57/+P/6Hs+/gyvHXBDK+QEuUU9cHl2LhDgUHFgLFRBJ9BzYEF5YyVU + 4Eg4COR0AqiUYtRqRCjOhgFgrQLIYfFNAANQnESvvsXGYM2qUURs1cldV2tlkCyL7xzAzEhNQioA + V6DKAsKRhFCHs/ar+sVsUCIV9XT77jf+yRd/7ie+8Ivv3nz2D/w3/+35258cn4DAiykCxk2Vvtgt + WPX+u00irZqvlYhQaNyJHk2tIA3/0aPFokjMIqhVpVZyGCWTGfGLW8j+uNZahXWbPxQCMRCbt4BF + RPfXRYBKwq6F2chZfUIgOyglxEJcFqNhQbEz84zz3Zf+yRd/8se/sH7qK5/53v/y/Lt/swiAyu+/ + /8V/8vP/9J/90m+8e/rO7/neb/st3/O5z7zpOOkuUymqG3DlAlnARKWgiF7S4wm2Foag4P9j782C + LbuOK7GVmXvvc+97NaEKMwozMROgSMgkKFASSIrU4J4kykP0h6Pt8Iejfxz94w+HI/rTH/avh47w + 0NHRjtDEVqvVLU4aSAokJRADMREkARQAYigUUPMb7j1n78z0R+5zX4FNOcIOhX6sCwSG9+rde945 + e+fOXLnWSjMihRiRuLP3B86AQOt6f/fdd9/4+te/Ykdv28lX3/PQJ64/hv+nF33g3/NWn7k6TXcv + XHzj1KkLZy+sVA4dv+76W+685oZjuXTd2KRgIAPQip2Lp3dWT77+9mr7qjtuuPqOa48fyyC4NxAB + CQTyDwqoVFWdsIHgA5OdiRrUzQcNcJgZoE5OB2NSZhxFD1J3966xIkIgVRHE5rPH5vjo1hMcdxDY + LLaMAhU8AcOoWEsZgAxCR+jMvIrug6f33vnxt//8z5/4/rkp3aYKVThXUO472ABqThXRu/T+tHt9 + 6oEHVGYmSj3LmpeDk3VE0Xle6k6AqpmpBMpA7O5kkSAC7q0pCxNxgDtAIObeJSA6wXKTrBvrh7k9 + 5IY6rt87/fZzT3/3T7/+xG0PPXLdvQ/fdtPxHoO0T6XxzcCI3reDNk3CTOSmAfRtgDm3CGHEIoHp + 4OAJRUQ3tAYBEsfzOSBZzd1+BH7m1nnwrtaUJYHTlSAm3E3VyZ3kSqlEXw2I08igfWAtd3WcNwWH + Xo+iseqJQQh6nxngvesHeC8ryE3ITK2CRdIHXGjmcDefN24wpu6Qy31TRS8V1nkr7kpEAY+QkTgI + ZnyloZrPddfmC9afb78B81HugdmoMnHKAUz3ui0qFGanji06YETC5DS3+b3j/v0ZusIcpuAEyKal + iw7OEqlq74rNnab4+ub+z2/U70zsa7+iox8RXxXmyOLEttrfe+57z/7F49+tA//6pX94vakxBI4L + F069f+Hrz710URZ3nLzh4Xvvvumqo8xs0dsTgqt7a+oiAyj7Zmn0UtR6mQtikgBMzQhegcpJzF1N + WNgM2hoRiBlEZmDyzTEXzcJNUXzlM4m74ACxmMHhSXqPq4PpcfdUzU0k9QXu1s+rSG2ulMDOBWME + Db4iFZ2LKZ8/22EKJ3IQuPfJZk5a30pEoAbdeeP1l5588rvffOqdn/vMb9x777g+viyinS8TfoFX + VGoMCEzg7NyP6E6t9Dmm9YhwxSk8/7OvWmN0pJOuwBbDR8iAaHXznJvaJlWOglrbKz/4/us/eP7d + s+dvuuuhW+//2LXXX7dIm4V/sKpwZU0aub3QBxwz3NWUQAElqSrcJaX+aDZHzDS+9MLzX/3Knx77 + 0P1Hb7//kQfujlmcm6t3eFNITAsh6yQkznCogVKsfO4dnXm7dVqJu808tnkxOKK/GCyTK89id1Ol + DSWHOnprhtaUZcN+iePGIw8hCrKd8iZHisTQzDAxN1DeLDOtjaV1SID6qukLphNDOjUpwwE9f/b0 + E3/x7W+/+P79qyOB1TBDaHagNAWJAc1hICd2+IETnBnQnJ3APi9Lsl45RgzZYEgR08yMQLFb3eHm + FI6V1FfpT5cyfHAd/hXf///1628Iug3+5jAMIGKira2taZrGcVwsFiIiIu+def9bj3/jq1/54oVz + F+6885Of/1z66McevWYLzBhHNB/Bq8WC8k/lnWPe8VfUg389Lz94UweY2M29NTc99corzzzzzCun + 3qjKJ6696Rce+9wtt3/o2IlDOQWdDUOKjaOo6+ar/fHyd598/PmXzrx7zk6evOeTH3no5kePx5UT + o05w8ix1nC5n3yuLiGIyyKExWkAVQ94MU3D4Zn4ElyLNXNVSEjPUpjkFqwVTnQgoKaUOtjpUgQCJ + zQERaa0Fv0ZEornkcwNaUoLqarXKOQ/DAGCaplrrcrEgEQDM0st1M2WPc4IYNsGCGiiANjQ0AKUw + JFBQhiPxan/3zJkzb7z55jW3nd3Z3dvcbp4DkDqYiMEwO/f+ey+++P1nn33x7NkLmg8//Ohn737o + 47fdeXW0p8PyYE6QFDAYXnn1R08/+cKrb7yD4dDt9z74mzf8+oLg0JyjMGxoFSmj1wBQQzNwQkpw + N3Zn7mccNAgjbiAWaMVqf719qHAJGigIxmA3N6gkaUZoPiSowpqnAoKbYZoasSQRgicmz3l/tRbJ + i0EA+FSnaaRhEE6AE3WxntcJQC55rdacUhbMaULkkKpGYGKm/mQPUiwzEDPPBBKzgyGfQfgwYGoY + x/W43suCnKi1yXrZcOVe8CsTgo4FEWqtgiwJgAY3QE3JMzNYoA2tQlFTaUWSdwoVdnb2BVtlgZQz + KAOupnCSdEDFabW6r2W5ADLwAY4rTE0nbVPTVt11ptYCAAImJzAkkYFUMY7OAzEg3M6fe/vdd88c + PXT33ihTw0CgPqToIEkkAhFzyZcv7V++fCmlxCTarFUVQVlkg6mrmxGTO1wpS4qeYp1isbSUldAi + UwSotUbEzJJy3sDDNAM+LCCn1qxpA3woA3cwTpmIhOM3gwNaR7U1WSoLYWSOR2JQJffWdGd3T51Y + EkCJExeZmTBW1SxnJpiCGUS8Xq3cLAkth4GY4FZrFWbJqdXGTJz7con2eVy1pG7iMq9DqJlZM/Oc + SuIErxfOnX3+2ad/67f+r9fP7i+Pn/zEp35h++jVaXHYzC9fuvRGPf/P/tf/+fHvvWpb1/6T/+a/ + /ZVf+tTxIycD0olIVWtbr9dbW1vEpGrRLrqyYdRqU1VJQkTCNAy51lZbyyV1oiehAzOBsSuc2QGb + kxxhbjPZNsU2j15OR9QMaGBW04mSE4QhDCjUjLwlAphhVteTUnbOPn8gmmVyCCGo/Uy55ECWqioB + mSTKWhiI+YD8q1aniTixpJTECWZotREV4U0xjCAjeFQZzMLEIlF69b6au6oSIEncHAbawPwOApmj + tUZiLEg5kQMNtSmzuYiq50iGFc2bsxITkwHcCUBGxPIBh5h4b9NWa5kBF8kDcc8OHWiKlPrYTc6J + nOEKMwdBQGBGApTAwc5Dc1VH6rNN3J1m6Ja8uqsCDdKcvWeetnvh/F988+v/3T/9Hy7JdR957AvX + 3/xPb7/h0GLbORpAVlHViytRU7SKpNMWVxIFb4x9UOfTdb1aOZBLKcOChT0qTKPalEQCE2wt+mqJ + mJlAwnOW3eDO5BCGKVqdmhtnSKHEHngigQgimFaNBFEN9pwjKBkiwuyGWs2mlkhKEiWfR370U32a + JpiW7a2cCDCrK2kT6SjQaT3urFfjZFXRFEksxqIegBREQbzKOaeUUkp1mpwo52yqcE8pcW88XFHA + UCfs8Bx43c3cHM7c24hR9jNza22aas6JWUpOPp8+hdMVQXeTqVMgdwyIyDSNpi0HdNvRLpi5uZMI + gcy8qbljyAJyaIOAmQydmQyCKjgA2qjeYcZiLgpUQ3ZkAXKCE/bX1CZrurcaR+VZ0dp2Ll34+p/9 + 8Rf/9b957pU3f+UL//Dv/qf/6LbrH466nTZwHQGOphjX68w0JJa82fXw1JFUTolA3iaYgsBJMJOo + mRiWsK51Guu4e+78u/t7+frT702GTbz9wOsns10CnMHUuZtm5gzSaXrrxz/+N7//B8889cz5vfHu + D3/ss7/29z75qZ89cnxLJBGncdTEAgZ02j97+pkXX/kf//lv49qb//7nHjvx2Z8/fu1RQNqqCRIJ + WFInR87doFqrgodFiSuU3hwgjpVvbdPPaHWsRgZJy23MMoUEJGGrlYhTygDMTdUkCYEdqK1qU4By + ykmSJFJ1NxchbWamAKUkRNzUpE80rKA1DJdX03o4SiKDgBVqkEJVq097qei4unzu/ffGlZEvSoYZ + zJtIicalGdQbJytpMIM2hUniWS3q8wAGOAiSWAzum8rZubcgTSTNQCtqnfb29ofFMAyl5NxqA3lK + PWCuxnGxWORE0oEJnV0BDFBMq72GVgZdSGGwA0qBG6ihTtO42mvrXa3jOK0n1bgSVXi1JEyAKpDB + nRsAVazHabkoxNxaYyZmzmUAAPfWmpoBlJlNvZmxJJ57/yQMcF2tyJAke3RND3ip8L4BXd2EnHMC + 3Gtbr9eLxRZLko3BGou31tRdEokwbTCrHhkoDp7WXBulDCFIgtk01tXYltuHpICAqg4olySugJGw + QObkMLgsCnImzyWv1+NU63KZhEnS/DxDBOCAgRnVrZnlJMIsuUO5CiMOVr0LJyha85wIDiUCCrHU + sWVJnWrjvY868876F1qtCMynp5vExGrWWjOzlJIDnK5gnCqaVsnOSYSlqbtrTrIonVPixE6paRNJ + TIXArcEqHBFMiTgF0Ud7/5inaRKRKO5qrdM0LYYhtEHRwBtKwQx6CnPUiQGDUm80CwlqBbSlpZKb + adu9fNmqDoe3WEThapZB506f/rNvfOu//9//xVkaPvPoI8f/y//iusP3lVKmsRLRsF2A2tp6fzUt + FpRzJkLKPeFximihag6nnCRnyQxVNK3uqwUPqjw1LcOi1jqN49bWlgSjpKmBhMnUVM20pZyjyjyg + UngPaNqqO6ciquauIlmEmGSqIzPFG7ZWa63LrSUxC8isY6QpZ5ops3Mr8SA0i0BS6R0BEEEM1qwJ + C4Gaqrc4P1HywBLqdlW1NPS3RbQHUt3fPTuu97MshJeqtLtaHTnEQ8cuoxUB7WWUMlWAmJgk93aN + MINB5KpqTiLM0jlns/qnp5rmZgbXJCwgMJEI3OG1h3Rro/KKUuEOTJuZS2QuIMAMOtXHv/mNL/7L + /+O7z/zol/7Br//6f/Zf/cJjnx0OiTtkbllBjYCUU0fb5yaKexDzCUDK2UyD9J1YRERV3UxYSASg + +aAx03b50sV33nnnghw6d3FHY8gwE6eciQOWVIVDCnu30VOAc21pXduwlSQjJbQGAi0XxR2wmUdi + VmtNKbEIiFprqjYsl1Edi3RtTfBJYO4B+osAsz4LqLWu1uP29vaG+2serF5OQsKs2uCeF8PcreyR + pE5jyZ4S9czEMU1T9ialxAmrrk2TAcxIEmFKD2b1CLytL188t1qtzGWxiMJRl8KUgNpQJ+eihKlB + MpjENvQijiSvsWwQBLi5qSG6f+Sq6iAhJiCJJJFpmhxcyoCeqqkgMaMMXXr7t8js/7fX3xB0m0Qi + 4tdpaq2VYUgiob97//33X3nlld//V7/99FN/9uPXX6wThnJTneo4YhqBhJSRZAlqhLWiJeR/7+3p + yv/4a1wHnTIpV8L/RMIQ2r188dUfvfT1rz++u9Krr7+ZuPxiXlx17b2GuV3ff8JAeunC+z889eIf + /uEXv/u9d3fWRx96cLzhyLX6s4iObzVIia2ui4ELBqChWXVqWQxIjK1tpCDRWyVxYpkpUGQdZhUi + sEBIxtqYuGQeyhCnNhGCLdTq6Ga5FGIRFmzaqgBm7QwzC/eaPL5rZq1WSSmqOJ/btjCLXlbOZXLs + V5dMhaKNEyeIBQM5S54c6pY6X8MB395aHjl6JJehOcauyuxAaNzwpt4TZbf1au+9d09/51uPv3rq + jSrb7+/UNW/deOtjlPoTTymJCbSiretq5+Kl1df++Gtf/eNvv39h7/h1J60cXq2m7WXJwuQjwoNy + KDBGU2cmRkkdAh6bZkmzHy4AhhQgA0yEqQHA9nKRuoxJ5vnzllOIk8A8cMqIAxsE+DSOU7MybEES + YdbdIeXF0hhGYIeILYpQEosmmmnMC85zeZskR3+9xQd3NwPmDQ86utJOUNs8O1M3NfQ6mtyg3pXc + 6pgcLChDGUpynUxrSgeEiGaAaeHQhMCkO641tQwDYxgyGG461rXklhmBNagilC45I9GCxBy0Hici + H3I5fHQruGimRhIpQec+1sndqBQkkaCyUhdEuc18DHInM3JzwOiA49uXlgO1NjITUMpOSVJoWFod + 95aFSsn7q7qupB7GFwebFRTu0x0KYoYwqaqwu0PVzcCOsU6UNEsCyKBzHxVaUTKkgClDFITeRiXO + pYRyFqCOfgTI7GgBpDJEGBAznV0onKJva25aL517/723f/z6m2/mo1efvOeBE9efLPngEIUw3Jh4 + a/twU99brfdX6yJczLG/D2bkZc7FBXA0MwGLYFgsyMEwB1qdTC2lRID3VpDXcUw5B/rfzM0dTiyY + qq/2dg9tL0tiNQU5CSdhJnEHNX339DuvvfryubPv3Xvfz378M3/nkV/81UNHr+G8fWyRbjrc1u/+ + yLSO04hiw3K7DIv5GcQqdkmy3NoKXCnKpNClwefWVU6xUFWbKXJOTOww1QZBBDer9eKFiz948aVL + Fy6noTz00Y9edfU1LLyuZozgN8XTN1g0ozpoG7SCcX1p58ITL77y/spO3nrH7bfcfvWxBQvImJzB + Xf6URYw4NEtdYtzhA0WbwOkgcyKIJIITsbpSwPpRU3Z4mnNKxKJu+3vrPCxSlsVQ6MqRiBH4PWqw + 1poaUUqJiEWI0OkUkUyBQKEwMzNVghNnBF87FRdVH6dxLyFl5JxFweaFWTbmvkzscc0wkIIZhlDB + dlDBjby7nBBzybmOI0jKsIhdlFJ/rnxglIJZkmIgCNNkrXHIz2n2iQBAIt2Gdl7ocVlG3tzNnBqk + gS2k2s7syZXX++sx75rtDIOXQsyk6mIEL8iF5+okJwypEBze0HJgoS6ojuQYCFtbSwT3I1yDzFgS + MVIWmlkUAcrPdYtrmzjIlj1ZV8B9tffOmXNPPfcil+U1N5y85/4Hy1I2aA6AYSgCg5uuVwJHKegn + gCrMKKXMxCU88g1cO9kUZEUIkhLZQQkqBFgjnRaJt5dbKzrCXJiRBHOMY3RgycWdmVM8JHMQzU26 + zrEVSXBXM1UlYWEholabOZIk37DFmDsh+SALiwLMmamUHPneNFa5AgtWtamp5BzNZhYwiFjc1Nyg + XnIxkfU45pwlpZ6SwFtrApZEoN5JoqgUoTADZaIOwDWFNwgMycDEJHEUhoFRw8bBOYohI2tu7kic + tnOBAKhN2wiYCDjxpLqubYoqOQw3fGY/wplpudwSmOm43tlZLg+zQBiTY6IaFPRCTCKgsNdz5rkR + 7wFpF2EANSeSnEnKvBf/itccGsytaZUkBIriPEgzTLzaW738o5dfe/XU6QuXXn/njOWUl3j0Fz+5 + XC4Bkiyds5x8Wl088+47Z86e5eH4zv7YugWYp9Lno3irJhYoRqzgkktD9wrY9PPMfRrXmS0xQBj3 + 9k+fOf3scy8sDx+76Zbbb77jrlz67xVRwbU5sRExS0dVIuEG5VyCWcXUvzxN1d23lgMLd50syB0p + Aq8rpn27dPrV89Mzr52VE7fedcPNd153ojCE0NoE1jQI6n4RXHvixFvnp5S2asX2ABZyb45CBDBI + Y25ScA5cNrhtxxRZpLhBazNj9xThDgQQO4w7u8hcdZymCxcuvvnWWz9+861bbr315MmT119/I2Vy + 92YgInBgCz1DZnK30DEotX1qqx99/4WXT+/JsZuvv/Nnbr9hQQArjEAJkjCUtBikJBeyxXKRhqEB + MAyOksMDBSJQQ1U01VKEGcMwMHOogIKL2OoUJClJCTbzHIVSCGcV5igJpEZac8noDaeOC2GGfoLG + QcbcSaEe2MpyuSARVx0nTXmIQ4FYMrORhOlJNSgghESz+aIbgv2lFe462ak33n77nffOX9r98Ece + vv6mm7e2kTI5Mjyy6wmeW6OViTmGnHICC3tdmzUuJefiQk0BODGZNQraSJQ36kLREi0HHAaFohlU + OFifiOY7Z8CgZuDkECKShDpNNmkuWRITEzsDMFMzY2Jmyjn3KDnvX2ISCgZ30PjcukyPCmdhCGeX + FNxjRyNzD/8ZwKwiuRI3p1ZVaBqSJOE0m2OA0CHqjW7SfcPXmfeyqxmpskitNTi5JedA9ilnYd7Y + 6dRxSjkTS20QQcqJ2dCmVqfD24cYtLe7ByJKqSsRpnFcr1XNMpOklHNoKRaLJTyWjEqy7UOFSfoD + RzCQkAYXVodGc8pUWxMocsYgg6FOde005FzcEVVq0MWIKIkAXmtjImEWzsyo5a+RAAAgAElEQVTs + amOdmCiJcErWmruLsIgEt4WZfFNXkPfEHQ5QSVmYTZUdLEIkqqbamMWdguQTCRsB1nQcx2GxYOFA + 8Ju29bheLBecxD2yHWYmypETGfEssOKNqH8G76xC14uBsrCqM5c8LIblQCHSDXKRYj0CQ0AKEi5k + 4zgtJHc8tTXzBmIHmCJ+oqmvVuuUyzD0zIQIEJKAGnyWGAZAbApyTOvLO5e/88KrZ/b82quOfuSe + 2264+jATbziaTYHWClESKYmz9F8r1F6wWY/gUFMQCThWYDNlluhq1FbDhiJav5zZtcMrSaTPngw+ + pxkJwWy9WmlrTJKHJeelAVNFgaN3ip1AkmQ2VFLo5Ovp+ZdeOnN+rcB9D95/zQ3XlAyea0Mzc7Wu + FmIupWwK5Jwzi5sZsfT1ARiwXit7TaTCnfSlTcHCkgCknLdYiKkZtGnOQgSRJNwLkpQS3LxVMzdK + kAIGiyyGwjSamUZ7AMg5iXTYZHfn8lunLz7//deH5fE7br/+1luu3l4iSeqzkglwY2pZaDEsRYb9 + FRYFGb3RCwJyIgYYkiPV9LzhsiggzqnLoSNVCWOTWidiEiHq0cRrnYgopZxSUvXValXKEA4zgJkz + 89yuZhzIAX4irwlq+L//jb99/Y1BtxtyGXq+rky0HseXXnrpxRdffPbZZ7/z7cfff/91M+zsYG9v + J1QHoQPiMONAV6b73Ib96R/013jNV/xHCJlnqyYCISe2Or31xmvnL0/nLlw+dvza2+++/4GP3hvc + i+7OYwqtIL14/sxzz373ueef/sGPdiG3Pfjg1mI41oFNhQJUQKiKFaMyACQYgMFnrjoJwOtIUCOZ + 2diAqBmIidFC/R/dHiIQRMgd4agVCRUFBb5TW3xjhrCRQB60IjuTzjqQR4RwrNvol+MVz4QTM9zQ + gOTIjFYt64TcArpl4hCPJZ4V2NrcWhc6snRDIzOQz4keNhguCCVLSfL+e+++furtFaDDsWtvv/8X + f+mxQZDmKjqOJVire5fefP3NF154/pkXXtif6KFj1+RhgLkAJVwMAroVhvMGEET4ZhKcxfolBPVC + YCmqoRCDbNiOZgBTg7lbwCZM1MzBmUhMwfNKYgeBw85iNtNo4KRA+IAwOUhZJKyCSMMvdoI6iMEJ + BjNrLImhDjeQgAK9Dfpz/xuARyYRLXILDYt5EHPNnEJrOm8k6vPY1OropjknIr6yXdGfcvhsAcnD + ZM3hZmqETKw0Y0qYf9AcQgH1MPVusAfkVApMMTWwOHchmsL9ygBOHcBpNvfEfX5MTBByJkeXvc+H + yUabPS8HUL95RGhap/UO+UTwsVGzYgf9TLri5vk8IU1z5mFRgPAjJeZ0EMiIQh9JRMyyQaLCttIB + b5WlgoubOpi5RKNF1ea8Hza3ecxADhaIS3DDQATyoPAFB/zSxfMvff+Fb37rW8dvufOxE9dtH7/2 + SF6GYgXkYBKAmVMq4OToDnSAdRnnBmzrUjKgswjhBpq59iwSdN/gv87iyciuQsvZWbxg7vIwMyQi + Iga7uysEuHD+3OnTb61WuPHGGz768M8++JGPbB8eiLFkbDfbXZ3+hZ9/9MhN99fljbffcdf29iHf + YOdOXRIlrKqRX27Odp0FgRxBzdGqqVqSxERObKThDUHG7r6/u/ftb337jdfe2Dp8+OZbbzt61QlX + juDWTEvQ4jpVHB1Ijd+IHau90++8841vfv3HF8dPferTVx05ceLYgjALAwjBryARImqbg4lAEgBj + C+W4A1MDMxLPR+EmOyaCqZtRYNLkLAwiNieCWzMlEe77b+7ObH6UjAFzd1PbyABjM5g7ufXHRzQD + vgExRsMHNm89IicyyMKVVSWnK5RQTAYOg0SCAokoxZqx+We7GczUhAgpS3yYdFUrzRvr4Or6RvYD + IzBCczU3OcB3+zXHB89HTt+YjBZmIg1JXbzP4+CtxaG77rzvN/7BFy6XQ7f8zMeOHsklh0xNgvcI + LgQEFzZQGTSgCayAxUNY3EUYNSeBU3hY+EHtdjDySxUyi5fnu0uAkx+Y10Lben/3rTd//JUvfylv + HXngIx+7+fa78nJ7A3KFzxGh9U15YAEaqSvFw4p7rgaTMH30ZiamIiKpkz0iKWB3EBJUp7WpUk7m + Mm9+7arhjZ43AAii8LPvnFmCd6UbceRi7uaWILyROPRDtz+rzRPuoNaM21ooNJkIHmf7xtEm/FHi + RxRo5pln3RQxHLEemLvsDt5pv2be6WNxqB0cGj6D+5uSN9IbQKG1SiJIeEH0xqzPytSuZGFia9bM + wEoFXWGpy6Hce/eHPvvZx25/cPdDH/v4tTfcuOkyzcQwR1S4DJFQaFG0gRBWVwSDh0twlOVAOMAS + pBCBODzrYp8bvLI4CM1ZD34fbH6vK/bST3xjc/TNL/P1an3m9Lt7u7vjanVx/+2nnnriqmuO3vfg + fSeuz8J5ptIrSF33V6udnd29tNZqZCC06tUoLdDdjfqdihaXm5NkIbRwz6euU+qKzTiAzC5cOPfD + l77/7/7tH568/UOfzMO1J2/lUsKUSh3caiTXPJfWTFA1kDMJ+lEEc4SYgWJCQOQcISMId3ieAy/a + 5cvv//BHb3/pT58+fMtD9DG94fCJ7S0gRQ4SZ54W9iEn06lV2igujHyq6pCcQZyIcnS6ieJjQT6L + 52ebV9vcFe+5mRMkHHnJoOHj3d5/78zTTz/9nb984uOfeMRZTlx7fTT5DN29V1Jgvg4ziok+ZuQN + 3uq4evZ7z/zpky9v33Dvz6VrTl53Z55jRNx2wBN7otZ3T/hXECiIFNFyp83AuW46IYm1qbkKM/VB + GeHJywF1bZ5i1EFqMIMLHB5gOQDXRlw6zNFTxvk0ZIrQwZ2eTABMm/kV8vFgDpPQJovdKHQPUpa+ + PaO/0ur4/Reee/KZ599+9+z2kauOHL96sb2cgybBw76c3JMab8S5iC4jFK7CmcFTVeY53yOGU6sV + cIncyWFOYHI3cg4zFu+/BhN6YCSiOIXVyFkSwAJj675lPQT243tzihAFR9F68UUUEbw3khwePtER + gkJhk6BEDYngjCQU1tgKd3ZThxFBcjhGmymbwUkIIDGP5w+OLoT7hkIL9JzqStLGAadnLv0wU3Y2 + iWE3O1OQYKbiuRANuTBxa1UDdWMC4aojh++5++7P/fIvnx+OPPzAvcdPXE3EH2hJkRMqUwY8cmmg + m1OYO6ESnFkAil6A9yKImJxJwSBh9dlqaA5DxNQPmhmGiP+J221uvPE29oNkJXZ7/zNmc2XdM3Vh + UndzdXXmRP1mHIyZCUJGFpCrayVPQO6T/RwhxocRUQoRjJkHLB5uYaomxAgorJOOYapsFVRzMiJv + U3Nj7+M/+p6GmnsmsM131eDk1inAQRDuNwhE3EeRXJGO9si9GShEoFAbd0OGecN6w3r/4sWdbz3+ + rZff3bnrjltuuvrIDdccjgCo89lDxCJy1513fOaxX7jp5lvv+fgjN950MuWee7hbKPVnNwPqp7s5 + JWJim68KiDXgFMlKHHA9Jes850D6oNpqZaKUixpNjarG6WZezYvNb7KJNQaoTe2J73znue//2IQP + X3X0qmtO5MzxfrU5EOQumIUFJferVyWRJNwJ0973tvtmj6CrQNznX9nDxDdlNoepWWRtBGZ2N3MX + CcGKt9Y2XmdqACwLYe6sR2SWLn1WEO1cvvTqK6986ct/fOzqW4g/fvXVy+3loscPwM3JG3mdLeVS + nPtxY7vdBEENDb2M7vn7QUIKkEd65CiRqzOzHiyM+GtWCbkzs5lqa5YKB13op404878CvcVP/+rf + vv6moNvNK+csIuv12t3Pnj37e7/7e1/96ld/8MMf3HH7jbffdsv21vjs906lxDmXUpAT6kGO6nww + 5nZ+bWpl4Ioz8a/taiNPIMDUHZZmbQvcrjl+1c03Xp8Fbti5dOF733v65x773NRAjCKIsY9oijYh + 49zZ95555qnz53bgsnXoqoc+8h/cf/9DVIAGUxg3AhrG1bTLNMJlSwbQkHg5zYVI0ynzCqmwJyDB + fRxDVyurcWJOKaf1OKWUFwMHF70jHaq1jowswiDIUGY4jrTq/v5+zjnnnOaDl3I+YFy2pqqLxeLK + xmyc6FFBsQiaWq1cWIQHxp5DFSlhdXmlvrM4Qn2qVgQIAyRGZMPrtLtzeWfncjNPZRgWCxAQ81dm + 7gYLOs7BfNXRI7fcfNPWYhBCU/zghz+45+WXd/aaDEnmPw936ASBr3de+9FLZ86c2dsfQYuTt95x + z733lZwF4LD0jjpb4U4B3baGqaE5ZIGcxcAB2cIqqunkIlmTjQTKHNML2oiaQUusas06LRYF3prK + 2IgkGaBjK8Fn8imlRDnvrJqKbImm5ICqtv2Wa6YkyO4h0AahOaCWyDCNWK2x2EYSQFer9SotDm3n + qOXYO4jAB7sEMYCs1ZoyRKJtCnY0NSB8b8DUJXMhUTZAtdVp3eoariUnmoffJEYKaih3rXQFRDFE + W1nr/v4q58ViKy2GJZAAMTOGi8zOS0BrSkw55cUwmFMIqNUxTm2xZKLssNoquea8yPkgQ4Bbq83y + AuG+TtE9hICyBGmSjefKttPdDSzIWSQJuYKMeivFtI37u3W9V2tzEuMy37grQgYBvdVtplPOsr21 + xUSmbuYlDynBCLkUUGiSDECSDAelzhh0Q2216SrldVnkqU7mWCwKQGY2TjXnklLM+zIzHwbRBms6 + kIiAWVqdiImF+/kPRsrjau/UqZf/5E++dssDZx969NM31taXvRpIkVyEhdkcqQyL5dZiOQgbyLFc + hBVAU1UWIeQ58Ice37UtSkphWR80G2KYEVNKBSBTrU1zGZi7wwYxHTuyzQgRphNRL4BUSVkS7+1c + vnj+rBsOH94+fuLEsBjiQwkw1cNXHf3H/+S/nujEJTqMjAXDVEWiaLVI0M3N5gJjE/TVQoIqc+uq + z65xd2ZKLAaafKzVzLlI1qbffeKJp5985qoTJ37zP/5PzMxqTctcDev1SnIK/L21BkcqpYcROExX + +ztvv/XG448/furc/o0n77j/nge0XZuCTElzwHGHJAdVP4DwGOjchBTjkmg1TolZln2kiQNEzH25 + OHVyisItSEycZDttrdfjNI6L5dIM7h5rxhxuLkzCSIndOaY/iUi0EAAiJl03cyUiYU4iEKYe5Nkq + 4ODSA3ouIaVToJqV5kg8S4ELmFnn2NqH3bjEwLCm3mxaLhIzwbDa28siw+HEywUwNx2itCAACFwF + jnDk67ClG0gSibZaay3LpczYU9ypqaKVzl3v+CXCM8CdqJHMrmUEYhw7/rHPfP6fPfb5XSk7ZSlC + BSBApMABZRgbjJMkQgK8NkyVDEBBGiAJYfpJPo1jLsnM1+PEklIuKadNgh4LfxzrMOTutkJgIs65 + R6HIds1Qp9Xe3jtvv/W1r311cfi4Uv70L/+dQ9i2TmoGOVb7+wPboWXhoXS8IrqCkkk7DtYqvMIc + TeDghOCYG0Qg4YfTorkaHaTCvnfp/N7OzrjVWvMw7zNoHLOJ+cCN0R2O1tTdhTnnDECbSpLZScZ7 + xTLnBqHnxU+Ah3SAo8Z9isLXw0ATxMwhRplfxsSLQQxQR/joxiWF9NqaASCWYbGMrR76RIDLYlHV + ptrKkDE3AgDMWv6+QZkgCQz4yNNqXHIOkFbVKosyOOQKcfVESMKu2poaT8a121f78vjRX/r8Zz/9 + +V/aT0fGcmQEerM0vFx9U9x4FJIEpJy2KPP8HSYIssTsv/jh2qBTc9O8sMSJYAaZKkhhIcrxqrqe + mm7e/SfS2w/mukycU5k1QPO33U2tjtP+7t6yLK4+Xi7XeurUj/78zxef/w9/LW1tb28fXhY2wGxi + TImqCKam7lnyAuA6jePe/qHDFBsj8J7+hJvWpjIIJRHCqKgtjIM9MS0WC0KDjjaO7717+rlnv/el + P/p3Dz/y6IfufWCapuxohBz2r+v14WXBxniUwKCpTeYQydbDJWtvUHEZ+gEWQBozzB1mzOJqZBWZ + 93Yv/vAHL/3+v/6D6+87e/XiyEfuuPeaYRsJKefqQJ2QObPXcX350uXdvfUGbSbQetw3HEo5KMBD + kPCIGQyb3M1TDuAuClESZuIUu3ZqUIOTSRGCwtTrSCxJ+NzZ97/73Sd++3d+Z2x6/Jrr7nvgoVTC + sL6L51gS4KoKrUkY5LAGIRhZm55+6skvfvFPTtz58LV3feJTH7/TQyVCWFdXOOoIa2wTDLVO1YzC + szt6BNWpagN4mVIGJw5HiCxYjaNbO3zoUMAhqWSttU9U44hPPUjU5jYPUCKKLoij1bGiLDILDN0w + vfdAw3hYFdaSgJnIfT1O7mAuw2IbG7AWMwgDeLxxpAodTHMAiCEQvY1mzz//7Je/9OWXX3vzZx95 + 9K77Hzzmy7GCCIsCsMMqIIScEqcCjnOzToMAxDANBVRTSuIARAggU9vf3y9Z0tYCZNZsaioCYRc2 + iIujNriRC6Eb7msiQJhA60llCNI4yjBbgWkLp9ROcd30Jt3qNNVWU86RywqJmdZW3YOfl5kpSRJm + W1VygbAaJgKUtuLdNq4dMcMAzCTIiqZuDc2gjjyARB2TGrsJ3LQFULuBbmPEX1osfLa+LZELXfEK + HisAYWbhYbmEedWD1hXgSDyUwhSmNTzV1syYE5jSrbd89s77Pvz5v3t2OVyVcASAwlS1GnOS3FfZ + NI3MC3i0DkGEYUA1NNPCQmBiIXDIOmuF2sTSUilANhA5qmqrdblchrifeh950xJ2AMw8LJdtmgIF + o5BCAt5UrRGDWDgecVOzJkzddE41toak1FqdprEMzPEsKE5AgNAUbRzTkIh9yBz9HRCJE3MuwzDW + puo5JwBqPlUtmVnATLWaqZacRBjMqgoXB+o0DdKQSMhc2zTV2nSa2v7a8rb3WrBW52Gx4H1DcyRC + gxKwGAbUkA0qCcc0guC3xH1PQoe2F7EXN7MWN8cLEbm5mgaMAAJanVb7ly9d/PZ3vvP0y6fP/syD + n/7kw/BbmmojqQxhJEESZk+P/Pyjjzx8r01WD9/YDh/3npKRV1c3AVFK/fPcMdP/48KyZAgcaFqr + ViFOIgdphhspmRmxU0rQGtttyHkYFnvrtrM/rUfIFjBiPa5zTnNrHP3jXEEOq49/8xtf+tp3GvOn + Pv2L93/kwb7mDeN6vbUYchIA07h205QyEblbrS2XIimLUG1e5xtHhDJwwkAQuMZmF0nuaKq1GYuk + 7qeMEEwHzjHVxjAJ/xe4m6acJWUF1iOqrqU0Fqcu6epFPFofHnv50sVTp079yZ/+2Y233nfXh07e + f+9JvSr10ZRAa5pdCQ3Q9XpSxaHDGPehrXKSGX7RSac1l7KYG/yYQajonJmux1VrFWRD2cqJWEgk + kjrTVt2N4FmkS0rnxC8A6pwPLJX5A7nj377+373+5qBbd4/Q7+4557Nnz77y8suvv/H63Xff/YXf + /MIDD9x56eKrTz351ZdeOqVao5BmRletO6qvMnczzZ6//kTRgJmZ89eH3m6CV2/gAV1LS3bD9dfe + d8+Hbr/l5Di9eXml58+effutt95559I11x8t87Su3uCapjPvvPfis6+Ma6S0RSw33HTdNdduRw+U + M0qWc3sXv/e9J37rt//l3u6FtB6XCqnOx2697u6PP/Zr/9EnHzqeMmCjV20tMS9Ov3vm//zn/+LU + a6/t7u2rw0Ep52uvu+6xTz/2q7/yqyEJdSDcrhZDCQWlaeMkcNemkgeRtLW1FbBsrZUACqsE983U + 0WEYODTL7sIcqsmcs5mZasyNDhOrqliHW2GCO7a3t9gbaAVXmJoY88DANFkmEnbKaSgl5wyidW37 + q3WrFZ6CW6EEPmBpGcHzkcO333rLPXfd+c5b76zevbSjevbc+R++/MoDH743HcOoyAIwIwtsffG9 + N//yW984f/4iyhE0ufX2u+5/4P5D2wxb+3iJCgPp7Tfe+Z/+l//tndMXmpZxMndPOV119YlPfeax + T//y57a2EtxSXWVh5KVM8uU/+tq3nvrLF0790ISLlayZXT78iYf+0T/+z9O2tKmdeu31P/jd3/qL + J19QbJkvBBhE0JrZRGhf+I2///m/9xt5cYgz0OTShbN/9kf/6ne+/M3L2L7n45/59c984qO3Xb29 + rHACLUAQSVjtvPbEX/ze7/7earLd/fr+qj78mV/+8KOPffhn7s8y57GYvXUQJhW98B2GckDoYyGW + LBzErM7NM4xjaylhiHOUsxBBTWutU2Qn1pElUG0xmySqzpTAIIxTVdveTqHUJE6gDPM2VZFJciF2 + gNxJpBB1IzwiBL5ECYMwMRuYYZIKoQI+jmbKy2XffmSd13RAre1TZcI21yIv3vSR4Y7WkDIMzuHt + gKmhMJLQ8WNXZcnwkUSQZss3TwfY0owaEUhELu/vXrxwPkkCslvMGAm1MSlgaIxIyrxNRIacwyAJ + JeecFyQNsFKy+mBRkhKLpOBZxA7dSBfD7zPy4JSkr/0NtjKuLl04/96Zd6dpbWpjrZ0e6mDhLq9u + tTU197291e7evjumNpU6SrxPQhJpc5isFdraUFJOKQrvOLw1xuAmAXMI8pkTiHMuCHoRQQTqGKsn + 1wSTxNYnj5qICDGgi8VweHurZBCRuo1V80KEYICIYFEALzwsGCpBye6edIEQmepUa0oFwDhNKZXo + HIhQlFmqboqcqJRiaq4x0M09tJ8SGR+11lar9TSOrWmtjYhzSVNzMC23tjYsslxKCkKReR9LyO6t + 7u3u1tbCYxUsYWfcOWC9AwTUipJl5qRbA8yWaOSGqUEWnqksSvwBbXBtcCthY6dq4cE2WikFxG29 + YmaWBElhDBpDUswpGCgigJOqt2Ylh08OgxKFFZyZMIg5l2TGbpaSAPDWNgRzPnAJCYIz3NfU1lMt + nq5hxjhhAUAiSqxHnkomgYIq4KBFtH4yE6PUugJzEjm0vWW16uXLstgCca01DUvJ7F3O1/cWUTiN + We/DmKKR55TTQCkR2GCs1odNzn4LDZ3XGJEgRLgONiTr9FkKfg7AyLJMScWbG5E4oO6JJCjxTCTz + ZCpiRhn6CJ5ZWeaA9BAKJiwXC3DXUfrsnEwMFgyLzAxVTOv1YigcHDQ3xMz04JDmZNpWq/0wMZxh + io5uEIGB7a1tsdHMOKj8Dq8VLCSF5umjksCMljA6JlKGZMkJYhqKDYQGnwEkwWqq414ROrTc8kOH + CT0GyNw1qK0xc2Jxd7gzUcmBzHWSi4iA2E3rVDlagJzMbapKBBZhCuukuX/BmAehB4ciCsOofx0O + bQ3ETElrIyJO2Vtzh5EgCRNyYTjCoTNxuOgkuLr2sWkgEhbmBLA7mCWzmG2GPIXOaUQbIeKLrXBK + jVMsJyrLLXhFa5AU8onRAA4iJrQ1CctgkZKLOTXtKpm+aiVxa9oalSs4KNQF/NAJ7FSydPmQA8Yc + o0HQKmyAgicftTW45ZxgDeapFBJW6ncSrEggcm1WJ01Hhu0jxyDduemnvfwD/0IHMQEk7hxmkZw4 + adUk6cbrTzxyz11Pff+Ft99+6ytf+ePPy+KjD3+4xwJ2rPfdV5JALM4DuBBLzkm2F0gOXUMdZQHO + 8QMQKZxCAOuzIWNKgZy7qiY2EFh4GMpyMTBhvV7v7u5GWGNAgSy8WC7RdXbaMfT5xIlRh/ORzK4Y + J0uJA3BXNXcXyKbcJwZIoOZGRIk5cS65lNL9sACQOYeswtpUx/Xhw1uHD2/NNQQ7fLEcmtE4hb95 + nqkLBiKW5mw9IDh7dSIm4qZKIk4wNxJOImYwd4ZRIrhbm4LtxyySSx4WacgAqmOqVgrnmVXBQhzC + DXIwUNe23qvTmhkpJ3VM6lVBBa5QR84UcofEKAlDQW3T1Jp32gd0agtKKClHGT4LOFS91ZZzTly4 + G32azIZppsrMIDaHOgyUEkUOME5G3BK7rVdOZRi2wFwb1q0HxoDU1aA+JSaRxNRbVcOiwDkG3rmB + QnnUx90jPgsHkWSj0wZMOzDc6mp/r04TM20tlxvvjpw7XZe0QvdhymlbBA7sreC2OrJgkMIbKLu5 + goaBU4JjbG0UGpjKoa1tDgdMNaY0DEs4BK2PcfdgVnbrGYuBfexeV41KHsqocGArwdzCjnk2ipVN + VerN3IxFcikpJ9qo66MtwpuBUNTMzD0bJeY4EInxf7P3XkFyZel54H/OuSa9K5NZWRZl4E3DezS6 + 0QAa7c1YcsgdhbSkVnS7S+2+6EGxTxsbWo0oUTPDHQ6DM9RMN6enLbxrAFXwprz3Jr33mdedc/bh + ZqF7RFERG8HlE2/UU0WZzHtPnvP/3/8ZhtZZCtxEqBlQHQydWW0Uy4quWwiWBZHUt2YDtCogK5Ik + gjHhmHAOpO5XYx5JX6ffIoQopbqui6Joiix1XQdTGL5uYg71CRUzNVoiqvcgnFMEmq6rmqIC56Z9 + gcnzBMMAwwABJFkWBUBmIAlndcMGRpmqYQkhbJdEDCCby4yy+nmPCQawAwDlHBgXCRgGZwYSRXNt + iHVXE0AG5Rhji9VaN+JAYBgMISQQxChHwDFGtWoVIbDYrIJAOGOcGvVHYJIVMKmTlGldEUJMA2YE + ABzVtUQIAAQiYExwvS9mgiCaJzUmQAgIFhlhCpwRaT2KGRCjjHHgdbtCpOkcY4QQkiQZAAwGxESN + 642OKZMFnXHKkSyaBot6uVhkzHB7nIKIBVGw2S0cFGqGOJjUHx3M3ETNLIbMrpDqnDMkCHXPd11F + WEBEQBjpBqOME4GgdUKPWWkZlGOEhPWQMsYYqY9yOBACnFUrFV3XjeeJewgJBGvwm8RKzgFjkEQs + IFkSDQCdAUYgIvjazURgGDo1sEBMFIIyxjgFjDA2XQwZwohgASMEDBhn2FQJADIndkDMwsvssAhn + jDFudzitdqcgAUIAhMiCBIhToJTpwE2LXA6Ig6HqtarDanE7XQozhV8hKncAACAASURBVF/rke8c + rBaruYcAN93knoe0E4zNGF6GcN09zXQ1N5cuBk4Qq+dn4HXHL0BEqIuM6/7B6108RiCJogkxGZoG + wEx4pK6XFYBgCRMOTOHc4EQ2GHAGssABY7SeymMYBuOgU67pOjEB4vUShggENEMi4PO4LXJR02g6 + DU47yBbZrK/NVyGIkih8faC2jqeZL5SAxSIxJnMuwzoKzhhHiBOECCGUcsMwzPrW5EFjjGXZwswc + NoyoYfZx62PXda3u30u8/afrv3X9Y7NuAcB0nxEIsdps27dvDwT8x44e6+wKTE3emZnpNx3S193c + gfH1poxDXZ76lTUQAJjFzvOVtV5Q/wOht/VVy2Hdft/cTikww+FydLa19nZ3JdOFYiWjKtVINDK/ + sOj27UHWr/8+1bPZ6Fp8dSmt6OCwe5va2gKtzW6v2b1ppVJxLhqaWJm/e3fgs88vqdUKqWlCDQQO + yOUNbAoL3paA71BPQBKJpmpaIl5cW0sNj4x+9tlnC0vL5UoVE4FxQBj7WwKaYfj9ga1bt3hcNgBg + nGEEhBDgphMjY7qhVKuZXD6eyuUKZUXVDN3gwAVBCAQCgUCgqbFRlKTnN8/EcFdWVhKJRDAY9Hq9 + kiSFQ6FoLJZIJDhldoulweMOdnRKDX5ms5g7NqUgCgg4AUMHZGjlwkJ8bTVZLGYLek3zOl0tzZau + do+hq0QQOCCDgcEopfR5OKbZQn9tdXKQRI/Pu7Gvd252fjFe4JwnU+nhkdHWjk6Xx/oVto4BKsV0 + ZGls8HE+JxC5ARz2QGtnS9AvyyAYBq3lC/Hs4lry/uPRX396KRrLGpTomgHckCXU0NyscuoOBHfs + 3NJkx4wztVQKr6xODK1cvdZ/d+j+5OIEEADDIoFFBHk1FW3btGHXwR0NNpxOxx48uH3+0hMsOlRd + BGpYRcx1lXNdxCAAA9n5wuGTLr+XAKqUSzOTo5cuXSoye4K7927p2tJit1s4gAh8XefMWWpt5fbl + i1UNciVltaIxR4O3e/PmnVuFdezl+aYPddek5wuPm89PqdUSyVQsnshm86qmcg5Wqz3Q7G9vabfY + nVhYD4pBiBBkuhAgDKVyKZUtr4bipWINagXJULraWtydW2wtzYZYr/45MIQowRwQ4kzUa0Y6VYzG + wpFoSDdUTDClht1hb2hoaWnp8nj8dgc8P6Y5N2paqVisrKxEqYEDgYYWv8dll77+8vVaJZtenV5d + pq4mS0tXe6DTwtd7L84RZ5walFGD83U9Ka+3f9So5PKhZGIlFi2pqk6BI6GzNbDBTZstVswRowww + MvNlGAfT5rT+j/FXB1YdCeaMsfVoK44zGYinVsOJ+VItyRmz2+1+v7+tpdcuN8oE8/XTyIxdMumX + +UIhGl9bWQkrimoYDBBy2J0NjU3t7R0Wi0UQBE3nAkGEAGOmU6r5v8xGBbiq5PO5uZmpJ08eLS0t + qKqSzqafPRssVKnf6bJQ1tvWEgw4bF4JOKeUqqrGARMicATRWDy7ulyOR7GmaLJTbGjxdXQH/H6n + XWCwjhwhE/IExDkzjGQymYhHk8mkoiiGYQDCLrc3EGgJtndYbQ4iirA+kP/qzZoBZ8AZY5VSNZ/M + RRanxkaGk4kYNSAUWn306OFqsux2B72+1q0bvHYLw6qSXFtZLSznkX/jtr5Gl1l3cV3TiqXywsIi + EcS2jk5RlDLZ7MLCkqKosmxtbGza0LXB53MjBNlsLpVMZlKpcqmkqgpw3tjY0NzaHGgLSDYJdBqL + p9PR5MTYRCKeUBWtWq09fvw4ky8Qq2xgCHS29W3sWwdEuGSqoHS9TplQq6vzY9OTg4MTM/l8rqqS + +cXFR48epSJJzNWmRlsw4Gz3NyWW15IroYoBvo3bPH3dCJ4bpCDzXszNTmc1Edn9HT3bPB4rBuB1 + 9R4wzlLJ5MLcjIiRz+ft6upav62MM14slyOxeCqdKZZKusEYB0GU3B5vY7O/qbHB4/GYn/W6TTde + jw/jDIBTypCpoyeEI9A0LZfLxaOxXD5XLpUUhcqS2+32+4ONze1uu1PgYDCuqtVMNFZJ5sIaD7QG + 2gJe5LQ+P1QRByhUsoVkOB5Ni2LQ17Cxye+TLQCcM0bT6VQuleK64Xa6Ak3N1Vw2kc4srYaqmqYC + cFFqCgYDLYFAS4uAEQZmaKpAMAYGuhqem40USu72Dmtjs1UU49lsPBLOR9NI4S7BRpqaPBu6hWaP + VQZT5WWWiwQxhBBHmCKBI2HdZBgBZXoqNb2yrMqi3NTY0d2DkGnYAsxMieG8XCmncpnQ2lolXzBq + ioCILFqcDmdza7s96JfcNrN8EAgBQwNEEMaZTDaWSEZi8VK5YjAuSrLH5/M3NwdbW60WyfwIrA+P + zWwKU9LJlEJubmpidWl+cmJCqdUYqaythe70D7gaAwRjr8fdFmxtCzjIug05U5ViNh2NJ8KRWEXR + QJA0hiWbo8Hjb/W3N3sbLD4ARAwAFQwBZLMqqdc7ZrJhnTbFBMSsEhEw5hQAcK0GSiUSWZvMZcq1 + so5AaGxs7Ghv9zf77TYboHXTJP7VSLxcKGaymUgkUigVazWFciqIosPp9Pv9LcGg1+sDAI7quPe6 + tLm+FdfBNuCMMYyAUZZIJHLZbKFQyKQzmqoJRJAkyePxNAfbGvytFqcNrwfxIYBqRcmkkwsLc+2t + QX9zsyxJqXQqkUhkMllF1Tggm93Z0dXV2t5JRJGsq6EAcTC0bDQcyS3ndblny26b1VGuqNFQqJAM + 1fJJwjWb29UUbG1sbZPcfhAQrRupA2WcUAaMEuAEEwDCsVSfXDIKjKnl8mI4tFZgzRv3yn6/OaFf + rzM5aHpZUSKZcDhbzmZTVK8JmFolW6CpLdjc3tDWxOq+H4SDzpAOlAJihqqmEoXVRDmcUZRqxYFr + fifu7WwytCLiiFHggL8+Ufj64/m7Fe66Dfg6L7z+oxiIKIoSAkwQafD5jh07kqsVHz4b6+8faNuw + aePmbQ67CXhyAB2BAkABEwqiZnBKGWCEJQGwSbpHAFypqblcJh5ey2WShXJV5xiJFovd6Wtq9jU2 + NzR4bbIgEsQY1xSlnEsvzs/OzEzNzUxrqpJOp8bGxy3uBou7kUu2Fr+/u8nd5rWDVgPAgHClUkll + srF4PJsvKIrKAFltNo/X5w+0NDQ2Wa1Ws6nmAKTuYAYc1r0cDAOoXs2nl6afzk09nZ9fVVQ9nc1N + T0/ddbtarBYu0ua2Rm/A3eoSATQCIGATQGC6Dvm8GsrNLSwuKjoyGEFc7GrvaQt2tgRs5kbIDAXA + QJgClqnCc7nK2lq4VC5Wa9VSpYgEkKySze5sCXYFAl02CxExAmYAVSLh2NTs3PjkTCQSoYxForGh + kTHB6tANZrVZGxubOjvaPXaR1zcytG7gSIEb8dBKaGUhGQuH1lY1Xc8XSqMT0zca3A0yOECzOOx9 + WzbZXTJGwJmBmG526To1MlWeXVvKh1YK8ZRdkJpc3saWYGN7m8VrJwKYQwdd10WLLAgEEDcMo1Qs + pFKpZCKRy+d1XSeiZLXavA2NTf5AQ2Oz1W6p0ylM7T3ihqEl0uloPJvNV4tVXdXB5nA3+5vb21o9 + Hqcsr7sArDN3VUXJZHLhUDSdLiiKQSmSReLzuFqam73+FleD9/l0zvQw+Rr1zwSDjWouGw2HpmYX + V5aXyuWiYegTExOi1dXkb6GAGxqbt/c2i2qmlp6ZWUzIDVtcLbvaOm0mGIoJAs5BUyrFwnxoKVVE + zYHmYIvd5yEcDM4F4IAxMdRKqZienpnBFldze29jQ6MoMsxqQIDpqFhUQ7HFdDZbKpV1XZWQ4ZCR + 2+XwtnQHuzdSU+LGgJguZQyB6UJjqrzZepojAADXda1UKoVCoVQ6XSwVOQeb0+Hz+fyBgLfBa7c7 + TeERpbA8PVtNFzRBbt60VW62SeR59c8AdChkFlbXYoqh2t3tXV1NLpdFwpxqiOlQzE6NT1Jng6Ot + 29XYpJQK6UQ0k4iXKxVd1yVJampqamtra2xslCTJTDQxb3cul0ulUqFQqLu7u6mpSZKkcDgci8VS + qRRCyCLJLqezva2j0d8lWb82CKaUGgZjDOp6CWK6fgA1oFbRytpIJJew+TobvV1um1NCdZEENcCg + SlUvFIvxRCqZzhbLVYNyUbbY7A6vzxcMBhoafJhgRg1OmYA5IJ0jXdNYMhGNRcPZfKGmMQOIKFkD + AX97e7vX45EkAQAMSoFzE3UkdRXn+laK6nzSarWcy2bD4XA+n6/WVAbE7nA1NPhaW4Met8tqtZj7 + KTOMSqWcz2czmWw6nakpCqWMMm612T3ehs7ObrfHJ8kipQAYJAGAUrWUS6dS6UzOYrVv6OnTKUtn + C4vLq6VKVdEpwsTfHAi0tARaAmZGV30rQwSeb+bI9EQjgDgYFHRdEgRRIACGQXVFU4slFg5NV6JR + ms3asEgczbKvLdi9yeUzU0BEDlTXVJEbCGPAiGtqLldYWQ1lsrlStcYR5ghLFmsg0BIItjY2NhCh + Xt5Tyjgy/ZfXafDAgTOua8sz46H56YmVZCaTqSlqKp158vQpLSV1jizeoKulq7PDZw6ngfNaJl2O + LSRzJXv7DlvHdiQh0zi9rvoxjHK5nEgmY4l4oVhUdY1yLkiix+ttbvEHg602m41xjk3fjefTHMaL + +XwynlhdWVVqVZ1RJOJAwB90O5wYKKOarhPKgYiCWGf2YEHgYAAwxik27Xs1pRhbyYVmZhdiyViM + arrB+fDwMJcFp9PBmdHY4Nu0sVcWBdFkzCOkqWo+n4tGY6lUqlyuGIxiLFjtDm9DY0Njs6+h0WK1 + ERE4hfqmxxlwzJiezRcSyXQ8mSoUy5phACZWm40QwhgDzkWB2K3Wjb29TQ1eZmLGjHPE0qlUOJlf + i2UqikFo0Upqbjty+ja0du2S7C6bOe5jtFoqT09PT0xNzc7NKaqayebHxid8LjzfIBrI5m7a0NDS + t6nZPFio6ZhGGVIU4IzFogvhhUmolCXDQLLT17HZ1dbnbpCldQv++mW6IFCjUC4kEsV4opDNFg1d + RQgsstTY4GsJBJqbGmRZwl+tFqhWa/F4Yn5hsaOjy9fQJFusc3OLsXiipqhNjU1trf4NXe2mCcrf + KWf+6frvXf940K0p0BDWD4aGxsaDPt++ffvMiAyEVEp1Va0wBkRAZuSloYPGQSDm6FtmoH5NC/Yb + fxsAfYXo/gPhts//Wp1RUudXgEmkBZE0Nng39fbMzK8shTKEoFg0OjExseOFPSZ0bLoEAqeRUGht + JZbNgEFwW1tg7/59gdZGqx3AUAGqa6HZn3/wq2t3783NzkNVQRarhDHjCgCUy+XpqfEbX15vsFea + 3jhk9XBV1cfHx/7L33x68fJlVdMpB4SIIIiUccMwYqHw7dt3MEJ/9Id/6HF1w1dgNueMIuBYEmuF + XCwWnplb/OLi1SfPRmLxRLlcxhg3NzefOXPm1KlTBw4c8Hg8VqtVkiRN02rVqqbr165du3Xr1pkz + p48cOdoaDN6+c+fKlSt3796tVSr+psYXtm//7vd+d9vhE15nwERdNRUsIgDVoVYBiUejiS8u3v7s + av/k6HitVN3S13f88Pb3334ZWIVgwjhHmKxT7uvdhmEK3wUTt6/jQiCQjb09bcEW+mQWCziRTN27 + /+DwsWOdHa2iiRkzBkBZPhUPzc9N5/NYkny9Ta1dDU0BqxUwAiKCouSfPhr4yc8/u3jjMcM2SglQ + E5s3dFVNJdQHD++D3dscbPPbvYJIQsuRDz84/+//3U8x2Gu4ts7Sq7uEz8zM/7v/+wd/+m/+56N7 + NqtqhTNVEMBidxhlQo2ioqkC6CKAVZYvXbg4OL30b/+v/7jz8EnZyTVVFTF3OO3FsljjRKWMm8Fl + mDPOqfnozCoyWxStNismBKBSKhdLlXUtbv0LfzUZQ+uILdc1TRAEAF4qFQcG+j///Itnz4Yy2SzC + OOBvOXXy1Dff++beA4ccMqh13i5DwAUCokgsshSLx4dHpj/+9OLkxGwxHnJg4/23Xn/x3e/tP/02 + OEEAEEGXBCwA15SyKAkISDFXfXR/9Or18wP3bibTYU1XDMp7+loPHXzl7Jlv7N51vKvbbU5iCAGG + 9GwhOjY298tffFatoNOnTpw9e8zV3QrAZQs2CemJROLxw7t/9eEvra09W46+9N4b33C5Gtc9eBin + lFHDMIy6Gu35ZxUhYMbc7Mz569c/Pn8xkkrplDk87jfPnX7vxK4ze3uYxnTdwMANYIZZYdLnN5F+ + reflANzhsLldLk3TCDIQwhiTxcWVi1d//fnFD0KxOQDe0hI8derlb7z7Ozu3eKySpGlgkZ5PeSgA + BSwsLi5euXb3lx98FI3GqlXFYrG2t3fsP3Dwu9/97vbt2xsbfaVi2Wa1Epnomm568QMHTg1u6FgS + ivn81MT4X/30/5kcH85nU0qNLS4sLP/sZ5LD50DYiclvv/f2q68c2rZ3MyGYMaaWyja7w+31IQQT + ExO3vvh04NKFQiLN7M7u3Qdf/cZ3T59+pWdDhygAEGE9JYsDowJGqqrNzc9dPP/FxQsX4vE4pdTu + dG3cuPnkS6feeOvtrp5ep8tNKQgiwgiQiCQQTOaMST3WDSMcDj24/eAXf/XDUmLOKCmVCty//+DB + TFT2tPm8rVu3Hfhf/uU/87WLLJs+/8XnXw6F07Tp3/wf/6d7sw9xXZBYqVScmZn94Y9+3NTk/x9/ + 7/cZh0ePn/zsZz9fXFp2udzHjp34Z9///oH9ByQJra6u9t++c+XypbnZ2WwmI8vS4cOHXj7z8mtv + n2vpCGplpb+/v//G7ScPn4TXwoiiYqHw4x/9BbHISBLsPs+b77/b2t4mSiIQYIwJRGCcc0oJEUDX + y7nMz3/217e/vBgtKnFNKAru69dvPLn32IplQy0dPbrr1bPH3jl35vq1q5c++rRK8bnv//PTLe12 + hygDSARkgniVJZLxDz785dRqztrY9f3f++NdO7cLNiwQQIRgIJqijo6M/PhH/9njcpw4dnzj1q0A + AJwLogCMx2PRjz/6eODevemZ2XKlShl3e3279+4/cuz4kcOHX3hhl8MmM0xUVSOYiCKpe/kABoJ1 + VVFqNZvNhgUBIVQsFMbHxr68eXNwcHB+fi4ey7pdge3b9p95/dSrb5/s29rBQBNALRQTQ0OTV28t + FCrNv/2d70nbOpwtFiAgSzKAAKCGQquPBq5ev3LL59t66PC7r5x+o6FRoFwVCF+Yn7ty4YJSrhw9 + ePi97/5WZH7x9t27F69eG5mcTBWKgt3+2tvvnHvt3Omzpx0OO6KGotRkq4yB66XS+c8/GxgePXTm + 3NYDBxs93rGhoWuXLj34cqCUyLf6/N379r/2vd/deux4c6fT9Egwodt1ty/MEeHIpMSaUIQ2NTn+ + gx//iDnsOw4f+f0//EPOGeWMmHbXlBlUjycSj548+eUvfjE9Np6JJ2RRbmxo7OvdeO7ttw+cfqVv + x6a6Pp1zpmkIC4yjqampa9dvXLh8ZS0UUXXd6fbseuGFkydPvv32Oy0tAZddstkk9DU/YpOTA1Rb + Xlr6Dz/49+HVpUSuXCwWec24/+DB6MyCDqJFlg8eOPDWm280v3ICdMUqcFnAxWJpaGjo8tVrdwbu + rYUiVZ1pDFraNxzcd/TsqdcO7zvW62syoykrRk0SBDPlGhgysVNKKWVUQgYIWLBJDpts6JmCUuQc + 5XLFpfmnf/vLv3j2ZDQSSrkcnkOHDr315luvvnrWbusAQGAyVRgjgmCSZMPh0PDwcP/AwNNnz5aW + l0uVsj/g37pt2yuvvPLKmTMut8+k5+B1XepXRDnOzcQfzrmmaQSBYRjTU5MPHz588vjJ5MREKplm + lAaDwf379599/a0DR04ELT2mK7GZ2RXLZvv7+3/453/+nW9/69WzZ1wuV/+dgZs3bzwbHIrFE5Tx + nt6+3/re7773jW82NDV/FVOGMVBtZmri2t2RsaXkn/xv/7azs3ttLfLR3/7tg1tXFyYGbTLp27rl + 5JnTp8693vuC0+qy6+uEE0wIYASazikFDoAFQbaJIjAVMDWgVp2bmzl/7eqjmdip97+/+dAZd6eL + mtUgApAkKJeSicTnl25cvv3g8eMHVK9JAnc7PGdePvfWa++8+a13KAUugIQki8gF0AylKhBLtVK5 + f/fRp1fufvlwPBWPBL1k37a2b7/76sbeDoIFBETXWVVVdf6cVPsVsP6bVWr9/GOcASBCTOUCr5cI + giiLsihIBmhOh33vnhfmQ4uD49OPHj3ZuuvQgYOHurpbZJPbJCOCdcNQESaqgUplRdUM4GKdZSgw + EGQAlM3mhodGvvj0o0cPBuYWlykiFqfHH2w/e+61I8dPbtu6ubWlSXJYOPBSqTQxNvrTn/x4anIi + FksUK6AtL6cK5y/dvKOCaPU0vvn66++eOt62Z7taqRBREiQ5mUgM3Lt34dLlZ0PD8USCMmhta9+9 + d+/p02ePHjve3d1ttZCaSsHgVktdLbL+9hkAVarl2ZmZH/3Zf1qeHZ3LQ62IazOzH64sP7p62aZW + iU149e1zp98403p4O1DFIolOp01Rs6VyvlyBeG713u0LP/nLn6SyeYNjt7P5W+/97huvvh9o2k4E + oJSpapkQKoocIVwsVCfHlz799IvJmfGV1cVoYg0Jhq/ZtXPXnldeeffll97e0BHEEgZDV8qFgTu3 + /vKvfxZP5eKZgqaqDx4+mpie/+jT86Vqta9v45tvvvnq2TMuu58zEJ5DJCZNxNAGHz+8cvn8+Ohw + OJzJl4HWIh9/8umDL694CW20QHdf3x/88R9t6OuxGIau1nSlQnWw220GZQuLC19+/snjm1dHHz2R + GN65aeupc+fe+OY3ex3bAQPBQAjS68ZEmCCg1IhGI9euXr1y5crw8HBNUWSLrSXYeuTosdOvnjt6 + 7IRksSABMACRsACCyZsaGR76xYefDo1MJTNFiuQmf/DYsaPvv//erl3bAn6PSCRNK1GDWmUCwDKZ + 9KOHTz7++LP7958kEhnGcHODb/+e3S+eOH7w2Is79x0QbQgDaAZQBByD9By6Na0YDX1mZvrG1SuX + r96MJDKZfLWs0g9++cvzl2/YXV7N4IeOHPvf/+h3BSU08/Tmj//q1+1bTu1/+Xvf+a3TVitgsCDQ + AJhRLS/ML3/46e2RmcTR48fOntnv29MtCphTMHSDGTSfSc9MDf/gz/7M2dB25s1vHT9+QvaKRC8J + NlGpsUgk8YsPP7l799784qKqVGWiN7qlI4cPvnj2G28E+iQHIhh0nRPCMcKGYQjPo8A4cEpVVRUF + gYgSUFrIF2ZmZz766Nf379+fnp0xqNHd03Pg0MHTZ87s27+/r8/JARBGnNPPPvlk7MFTTbJ/+1/9 + yc6XjjQ5TVYAAgRgGIVY+Prli7fGZqiv5U/+1z912+xUFPRq1cr1XGTlL3/851Kga9dLr71w6PDq + wlz/zasDt28tLi6WSqXOzs5Tp069//77VqsVIVStVh0OhyiKCKFQKHT9+vUPP/jgT//1vz5x4oQg + CFevXr148eLdu3cFQvx+/45t2999573jJ52BYAMSTfYcNkVLBNedOgVBxIhQpoFhQD43E8785FcX + 4rbG00cOvPvi4ZaABzgFMEDQMRZyidzwyMz1GzcG7vfPLc5Wlaq/xd/d27PrhT2vn3v3wP6jLhdm + VOBMB+CCyAEp2VTm7t07ly5cffJ0OJ7JGoA7urpefumlN954fffu3Q0+H8KIMW4YOtdUe11jyi12 + W30ExhhwQAJJp9NPHj/+/PPPBgcHV1bDlKEt23ceO3rkrTff2LFju83eApwDZ4pSW1tbHRoafPTo + 8f379+OxeKWmaLrR3dN75OiJ997/5q7dewKBRkUFhEEUgRtqJLQyMDDw6PHTQEvb7/3LP6AcDQ+P + /cVP/mpiajZXLHt9DSdefPHll185derlhkavRQDG62kZaD1LhHPAREQIqGYQVQWDBpqa7bZYPr9a + qZUyuUyyUPris4+e3rg+/3Qk6HO1bdq1dd+xt77zO7t2b7HIAAAG5dVyyWuRkCgC8GKhMDU19fn5 + C/fuPZiZm1d1ane52zs7jx0/cfrM2SNHjtid1nouLWMcgCJAxJRnYQBglNYq5Q9+8Ysnd7+Ml/ly + rGIYtumZ2R/9aNFNtKrOtu0/fvTMW9/+1vvYAghAxmh2ZubZrfNPhsf3n/vuoTebm4N+wMAYEATA + WK1WW15afvTk8d17954NPovEY4qmBoLBvfv3nzr9yukzp9vb29eFbpwalKzPmFeWV259+eVHf/ur + lZXlYrVsczpee+O1d069dGz3TkZppVLVczlVpwIBZsq+6mpkk0pMKIVSqfjg3t3HNz8beDC2uFbK + 6MQA4ac//anzi888Xrco4MMHD/yr/+n3vW6X026TZZEzls/nRoZHLl680D8wsLCwqBmGxWoLtLS+ + dOqVF186tXXr9mBbu9tjXachm10prVRqkxOT/Xfv3e4fmJyeyebyDFBbe4fVZjV0AyFwORzBgP9P + /viPjh4+KAlEEERO9Vq1PDo6evlG/0efX0lmi0jL2gR1W19w39HX3v3273Vv3u9qAODAVXVleekH + P/jB9MxMtoKKRT1dWP0osXT/zgULLutgO/Ti66de+9amV/eBgDnVCrmMpmFARBAhHIrcHTj/s7/4 + j4VYxEJ5Q0v7y29/9+i5b+zev89pR7Kw7tFrNseMKXptYWHu1q1HX956MDo6mctlMYLWYMvBA/tP + v3LqxPHjHe2tsrnsAAFj2Wz25s2b/+nP//O/+Be/d+jwUbvD/Ytf/vLq1esLi0unTp167903f+e3 + vyNYJfgnyu3/x+sfD7o1+ZsYY9MO3/ymKAhoPWtYELDFImFi8ngYQkAEEHjd/wjX4yz+u0+X/8Pj + tvA8HMb8jtkeCAQQczpsu3Zufzo8iceWGIJ4PD41PVMolpp8gDtFVgAAIABJREFUThFAMkthVZud + mgmtJAAQpY7m5rbDR/Z7fCJHDEiullgeG3988+aN1VhBIO7mnq1vvfbGwW3dHqLJXFkqqHfG5sTG + AANVEIlWqywtrXzyyacjI6OUMqfT9eq51868eg5hgomg6drk9FQqlTYMqhmGaXOzHlsDyMzz07Xb + t2/fHbjzbHCkVNVtNtvBgwdVVS0Wi6lU6sGDB7FYLBKJvPjii1u3buWMCYRIslxTlHw+Hw6FFxcW + 0+lMuVR+/ORxOp12Op0EoUq5PDQ4VChXj4Xib/z2931+lwWDKK6Lm4Df/vzTiwODt55NxYq6x+vt + 3dCrVSqPHj1cXRzyuKVIzcmrNcDY5Ig9n71g9HXNRd1fGDDq6enu7Oy0WEiNoVwuNz09E47E+ja3 + ypZ1nNrQMqloNhUmAJwjt7flxMmznV29kgQIQC1nF+cnzn/20fTUPGNEkB3vvPfu2bOvEcQkXDO0 + zNTMbChnqDozGNE4LaTTn3/+6UD/AwqMAdu1Y9e5b59taGlwi02Cai2kq6PLEzcf3wKErTaLw2EV + RDAMKJcqr7763ZPHDrQ0uqheXp6f++hvP4hHI9lM9tLlK8gdaDu62emwCwTVajWgmGIRixIAN8nR + GGPTMgxTKnJmEwBjogLFABZZtlitRAAGoEM9+eu/XrHAAYFASLFQWF5Z/vzzL549GwyFwt3dG3bv + 3m2xWrPZ3PLy0t/8l79xuH2b7fuRo762Tb/EaDRy6dLFZ1OrSyvRRKrEGLPbbRJVnj59Gq3h+XTt + 0Ok3922yC1xgqkaZKsk4k4nOTCWuXHw0MriyGp4RsLh162anyxKJrVaVwt27t2en44cOLJ197fSO + nZt8jZgDYKAGr5YquWg0ptWsuo44QxSYoakEJLN2IRhrqrK4sOCiQsu2vCTLoohBB6inLFDOOcII + PdfLMQ66Fl9aePps6OLVG0MT08lk2uNtQAJSDfXBw4Hc/JNnV9wz0/MATZRTBsZXGwoHQAwQfU7f + 4wAIeLVaLpWKBGNOeTgc/uEPfxhPZuaWRgCgocGnKEoymbh27drqUvK73/znB/ee7GxzovV5IyC0 + OD939catB48nFpYSoiTt3bfPbndyDisrq/fu3Q+Fwq+//vrJkyd7eroliSBkqtLqT7Fucgvc0LVq + pVwqFErFYq1CNQ10wSCUgq5rgHQiQD1mgXNGCSGyy10sle/evRdeHp2ffJJZWbRarcTryBhoenqq + 9PHH0Ujk29/+VndXl9slG9SM7UEGpc+ePhvov/PsyeNYNGx3OI4eOyZJkqrp0Vj86rWrU7Nzb73z + 7v6DhzZ0d2k6AAKrWIe4gDJKOAASJYlz0DRdVVTGqEDAaoUaQTpjnPGaopbLFXOGRzGiVM9ms+GS + Wq5UOPeJosi5wTjjnKeSyUKxNDwyPDIyNjwyOjs7hzEhmKSSSVXVEonE2NjYxQsXx0ZHdFXdsWOH + w2Gnup7L5/r77zi8joNHD3gd7lqtqihVTVM5Y5yDrumKokgECwKuVivlcrlarbplN0HE7P0xAkzq + lk/UoKaAmXOmGwYYtSovWQWLRZYRwgIxbeKIzWoVBSGyuhZaCyVTqYAlKAqAGUgYSsXCyvLS+NjY + xErGFazFk8m+muK02UwyEWOQSCRm5+ZGRkb27t6FMQJGAUCtVOKJxLWr1+49eDA7N5/LF9wu1969 + +1KZTCqdHR0ZicbiU5MTZ8+e3b9/f093pyRKjDHDoKJQd9vAwDFGgiBghHRVyWQyn37yyf379+fn + ZiVJ6uvr27u7UVGIppJLFy97/FbZBV1tXoSYQauFYia0Fk4XtXSqqGsUMABj1DAMQhEyGNd1vRpP + hBEKUINhJEgSALJgDKqqRCORYjZnwqg3b3w5t7RUqinNTU2i3RHLZu/fv5fNZcLR0NkzZ7Zv7HU4 + bIQzvVLJZTPZdCadSsdisdUr14q57NrSUi6Vdrs9XslplNSR4ZGoqh+KJfnZ4zvaG7y29VO5bkiE + OGDG1+NBgILIkV6IrC5RT6ApZ9R0WSJMQDrBDHM9m4jce/D01v3BZ2MLmUy5rXPzru17rQJkU5FU + dObzX2cXktGDr76ze/9+qx04wkQUV5ZXHz99dvnK1dn5RUrp3r17ZZtNN2gulx8eHmlrbdu7d6/U + 0W6Vn9P1memJCQBUNzRNY5RSalBKOQfDMDRNY4wDMVd0XdFvlaRiPhVaXrh++cLQ00fzC4sckY0b + +7zNLWvRRL6sPH78OBpKDj+dOHL6yM7jO3x+r0WwYiDPfQbrEj7Tis6gwCkYeiGXNWvigf6BUmF0 + euxCrRJzOh1dG+zlYm16erqQLyQTiRdPnDiwb79Fls2QTEPTc7lcJBL54MMPHz9+lEqn/YHAiRPH + ESHJVCqZTH7yySfhSOT4iZePnzjl9tgF8hu4LQJYTxAEABBFYXJivP9O/0D/nUg4bOjG1i1bxB2i + UqulUumZ6em1SHx6fuXIyVeOnzguS4AwaAboulGpVKPR2MLC4h35zuTk5MLCQjqd8Xg8lPJsPh8K + R371q18tLK28941vbN66vanJiQAIZ2Do8VhkZXlpcT728MGD+/cfPbj/OBmPM8b6+vpK+WQ0Fj1/ + /nw8XziYyB955Q2LR7KZfvFEAEKAc11VFVVlDEwT/Doyzlm1Uo5EIotLy4dqVY6RgNYRNk1PLC8+ + vTdw6cu7o/NrJSYcOnTY5ZCpXs2lcpOT47lkbmhq6sw33uvds1nEwIAB6IKMHty603/j3p27QwuR + oqYK3d29RIsvL8/97Gfh9qBfFnClXCNuERBC//Ux//dXrNxMhEMIEKUGMhgGDLpRrdY0VSuXyrVa + zWaz7Hxh+1I4deHqwPTU3O07A687X20OuAFMZ3idc0M3DMCixWoXRQk4A6UGyDANDh8+mey/P3Jv + 4E4ssooAHTl8WLI5cxVldmH56tVrY5Mzfb3d77/75uGD+xyWOg6J14t8AKDU0DQNE40KhAPouqFr + OqdMtloTsfjE5NSFS5fGJ6czudzGvr5du3YzDvFkamlx6efRny8uL588efLUqVOCQMy/95tUDo4I + UKYpSq1SrlXKqqJgwDYgBICpWtXCVJmIhk6pjoAiKFVKxZKq1GxWKZWOfXH+8/HBa9GVQVEUHQ5b + qVZLZ9LXrn2ZTfJMKnf4yLbOLrdsFQEMRclnIpFPfnXrxtVn4XDc7pJ7ezdv3t5XqMSzxej45GA6 + o8zOJH7rW9/dtSngdiKqKUqtUi2XK5WyYeiICAgTg7GqonJEOMKqbhgMAOpDX17/PHNgFNSaplZ1 + VTEMzQxuAiIAR6qq5/WSg1tMdyAiYEEQZEmSRKJpMDoy/Cii5WOxyPS4kU22tbXVsoV4NHLh/Pnl + ePzFc69+6zvvajogzi0Wi0CQqtSymdSnn3w80H8nGomKknj4yBGn05XLF7L5wrPBwXgqPT45/dbb + 73b39rpcFs5ArZYSodlf/Pyvnw5NRBP5pqamzu7NFrs3WygtLCz+5Cc/+eM//gOvZ7doFRljiBuM + wb27/f137t2+dadW07q6Og8fPq7U9Hw2Mzk5WSoWV2OJTLm6ddfuQKtHWLfFBwADgGoqMRRZoMBY + rVYplYqlUlHXNM4pcK4buq7rlDHOEeeYc263WQTC06l4gU5YmqZPZ083NYJFAMoNAVi1UpqZnpqe + npmaTmFR6u1t2LrNb5eFuhM3FmKx6NMnjzKppLep0+dpsMhWWcbAYOzR/TuPxm/dH1lYTaqa1tu7 + 0WG3atV0bG1meOjZQqR45c7IW9/8H04c2un3iYwzxtnX4QhqGIxSSZQwwVqttri0ePv27Vu3bi0s + LNjtjtfOneMAVaW2tha6fPlyTVUa/c02mw0hpCpKLpOJrK1lDVQoltdVAiAazEwaYJqSjMdCoTBX + UbFS1Q2KELZYZJYrpGLhaGhFZqJ1fv7Z5HR4eWFtYVat1bxer8ViyaQzt27disfj77zzzoH9+7s2 + bEDr/ni1Wi2Xy0Wi0cnJyVwu9/TJk5XV1Vwu193dXSwWS8XS4OCgodNIvPj6W7/V0upzO4BznSAk + iiI1KHAQsGCYUkpMQJJAEBlja2trMXu1UNxsGAYwAKoBUZVKJhYtfvbJnbsDEzNzU55G5+HDB0UL + KlVzFSXeP3A1nSwtzmXffec9nxdEUQTESpXU4uLQB7/4YOjJfDJa29C1pXezpAM1GJ2ank6lUj6f + z+l0SpJkZrmYjn+c12lMpuOfQEg2l52Znr58+fKTx4/D4ZAsW3bu3ImIVK4qAwMD83Oz7733zqtn + z7a3BXO57NTU5Geffzo5MZ5MpVwud1tbuyxb4slULl8cGBiIJ1MvvfzKa6+/GWgJyrKo1FQrAUa1 + bCa5trqcLxRGRob6Bx4Mj02FowmMicViy2bzd+/eTyQzyWT65VMvbdu2kVIuCkgU1u2eTesSzhkH + ScBgsYJsq1YUpVYzDHVhYWY1OjUy+TifjQqKtnlzTymVXpifW03no/n8qTNn3v/WO7IAIhGcDgdC + 1CiXQqHw9es3B+7en51fkC3WgwcPWh3OfLGcLxT7+wcisdjU9PS51851dHTabYIoipybGTVmmMV6 + iwkmSkOpwUzrDENVDYpBQBhjjAkgRCkAN12moFopx6KRycnJjj0JxriZjoY5AOfJZGJybOLDDz+c + mpkulcvtHR19WzYzzuPJxOLiYjyZWAuHXjzx4vHjx0RRIoCIQDCgWCT67PGTm9dvDA0OxmIxn8/X + 1OKv6drg0FBicf5eZ9vC+JjFahOsDkCCZoJCDJiuU8FgmD3HiBAAM3RmmPNahkEQgGCMKaXValUQ + sKppnIMgiAijWrX26OGD+/fuPnxwPxyJaKq6Z88em8OhqNr8wtLt27dn5hZ6+ja+9vobL548ZbcI + HDg3KMJocW7h/oNH12/cDEViOmO7d++mHErlSjQWj8fj1KAOh90iSQY1ADjGmBCiKNW52ekrly4O + Dg4tR1JNzU09m7e7LTqtJIqZ0L17d5fCpVOvffvcywe729y6opRKJUYpo1TTmK5TYFoNNFUFUaJY + RJIkCZJktrQYgyQKGEE8lrh6deTxg0/mZu4gBB63G6rleDx26fLlxXStpNT27dnaFWzksB5xiSEV + Cj2evPfZpfPT0yu5vLpjx06bzcIZSyXjc3PziXg0HFp76eSLR44eFojAGTOr31qtFo/FV1dXFdUY + n5gcG59MZ9IOhyOdzqythQz693tB/dP191//SNAt//oFwBmro+xfnWzM9CPDCDinlNE6RopMrAae + 5wj+/f/k/w/Mfr0dQyaBndaDZQgGRoksbdm8qa0taLXgCuXpdHplZSWbzVabnXYZOK7PpxYXFmPh + LAKPhLz+5vZtOzbb3YhDAXB2fvHR0Mj92blZsLT29mx7+eRr77zxztEXOhxWHaCUypadG0ayDHX4 + ZEkSEpHk2Nj4kydPY/Gsx+Pdf+Dg22+/8/a773LAWARdh4nJifmFhVw263A4TAgb1S18TKt24Jyl + UslsJmOxyB0b+oJtGzq6NlBKs9ns/Pz84ODg0NCQpmnBYLCnpwdbrfXIS8aq1WoimRgZHSWEqKoq + SeLOnTsbGxtVRYmurS3NzY2OjIK7sX3Hnj2HjvrcSBAAdGRUq/l4+PatL+/cG07WcM+2/Zu6ezuC + rcloLBGZioenEvH0WsUOmsA4cEDEtN5mjBNSbz7WOcP1R0tIW2trR3ub1+stFZhSq8Xi8Vgsls0x + bwuuPylOw2tLkbV5AQNnYHM07Nh1oNnvNzGZRGx1cvzJ0OBQKgVeX3Dn3pNvvfWNt995RcAgE4Op + ydHJidGlQqIq2xyeYrkQWV1+8OD+9PSsCPLWjbtfOXfy29/5RkPQ5xGaBA3yCeic6lFl1uz3I4xE + qS420jVj06btb7zxdm9nIzdq48ODY8ODarWSUdTxiamd4ahhbCYCwcA0XQfGDSIgQTQF0AAU1gFY + 4FwEbiFAKUUGJQAEE0wE9LXIx+fLlHEAzsh6ADQipFgozExP37xxvVyudHR0Hjx0uK2t3WKxhsOR + SChaKysYoec9P0JgxgvHo7Fbt75MlLkku/bt349AFLQSL2enRoaePRtM6LK7fdPWjt0Oq9m5GgB8 + cWnq5o3BS+fvM72xtb2rZ2NzZ4/P12gPx5aW12bnZwrj45OFjB0hyeNx2xxB2c4BKIVKpVYolcu6 + QlSVmQ449bfO6hmVlBq5XIn7CjVFwb/hFsaBc4wBE0JI3fMBDB1qtZmZqQsXvrj/6BmXbPv3H2rv + 6ZWsuFjNJ2MrudD8tbGnpQwwN+eccsz+zizIPEVMERdHwCmjlFFBEPKlytLS0vJq2On2tbW3Nwe3 + YLFWrpQX5ueXlpZu377V4G63SY3BwOHnXhaZdGp4ZPjjj3+dSNec7taTJ1/q6OzyeLycw9DwyMjw + 6ODQkGyxWCzW1tZWm0UCAHOV1rOcUN06QZbExgbfvr17JIEvLcwuhbIOr7dr5y5voN0jiHbOe3t6 + PG4PQN0tSJItqUxGqyaW5moNdujr7d0YbObV8mquOrYaX1ldVRWlr7fXYbW6nB2m+ohSmkgmHz95 + cuHChUw62dXR/uKJExu6uy0WS7lSHR4dG5uY6h/otzqcstXe3tnFns9I6/LcunSYAHE4HJ0dnSdf + fDG+7EyHRqth3Rtsadi4p6l9qyz7Wlp6XU4XwYbODKVWq1Qq5QpSVZ0ywBhzyhEgQnC1Vg2FI1/e + /HJmbs4w2OHDR1xut8vpdjpdDocjGo1dvXL18eNHmqqeOHZs86ZNTY2Nuq7NzEznK3mEEGVMkqTW + YHD3Cy8ISHh492E+U5Atlt27X2hqCUoOKxXxhu5uZEZomyb6pjyNYNNZzSrLO3Zs12qZmWiqNBuu + aWJrR9eOvq2tDUGg1e3bO9va20VRbGhs8Dc1PR6ZCIXDq6GQtyXIhfqHN5fPrSwvxWLRWLxcglgs + Fi8US80NtnpANYdkMhkKheKxuPXwIY/HAwBAjXg81t/ff/785zOzc/5Ay84dO7q6u7dt35FKZZZW + 1+YWlxaXVm/duq3rhixb/M1+m82CMGL0q1w/DoAQFkQRMFZqSjwWu3fv3tjYqM1q3bljR9/GjYHm + zmKRRiOFmcVxUZQoNTAAQZwztVTK53KFfMmhqpyz59AUr38wETWYUihkXM4yZXWCJGBMEDYMvVDI + R8PhQiabS6Wz6azX49n+Qpfd7Skoytza2ujU1NDQUKGYb28NdgaaG10OZOjAGNUNtVZNp1LjY+N5 + DkzTvE7n9u3bmx0+Kxfii6Fny6tjY+MlydYo89bXT3otnnq2+/rRzADX5bjcTDzTkVEq5lMGdufK + pKhgjxVJgoZBU0rJtcXhm1c/vTccSlUte/Yc2rmxc0NLgwWrsZWJ+cknQ5PTDx8MpMDV0LbJ1+Wy + EoQBlpeXLl++9PTZkN3pOnbs2Kat2xxOV6Vam59fMMkFZsg442bS/Tr/b31Tdbtd+w/sDzR551ai + 8VyZi3Z/e/uufYcFu0eWxI19vf6AH2MAhEKh0Jdf3rx06WI5l2kOtHT3bezo6vG3dqxFk4trkaWF + 0PLCSiqaT5STcsDygn+XAyywHm2/7mJsTiBMz0gGVGfUAM4r5erAwF2JrGHEd+7c7nY2Y7AmE9mp + yanR0RGzg+3q7PQ3NVttNkBI07TllZXr16/1999JZzKbNm3at39/Z1eXIImra2uzc7Ojo6OPHj1S + VNbTu5UIG+x2Igjrh3X9jdeRJ+CMEFIoFJaXlhhjba2tAX9g86ZNTqdTU9T5+YXR0dGHz0Y0EAWb + e/fevQTbTHtYxrimG6VyeXx8IptJLy8vezyeHTt2dHR0Vmq1WDwxPTu3FgqnsvmmQIvF5vR4txNz + YVCqq0qpVIhGI3fu3JZEWzqd29C1Idiw3WvF2VRkbmVlZHrqwcOHimBv7tm2YdMmj33dSRZj8wZi + hAFhg4FRN4ThwKiqqcViIZvNKqrG6rl4wAyqFIuDz559eePGnf6H3rbuXbt27d2722kTdbWSSaaf + PRwMr4Zmfr3W1NPr7uhua5YYMJ1WKqXKw4f3r165tryWbe7asXPTvq5OP6uslJNT2fjy8tJiMVeo + lKnNzIv5Kp/36wXwf6PiRfXUbVPfu/7jjHPKOeOaqqmqQgjasmVjMqc8HppdC0Xv33+wc/d2u0sG + GwWuY6Rj0wQJCYAEjMm6USNVKoVwNH/z5o3bAyNLi/NbNm3Yurln05ZtxGJP5oqt41PTs/NTU9ML + C3MBf0Nzo3f7pl5ZlpqaGve88IJFllzOmdGpRbfX29G7MdDZS+we2dWwcdMmj8fDKCOULc4vXDh/ + /nZ/PyLi1u07du/d1+QPAMLzi0uTU9OjY2P37t0TCNm+Y7vX67NZ686SnLP69BZxQBwT7na5Duw/ + 6LPbIFzIrGZYg29TsGlXe8AvguRwbtmyzefzAxBgiFFm6BrjLBJZ6e9XaoVIg69xz74XFEPNFjOR + tfLKXPHBvWGg0BJ0tbY5RBEAGEe1SiWfSqaqZb2vd3tXT7Cts9nlE3Ll0HJ4/NngaHg1lEnd2dKz + vcWN3a4GgqG9NXj0yJGF1fDscmgpFG9pCbZv6G3f0MOx0NLS0tG5wWp31BVU61Vv/QtBe7Bl9wu7 + XE7bw0eP46tV7HR3bt2xc0NAVgpBh9DV2+P2eExDSIIRQaCpMDI6UvapEjUC/uauTT1Bb2M2mggv + rEwuLGT7+4nTcfLllxx2m9UiCSIgDrlc7umzZzdv3hweGmpva31h164tW7d6vd5UJru6GpqamV1d + C8X/X/beK8iS6z7z/I5Lc/295W55703bau8BNNAwhKMBKYKUaMURKYqzGkkT3JmNmY0Vl6HhSlpp + pZnd0YgUPQiRBAkSBNBwjUY30N3oRntT3nt/XWYesw+3GtRsbGxoIxR60ol6qJdblZWZdc7ffP/f + t7BUVVMbikSjsXoYrKys3Lp166WXXlxazTe39vRt252uaXLDyamZhcmpiaXlRbqJTzWU0ULWW16c + e/3111599Y3Jien+nXv6+nY0Nrb6np6Zmrx04cLY6OiZt85KalE7HC/dJez3D7O7iJDiqDRFKpns + 7GhbXctcvTkwMj7j63xHR0ddc2dJeZUyrK2jJxqNJi0/HgtZgs0vLIyMTszNrUfCMScKQMOoTGbt + xo1rE+MTc7MbVNyemOzNZjdcK0Y3m+5kbnbm2tXLq6tLtm1VlKcd2w38jdWF2VNvvvrLl985d3m4 + rbt/W1t7Q1NTPBbxMnNjgzUDt28MTE6cuzrlJOqSEZ7Y08OFIYRsuhrcJUYQQihnUDqTyQwPDb99 + 9u2zZ842Nzfv7O/fvmM7oXRuYX58csIL/KKxj4GBIVqpXDazurS0WDC+Lw3ZJL/f3Qy0kV5mbWV9 + fd2Ectm8F0hNQAhnRgV+diO3vjIXDC+zyOxqJixoTU1NuqxECFEoFAYHB0dHRl977TXbtl3XbWlt + 1VprrQFIKfP5/Pra2oULFxKJxOjoaEVFRXtbe1l52drq6tTU1J1bt2/evJn3RLq6Y5c4Eo/wYtRK + Nxs1xBgUKXgEtAgAUVKurq6tKdsPAqW0MSBQRuWmZgZef+3KSy+eHh0K0lXNO/e11bcl7ZBaWJkY + Gb/13sXha9dueJnS7vY9nV1VZeUAzPLK9PVbZ3/10o/8bLy5bt/hQyfiZTFJgqW15cmJiVwuxxh/ + n95LKKOkWG7U5G5AA2MKhcLY6OgLv/zFyZdfXlxc7Onubm5pKauoYtwem5gaGxmenJyYnp5ZWVlO + p8uCwM9mM/Nzc5Zl9XR3t7a2pSsrQ+HozOz81WvX3710+cKFC1zYTc2t8XjSdYWBIZQYI/O5zMry + 4uzc/Iu/emFweEIrs2vXbm65BV/PLyzdvHX70qUrhUJQVV3d2NRkiaLmC8poSsxdMEaRiVhEpnKv + EAS+L5V3+cq7VoiurK/V1zW2lKbqouHp4eFro+PvDYy8efo1w2jv1h319bXl4SJQy19cXDh16tTJ + k6/cvHW7orKyb+u2tvauSCw+v7g8ODxy6fLlmzdvLa+sNjQ2RCLRkFtOGYwu0qOLSHwDAkqIZYnu + 7i6SXxtayM1cGMhv0EQqvn1bW3N51Fekqq2vvq6BC1BaRPkZKYN8Nru8VMjlsrhLQTEGhXz+xo0b + L7zwwunTp5ngXV1dO3b1l1aUa2B4dOT6zRs3bt587dVXOWNdXZ3JZNK1XQqaL+SHh4ef/8Uv3j5z + JpfJ9m3pa2psjCTi6/nsxOT4yvjo6dNveavLRpQLyyaUF83D3g/OiuOp2miA2Zaoqa7yentBot6b + V72pXMD5lr6+dFO9ZYvi7w2Fw1zwQr4wNzv9xhtvnHz5pZGR4abGpo5dHZ2dXaFwZCOTvXLt+o1b + d+7cGRgeHY/GEumqmq6OVstmWisTBDdu3PjFL56/eu16aUXlnj27G5pahGWvrG28e/Hi1atXBwcH + q6oqW1pbtvT2lJSWUEYJJdNTU+fPnXvuuefyhUKyonbHnsPpmoZkSKvs3J3r5y5cmXjrzBkWrqwq + dVORXpepeCzW398fCodvjSyu5CbhpFrqU33tlSVRZaxE15Yt5RVpbTTTikAxTgkxU1Ozz//8F7nM + eCqZ3NnT7Grpr62MTC1eGp5768wZNxZNJd3aqpLNqFYBAa5fu/bCr355+vSboXDZli3bduzcXZpK + aS2HBgeuXrl85/bNU6fecBy7sbGhvKzMsmwDBFLm84X19fUrV66GhkZu3LxTVV3T3NwaCkei0Xhd + bS2j/+i+9L+sf7D+WYEJlmURQowxRfltsblBSNF+ThutoBU1MFpLpSRgyKZVCbnbNdFQ/P+9evtP + LrjdvGhg86dqA+kHDtfgxatR4Ky6saG+tjaVTGYWNtbX1+fm5ubm5uqry13hwgBBkNlYHx8fX1hY + I4jGYtUV6Zqa2mrHDYAcsHH+/Ovnzp/TgUk31h479uCyWv2SAAAgAElEQVRX//B3wzZcCoCC6FRJ + /MixgzIcS8ELIfvuzZtvv3Vmfn7REKuppe3LX/kftmzdygUlFIaAMWzf1rN1a48xKOZRBO/H/Jti + GCJETU3tQw8/3NO7raS8MhRJFuHZ+XxhcXHxz/70Gz/9yU/ePntm3759e/btd9yQAYxWBFBKLy2v + njp9pqoyvWP7ti/89m/39vYmkimj1Pmzbz337I9efPmVibHxkydfrW3paIyXEgpwurK8cub02fcu + Xctk8jt2H/nwp75weO/BRCRGpZqbuv7W6z979plvXnlnDDqljFZ6E6MGo4vTl/xutCaDwKKbhm4o + cyurK6ur0oveQiETGL8wPzc/v7BcW1oa4gDR4PTWrZvXb0wTDu0zJtzK6rpIFASgMIO3brx79q21 + JRCCxrbuP/gf/0NPVwtlEBycELi8f+fWzp2lG4RSgtnhxatXroyNjqxuFKI89ZnPfeG+Jw6VNcY9 + 5HUAMCQqcKxqx677dhTg+2vjWvqCEltAGk6oIIQqDduxqqqr9+3bv7a6tjwwUfD9TDabyWYtr6Ck + b4yGIZpwwgSlvDhioIjSjFEOMHCqGeAV8qpgOKACFQTq/Xf9/S8DGKVgJCt6HxGA0rXV1bHR0enp + mR07dz79iU/u2bMvkUwBxBiSy+Rz2UJpWSUNwwcIICjhBFpibg5z584/9OFPPXDi0UOH7rWETbKr + 6zOj3/ja//zdX505987b3fuu7O6pTTWkLNuhKpCF5Uvn33r+5y8Oj+U/8Mijn/7M4+1d5akSYrla + kdzE9OD5M6N//B/+28idoed/Xuje0p5MpypcwalUKlfwNgyBAsvkfF8qAmJbVtF0wfOMUrL4DhNC + jaFKvW/VognRlBpGieBccEEBDSMLOZVZvXnj+osnTxrmnjj+yBf/9R+W19S6US6RGxu6dvrZH3zr + T/7MDyCDgMEQqn9tn1wsNRBd1DG/ny24lhUOh4Vtzy1Mj0/P1dY1PPTIBz7+m0+myl1u+9ns+tm3 + Tj/7zA+e+eELr7x6Mpms27N3D+fEZoDGjWvXz5w+c+H8QP/eXSce/tBvfuqzACWEua77yAcee/PN + 0//+3/37GzduOJZ9YP8+17ZCrl0cPYbWhBgQBg5oFUmVbOvftW3Htktn3/zpj3/w9z97vqqz42Of + +1xf/8GqaIRlMkmbcTsA8pvVdKXyU9P5qN7SWf3JTz7x0OH9lak4/MLY9OIv3jj7rWefGxgeOX3m + bFVdfX1jXZFnlM1mbt24cenCxcGBoe07tn70ox/56FNPwRhKiDZYXFr+wTPPfP1PvvHGG6diydLD + R+8JR0OcQ2sobZgBOGeb7k2murKy+njVow8cfvOl7/3qJ//1zviF7Tt2PPyJL/ftOlIco4kLEE96 + 2Y2NtRUZBMJyAg1fQiojQAQjLicCanBweGh8ur6p5cnHn/yjP/gDGC0D6Xk+5+z0m6dfOfkSZ+zg + gf1f/epXY7GY4My2RBD4WT+3UciEk5GEE7n3nntPHL1vZHD03/7Bv71y8UqspOTLv/vlrbv67Vg4 + YPBhDLQApQAHg/aNNkWJHWzbKit7/JOfOH7PnlcvXJn72x+ZNfXo448/ceLR7R3NRsKyfWH5Arq+ + prqrrfmXL786OT5x+/Zgz/bdAhAUMFhaXBoeHVeaECCXzU1NTi0uLrU0VgQSgBZQywszy0sLoKS2 + vqGuvh6UmLw/MDD4N3/7d7cHhior008//YkjRw63tLVTxpU2q+sbg0PDf/6//8Uzf//jX7zwq/Lq + uo6u7sb6WptTvI+WIkRrQyjnRaFZLrMwNzM1MR523YcefvjxJ57o6OhkNGwUyeewtL7mJmDHDYFX + HDXP5zakDBjjluMWKdhgjDHCiSaQFIYo3y8Enu9JQySBMjAyYFwTbXSgFmbnhzY2Jiamv/jFLx5/ + 4ER7d7cmZDWXnZyb+/o3vvGrl185//a5d/cd6GhpiXW2OZwIx4pGQpzQ+fn5a5OvlLW0H9y35zOf + eLqzrSUdLRGSTlwfeO7Nt/6Xv/4vty9e+HF+6d7+ruaqRPG0J7+e49qUqRV3BmiPygxUoDTLBfby + hom5xGKcID83PXrt4plTr77k2Y39u0/87lf+TUd9NOXC0gV487PDl77+Z3/58wsTN3/5Uv+hE3XJ + zkSc+YX84ODgqVNvRGLx++6770u/95VINM4t2xjkCl4hXyh4hdLSEstmUhoCwykogdYKWlPBqWU3 + tLV98V9/ZW544MU3zl4eGAuVpA/fc98XvvSVknSZVmAUtoBNIXP5a1cuPvvsM8ODgwcP7P/iF7/U + 1t5RVlou3HAANj2/dPP6wDe+/ufnz16c/OV49/7u6u6acLIGIMXpAFDAMGOK8BjDOCsSfWKxmMH8 + 0szE0urGkx/d9/nPfrKzNR0Jl0CJzHruO3/3rT/5+q3rVy6mKyp2791vuVFhO5yYwMtdv371z//i + L91w5PChg3/wb36/pqYqHI74MiCUDA4Ofvs733nxpZfeOXfu+IlxK5wspyXRCHgxTCoarRQfhjJa + KUuweDzW3dP1oQ8+UV/fkC4vN9pQUErJ2ury8z/72dDoxNjYxNUbd5ZWNmzLtgSzBCg1xChCzDvn + zpWVle/q3/Xxj//GPceOWpZFOZ2Zm33273/885//8tr1W2+88mpVVU1HV48dKm7cKhYL25a9vLr+ + 0osn9+47+MmnP37v0aP1lUkSZJSfff30m3/+1391+ebti+++277jSryiNh0OBQqaFBmjzHVD4Uhk + lQupVMGH5qCGaK2NUkQrGB3IQN71KpJebm52+uWTJ8+euxBo/dTHPnb4xGMtLY2ZtSVOVCwUee3l + V3/67E//r+/88L33rlS296ZLOwk1+cz64M3bly6+Nzo2VVnT+YlPffb+xz6SiCHCCyo7PHj93b/7 + m2/+5IevFiQcrUHJpvnSXS5YMSo1myXaTaLepnkeufuPAEoJoZSCCYDYtm0LG4YGgfKlbGprViTy + ixfeOPfurXfPvzN450i6qhQhDhkAxrKEsKzAoOD5SgPMArVBsDG18O6FSy+dfGVobL2lufVfffF3 + jh3eG0skMwVfGiKc0F/95//8w2d/fOndd9889WZpKtXcUJ+KxLu6e+qq04ODd9489dr49F+3drQ/ + 9MGn7nvo8URZumhQGZYa/kZ2bfX8+XM//OEzoWjskUeP/86Xfre0rMwNRym3gkCeO/fOt/7uW6ff + euvtd945cPhIZ3dvpV3CKQKlYJRgFr3LB7Mc0dHR3tXz1ckrl3/w+oWbf/PDVHPLEw/e85sfeKAp + GVKEG+EaToEsorGwGwawtrY6Nz+Qzc7/zmc+/PB9u7r72gxV88uzd27M/m9f+9b5MyNvnjp9z/Ed + W3Y2xIRhgGWxWDR05Mih3dsf2bP3YDQJbsNwZdjKwtrgyZOnvvvtN86+NX7h4pWultLW9nInFLr3 + 3mOHjx5769zFb//oudFnf75t565HH3vioQ88uKlGIbD4r3OYu4PnBowhFN557NjOPbvWl+b/+Gtf + u734jltZ+dRTH/vsR/rDGlENw0GsoteZL1WgtSx4uHnldumelgdP3P/RB4/31VdFKFudnTv14sm/ + /ua3ro9N3B4euXT12va+3njUooBWcmZq9Pnnfjw+Pl5TW//Rj/3G4cOH2tpail3AjWzmxs3bf/XX + /+crr7zx9pl3ausamlvrOcPS0uKdWze8fK6zo/ODH3364JHjpeXVWsMQrGeDxeXlquoKxwGgbSGW + Mpnzb5879cqpqYmp/QcP/danP7u7f5/vadtyqTH5zMbX//iPv/2jH//8+V+kquqaOjrLK6OaAArg + EATcshkIVAEEnVu3dvZ2P/r4h/7i//gvv/jVyUIw/dRTT9370ON1DdVF+7UoBwdNV5Y3NTcu3fKX + F1cmJibT5U2pqMMIhZTrq8s3rl1dXlxUik1PT8/OL65mvFiCMmKICpTnz8/NDQ6PrK5nnFC4qqbK + trE8t3Dt0qVXXz55/fp4OFbxW5/97PH7jpeVxrUCNxmb5p754Xee+dnp51+9dPb0m6mw2drT4KRc + m4migEcrRYrjYZwD8HxvdXVlanJyYW7Otq1PfepTBw4eqKyuYpwTSqVWc4sLtuMk4olABdpowYWW + WvlKKyKYzRhkUThYtHGXSquClB4IQHjek4HcbOVSRh3BBCW3bt9eHl2qbu64/8kPPP2hx6vKS4XF + s7nslcuXf/C9733zb//25K9eSFekH3r4UQ1waijRBBJGSaVef+NUW3v7o48++thjj/V0dysZUEKH + Bwe+9+1vv/ziyzdvXn/1tVfLqpvbWhsZsaBhlHKFIwxTXiCl0toYCqgAQaHgFzQ2+XjaGGNAOPGz + mZs3Ln7rm98auCW7Ox7+6v/0B63dJclyVVCLwvan5wZ+9ctzz3z33I0bw2fOXIpErFSylDEzNztx + 5/bFlRV9aO/2T37s93fsOBJNQVN40svmcoWCV1ZeblsUBr7nU0Yti0tlKCCKoh5DGWUri3NXrlz+ + wTPPZrLZ3bt2/a9f++OqyrQbjhHKC4VgaHjoZz97rr6hjjImAz8WCbe1tBw7dqytrW337t2ghFIK + MM/z33vv6gsvvfyd7/9wbHLq2o2bXd29ZeUx4TowGxSSQAVK3hmcuTP8dx//xG89+tgHDx251xCe + LQTLSyv/6Rt/8pMf//35d946euRgJne4vCLKKQAEvuQMlFED/et5Y6mQ92WgjUGg/EtnT+84svf3 + vvzlfbu3t5SVRLUsrKz88o03vv6Xf3FrdPzq5Uu/euGlhx55rKSlROWyLslPTo4/++OfDA6OlqfT + n/38b+/avae+vlGDGEPmFhafe/75H//0uTt37ty4dr2murYyXc43idi02BrXRjNCCWciEnniNz72 + 8LH9529PDaz+1/WJzLbtW37nC5842t/tSRPwiLSozWEVsymlKGWWbVsWGBfvy/W0n19bnn/9tVe+ + 873vhcKRDz7x6Kc/+5l0ZSW3eKAkYeTts2e+//3vnnzl5LsXLhy793gLs+wSS1Cyujh788b1F18+ + 6fty986dX/2jP2poqI8mYpqTsYmxi6+98s0//9P1KS8nsmFCDOOmGCBxMCYo0QZSgyipKGFWNLLl + wIEtO9sfWfQyX/1P66+/t6H0Jz/5yUMP3u+GHE5J0fjRSDkzO3/p4run33rr9uBwfV3dpz7zmYcf + ejART3iBDJS2bfe73//+t7/z3fMX3zt79myqtKK6Mh2rSAB6bXX5vcvvvfLa67X1jQ89/MhnP/d5 + JxQihBZ878aNmz985tm/Ghrp6Oh+7PEPfPiDT1CqKQAdXL186Y03Tl29fvPEg4888sSHjz/4AeGG + beRcVpgdP/Li6xf+3X/80zs3r545E2ptKGlrquzo62vvaL925crzr5yfWPxJWX3vb3zogYfv7a+v + sD0T0k4qYJBBgSkJpYgBCFmcnj45OfC7f/jJjzy5d0t7vQtVWF68NTz5tb/8bz999exP/v6Zvq7G + /h09juMW7US99aXTb53+6XM/N4I99tjxT3/mSxXlVZYtjFGFfO7U66/+6Affv3Dhwttvv9PR0bV7 + z550Rdi2tO/l8vlsINVrp06XpEqbm1u++DtfOnz4CGFcWMIW1BLk/zk88y/rH7H+uQrexuCuFgNA + 0c7yrgYXhBBKqGWIq4gtQQmTgmcoMhQFBsmKF0pcCBd0s1euoIuEt6LBOwIYCQPc1ev90yyySWrQ + gKFglgXGNuf3KYVlwXGam5q29PWGbBta57KZq1cvz8xMcgFQk8msjU2NDo0NzazNS07TrfWV9XWO + oA5s5ZG1ifWBa5OjY/NgpLylsaGtPhpBRIAxgCpQYpiwrLAN5oKw7Pr67asjV64UCjJa1dTQvaO0 + rkVEoz6K3hfFmwwO2AzcGA7NoKiRd6UXRUdXq6N769ad+6tqGuOxlLBswYUBBXdSpaW9XR3bupps + qnL5/Eou8MAAakPZFCBM8UisrG7fwaMf/chTHa2tiWRSOLbtus31Ncf2bK9NhfyNtdGh0dW1vF/U + LhI5N79y6vX35mdVRWXzg48+2tbbFkvFbJuHXLsmXX10//4Hjh7es3MrIg5hRFEoiiLYmNIiTRUu + EDXaogLUBUuAh0Fpur7qnnv2VSW48Bd4YXXoxvXBgQlKwKDgr5jlxVtji9emsUYQr6pvbq9vaYvE + E0VMTm7izvDAhYFgHYnyinRvT6ihhsVhKGjxZTIMIA7RIQILWJwcuXLunY2VdTdklzU1J+obI8lS + DuHCYQyGQwmAIyQQosaWWuSV6zNLA4poQgwF5QZMEc5FOK54tKCMLwuMFRzHEBIQqjgFDLEkJYr7 + UsCEwRxJtAYMVaB5H3kvAKNwKOcAZ4Jw8b5l5PsFXAYIZgQ10D5MUHzo+XxufX1dKi2sUCiaIlYY + Vpg4IW27LJpySqu0QzRAJVyjbF3gRhKgtNI6dP8TB0482de/NxKzwzaSYdRXRg5sa9ne2wxZWFxd + WVhe8w2FEUEmP37z1vS162urK+27djbfc7h2W6+TKLG5S2iY02hFWWP/1t4PPbR3T5e9vnjj+sC1 + 23NrAWWAEMYwAp+oHId2bcNEkYqupdIKFlecgoARUK1trV1CQ5vaTuQ1CQjThChmIAyzgBAkVxsj + ty8OjQxltOjYdaR337HyhibqCkOJI8L1tY1HDu3/wmee7G7mrjCcaKL/gV5084bSTT/rTbk6hDQ6 + MBsFmRduuqHh6d96+sSJIxUVKcu2GHdS0fDunubDfQ1dTWWByk6sLU6tLKzljZaAUneu3hm6OsoC + 7N25b/+BQ+FINBwJhyKu4HAcq6oqfc+9R1Op5Mzs9NDQ4NraKrtriUsoA+EgDBAgFpgDEYYdsplx + 9EYIvmAwVli7UeGQSCzKLato7q6Ls9lS0nhs69a+T33qE7t37UyVVSAURyiVLi050NfWW+FyVbg1 + PjWUgcdBDHhhtbAwe/XsuZnByWgovv/wPa3bd8AJU8dlli1skUpGejqb773nGLdCY5MrtwYmNjY8 + AoBCM6I416AExoIvjO8w5jgWHItyo2hBURDmCJGyBcIWwhYsAkKyCaZCRhEN3/CAhRSH4pQwzmVe + ZGYi/pJjs0hV68EnP3fgwSedaCgaCSViodJE2GXa+BvexqJF/EjIcsOu5YaEbTHBHdeOxGORklLq + xkBsR4QZj0SoywqaFZQADYVCdtilNuGcME4ZIxywAK4V8zWXm9UPcApBYTPHJrZjKco94mjmcs5D + FqIh2JZFYQOkqizR01BWnbDXF1du3ZzOFYpHFRgpzC8v3hycLqhQyImFiBi5dn1ieMQATIBT3+Rm + Rq6fnhwfiJSUlTd2lFVUoVBYmBi9Mzh+c3LDrtmy7dCJ/Ufvq6ut5oJRToXFy2J2b1P5w4f67j26 + 36fiytTq5cGxvFcQJLBpcas3GggMKRRtiaVP8ys6u2z8rOA0nkxyJ6SFC5swB+EoytLxUCwOFjcI + AcKW1FaKkYKh65KuBywAhzGQhhJwBm4FcDxpBTCE5iyxYSHH4EMDhoO7xmHSbmztfeLTn+u773hZ + a7MWlFokFbW7q1LHd/b09/ZAWQOT6zfHZlc9PzASzFiCcqMoeCRWvufQg48++dG65qZYIiRsCovU + 1lUd6u/d01mXDsnM4sTA2MjwWhbchWFUEgFiM8NRkEFWQxX9ekEIhzYyUMoQ5sQSBBweCoCZGJu6 + fXV4fTHX29l34v77a2uioQhgaTgSIaektGb/geMNjW0bG+u3bl6dnhoHpAwKvpfzC3lb8GgkFI9G + hOCcwhKIhO1EMl5aWmbbvDiyQCjdLClTRopJD6VgBJxEw5YTi+WYWBPhwHaFbcdsxB3EbDgUxvMm + h6+O3X57Zm6soae368iDTTsOREqqhBWiwrIEKyuNb+trfeDeXbu21fnZ+ZHBO6ODMwAjMETL4uGv + CNRmC5BCKoCDhzxNpAmclH38iQfuP3GivX1HIlHvOgnHCZckonv62j7+4KG6GJmdmTx9eWCqIBRn + 0IWZwcuDA7eWckHj1r39B49V1dbGoyHLohFHhB1RU1Fy3+F9TTXptdX1N85eGF3IslCxqaYpIKhh + RkMbYkAJ55QTohvqKo4c3tfa1lxSWsIclzCbMAeWFU+EOhpSu7Z3Cye8nBc56UhFmQaH4SRP6YZB + viSd3rrn6Ec+8ds9W/c4bsINhWyXlVWEHrh/346elqiWs3cGZ8cnMvm8B4AZ0KDgZTJSGbdk66EH + 73/osaMH99eVJ2zHssIRNxxtb2p86qH7O9Il2YWFt8+cm1lY84GCgqcVKIPl+oZ7gdJGMhpQDkkB + 5oIKTmAbXxgpKCWEUUDAN5mphdnRO6MjXiix654T3Tt2V9bWEopQKBIJR4RtdbQ39fQ0l5VGBu9c + v3zpoioYS6OwsHbhzfMTUytOSf2B+x/r7NtaWoJIGI7rhBOVHV3b7r/33g880FcaBaeSWcywzfo8 + M5oYZbQkMATUGCIVpIYC0SiiGBRBACgYRRkBI8XviQaFRY0LuJK4ioXLypIfOL57Z2vEWxl96fmf + XnnvhgwYaFjpUMGDH/iG+szihlpa2ihoSH957ObrL/1ybnG9pmv3xz7/+21b97uJCsqtkCviIRK1 + gwf29z1xdHtpiEyNT166PjazJLMeAw1FInGHGaY2bOZTR8hQPHDilCNEECWIMMmC9Ts33h0cGvDA + +w/ft+fwsXRttRMJMcEFp5awGmsrH7nvQG2pu7Qw99a5KxNLvlcc4uRMMM5hqFHFIRnCbRp2IAxD + 3mifUkqFy+wIdyMIRZgb4oIyAEEACa2ZNlxRUd3R+dTTn9h/8HBjQ3PICod5qDxW2t1U39NcVp/y + C+sjiytzs9kgj6hGVNBkebJia19H/+7mknK4YTALlDJB3aRb2tuxraq6QXKxmMsv5wPAghOFYwnq + c5WBMZpHjJ2yHTfOkRKIC4T5XaXCpvEATKCgDAwDtcHDEBZncJi0ubEp59RiFJyBCzALmkBRQGhF + lSLGctDZt/W+ex576IEnG2tb46GosHlZeainI7Vnb5ebjMzn1ejc2npeGQMG6Oz8+tTlm5dOEaOb + Ovt3HXwoXddBrYhwQhDUDov2zpbu9o6KWOnty7eGbg+tZ3wFKD/vb6zKXJZxK5SqoOGEZSNsIcKQ + iIiq6gruQAISBYP88sz82y+e3RjLVZU2HX/o8XRrCwk5ImRZNrVcGk/Yu3d27d6zYzWXGV9cmVtZ + CxQo2cwCLAQUCuCauCAueBh2JGSHuNGOCcIWtyyHWhHO4TCECThRoIiVp3cfPJRIJjdWFkcH7qyv + rhZbHUEmszI7Ozs2HLJUbWVUam9iIXNzWq0GCQIGuSyXRxbmZmZXdXnzjnRzqxWjEMH87PTVczeW + J7IN9Z1Pf/7zHbu3xcvjtoUwR4g7jIf29O+/7/De9uqwXrs9MXxlbn5+NUc8QzxJtKYAo5SBFD0Q + NGeEErO0NJ/LbdiCR6PhSDjsOq5t25ZlOY6TSqWisQhgGKOEGakNYy5ncYEQCTT34aDYKjPQHlQm + IBnmalCitABcQywDgDAYaKmlNFSE0/XtH/nE5++7/wPVlXWxcFgIZjm0raN+17bO/o7GkPLmp+dv + j8yteNxQzknBMqsEBUNJXWvnnkP3PPT4h+taOoXr2m445LoNVRWP33ekp7Ysv7F45daVwYWZNY28 + DgwMBxM+ifpWBI5DLUKpgoZt4AaBUJIYY4rugDahgCZrC4vzw8MTwwONLZU7j25t3lITK3e5HQmH + Sm2WKIvW7e8/XFdbnwvWz116c25+jFNQGeisp1Yl82CLkBULkxCYBZsj6tjJRLKkLE05BcAATkzR + 2FMyCspgNFQeKgOdWR67MTxwa3p5o2n7wf3HHymvbXAjUc6YYCzkWLX1dQ8/8UT/oSPVdXVh2w5b + vKai7NiRox3dPcINcdsFtyihYcdpra3u3749XlK6rsnU8uqG50sFIz2YgjAZwA/AE7VN9z/x9D0P + PNHbt5MQLiwaS9g11ck9fXX7ukrCemF1eWpqcTlnNueMObcYE/Tu9CwB0yCgHNxhzDaUE2F1791z + //H7Du3dW1VSIrhlhOMkS7s6Oz7yyAPNZbHcysKtW9fnl5e1MmGbZJemh4aHro+Mu9XN2w8f79q5 + N5muNpQLLiyLl8Vje7Zt62pqEhrvnb80Pjxe1O8HqtgPLGJZ2KZ3IlGg2nJYPBkzXBQIV5ZLnAh3 + Ipbjug4Nc9ibobUxvm8ARS0P8JT2lSKADUVzMyNX3xofuS0J3bbvSO/ug8nqZhYJU8e2QmHXCbXX + Vz98YGdD3FqZnz1z4erUamC0JnI1P3FtemxgdjVX1bt/x9EHq1rao6kkC9ncsdJ1FXt2b//0xz6y + r7stwhgjxAc8DYlNu3sDRmAzWIIJDkAbWDYiEeqEtSTcg0Mty3Kp63KHMKtotyMtUsjNDb36wk9H + xqcStR0f+tSXtu46kEykhBCu7YZD0ZDjHNi5/UMnjtUkw/PTMxcv35haWM17BaOya2uzyxtrBWq3 + 9O1ubu8LuwnLMJuqmKvTZXZZSTzkxucXsrPzy4powhR03sssD1x/b3BwJJSo6eq/d/vuw9FoOGrD + chyIWCTd0t7ZfXTv1oiavXrpzPTy6qIOgYWIxUtdlQgzQ2TemACGC27bTsR1bQYOCMZgKNeMGFpQ + KtnW9Btf+b1jxx9obmx3rAi1IqFkWUdrS29zVWuJENm5jeW55dUN3yCQfnZt/Obtd0cnxjQNHb3n + kd27D1eXV0cc2+KUCxqKWW2tDUf270mGw7MzK+ffG51bhTKMEN9hOco0GLPjZb3bdv+r3/69bT3b + Y9FILOG4USYcQlnx/Sb/wrr9/7X+uUq3hAB4fxbjffTVP3xcXBpekI4EBfUZywrkGPJAABCAgxDF + oAGjiAkMoAwkgSIAFIpBwj/pKkZR8i7owwCU8tX6XqMAACAASURBVE12iylmZQycN9TX93b3uI4D + o3OZzPWrV6emJgmFgV5ZWxoeHZpZnF318jJE63va6luabAs2gALPLqnlmfzyqgYTibrK8ppySiBQ + jOB8EBgiGLcYYCvAK+ip0aWxMSkRrmhIN3c7ybSxEVDIu+7DRitifGp8qj2iPZhg89qLTpWaAiJd + 3VBd1+pEkmB20cZVa6op55ZTXpqsLovZTAVKZiX1i89NS2EUJYLYiVRlY+/W/kMHD6bLyyxha0CD + lKcS2zoa0lGL+IXVpTXPM8pAGkiZn19cu3pl3MuHK6tad+7bW1pdSigrtg+tUKSmsWlXX29fZzss + bqjWxEhKwIpe6WCAAJjW0D4oBXUCGjY0DMpTVWX9/d3pOE9CucHG+J07w0NTMgDVPnKLU9MTwzPr + I6tYMU5ZXUtbV2NFGiEBwEDmc4tr2SkfCvGymlRbmy5xJIM2oLp4qwyU4TqwDCyDwtriwsSEzCEU + jVc0NTvlFdwF0WBKAPApChyKggIuVAiwfdge4RKCCU2IggY1QWFjbnlpeHJufi0IwLjFHEdbllY6 + r7XPCUCokNA+kdoBiYDamgKARg7cl9QLNCiMACn6EujNcf5fLwpQaEo0qC6ab0EHMIozZlk2F/bi + ytqNO8PjM4vLG15ewyOQNnQYHoc2sLRH1IalciTwoFGart17zyMtWw+UVqQYwFEAKyCiOxuTrQ0V + oCrn+1nPlwYSopD1ZgaH1kZHlAyatm8t2bHVSYO6HMaCFtCOa5fUVZQf2dWyvRkqPzYwcuv27LoH + aAihNYUOiMkJ+BZVjMEwSAXjMepRLhk1xDBoixiXIFLUd4IpUN/QAKTYqlFUGapgGQ/B6vTw9amZ + KZ+HG7bsa+jZYcWgBHwDBcTD8a621oceOFZXnbSo4lDU3LVmp3dLt2AwRL8v6Qs0CwwxTBIhkmW1 + ba1H7j3c29PsupQQYsBtSqsrE9ubUl1N5eDB1Pry0NxUxssEShcy2bGBscXxlVQo1trUUVdXL6UM + pAyCwAuUNjoai3R2tkeiodW15cnJ8Y3MOvmHOmpCQIoFXAvUArEBziFdnXUNoIO8ZjkCBTBeJEMD + BpzxInUknoi1tjYfPXKosbHWDoVAbfCQHQp11ZS0xolNgpmVjUnfygMUGt6qvzg/cOnKxuxqWSrd + 0bstVlW74vsZP/A8P/AKlKjy0khnRxtjzvxifnh0NpP1iu+eJvAJJAgxBiaACgCDopkBfEnymsIQ + bkwUGnQzE8sCWQI/rCU18CF8FpIcEgDhXOXdwkJUriRj0aqOHd1Hn2je1qsBRo1gcGzqcB2xUBq1 + mMotzU9fu3Z1fnlZai0DD0ZSxoUd1rAkCBSDT5gH7sNW1AbjlBm26SmvAUooBywDSxpLE2iy6T5I + CBgBkQa+hvJBC7AldQDCAUFAAAUKIBRzWiqj9SURL5MfGppfXEJeQSObLyzOzM+PzqzGSxvralrK + Y8m50dHJ0dGsDwVQnZOZyamBc8uLk6WV1amalkSyDFquTk+Mjc8urJtIU39H/5HGts5QLFw0GQck + hIokxd6e2j39W4wTurNUuDE6lSsUYDwQCe0TLQ0gKTwCBUBL12Rd+C4nXiE/OjY+PD41vbTmGUgC + wiEcEA4NouACwtU8yoVFfcPWJdvwqedR+JsnLKimwjchX4c0DCEblK4JFAgUg9aSSNjScky4trHz + 4KNPVGzZKpIlATGABA2YY3a31PS0tMI4w7O5gcmlnDYeVcYo6IAb5dihsnR9946DO/cdTpWVUW60 + zEN7iLrtteXbGiuaIkZmFsdmp0cyBQ8OiMU049oIKI4CtGeIfn/6gBllMQZDDbGEDTBISGnk1MTM + 6OCU8VhTXXNfT49tw1c6LxcVNgDFrFh9Q1dpaRqeNzIysDA3TYlmxLiOSMQjSvpzszM3b95YW10J + AhUEIARCwLY3YbWkyGknxBQrtuQuwAeAkYxoI3iWWas8XGBCE2NpCAMBcAP4mfnxmwvjV7O5bF1n + V/W2A5GKMhqJgwhoQowOWSxdFtm1o3VLd5qo3NTo6PjwrAGYJtwEgNQGAaBoUWpJYAwIB7E9QzXT + iRLn2L37duzsL0nGGAvBWJRwV7DOuvITe7pqo1hbWb40NDVZED4BdGF2+OrY6LABr+zY1tDVJxzH + SF97WRPk4GXDFuloqqtIRnL5/Hs3BieXPc2Kfjl5Co9BMqOLeygFGGWAiqfCLW0NpWUpbgsZKG2Y + hgWtIbMJx2+uTws7nFGRgg5rQ7g2MAVB8pxmCPXLqyvbt+zeeeC+ytoqygihxMC3QkF3V01XU3VV + OOQtLCzNzi2trRV0MSQJlPZ9MITLevfet/vAkbbmWselgAJjEFZlacnBrb2NiUiwvnbtyvX55Y0A + CAwkMSAURHiaeVJpSEoDMEgCUNcQwYx2lCeM4oSAMAowk5Mr45NjtycXF3S8rL1/b7Kqhtk8kAaA + UibIZ1PJSFU6Ho9ZkxPDQwO3teczXweLG7feu72wmLdL6rceuLemscW2YBSUAnjULq3ZtmXL4T3b + kmEwGoDBV5tWmjCGaE2hi8NJRYiYLuIMoPVmGByQYty6eagpaEk1YdqixjUIGRoJmBuPh47u7d7b + lUqIwtULZy9fvDY1tYjAMiYsNZNGg/pUME2KeylFPrsydvvyhXdyvi5v3rb3vhOltRWwqCKUMzBS + gL+0pansyLbG9uqS3EZ2cGxpbk1nfQHiQNgMkso1Ci2JyTI7x10FcMBWBjKH/MrYwLXp6SljhZu6 + d9S2tEOIwMiCH+QLOvBlzLV6mqsqYiy3sfbulTvTK7pQjPiLWmmloAJoYwwBt8AZIBkKFBKAgdCE + S8JBN90UaBHJZAgxAtSmllvT3Hrs/hNt7V2peAqGwtCwcGtSibaqSH2JD28mU1hb8UkeTCICxLiT + KKsqqayPsJAPDl3kS0tqwS2JVURiJcZyln1/NdAF6sAKgVKYvK3zAAIS8kgEIBYCYdYdQNyt297N + NQgx5K6YoHj6U0K0IJ6AItqYANKH0TCAIcWPBIZLSQJFteWgs2v7zu2H+7qrklFKDYAArqyt4j29 + 9W4yumb4bMbPS2I0oIKN+ZHFsXcXJybCoVBNY29ZXTMPOQWFALSgAh9eKGJVlJSURVJzIzNzU7PZ + XM6XnmCI2twhZnV1/ebwxPDM4tp6geiA6ILN4IagKQIYBT8w2aWZucunLrNV0ZBu69uxl6dS69LL + y4IX5BBkYPJ1VYnGxpq8lNOrG3PLK4ECAyxqBHyi80SrQMMjjqIhUAewYEB8XwR5YQwBlbANwDQs + BSgPRrvJ0p7t/clUKr+xNjJwe21lWSmjpVxfXl6emcmtLFWWRrvaqy2bTC3lrk34KwE3hsGbz87d + XJidWcmLdGt/urmZutrQzNzM1JWz1/KLuqam5fADJ0oaa1jRrs0AoNCisaF1e3drd30spKZW54Zm + 5+ZXCyTYlJNRgGlltNLQGjCMwbaYLRhnxPcLgwN3RkeH11ZXA98vzqS7IceyrU1wBtHawBgbJky0 + Yxlma7BibmcMiALztcgTIRUxSnNKQ4RYejODJcQQRkQkXlbd1L3r4PG2ztawy0EYgWKWKUvH2xor + tzRXx6laW1odHF9a9aEZgcnaZI1TzxA0dfbu2Heoa1tfOBmWAGEMxsRce1dXa1tFnBtveHJkeGVh + 2VMFaEMJBxceCeepI5kAA4iCBlPKDgIuFTEwDOCgohhIzU1Mzg4PrS+jprG8sbfOLoHiKCj4PtO+ + FaKpunRLKpXyTe76nUvzC+NGKigZoU6KJ12D+bmlK7dvjMzNrGcDYjRVgWBwnOIkCii0oCCUSEAW + 4yLpQxWgspBrc0NXR4bu5H1T2bmzffteO5rYZN5BM2Ji8VhHb3dVY30ilSKcgxI7Em5saU6VlytC + NWGaMGMApZOOU1WRFm44C7bqBb4yMKBGwhQEspQoxexIunXX4Ye7tuyprCyHoSBgDNEwba+L9tVZ + UQIvt7Scy+cBH1AGlIKCYHPWnwBEgYAwcIsLh3BLuKFt/f27d+1uqS6NOQ4MkeCw3eqq9LG9O5rL + ojq/fufO7YWVFa0DcL0yNzY0Mji5vG5XNjb09SerGpgT8aTyCwWTyzGt69MVVckkU3r41sDs5KyR + UApKb+L4DCih7Ne7FJEgkgkmCVGEe0T4xJKUbPY4i3VbA6oDoiUIVcySlElQaTQMiM6w7PTU7QuL + s+PUdlv6+iubuqRFNhQyAQoBpB+UhO1tTemqENaXFs+9d2suoykAuZGfuDY/ORpIUtHV37JjX6g0 + yRxHUSKhLNtqqK964MiB3toalwDG+Mb4Ra4f0QpagxpYFJyTYikHYBxc+IRBUzugQjMDIun7W7GC + yqOwmpkdun7xnUzBSzX07Dr2cHVDOxc2QBjjjHEdyJbaqiM7+zrrKpXnDY5OLaxkCn6BmIJXWM35 + ns/dSEVdJJFmhHBNqfKZ2Yi6Mhq2hQhtZPTaRkERbRAolc2szE4N3lqYXyqtbitr2JYsr9LSGD/r + B3JdkoJIxpOpjqaKJBYXpofmVtZnAzsHDiNdnRGkoCDzxAR3iwT0rmKbEsAQrhkBU5SU1FXf//ij + Hd3NqXiYwQIErHAolWypKmkrFWG5IbNrG1k/ADSV2cL89VvnJ2emuB3v6dtfX98uqKUDUwgKOZWR + xksmwm2NtXHbWVst3BpeXMnZygDGEyRDmAQX8cr67i399x9/uL6qSjAQ+usixn+PTv2X9Y9a/0zA + hGKFVkoJoIhKIHer7JvFW21UwZfrOSFBQH3AF/ABA9w1q+PwDIiELUAUBXy9qSY1RV0sNSZQBOwf + b+nw/72Kpdv3cWFmU974fqVn086ouqqqs73NdSzoTC67fuvmtanJ7VJqxYKF5cU7Q4MZLy8ZiMs6 + dvS2dXU5HBZAtC10iJmwMQSEhkoS0VREy+IPDoIgzx0Ywi2CAIAmoCSc39AZbcDsZEU8XWssIgFG + NrXmRoMRw4ghBFASRIPxzTsHyEAaUC4EYdSQf0BA04YJygBV0F4hK3NrRHkgRDE7ALQ2VPlE+ZQJ + O5JKlNeWp2vKSsso02AkAKCNbfOqVDRhE5uCEs65SwmUCdYzq0tLawsLuZBbma5qLKus0oL6CGxY + KIY7QlSXpCrLy2CU1FIRoygBaPHPKVZvoQNIH5wriixgQ9jUCqeidXUV5TFRwrBKvNmJ8bGx6UwG + ZVEv2FgYHByYW/WWpA0WqW5ub+9qvouPyBHlRYSbsiPjyEQT5dGaas9FAXAUKAWUhpSwKYh2iJZK + ulRHLIsTiHiisrlZJJKGARrwTMCIdCABCyBQFiMWE1lwVjBEIRyNgrFA+hpqcXH20uXLP/vlSyNj + S1bULilNxmOC0sDzMr5fIEaDci7hZyWIA8ZA9N1o3IOQxNKEQUsYJQH4fuAHUm/Sn++mZsDdYpQG + xeZ5S1lJKtnQ0BCNxS5dvjq7nNHc3bVX1DU1OpHNDyjAAhjXUHkW5GU+pxUSqXRv/wEeD+eBEMBo + AOrB5BOOSoQ5F5QJiwpLEyjDg0KwPDUjl5dsy0q3NLHqeB6IWoBnQSlwZiQlKmhIuw1lgUXN2PTo + 0NxKDoiAMm1glOHwNLI6UIDRUJ7HhYAgMIoSQwzVilHqMhYtAhMMNUQYMKURaA0dSFUIdAGGZkl+ + eWVhfG1jzdiRssbORFVDADAOAhQ87Qpw10lXlYYdQhFQo4kxm3ePvD9+Sg3ZtBuCMvB8KDiWG4ql + SuOp+tbWZGnCtmFgBOOyuCswVZ8Q7Y3pU7fmpleXbo0O9rbWKsWX5mbnJudkRjdUNYXsiO8Hq+vr + QeAbA0sI27I4p0JwGF3I5xaXFvK53Pvbzn93iBXJTOT/Zu/NgvS4svPA75y7ZOa/1L6gsBRAYiNA + ggS7SbZarV6spdvq9iK1ZMseSzOWWtaEwx6P52We53FeJsIT8zgx4Ri1Ni9jjy25pd7Y3Wo2mzuJ + jSAJgABILLWv/5KZ995z5iH/v0g5HKOww6EnZVQggEIVkJV577nnfOc73wcoZ4YmM/GCGMp+LUMg + NZldHOmoZe1Ot9N13rU77anJicmJTpYBZNDkic7anBd93bJYVd5E3m+UHKv9anvz/o1bOkjHzi9P + zS0OiD5YX59kbosY1LmXFPoEiQl7/bSytjMc1ioAj5pm1CibpIRG8hONLEcUroShsJBWg+gaJGAP + 2kcqfYqkSORqzutGvg3kpG7L/gT683Mnjz31ExMnjjTkHZVIGkGaZWZpbvLpJ8689vrrP37hB4NE + f/fv/9YXfvJTVSo7uRNrFRyAIDDD6Icqw5CT79gst94YhiGMo3rTiGMFyMAaxIQoghQ8ZxaQJHFY + VoMgiKZFvs3OmobqohoJEIE385P5iaXZVzfLB/e27txZPTE92eps7q7d/fDe/c399LnPfMGG+uHt + m7fv3nnw4QerqzvzS1NZPRjurGzev1mVvcOPf2pi4XCr20Xa7m2srq5tIvrpRy4ePfO0NsxNKGKA + YVANDJdnsxPLS7bVedhLtx6sD8sSSUAOidQwjBtX8oBBkdHCZLEwM/HunWu//y/+RWXyynW/MPNp + smDSOiEyRUBgkWyL/Fy3k3to6okta6pLhbfgRrWmTKaSllDHIIJ2YuwZRIDZhrJOZeDAhWlPzx1e + OnNeWq0KyJyDRFQl+tvHp9pH5xdA+Yfrww/XdsT5hKpOVTnoWejUxHT3+On5w6cnuyaDCWG7168n + bAfQzKSThyaXO3x/q7+1v7dWS4ksc7kjyzFxCkZrawSERDCqqAOLTHa6A+tiwqBC14NBZTV8eH91 + 9d52y09OdqYy53Z2Su8283zLtHxW53WFGD3IAbK6cm93Z9Masrk/snTo7JnTb7x15bvf+fZgWP39 + r/2Dc+eeiEqtdpsYVa0gsga5B/HBzmVBguhotTQGGSq19dG1araiwilCiNkQQ1K1s3p3sP2BYSyc + eKR15OQQzZO3EIFR0hDr/WNLE8ePdh1h7cGDBx+sSw3rRsdkEg1E1hxoTltEU4mJMMabySI/c/b4 + wmKuQIywDM8MooWJ/LHDU3OZvLs7vLux/3CAQURXqo0Pb6ys3IfLWwvHiqnZ3f1eiLukQlDDJCkY + DY4kpvRgfWdtvx4kFAaMGho1GYJntuPQxWg8NcmSsbFKg37Vbc8QA1XdX73X3/rQs7DJkplMNHK1 + ggbLlbdD4zAzvzB/5KRtwWSwChCSVMJ9NvbowuRjx45sP9ze395eWVtfODajNpFWPmNbtNGm5cee + Pnr8UWOAWEMtyML5Is/mO8W0I63K+x/e39kfBiB3ABsoI2nVhAEkQmjqVHAmZIyq12A0NaaGBgDq + 3sadG+9c3hsOppfnp4+c2K/jzl5/IvepHGo5kHo/4wgtmcLO9vrmxprUARTCTn/93np/ILMnFk88 + 9tTUnFHBsJckoyK3TH5uZubR5cW2w76GkEJviFoxknofKwXq6MgCMQQIkpgiUxMAMBZXUKgAQgpS + Q5IR5ew7YnyryJ44c+TTTx65fG3hxWvrV9669srpQ8e+cFG4QyYnJnACqRDBAL6F1e2d+++vfTi0 + h9qdhROtecAjEuxI1mqAvQ1k9uxS99NPn1t58YONnXqnT3VqakfnjXotU41BqPaVg28GwYCyj7Bb + 7a+vP7yzt79r8rbrztRkVjc3ckckXqItjE2D/TTcatuQYnjn1gfr+6mZ7lIBxziiWFhrjA0EA4XV + dk6twisQEwWhSBwAQ2AVkMAaRGdt4Xyr6GB64dDRE4c73UYyh0djaj4dnvGHp4KjoVIsTV43iHjM + QRVMADcA8oSQjRFM0IoGe3USw0WxV4eBIFlScUQKK5MFZ85DXClZY0sJ6RE8Sa6E1CTzBkwE55EC + yEBMMmSMK3LnOSGFWFWhChJh/Ef2gEBUk4KGCHUZjh199NDCI7nBqFGJGtxvtcORY9OtyXYIrX7i + RI4A1OW996/ef+9VDlhYmJtZPLEzABiZQSZ1Hcuy3o39Otah41qhV5X9sqqrKvBEt31q+ejc1MSl + d9+99c9/e0Ct/Kc+OXvmKAwZ5CEiMIiFEMtqd+PB6o0bNx6bfuqRo2cmZ+a30qC/t+vrMGlsMClH + FardpHVi2tgfrGxuBoEFPIM0QCsol5Gic85YKEOjRNFYUz1IVQklmIwZvjEArYdwybQnl0+dmZ2Z + vvnOnTvvXd/d/HRd1xLL1Ydraw9XjeLxsycWTz3+5q07azu9q3d2fzYgCWx/fePu22urK0Mplk4/ + Pb98ghCBwcqDe6+/+JbRYmpqaebIEcl8GFsigBicwdPCdPfxR+cevj+o+xsPV1cXz3DDkjQKSRgO + K0PqLFlvYKjdbp0+fXJudvq11179+td/e2Nj8xd/CSdPn56amXGZN2QUKtCEmJBAHCPqyqSEji+6 + FqGPlMF6hWN4Y3OJKJMkhbO2zewEkJBY1BlXtDrzE0uLx8+YYjIpJIJZjTMZnEE9082Oz09eNTrs + De6t7B2NEABhLzc9ZxNEHj174czjF2FQKUTgDFKdONaU2yOTrclOtra/fW93c2OwP9OyBGvALpDr + K2zgBIUmJEGsXR1MSg0XVi3UKEBJ77x3497Nm0YwPdfOZ8z6fllYNinKsDfbznLksewTcUT5YHV9 + feP+sL/jJtzR+cOPLT/Wde6lH7/63pYO2X75s5+ePnWsHg6S71IxknyHCFs2jBoIgImoq4F3AahR + 792/cfn2rZtweWfx+OTi0UggtmwAFRAR0TAhGLQUI8hSGSlFdkwjHz1jNPV7obdXl2UZ0hAmGUfO + W9uUcbXVobVgX9iJpekjp20xRYyiQDJNmC6n22mpU7UBRhmIa0IATDMABkCbH4MEUKgDgZ2xucuK + vNs9dfbs8rGjFrAEcdQQkFqt4tEji8fnJl7WcOPGu5s7OynVsHH1w/dvvX8rCNmpxXxmsZ/IRbF1 + 2NvbziUSsVaBQ5Sy2txf397YKYewOZTRRDbLMETg8WyAAVjqUEUAMKVQgBHA2REy0vTRoQlMbCxs + Tr6A82CGREhP+6tb99/r7W7avDW9dIxbk+v7gMBK7bTuUFn091sybGvZ2+lfvn5rt1RvLcKw/+DG + 5uoD+NbE8mOzy6caDU0BakRB1TE6PT87m2UmBkkpYBRXE4KAFAYwDXWYBWAGG1FTCzmb5eT6QSRp + IMgIHRMJg3Lz/t7K+/2d9Ympc/PHz+bTcyZvMhUBWBOGvX7H4MTC9LMXzt3ZvLy63dvtVyEGk0fD + EUxRzeZ+3RsmBsgyNMZyx3FlDSW15Lo+b3njDYZV2dtavbe1dj8mPXP6QvIzGzt1cvst2i9Nq4+i + It/vD0waTNretkxu9fqrA3okaisFU++lqicaxBr2no3F2JJEqbGOhxFj2Jqc3FRnYr7tMnCTVKgD + FFQvTbUemfWXAZPqpJwIPrfgwdW3X1tdX3X5ZHdykdTvbe+zkSH1B9QvchOrHqfaM9e1rG3VQ2lk + FmpK+4oA75dOnDr+6NmZaeOAxChjglPTcKH/8vrPv/7itG4xNtAgohCCMcYYwwdwO5Hzvmh3rAcR + SUoNOCrjD4BgLQQoS/iimWoNiiQAi4WOKLz/SSHc/6Lrz0JjI7AWzRApcKA5Njk7u/zI8tLS3Ore + /n7Ye3j/xsqDO3tbG6abPXiw/taVWzv76ov29OKho0eXZmdaDEgCixhnfZZ55/oV2ta3fGYtGg6t + c5mmqpK6pEwMQAQBXOZzUIieQ2YlhpE047jaAbMlmMZjGNCGiNvcOhODiEiGZbm5tf3w3r1rb126 + e+vW6uZObXxNlmJ/7dbljTtX60qY2BhmAhOBWURVhIDMO+8cWwuE5jGzITgDb7xlY62wrZUikIMI + kkI52N+amGt5Z0UZyAl+dAiKQKI3yJwlYrBldlAew+LNJaDmY/T8AQCm1eouLR09deb0g5u31+6n + vZ2tlYf3br9/d+GUG+7svf7GpY2tHTDD56dPnXrszBk3+k5VaFVXw6pUwDJ5IiOwDGdQl/Cc4CxS + DUrKxGwaWw8VaIx1OYQmohFo2liI01hO3oqIgo0lYxUoq/Ib3/gPt999eW5CU7l+70Fvc30NUedn + 5v7WV3/x4oULSNIt2u2icGwQkmMqMs+iiBGkZBiQiGjqlIKqgBmOs1w0z1rWZTrWhtER3QYEZtXR + CiU0ngAL8/PPPfvMf/Mrf/tbz//g6vX3/tXv/fYLf/qDpaPHzpx/8rGnnj31+DE/Um0GkhDbdnfS + WLC1eV7kHu5g4RODyGd5lufEYCiN7QLrun64stLr1961pyY67QwKVAFICmeggQnwWbs7ZfNuHVEO + hlIPXQPNsyuylgFYomdquOFsDAw3FUkUSSLWWuecMZzSCHEjkCjJAcbZ+JWxgdLefq+qSu9sO3eO + ERWuEU32TMRQaFIYz8bpuMs3FmE4aGhoU/KCAetgOMaws7NVFYW11lrLbKIiqqTmnoXancnDh4+2 + ips1SFKCqkJTSv3hYGd3u6/xt7/+2//2+W+rYaZG+ikZ5qqqVldWb9y4kWVZjFHkz9N5IUoidUiq + 8D7rdtpubGEANHeShvu9Xr9PhCLPW+1WlnlDUZudpAAbZJlzmbUuz7xjhiKlZJIkSQrd3du5cvXK + //7P/lmcbZuqbKtkMZCURYb9nbWbH+ytru4sTzyiIg2z8COVYADKgBsnmgCJYvSWmlBB4x4wQCBF + Q5ZuwqjSwW4SRUwaRYtWcezokW4LTaOfmhgYAlRPPPLob/zmb7Y7nR+8cvm1V1/d2K+++Yf/dnFm + 4qc+/dzpTz6TzS+o8YbhcwuFcY6YQoz94aDX7w/L0nWKBG0eSZRog1KzyJnH+wGN8LGjSBJVKYoZ + VFJFJMAQqSYDBTHYY3Lh3FPPHlp/d2Vva/XOterEI3lbHmxvbWxu7Q7j4ZNnF1o62arvfHBlc+Ph + ysP1icmp3T7fvb2/toV2d+G5Tz27sNAxBdO1bQAAIABJREFUtoL076/efPDwfWhoWVvYnBUIDhQa + EhlSBGBd5n2mquj19nZ3FTq6bWOJWRQybuYBQHfi1OnTv/jVXzQTc9/805f+9Ac/uHbrg//nX/7+ + xcfOXDz/2NkLTxWz8+yb5l7q9webW9uqmue5jm1CR8UpwVlrnCO2IYIA76wZmSUGb401rJokRWZq + F0UzrVKG2pPCWGRFMTM7PTODzKdYh7oyzASFiGVSiTHVI9MzQAHvulkrQBmS4MzM3HzRnqzrB3t7 + vcFgqKPzIySJkoTUGnJj0zwFjKhLCcTKphovUSa44SDt7pT9XvWHf/SHL928Iy7m+a73O14kKwvb + z7Z76dU7d5FqQkgS6rrywBMXLvz6b/yG/70/uHb9ve//4AerG1tHj52YWzj0uc//lbOPnZue6Taq + LgCSgBTWNExAHqFmqmCOKiEEJKGUkEYDtCBOCZIwGAxXV9d6uz1vMdnptoscQBURojo3ik3Oeecz + Y50A/cGg1+vHBLXjDTUOYXWdOJWZJbAhNo2doDV8IOo9mrJVmChkfWdqtjs1Y/t2sL8Xyr7EFoir + EOuqQqi++Uf/7sM3vj9ryyL1SBOrWCZAU4qvvflWU9HFuiwHkO4oEjEz0ASWUcMIKQGxDuHW7VuX + rrz71pvXh/0Ya/KyJfu3BxvvvrOK1ZX2yVNhRDFqOrgxpDjQhE67OzW1aAxEERWexBjDaAHU6U7N + Ls5yxnWsh4NhCkIZQGyb1RWjpiQppUCm2e6Ne1uWTywemplfyIv7aTCohmVdAim1fIQkqFprvHc0 + xj4PgqyOPbbpo09pHVJZB2bz/q2bX//6b//J9FQ7yxwkI6FQxXI/N2nt4Z21tQ3JZq2xoa4rVMOy + 7Pd7qslZHuF1Bq2WsQ0rNMY6xhAb51GFSJHBEUSBEMAEN3pMAsQaMI0MS9PHjx+LygSMbcEJSqOk + kEbS2Bat9mMXLv7UvXT19jfv37r10ovFM2fmeTgMqizgOmldx5QCG08iIdTDECtMdrvT0xOZBxFE + ERIMA8ah1QGrgmNIKYnUYXd3p9/v1oX3qFMa2Y076zLrVUbtZ+M8KLPOV3Uoq2p3d/df/6t/9dIL + 35xswSCyZBDviWzc0MGDS5evhLgw2W4BEgM0g2FYa6GCFKECsqqKJAhxOKyG5TDFyARrGKIiTZsj + NbrMEKlDLKuqritmmujC8NgjdJRoUKvVKtp5IzxLYkYxRmtQkv3eBw/vvfzG5Rvvr95f3a/LyqHk + NNjcG755e6uuYp65ZiGJqokJVd0fllVVQ8QwMTdeggLSRliFaKR+1pjgNVlQSAHkDKiuY4iiiiLP + 2q2iVcAYxBplAjJJEBY1bEGsiomJiU7HfhQXGnTf2FarTUSxrqthGapKpANjsqxwPg8RV69cvbP/ + +9/74UuFqxwPjBmK9kR7HGj1xtbKzc061qIqkoyx8wtL+dPP/e2/86v8/Cvffevmv/mD//vaD//4 + 9NLsk4+fP3PxM6efetI1Wv2oNSawIbQfbO9+53vfvfk/39lxe1xrri4TzmSYyXB37cHVlTINhioS + Y0whKQww4vuB2XuH5jyKgUSMte12J8sLoIwxxFCLZgIYTmAH0/bF0tIhWV5auG6v3b7+0trKzwzC + JyeLydurvWt3dk1r9vTpM+efPPOdF166tb9+4/rl7a2fGfq6Nagvv3NrZWOnMzn1yCOPLM3NWoS6 + 3KmGpVhnXTtvt7vtlgE7jN37ABEBExk3NTFRMA2Gw/X19X5ZKloAQo0Ug/fesDApJIG002lffPrp + 9fX1kOTSpSvf+va3bt+9e3T5+KkzZx57/NyTTz81MTVRpzppIkPOuyz3xqEOZRWGChStZvNHeIJS + jDoYVKpk2aYYm1ySrYVzSrq3t5em5judVqfrnEdMsBqhYHKK1G5PHFleLrrtKsnuTq8cQEXhE2go + mqC+LG1VJgIkIMSQMueYkeewaX7x0NLSkRt3t6phOegPZK4r0CSiTOSM9e7ANXFU5Dc9fR1RypsQ + lWV5lhUKvPDDFy7dr2bm/o2HWk0uhYLFSRjU8uq193d3dhliDBtrUNdTMzPPPvvc1772D/7gOy+/ + +M6d3/ud3331+T85c3j+E09ffPSJZ4+cPpe34MeJvSTU0qidwmcFIM1O29vvDQd99m6y02rleVVJ + cOIJFAMkRVNYb7U51EQgJWIYRn79vWtvvnXp1vvv9/tDH0sa7NFg70FJKyurNHVk0CtTjKMjQiTJ + SBUyz/z83FyWW4xTleZ0yfOi1ekai9HxpOAxU1KBA+PTZgoPREjS7/fLcgjVIs8y70dHlI62izN2 + YmKqOzHpnK92BzFGIgYoJIkxQfX1l1/ur97/D/9yssXiYkXVsMVq2NZl/d67N/rDIWxexzAYVt0i + MwdsNWrc9vTgTSJpCEFFgOadjlJ8kabjnIgFRLBWlVKSJCqiKkrMUJOUeoNyWFabG8M/+L3f/c63 + v+W8hyarwWpooezELdd7ePW9B+CZbivTalAO+kVKu/v93f0eQrTMzrAEkBVDasAMBzKIAmbrvTGc + e9cyYCDEGKJkeYua7ueIfUejdwEYa9iQaMKfBX8USEnKqt7ZGUonOGuLAqYhe6UIa9mgaLWaSjml + lFKKEvb2dnv9vnRodnbu8MLCZCu/887bNx47tfnsxbmOYyKt0nuX33n7+nt7dX3k7NlHT59xAOpB + 1duvQ1Vr2t7dfuvNN1b2/q/v/TsuZDPDbknFkAtxLb//wfo7Px4+6KeFiRRqoymzLZBL5JKCVBHr + UJUpJSg3hgjAiMAtoKRgoiLz05Pw4zr/4Khw3vssNxbWWmsMFElSjImImXlrffN3vv473/2jP5rM + MmtlyIOSBt5TVoawsXPz5s3KHW5c6aqy8I4wito6PT05MzM9gqYUSRIDDfXgL6//gusvFLql8TRf + g1OMiLc6ZooY63zWfEIlNWlyw6BtsmQYA1JNsQkff8Z4lAgKFTnw5fqvds//0a9KUAeljzCIdndh + aeHkqeP3N9f3769WO/21B3fuf3iHlxbvP9i4/t79vQEm5hZOnz6zdGix22l0uwEoN7quBKhKWcaq + +uj/NI5SVEHi5msVRGIcWxBFCfv1cCfVA0iHxw+IRtw3Gsk1Nt8lsalY2LBCU6rv3L759vW3r16+ + cvmNt1Y+fFArB7Y1O6dV2P4w7vZTgjHGWavS0PVYRVNKKokO9C0alWKikfkykmFiY4RtEIoCYjJE + 0BjqvkEwhhVMyA7gsaajzNLIuhLIETcMoo+/Phmht/+RPIApJqdnz59//M7b19+8fydUg/W1h9ff + vnpqerm3tvXWpatbO3s2K7rzcydOnFg+Uhyg24a5qqt+GQlIMYRBnyOch2OINKpIFiGIaBJlIlUk + UQAh1Pv7u7GuoB0AYDY8orYJwIqYkkhSIiUSoKqrG5cu3bi0i7SdGXG+024vHn/i5Cd+4sJPf/5z + h5cXOew6YyxbAyAlC8mtYQCiEG3MgiVKQ/gxBqysbFi0GUzHmHWr49+IskKpiYPcHPApn5g4c+rk + V37+S8Zaa3hja/uNlz+IP8aZx6998GB1u//Fs2dOdqYAJkQVkPONoC6LiOGDdtiohmXrrXMqqiqq + ogJlxJS2d3aGFawz7Tzz3ODAzXANGkdgWGd8IZzFBJHEGh2ajcTeela1kjyTaRaqbf4SAIlIEgGR + iEiKGB2x2uCCqelKE4PIGMBYsBlWIYRg2TpDlhQy4snbJsyIBoGyJWPHIMZ/stcz/pw1UA2hrqpS + s2gMqUiz8NE8hqSkZGzebk9Y6yKgqimOTBYBDSnWg/7KyspWrIXJWQcg1PVoKRM/+uijS4uHThw/ + 0e12/9xY1AjsC2CMybznA7Rq9I4QYogxQNU7k3lnjCEkVVWBQYPAeTaW2ThrLTMrVEbPlA2Lpmow + uHfvw9ArXAyFpAa6za2qDL0vLlx44tFzF48cOdxqFfiPHx1Dm3fHo0fbqC82CYEejMeM0yFKSgmk + UDSjAh/lSYoosNZOdjvuY+YtIFIVIppaWPiJxb+ys70TXfe1qzdvv3/r5tuXu7nZWl95tt8/efGT + M8vHp/ICDqihpAINkijGMUQ+ar6Nii8d2fiCGKysBqSQCI0kNWtiMMhHuAQTAVIB1FCz4Ty682cv + fOLQ1Z20tvLhu2/tn7d+aXZn9eHW9k4FN7/8yMkFU9Dq88+X21trH9y+f+zY6d6mXL2+vrXrJg8f + fvrpi9MzOTAED3rlxmCwBQ054MhKhEafWKyHoiYRKIitMU5FUdd1VdEo2nPTxdNxSSAKpIgss0tL + n//852vb3o/0YHP/1s0bL73ww3fPnrpz8annNrYee/q5Q4+eaGeAIiQZDMok0ojEEROPqwcCyBo2 + RomiIDemlWejrYhEBMujs4AJ1lBsYMtmOg0M45AVLsthDFQ0RRJpArLNHEFiDAcWwAIQcucNYoDU + IJmcmi5aEzGiquJoFrHZChJVwGIM2VGlQwR2gG8EXYytuJk5BBn2KXJVokTa3Fiv7t2SLORZ39ld + HdZ+2C7qKZtPH146MnH65IULjy0szKgKiI8cW56Znd/c2m11Jq9ce/fqlatvXbrW7kzs7fW3tncu + Xrw4OzffaRMwml9G88Bo3FlSgEgUkoRVSdXqqCfKQBQkRUxpd3dvOCgtoZXlmbGpqQugjhlNk8pY + ZqMwzQ/f2ATIGFk/6ICLKERG+lSqohh1PVLUcZIwPsMJZGCc8Tmzhqo0EpuRuiiQFJHCzvrK/bTT + M3VLB6yJUmQIEZEx84uHZ04em37kycW5WcYIF+WmBaIAEKMykzGAYmNj/db791557fKrr1+9evU9 + Qkaa5djp0Jb0Hj7coqpcIo2aDowKGCSkNSmccZbbksY2iKhHGkUQn+V5J4dVaUblx104FdGUGizv + wFBhnCmCjEWr4/LCGIuUJMUUEUIQK4CCmtsmoo9q0YNTQQ9Q8tHEBglIBAQaDgYrDx6GQT8zhmKd + MawmSqVDsKoXL36CJw6fP3/eOUdcJ5HhcCgizKoamwQ2y8c8ntERS0qIMdVV5cy4kzp6uaySRN0o + ff6YZd+BYSE++tzHfvuRpRmUCOyWT5597lP+23/67vsf7lx68833PvvEVKtKYFbKonJMSeoKRRsp + RpEaCmTOessN57cRbUBDL8wKpDolrUNkYjZclWUIQdRCFdTo04DJWMMfNRKMATljXYgpxqSq29tb + Fv1eLiQ1otNoPZsW7xa0d/TosaXZC7OPPTc/N0ujhQJjxqouoikm5eY01JBSCJEI1rBtFqKOS4nx + A0miMcYQAkHyDCOtkY/auGqdtc6oNqn1iPeMNJT+/pW33/nxa2989wcv3F/d3htEZ61DMKkeRlrb + EUktwyBoEowI0oI6pMaP1xnDIy8ygGhkxT5eZiIy/ivoeDYoiTbpqDXGjYSRINLY641WuLGe2IrC + Z97ZUXKIUSMaAFvrVFRTolFjCWBy3huXiWLY6w/X1oJab4YGPdUe8ZB46MRkpnvy9KkzLj91+qT3 + npnb3cn2o2d/9oum76b2KVvd3nvjjTuvDgdXrt945sH+Z4b06OlT83NqrJAqjOWs3a8w3FpP7w3r + Vt9IzilzmuU6zGLfpOHk5PTFZ09dePyJQwuL1hod0U9GC9iSSaMdIEaVmK13xlpp9koTCwkwCeSE + LHG726VTy4evz9mX3nx75eGdjZ2dvH3k9krvxoNBZ3b5+PKJ8ycPP3V66YPX1z58/72HD1Z22zaW + 6eqNu5t7g7nFE8eXl+dmphy2wqAvkrgogrpEZEAeakFIDcpONbESgW07y1qGtkVCTLVoo7bHooA6 + 54gaQTMAyt4fWz722c9+1vosy1t37nxw/fr1S1euHr506cKNJ/f6vXOPn1s4tECWuKmbGWTUgESD + CJwDAlSlaceIkCRAiTF6sU2+1HTMy7pMEo0ha8G2iTACITIWYr0vulMTnDlRhDppBEHAtWg/pgD4 + FPOUWASEmpAkkTEGcIjki1ar04HZU5EUo6oqaRIBgyyzGRmojmPQGBxCgwCOFjYbAzaq6PV65era + YBCMRKspI3GptqkOaqampj4xf6zI3PLysvceEtHuzJ869Td/oehlc4Psla293osvvvNa3fvg7p0n + Hu48tj187PwTR2d8x6LBQ5uJRGMA9qiHEIBMFIkxspIntUxQFSUhIQgkCo/GLKmJ9FVve2vwxrt3 + v/PSWy+/8urG5raotjn40Heht6WdqhQjNlRJRBWAxGY2QpKqqrV2otu1jRExfVR6WOusz8BNLJXm + MfFBNqyjOTaCjto5QEgpxKiSmjwgjdL7JrgBxHCe2CgIIkxExBAoTENuqwa9zbXVuLeRSXASMo1t + S8a4sqyKTvsTz3zStbrLjxwnM7JAh4z1nz5CkhulHpUkhgAmZ+iAdaU6gghGSZAxqhpTajRDVISa + 84JtHSXGFOp6bfVhNew755iUpTZSey072utqb/nUyWOL56bOPTM70dYUIDoMUoUIVWPYGmaF0Qgi + A2rIwAhRwMY6Zm5CtgCicuBmi4/VFGM4Q1RFIE08OTieaJTOUUxaVrBJmDHqsI5eEJgB7xDrJFKH + SARnTajrECOMn5qZXlqYX+h21u7efuXlF48uzS7Nd9u6X29/8OKPX3z7+kM7NXP8iSeOnzxpVagK + JiViiEUd62pzk9srphQfNzLdLTkvKUPWnpKddnfyyFlunXh6aXFxosiayj+Rbd6ygYyW/TiLafSK + wSzgJKqq1nCn3aTkGC8dBRGxYWONAbMZZ5WSkogoQJLS+vpa7O/vWMtGalNWtrScWlGLWk6fOW3n + zs2dOt9uF6I6mgkCQbWV53mepQQzauZ8LD/5y+s///oLhW5HFxEzq2rDvQWgqo4kisYkVYAfU27N + eINpQxRRgA0VFmQ1SVUxexjGiHStGmN0/F/zJxoHWB7t4eZPDXo7aiVGmNSZaF/8xBN3HnzwwcMH + UbC+8sHVS29wevLBw817K3v92j12+PinPv3pxYVZ70CNXjYTMZd1VZc1xG8+WFm5d786d6HlQNYC + CcbnnEWgB9Qi3mW1chlgWPd21h7ev6OxNNQhIAY4xig1/TN5PIEMICACqaZQlsPnn//WN7/5J1cu + XT2yePipixe/8LNfVF8Edlart1/53pWXvvPKm+9YazPnQo3oxAGimlIKdR3qOqUEFUhKMNrYKsWA + cqgaQaTGRqGkIJA1ZFkZgTQwwfo8wspHfAaASeqyLoc6Ugq0H4Numy9JY9x2XJMBo3Xh/IWnnnzv + 8iX90R2Q7GytvfbqK08do+H9lWvX39vdj52JY2fOPnbk8JGJ1oiVZMDIXB1Dr4Yh9Ht7WysrFGE9 + DMFnGOmgZZmKqUM0RCD2PjMWg7ra3twYDvZTnIUFDJpWZEyASm5tStJwIkKS2LiFeGs4TwMWkSLP + Lzz++K/+6m99+Re+ZAo1BEvcHwzKYZliRIyUIqm4EfVCGGTABgbW53m71UbqmyrGPurdvf3+YKgH + Z+T4IykZYtMsUJWm1Adg8uzc2dPHjh75G3/tK6++9vo3v/2d57//p2++8qOr793+3o/f+Mf/wz+Z + febM5KSBaBXSsArEDqB+r9eJIPexTZBUwQpKMUgKKjFGkAUzJ5GYoFYcEyVEgc9A8eD+BEAVpBRW + gncuM0RAkhijqCiLWohjWGZmM6oFm6APFkUIod/vDQZ978AESTBEAhIlMIjHljHGwjgFqSpJshBv + ODcNAgImVHWNKOwyZSdkPlbtfmy7N2nlR2OIirquqrLdKny7cNYMh4O67vpW5sBJEKM44jpov1+G + kCRJinEwHFSFIUPtTmtqelIz/+u/8euf/MLnXZ6rKlS5uWliIoSqdtYdOXy4027/OUeZgtgY6xVI + KYW6kgjNxgkgM8i2Wu08zxt0ksZ9e1WJqRGdbBQhSFUkJZLEBGMM2DYi1HPzc0cvnv/qf//rU6eW + c5UuUxtqKZBWEvv9usWto65zZGoS7QIpIUgwzn20MdWOEsdRrGz6Ls2TZcLHnjgpSGSkDTIaPKTm + y9jAGKUGBA+xHtVHqiA2lOVodhjpX/3yVy4897nrt+9/70evvvTC9994+cXf+/3f+8Gbb/3NX/1v + f/Lnvrh0+jwUiDIoyyrUSuTzrNVuF3l+MNfOgGVrnCKNs2kiGMuUEALCELG0EGOs9a1We9JkRWKk + KjqHkQoLZejOnThzfn7+LRm8fePSjzeemdLT7t7t97d39lxnemLx8OFl66uFbld3d9bfvf7ehSe/ + sL8yfOX1u3uD4vTs0dNnTnfaRjEkW03M+KnJHLqRkVqYWCERwWSgQCAYg1pUm3SUwOysHYN24zWr + sIwaqGtxwyGjRpHNHj7881/++Yuf/tz7D9a//+PX/u2//te3bt16//q1P/rmt3/tt/7xz/2Nr86f + OwLvi6JdtNoxpjIOG2SfPqaTBSCJhphE4X3WbrckQgELQqhVo/c289YakpjYGw/KswJxgKgQoArD + skKoSYUgoa64gPUORWGYoOKcMZYVqAVIcCKeBHGYYt3qdLOiq2QNe0MjKTqhKJqgYLWmGbQnBRNc + BvKDQZmmazbBudEkAsEak1lTEIZf/eov/rVf/3tugr3rMe1xra7fyavprD275dKmS/lEdqRls8wg + EcDFxMSv/MqvfOZzX3j3xvvf/8GPfvTjl199/c0P7v2fL7/yyi9+9atf/OIXz5w5YQ3YjJExjFRI + iQnMECiRYXbMxtjM2oM6yHowELyHQIIgwRKTpDpiwsPpuAXboNIYybm02u1Ot2PMGIcCEY10LbLM + Wm0BA5RhWFZNX0ZTUokHtesomXFZFXVjp7c/qEJgx+jkPvfAEEKmCR6/9Ne//De/+LkzR+faHI0G + qYYSKgHIOBg/sHN9O9taaM10Gy9pHvEwAaimlJrVAWevXL76z//v333z0jt1MkcOP/JzP/uVw0sn + vGwvTcS1u6//v89f61/rsaZQl3XIY4T15KzJPTtGVVb7e4NeH90c7IGRGoABTExaxjogGmuKvGXZ + QRUhlMNhrCsAmbOZ9zYDxAGUkhjDENVef3d/UNYBWdsawwxjyDZ27UyqklKECh2MVo2aPXRwaozr + IUPGsTExxlMnT/7yL/3yc5/8xKH5eQupe/ueMdnOEAZWa/HdvVSguzg/X2RifZ6XdRkigNQU8zHB + 2FEFRc7meSsv2kQYDsq9nV2JI9gddqRgMhyWRDYvrPdNTY861ExxvLjG6O34KFOM8RJVlSRJoxWb + 1M0snDvf/uxPfmbvj1++ffPGG5cunXx0hkxuwLmSJxIJpRS1BGkkF4Bhv9/rbQ/6aLdhGI4B5ZFQ + eEwxKcDtdttMTHjvsizLc4bmzmfGOAApicRkzSi9bx6tguoYmXlxceFrX/vaT/3Ek0cOdS1JPdTB + XpgoWh3Xz7BTlvt7/gTmnnAzaDtgNDIETqkppofDIec5nAPlzmfOee+9d8YahgoB1hoWAjWQp2Fj + 2VhV1VFjrPlnCMSQhBBiiqIJBCbDjRUFoSr3P3z/xtd/53f/8Bvf3RtUz/7kT375Jz/zyIkTnkmq + ql/pv/jjH37rhbeqcjAc9MsytjKC98gL571znjPvrTFMEG1azgdY+xj7UMQIVrBxzolhEBvr2DgQ + xRiqYb8cwju0HAqHBAPYxnCo0SpRSTGgrvGxgdSmuZskiTVmotMu8swaIKaqDiEJWzx18annvvT3 + v/SVX+i2QbpflZvGVmzKNIx5mOzoTN7q8EymEzalYSS1eefwo6d//fipr/ztv/vCK6997/nvfe+7 + 33vxtTdeuXzj3//x9/7p//RPf+avXDiy4KNyUhJj5g8dfvqnH/17/+ivtxcB6e7tyGRncTZXn3qp + v72pE4PWops/ujCFNjU3TjAGkTQmdePARQQgpVRVdVnVIUZrbebsKMNQBXFVUyDvLR4/c/L+Y0tv + vHl55eHtm3fvtqcO3V7Zu7et548/Mb+wuDidP3vhkZdv7txbe3D71o31mUOocePuwzK2jj/yyOEj + S1OFdxCnYo0xWba1U27v7vb29qY7DvBSV0weDsYigtg5A8qN6xadufl541yV4IC2J0OeCKMhDMMQ + RUqqevLUyWPHT/zMz/zclSvXfvijF//oG9+4fOnypatXXnnttV/+lb/1m7/1DzLnFBrqelj2ldL0 + 1IRxlAQpIbMguCbesnGtVpd5ICLeuUY5R2LiJMSU59keoSqHZSmibLKRSjQpgzIR6lf1MAQBvM9z + D8tAGtZhP4Qa6jqd+XYxUZXoFOScR0iqRDGi19/e2+/1B8wmz/I8yw0ZjMBDloYQogchdBxAVVl1 + jN4Cqnv7vf1eX4G/+ld//ie+8mvnH3+KU6AYTKrblj3ioJJgO8F1GHJstuPyDKoIEdYfOnXqH/7D + J7/0d37rhZde/f43/s1L3/3jb37rm8+/emX5/Ev/6J/8j+1nznfmWkiR2bcykoOxxJCQBNb7vGWN + ift7VX9PYt0q2o5gIGAFqyUbPkq/RXtbly5f/1/+1//j7TvrE5NTv/DVXzp9+szihCswMNXOra30 + v/3zf7eeyLvMMIsg1pU1RGRikhiTqhg7Gq1sBHVpjComQcNrgYy0Wni8lkVkrJfA2nC8rcuLlnNO + UioH/eGgX9dt57hhcZGBqoZBub2zNxgMTT6ZZRkbg5hAxhpH0C/+9E//rb/x5dPLh3OKJoWCtTBg + MmVZq5KYjFwxObc4PeuUUUekiJZvhiEZbCERIX00oeKc9Sgy3/TjmqFfZiK1I+t4QhKJUVKDizZy + zmzIeDaejJmZmfnvfu1Xf+JTzx1eWnSGkOpUD6ke5DpoU2WYhsWhunt0YqbV4gEGzC4zLoMZeudy + bwvfzBqPlCBFVJKOhN5Vy35/r4+2xwSzL7LmBFdAkhCYDKDa9H7LuqxCqayqoknTuP3e2MSxtc7D + OmeIRq0RZjg3qhuSIqWYREFF0ZoZXuBjAAAgAElEQVTKJrLMOefYZXA8Nz19aHpmfeX2N/7Dv3/+ + +3+yONtthb20fb/fl7R05sInf+7UhccXl5aQBD6fbLd3iowtdbrto2fP/PKv/dbPfPp8x+5ntF9z + NlCXjO+mnaLe7KJXtg/T3Kmq42OKWsdKDNg6Y1redlqFdR7KdZ0oG7Nb2YJtTBpTJEneQgVRYWnc + 1qVRy58YopIaLM4YBe3t9+q6PrR06Gu/+ZvPXLhwZHZWpIo+SB6hgftD269mppbq4tjAT03MoJUB + QsyWmaEqKcZQD4fwGdjBs5NRLveX13/J9RcK3YpI0+hoEFsARDSiRRCDOMEIwGxy75EazlyD1TUl + EEOBmGAtMXsHZaiiTsFZYSbr3P/v//+feylBABlhCgc42ahzYwEGMahudzsXn37ijbdeda+ACav3 + br/8ox+WveHNW/f2S5N4Ymbh6Llz56am2gykgIxgnJE8a3c73XaxP6DVO3c/fP82VHWUmADMB71/ + OA/4YnpuZm7G3u8/vPPg+qVrGqInMGDNuMBuGnOqIokBMozUxB8DRTmsV1c3rlx6+8779yYnZr70 + pS9/7qc++8ips8n6wNZIVW5+cO+9N/PCV1XV6+0tzLSbuUMQM7M1bBpqADHYMI/PHSY429gqAEzW + GgMCxVBJqjNLksqqGtYhxhyhwRkFNiUY5UZDQ0mlobLxWOBHPvoY4zujxKxZEWSPHjt+bPlYK8MQ + 3NvffPva5TdO+LR5Y3WtHAQsLs8889xzi/PzDmOsHATi+UOLh49NvP3h3r27d3DlUm9tIy3PGTST + fAQAbIicJQ+RIm/Pzc5by/u7e/fv3V1dube/d6w7YxBiLUYysgZWGVDnvGYZWSvECQD0M1/4/Oc/ + fWFu0hjZ93by6NKpJx5/ZnbKlkliqiQEw8Zb761jgiX1TFCBNHpCRFBJiihlLWUNQ4aNNUk77Xan + KD5qbx5A4Yw/Q1hWGUH2TKbwk84UuW/lnzp6eOFTzzz1w5fffP29B+++e/3tt98+d7h7dOoYXCZk + emVIMMZ57zwfrD00bRTWcQg2ECZtqL15ni8fP35jytQ75dqDe7P7w3yhGH2vQJOwJkTd64d+MCbD + 1OTkVMsbwLExnDG4sDYXTeUghTqlhJSMaRoREkUF8HmeZZk1JsURTAHiZqBTwaoQGUv7sfVFO/MZ + a9rfWh/sb1vMNdIW3EhsW6c2T+xTI3D6UavxY03Ug40/ov0TGzaMMlZ1Ncy8c85BERJAcDYHsr1e + /eG91XJYdfN8aXFxotvN8rx2FqwwmhXZkSOHT548ScZoQ3UbM4CIqGlBZ94b8+eNCxCJUi3NpBmp + JNOEx1FNTyCu6hhC4NFobDMGBOKGc9Q0XY2SUUWoSsQaghCjBdgan2dV7O/v783OzCwfP9ExXKgU + KgY1pNRUltKGn08Ozo5OeWctRqwEHQkmjPsygIwfIjBK2XGwxxqGXhp9mzKUpFHDECJjXEE2U0UK + pWmsnAgEamrp0XR6CMjyQ4farjs3MXv4qfNnr33qme9951vX79//kz/+xvSRo588fgrWw7ErPHur + BrHR1FZhGPl4IOdRcwKjOUNqutMwDj7Pi5YFqCrjsC8xCgDm1CyXoKwWrtOZSguzU7Mt2V25sXH/ + 3Mbq7Lvv3KpqWX709PT8/PwiF8OFo4dnr94dXrty5XNf2Nx6uP32jYe2dejQkUdnpmcLb4Ae6mFW + ZN2Oh5bS26j7O9Z0jYEwh6Ds2JAFZ2XU4bBiheu052dmmBkCEDWCZGxH4c5aZmuhFsTI/KTvuO5M + a3quPT139uQj195889KrL7/w8mvvXH/7kXNPXDgx13Ig67OibYxNVazKYV3/f+y9V5NlWXYe9q1t + jrn+preVprK8nfY90z3DGWBIYsAhQiAFRvCR1F9hiCHpQS9SjEDRgQIIkjCDBsZ017Tvqq4u2+Wr + Miu99zfzumP23ksP52ZVAxFkSCKCL8J5uw9Zde65++y91rc+E1uX0fcBhidBJEh6SiOJ48P9PQX4 + WQEhyPd0mPOZOE3jNI50Ls+AYQsISAWn2rFtRRHY5kJdzIUdrzhns7GfkiSE4GwtcTbt1zBtSHaE + xdW1rZ0DIb1qtbtcLIksBS8j6ZMQLDrhchk2KY6WlAPbF1xvtjaVisK8LsZhpVLp6x0s9uakOAT2 + tUMQF4O0DBkEHkINoRGwTdOWzgBR68JcbmR4tFCs9vYNXbh4+c1799//4FcL8/N/9tOfnjx5cmJ8 + TIUvOZqZLFB+QyQqtPa0Jx3LJEWasrHOWkcSEg4QUg4PjfR29brV7d3NzWatFowXBGAJ5BysE8wQ + nCYmSS0DpVK5Ui53jmMQnGOSJJCdIZmfCZT2gtAPAnY2iduBp7KxMRipgTWu4CGx1IhtvW2Uyg31 + dpUCJQBOrNRh4Psg11fOjwz0jYwMa5coTj1YckliEaVGBvnE624AqYZ1SFzqC9tZfwwQKSWZkERx + bW/92dPpR4+eSKnfefs7P/7xP5wYP5nPd3nuoOo153LJ4NNabnZRsZOwJOAkQJYdk5WKYNI4MQ0v + yDpuJkGCHXFKjvdqB+vbe4kTYb5YqZSlyEhwMgz8fBjmQk6iVqvVSNOCFoDjdpoGQaAgYotGlDqm + rp7eXOApgdD3lUyzVsI566wR7ASbb2zKxBBMMlN4iWzBRTGELlWqWutiPjc8ODg2OjrU3yfZuSRS + 4FCLpHmgOFWFrgZyUWYykhoH5AoFcdC0JjImYi4wOo7WkhjWtuOkFafKC4phqVIseUcGTS8YoVor + QDF3NPeOIEWHCHFUKx05aRBlPDcHZmIWDIIQJKCFCEC2Us298913pue2V1fv37x1x6STonLcGSPB + nid8T2gBIaCDMAjLvkSr2Yxbh6EPmZXfKSuZuccoSM862t3br9Uape64VCp4nnIOIk2NZYcs1YfZ + GOmO6hWSgIRQQa4gpEriKB8G1Uqlq6sSaCHY40QGSnk4FDYPjut6tJ1DLF58vSOwFQCR7wdQWWUu + LaR1ziSJjWObJoKIROfxsLGUJJBE2vN839NKkrOmYwvfoQqQhJAObOGEBJEQHbt2HBzuXf/q2pMn + T4X0f+d3/uFb77x9+vzpYrGoSXJst2vNqw/m/fxTpaC18H0lhM2aBctkrXVJZJPYOQupXthYWAOX + FT6ZQFt0vlF20+xcYiyE0p6vpBDknIO1nXo5sxO1qTWGLWe1ICmCPBLAwDkICekJqTISvk0SzhAI + gvYC5eUZECRzod/f55UKEOhml5cqFiJCzCLK+WnRy1HsoSkgpVYO2R34Ya6/0vv6W7qvt+db589c + ++LLuw/n5+YWnj6bPXWyf7BvzLEiYiWMoGYQyMGRodyA51M5bWvNubyIfVlFUi1RqRVUIx8aSFIA + kMJmChBmWGOsUiojaTqRdQWkpPY9pQVg2omGcDlhiZTjjv/lxOjwycnBUGN1ZeHpsyf9QyfW95oN + G46d/lZX76DMB5fOnRi/Pn9/eWNl5uFCTysStdW9Vr48dP7syUrBE4BDEOR7/CCAa/uKfQUCOUcs + IJSfVS3koIRrR+3F9b2dBqdVFQR+4JOWkJwpi5DEkRTIzPIzNQBJQUoFmga9AKQKpcqpM2dv3rrz + 5Y3rW1vbjx4+npmemTw+EeYDY1IvUELbw4MD5kSpoxlDFjAgJZEP0sxEzFop+YIAww7EQehLSc6l + WgsSSCxkp6F1cK5Wa88t7DQiWc0XBwfKvgcgghBKBVJ6AFJj2LlQwyNJzjm2DCYBeF692d6vHSjl + 54MgDEKCACRJ6QQMrHGGO00dqNOdkgBLtpKdgMuwsUKhmM+XhVzJ5fJd1crQYL9HUMzSJpqNZuNI + JzKXCBCQI7BNyaYAQWtIL+fn+z3/O99++/hA+ftvXLz6xbUbT5emZ2enZ+fPjvePdocgSMEMSgFr + Qc5JFUAYsAtzhUI+BzoUaZvTRBCsg00TTpuBFqQoSWA1HCDT5OnMky+ufrGysnryxMVf++EP3333 + uwNDQ9XQhdQy9Q1aqufz+f2GVkILkBRQWoEUQ2Z1JWV6I3So/ThiEDgH4ygjjQsg22S+wXLI9jfO + OFTZZILR6QHyuaCQC7US7FxqKbUIPAIjsTYxjoSslCqeUtmUWOpAKy2JPHAx8CdGRwqekC71yCm2 + gHCOGcKStkI7KVLb2S21BonMHiD1sm5Z6sw43vd8ctYlbRO32abZt8s6eGeNYNtxxSViQUIokkIc + GWNZFjrIay/Qnu7r6To22HtsqJfYEFgQ4FIkbU5bQRCkutQWga8BY2DZyYCUD4hGs9WsH1J3CZTI + jgAZQiiRL6RAO46VFIXAL+YgTafcz3Djzii8Y3lLUinlaUgiRdrTuVyoFSWp87UgCKk1CQmSEGg1 + G43DWhYRCTDSBCogSZAElo6xX6vVavtpuVDM5QIlTeNwfXnx0aPHm3u1H/7Gb5bzMm3tt+q75JIw + uFjtHeg9/sro+Xemzp7qKsJKKFF0VCdrvTQOiSulwvDw0PhEt8flgJpGeDGUFTrnSjlbkdxyXlfb + LzQIGpJUIPyi5+fBcEk7jdpsHUjxkZOoS1MBciSZiJ0TbHVmho4MPOgcp5mjQpIFThPSBKzY84NK + paq0jqzr7u4eGRkZ6+9PTdt6KYeOkMpmLFtxLt8dq8oBwALWQWcwBAlIKQgEzhZjVp0YOMtOkfrm + ev+b6//h9d+adeuYCXgB3WaXEJkfh3BH0K13dPq8qEDZuY6CzTFSY8gwFADHSNJUCBaCSMksruCv + xfu442QJ14Eb8BLN6twZBEiDTJAPTp6cHB3pKYZot7GzuXTvjmo3zdzCdmwCr1Dp6R8dn5zI54Ug + kIWUIKWCXDA6NnZseGlrZn1rcfHZw4cz09MnxyeqZQ9MsBQZs1KPd2LXtrunenXf6OTUqXP3N+/X + tvbmnkw/uf+omi8MDBQyTDmFbdRrB7W9VrMxMjxUKhYUKUc2wyHguNWKdjZ3lxbWGgfxpYsX3n3n + e999913hB0YgIUjH5UpF+4HUylqTJrGUyESwEEJIKaWQgkh0VOqZQLYjPVOSOoUAZ38jALDztKhW + CiZtHRzWDutNUSjlCYahHJRzMPFhbb+2tw+bSXyzKdmLg8p2WLdHhglH55kAKwhd6ukZHBkeGu5p + 7YnD5v7C/MGtW6QaiwcNpAql7u7Lr7zS3d0tOhB7ZzmMHZ88c+n8k/Vru1ub6dMnj2/fGhFv9w6U + wWCJxNqDg4P9/aTdpmNDw5VK1/HjJ/K5q+n24d7O1t3bN473F/rfuEQ2ZQJYJQlv726uLc6dHBsp + S3IkoJQAiMTlSxd+5x/9zsmJPuVaLlW+58OBLRQRA8TsKS1lZkbmJDvxYgp99BAEJJxIDbdjBAJO + CLLS9wPf8140Zy/gJ8pMtxzJrDuhzAMiQ28BCS9QIxOjI8eGXrl4plzt2o8/fv7lw4WFhfXNcXdq + RCgP0jMQKQRDKK1eGpV0XkHJQhFJQayIM09lIvhBcGxsvFzpitd3lmZnRne3/ONjDkj5CC5nOMPL + Gzsb+23S/kD/QH9XUQEKUqsw9HK+VJS0D3e20jhyDDALImLAub392s7uPiC00lrKI5MlAQhHwkE6 + EpkSubNAhC5Vugv5vDM7O5srB7ubhB5xxN8XUsWp3d3eO2wnqQMftWgvaeBHeHhWXIMdbHauC+vS + Zv1gf3cniiJnrVDCWSZFUD6M2qs15+eX4ygZyuUH+vqLhYIfiNjXXuALJeI0cmyVlH4uB0bGEXYM + 5zh7i4hhjONv7i3/uUsq6YXSAzMlUVt0iqKjn4qo40mU9TrMzjkGC5DIdF8gkHAiq6ScglMCzAyl + glyuWC6axc3t3e00TTylC74MAJ8ZLMECrDQKMSMVkAJss7lAxqrN9mV64RIM4IWlGWd4Ax+ht502 + m0Bks0mNgzjShGYzPJIeCY+ZTRIrZDT3zn7sHAspstEwJEk/6AmCSm/fmRMTr1481zjYf7a2fvfu + 3XeWltI0hfSgoH0vLOR04LOgOE2MSbWvO68ZyMEd+fN20p87h4cQUBpekMvlPSIRR3H90JrEASQV + EyyYWXoQUDlZwMhQz8RAYWl5dnN5dm6mMj09z9Q/dfpssdpdLKa5/srkxODM6ubzZ88W55d3Vjdn + l2tnTk8OHztZLJQ8tAELNoVyoauaFzI92FzYWl1w3xrNNi/Lkh1JCEBubu8tLC6bNO3rro6Pjnra + O1qwzPxyxEUAlIY7SqqUMvT8Hj9X6hl45dLFB8cnfOLPvryxtrq6srKUmtchGELni+UgDBHz4UEt + arezJDp2YMvGJnv7B+ubO8ZBGBu1GoqgAQELgiQQ2JqkcXi4tbHeV5ogKZ1DB9AR3tbewdbOLpzp + rhb7ert8pSQMjE3aTbaps2Z/b7e2v9+MUMxy4FkBgOTIpo+eTq9u7vhhoa9voFKudOAIAgkSEJIF + ucwpgCA6C69jIsGC7YuzmovFsFIt7NYbSZJEraRbl7XIO0QeOFAhrAcHXyGnwIAk6Y6UjdY5KRDm + wiBX7B8cPnHy9MVLl1fX1j/55NPbt25tb21Za5i14w5u3tmT6WhfZpDUnvZ8kmQskhTWZpZvDLKA + VGpkeLS3q88m2ysLi1Nbmx5GMtdSytw8mOCwubm9ubXDQFdXd293T2YJ3jEoONLJM8Oxk1mNpTwG + GWNi0zys7bWb4wUtRdYrWpcaPmxGGzuHh600DHOTx0YqoRYMy1Ss9FTLFQlrGrW03Qo8j5xQTghh + IbRvKW1G0sv5ARyhxYABOwswBB+dO6wUGUYaJTs7OyvLqxvrm6fPXX7zjbd+67d+K1PyKfSCa5WN + aT/IKRKSnSInJKAYZI0xLmHh0Gwc7Nc2o6ST15NNntgkaTve2t5Z3do1pIJ8oVgodELhhCCXofdu + e2tje3s77vW0zzYDziCSON3c2qvVW0Lp0ZGRcqHgKwQKMlvrmSUOmGAlLPGRv0QHonnZNgMwllWQ + q1S7tdY2TaJWQ0kKfSgIGeRERgFKAwVNfhhmFgwp2Fqp9cDQ4PTeUrtd39paHe7NV3IhZ6MSApzb + 3TtYWd9KDXw/KARhJm14YRwO5uyQ5I5tHIxL/DADztOjiuCbdDe4oxF5x6FGgKBAAeD8UF68dPHi + paePHl6dmZkJPNszWUrjRMApwVpBA1IKCgvFSl+1yzuI24f7W606imU4AWcME5MkCIVWu3bQ3Nre + S1KEoddVKfs+HEM4JqGUDqSEs85GCdkX90eAIKWrXd1hLhe3a4cHB1EUaa21Jz0R6jArLgPYANLP + qbyljqHv0VkDCIJlELSn04wdAJKZLbizJolsEmdYqHVWCOGYpXUQxKSEUlIKwdamYO/oLaLOtplx + NzqYr+tUkvX6/p27tzc2t7q6Bn/0m3//0qvnewa62DrJysaO/FqxUNSSPCV8rTwJto7YgaT2Qykk + 0iRN2s5YSJlFcII67gVOZmPmzEvedrpnZgaR0MoLtfacs+zSoxMz45sxg2FhDIyT2TKRxEq8qKYt + hIBQlHnLOGtN0glvIJErFPPFipBotdvN+gE5SAEtIUUgiAQglQAFMrNEIQiCIgnhYAgQTJKkPzA8 + MtRXfeXs8Z5ivp189Hjmi6XljY3NPYcTJMPA9ytln5ODVrtm2UH5vigUdM5FUM5BCyjkRdHKzi9r + bcc+s1N/ZhQfB4ds1CpIkPZ87ftSSYazNrUuNMIm4MwSNGuLBvt6Jka7KyVsbS4/fvxoaPzy+m4z + keXh4xdKXX0Io1OTo2P9lRw/X5t79Lgc7YbJdiOdPN53/uyJQpBZR3thUC0WS5VyYM2hSZoHtf2g + q8rBi72eieMkbu7t7c4ubey3RaG/WCiWcr7S6KQ6OeesNUKIjCTycrOGAynh+wMDA5Vq12tvvDU0 + fKwZRVc+vLKxvrmysto/0O+HPgkqVwphXjd2a+2obtKUQu2YhbMAoZ3UDpu1WiOJTY5IvNitSGSU + GpKI49ZBbbfZOIyTUij5SJNlkaS7u4fP57easRrK53v7CoEHQgwQQZNQkHJrZ2tzY4Nsf2YAAmKQ + hTOI4p2D+mGjWah2l4vFXBgKWIAcwRIbkQnm+aj5IoYghmCW7AQ70THHoWpXd7naA+DwsN6oN7SC + r+CBNHwkQjkiFQgBEpCAtHApS8cQBKFAEgxP68nx/nPj/W9fPFksFjeiK89uPJ5bWtrYmnQnho5i + LkAMZrZMUgdwBklUqnZ3VasKa63azuHeDtt+B7Bzzlgn2VmXxMI5GAHt7MLS/L0H9+I4Pnv2/I// + 3t+fmDxeKPihNEQNu2+LNWilJSktjwhkUoCFI2k7IxJ25iV0+2JrtgzHApT5pvxl3BYvt0gCd3gQ + nHmnWGvTw/29Rv2Q+sqZCaW17FgnUbS5tV1vtrXn9Y+M5HIhQJA6VyyXSpVAqfrezu7muidfKeSU + goY1YAOSUkgwOZAViC1Sk5E9oLPF4sDWQXTaKZCEVL7vSQJsauMoA6bFUWfqHBOySJHsvBEQouOZ + SQATS6/c3ZcrlOxBu9mouzQqemBjQUJIJaQXa91qaRfmlVSBQwCADSC8fMXPl0C7Ozs7WxvrdkBB + R+R5ApIhksREB/XDZjtOEs+5QIkcQckXtmydAShllogZ8Ymk53t+4GtfZ15JnbFHdvhL5flhmC+U + yoXVONrf3T6sNZJcAZqNtUI4ygYyaXpQr2/v7LTjqOB71Uop73umtTv77Nn09MzuQf3VN9969dSo + l+yvL8+1SATdPUMTJ4dHTvb3FQAIIAaYQhY6p3RZwYdt1w+cM9qDD+VTmE0FWCDkUDLglBCBJvgZ + u1H6Mih7YUEQuagVNRvWMUiRyIhKYMsgckIyEdgJWCUgjgJkjlYZhFAQKjVg5owuC4b2g/7BoVxu + Znc3atTrzpogR9qERisrjYDyClroANJXBM1I0SFauQzYIAhmQZ25avZ9O86pf+N2+//p+mt2hv0v + XETU8Vdkds45a60xxhhmFllgjoNl4bK6FOzLbEVCABa22YqQWhDB86MoqtVqBwdRmgCcxQ90Vp8z + SBLzlzDW/5p7PiLeolM1fJOslS11AZKkVU9PZXiwe7gXgUSr5pbmZ2/euPF8bhmUL3Uf6x8a6x/o + 9zQE4GsQAVIgn3v7O99+7dVXXBTtL6/cuHrtJz/5yZPpZyCAFFhsbmz9+V/87H//3Z/82z/4g7TZ + Pn351e/+4NdzQR4WO+ub/+v//L989MH75BC1WlHUaDT2735969/9/r/55//TP1tYnmVhGNY4Y50F + cWpM1I7rh824neSC/Injp7q7eoUfQCCT6UtJSZo2W60oTjzPKxRyHaUdCTrCbAGHbyBM2Z7cwWGk + AJFxzlibPadc4Pd2VycnRtOktb62ur6+EUWpA1rto6Npf//B/ft379xFnAgIQYpePtsMun0ZU3b0 + /2SqTA9CQ3n9A/2vvHq5UAjQOtjf275z5879h88cIH2/0t1z8szpckmJjvkNGIC1Zy9eeOf7fytX + VALYW1/9g3/1L69/9mm7iThyxtpmHF+9cfP/+Bf/8p/9s38+N7cwNDjy7e+829c/EAQB2Pz+v/vX + f/gH/9f+7g6BfV9Jwvra2ns//bN/+k/+6fsfXKkd1KM0hVKe73uBJyRlBmfK8/3AB4AUNoEWFCrt + e76vfWtds9FmY2Bik0QCyFgfzlhi8rwQfg7STwyi1MbGpnBJkqRRZGLQEST94qRMDeLUOj7KnM4K + BGfgLFwKl4INyBZz+p03X7l84Yzne4f1+v5+rdmOwKT8MCxUUkdRYrJs9CPibUY/UUwqM91S5KRA + ZiLpebqvfyBfLLVb/PTR/d21RQFEEWILoSC0B6XS1N259+Th9JJhdXJqaurYsAYEhO/ne7p6JXN9 + Z2vx+XTUbGqttR+QkNa6Zqv94NHjm7fv1g4PU5MKgq+g6EU9KlhIUGZC1ykB4YVDo2PVajVqHq4t + zm2vr7gUcRtpAgBCyI3t3Q8++nRhZTNKTAdX/ivvdKdo4ixDCXFknWNwFLW21ldmpp8+fz6zu7d3 + NK0AWCDhje3as5k5k7pivlApl7RWSskwH/YP9oWFYGlleeb5zOrqKuFl3eIcM7OgzrBTHJHY/8u7 + UZgv9vQP5orSOnewt2vTo+27YyhASvudgB3OxC4dVPtlMUiCSQopC7mwGPqhQBD4KJW6ensGRoa8 + 0Ksd1DbXN2p7NdMhoLE1FiQgtRCy3bZROxvWdITgHVSpM9H+5taQmZlRho7/JUgx6/+IMp82ghPc + CSjMYIjUkSXFDJcmkjvrnK0DSAQBpISQ8ENIBcdpmiaJ00qPj0+cOHFyZHjYJmmaxMyukzcjqau3 + p9LT5Yd+kiZJmmT4WmZXlZo0NenRnbGxRyB6Zp+ivFyuEGqlTZIcHtg0yWYE2TOVfggZQOaQL508 + Pv7a+fGAG0szT2/duDW/sBLmS2fPX/JzBHA+p8+cmuztrmyur96/d//B4+db+/Hg+LmJqfOBEtnr + AD/oHxoYGewth7T49M7XN6/t75vYgCSUpy1LE1vE5vbdhx9++HFyeDA2PHj50sVcmAMTmKE0SZk9 + aseI4xgiY7w6pKkzqbOWnRPEWmBocHB0dLRUKrbbrcbhoVYazEyq2t1bqXYpKTfW16JW01NQAr4H + Jenw4PDW7Tu/vPJh7QBKqTBbZ4BJItg0ilp7+7vtqLWxvnbn5s3D/X0BaOk5EmABqR8/m330+Als + emx08MTkRM73FQScTeLI2vSwfnDz5s0HDx6ur8eZ6MCB4GmQ2zuo3bh9Z2Vjp6u7f2hopKtcyd5Q + RyAhBQnhiCxndoeZvbLNzFSkkqSzvUFAhr4/Mjo4PNrfah8uLS0tzC+bBAKegs9MgAUZyM62JgEN + +F4AIaCU9ANI6ZxLkiRJklwunJqaOnXi5ODAgHOWwMxsDKx1mQJACiGlPLKwYBhjiTzt5X0/JCGt + lYAU8kgnDe37fb39lWLVJVLZEoYAACAASURBVJh99mxtaUEAsUFkUiGF0B6kB5I3b9z+6uZtxxgc + HBwZHs7UNhASUomO0BtCgDL6ieUoSQ/r9TRJolbz+rXPp588TiOYFEoiDFWcmuW1rXuPZ2rNuKvS + deH0iUroS4LywmMTU6MjI9Imzx/fm378kAjKU0KotJ3VYIKUZ1gYCwkEhFAj5/ukFBybOIZJsxdI + SgghrHFxlMRR2tvTV61WrXOphXGd/ilK7N7eQRLHko0SLGWGZrs0MUnLmhhrqyvPph/Mzq00mtAa + UvhSKDi7t7u9sra+urXLyveCnNLKUxJSwLr9nd297e3G7s7jh/fn52aTNAVDai8s5ISird39azdu + r2/vh7nC6dOn+rq7QgU/K4WtRZpIIX2tJDnB9hvwJzEJ7gzLmOAE4OXyxXJ3pdotpVpbXfnq+pc7 + W1vWdP7EMdg5HeYoLAAiGwwpDZKyVKmcv3Ch2lXe39u6eePa5uaqktCekJlTBdHC4tKNW3d3dqOo + Hds4ERYMJInLImBsmrZarShquywAgpCa9BtGfoS/suN2cFs4co6cZcuZqxI0rAfp9fT2vPHWK9// + wfda7ejJ45n79x42G02wYZsQG5FZaBRKvf2j4+NTzG5tef7e3UcHNRYCMjOOdwQWtfXNmdmFza2d + 7q7ukyemenvzuRyUAoKwUCgXS9Uw5znjWvU67NHs2XGWwTsyOt7b22dMOj/7fHtrM58reMojooz1 + DyGhfXQsFzplT2KOJJdCgtlZC0YSx2mrDaZiuauvb0ArxSZJk4idzZyCwCyFhPZAwjBSkyXLJGnS + UbSzsx0LHSEhRXbCWWOdYQIcu0bzYGFhLk1ttdrXVe3ztO9sKiVZZ6MotRbtdrtRP9ASWgsArXYb + SQqpe3r7q9Uq2NkkNiYBAAt2LI6OVGOPvDWlQIdYyyAIrfPVrnypIpWq1w/SqCUJfpbWbgFAQ2rt + A7Iz67GW2KqjbaFjokWy45SMzrCNAAhRrnRXewa8ELs7uytLC81GCgctoQjZoEeApKfhw6ZZDhIc + W1gLkggLwg8NqBFH1pqucu7Vy2dOnzhOEPu1eu2wDQS5XLm3t+f4xLBJa2tri6sb6weNKIGzljVB + Sg+OsolN1IZlaKAQIPRJZxwtEJFQWhFgjQFzRpQrlsthPu/YtVoNk0a5AFppFjKxEAqeBBiUC3q7 + 86PDXv1w7+HDB1/durO2fYiwq3voeFisQmuvWhzqzlc9s7Hw9PbNm1dv3j9IRN/g8JnTU4GGMUiN + cgj6+vovXTjly2R1ee7unbv1w3ZWcmcvl0Syu7k4Ozv3fGHdyHLPwOjg0GAxn80P4RxbZzzP00p1 + BJJSkBTtdtukJksZlVoHYc73g57u3smJSc/znWWCiNuxNS6fzw0O9Xf3lxnJzu7G7s4GM5I0Tq2B + 9jfWt+4/eHb/4dNa7VAKsiY1KZwBKQUlGdxqN9fWVp4+efTo4b2tnUMpCUKCAGfjZnNru7awvNdO + Pe0HuZACPxFIkSRxZI1l+MHde19/+eWXtd2GixMlhO9JIRG3W09nZpbXNpLUDg0N9XZ3h4ESEBac + GpM4w4p04IlMVvmNTUkwJDvJVqAjcurtG+jq7ksNnj17NjP9jABrYG32nAQBJk2TJDtVIAWkp+EH + ELLjAq+072UbAoeBd+Lk1PDoCIRYWlld39wy1gHM1pg4loBWJD0NoUEKpAeHjo0MDZNN5p89mn70 + INM9BEFYKBWJKI5jkyJqod2OoWSj3djZ3ZFKlcuV7u6eXM7XGiQEiFObxHHbGitJ+tpja5MkdmkK + BrNwDAIRO2dTwlEabsZDc44hIBQIgqTsUGo71BF0sEMmQIJ0BmAz4tSYNI2ajY8/vHL92hfNRoOA + wFeFnJYC29s71768sbq+EYS5S5cvdXd1MQgO/YMjExOTxVxueW72/p3brfoBW7DjJI7hXLYyLdvY + WEeQCl4AqV+ax5GAlLoTeGgcjAVDa50L/FBLcinxS/27EFBakVZQKmNuZGWac447FtvSz5Umpk71 + 9Pa1W82v79xann+upNOaJKdJu+GsY+EZnW+yMoxQQ8GAgCDsHT7WOzAE0ML87Myzx+36PtI2wArS + MW9u737y2efzK6sOaDUacbPhAF9ASyUgJHUef5bDfrQ/CqlUsVIKCmFqk93d7WajXvCV7DDmSZcr + Q6Njp06f1lJub6wtzM3WDxsQyNycs19qZ2tr+vns+uZWsVg8c/rkQF9PuZjX4J3Njf39mgGxkCOD + A9/9ztv/6B/+d7/9D/77N3/wdycuvdLVV5AWWW5yM0HdSqHD3t7eY309mu3dW1/Nzz0/PICUEMoT + olOCCKFAGlakSQcut2kKJuhCkCtpqZBGUaNurYPQUqkMLpC+B6EsZGblJWGFQybO6FwMOCjP9/zQ + OhhjwS7wpRBCa+/Y2Hi1Wm02G/fu3l1eWgIgNTFznMYWTggJz8/4FFrD96AUQMIxWeuQplmwt1ZH + gAUgSbzgSv/N9f/2+m/Eus3yYbTWmcsjAUzkrK3X60qpIAhM1KzVG7VGW3gEEvu7u/V94/vKkcvl + SIN93wexbUfbO9u/+OUH958sWsr/7d/4rdffOFMoekIYAHAsBGmh/vLc6r/myvAr8YKKB7wYgcF1 + GK0Ektrzx0aPXTx3prbzZLeNuMnb6U7TFuH1jE+dHpuYLJWEEhCAooxO46D1mXNn31jZ//Ta/dWt + Rm1n+7PPPk2i6Bc/7ed2XTizECW3l9d1/8Cpi5MpZG5g9NK37Pe+94a4+2x9Y312+uvf/73/88G9 + GxmobEy6urq6v78fBEEUaWNyLIiEFkSAUIp9TwQh5XMUR7v3719fWf321IkJL1cwQm7s7j/8+sYn + n3766PHTRtM4dopIdvwpBYOYHVvDNnNG/+YksKM1tiSJIFwqTARbFpJVEA6OjLz5znc2tvZmZp// + 7k9+8uN/8j/0fOv1osbOZmt7+tajq39645OrC0sHMHkPToHFUetB2W/5wmE7m9i+mAx17GZVz8DA + q6+9eu3x2trGFrt0c7MemJYFBoePTRw/3tPTGwaQDHLZecfOcXdf35mLF7/93XfqXz+d3j2Yvv/1 + HyTm8dVrviIOTJsbz+dn67W0qzSUpiYM8xMTx3/8499KvGtXrj9OG/GX1z7/H/+50ZKtDoz2N3b3 + FmanNza3jHVCeSlTbFxqrdCUWXt3Qq1efCt27JiFA3Mrih3JfCFstvTTpw//xe/+5Fd9hapvEolI + 0uipE7/25sULQ1WoIChpmJxNOU2iu3duLqXJtetfCMp6MXv5wvlvXbpw/syUp0CiY4QHEEg9fvBg + Ye755MSxkcHBQlcFSQTn2s3Gndu3nz19atKkUi5VKlXtB5CxhUxZGBYd6usRKzVrHEDKsLQuE1w6 + wS6bY+swPH7i5MTxE+W7y8vzs9c//WiiUr544qRXCb0UaeNgbf7Rnbt3P//y5k49GT9+6szp06MD + PWAAzvcL/b0DfV3dz2aWZx4/uvnV9b5q+ezp0/VGY3V15cG9r6/86sN79x/ESeppnfO1fOFR6shB + MCkWioR4Scf2wrGJE6PHZkLv/oOvbw/0d09Ojg4ODRUKuUZqH9+/c+ujP//wvZ8vrR+y7sskHZ2+ + ho4WNb9Y0wxB8DypfaW1pxXi+vzc83/zr//V+ty33/nu9ydPnhZab+5uPbj2wUeffLmzczB+4rVz + p08N9PYGniekC8Pw/MXzC8+fPpiZ/9WHv+Ig94/+8T/u7ur2fT9JrRCUpunO1v7i4qI15vLly4V8 + /uWu81e2r6P3QvtBrtSVOrG9uTX95NG5177XyvfoFKp1qFWi8hk7XjpnCE58A5vu/COOAWlYWOtM + FHHcdow4TkKLXLHw+huvzS2uLd69+9577+1b88PvvjM20KeVAMOlLmodbu1vzyzuqFzf6VOTxTx8 + DQE6isn9xm13PguGZg46XGmkL4EEFoACeZY8EBGMQCzgC4CILFNkkFg4sGSrO/TtI0CKeH9jY3Vp + cfb5zMWLF4fHTwjfU0IkzdbS6uLi4sLh4WFXV7WULwhxRESUotRVIS33avtz83ODpyYKldLm3o4M + vP5cRUrVSYQQAjLLKCMGWwtJPnQJuu0RZH13d+7R9vK5vePVMNDWErEsalWAICJINzI8cGZq7AqZ + R0+ebu3ur++2RsvVE5PHCh7gLIweHz052L8XR4u3b3/SaCRWm4GxwYGRQZGJC5wSotjVPXbs2N7k + sf7tg/WH96++//7kW29+e2JqWPmwTtf32nMPb3154/bKytrg6MSlc2dPn5jKhYRMjSsELBt2RghB + 8LSC49bK2rO5eatz5b7h4Ykp0gGB0zSdn5udnX3ebrXKpWJvd5dSEuSFxfLw6LjnB5vrG59/+snY + 4MBgT/fEsdF2K1paWLj+2QdfXb22vduKDdI0TdpNslCAJxU4EQSpiMnNzs78p//w71cPd3/w1utv + XjgnCK2d3eWnT3/1yedPp6fzfT2npsYmx0b0Uf3seVpKAWZr7Ccff6rT6Ld/9OsXjo8OdWlOoqd3 + 71y58sXKxlauWJqYnBzo7y/mO0vIwRNCC2bJiYA5IrIQwIbBRELITAzCAMMRaPL4xMVL57+6+/Dx + 40f03p/Dp/MXhge6fUFsnaPm4X6tPV9rbkR2+NjoSDXwtdtdX1tYXFpf37z86mv9/UNKB0zy4LC+ + sLi8tLwYRe3BgQGtlbNGSq9DsepQY9g5IzP2nPak9pRSmqi2v7e2uLi2vFLNSZ0vHLbbIgh6hRwb + n5yaOtXXe3tjde2rLz4/MTZ4/tTEUF/ZCBEf1ncWlh4+vvf551d39w8uXj57/vyFY6PDL7P1Og0e + jIUmiKy5IgGplRdIKeu7+1d+8TNuN03r8PzZs+WuqnXu6aMnn1+9/umXN1OWwyOjp45PVHJSEqC9 + odHx8fHx7kpx/tmjTz4s9ff1X7h4abSvrLUHWLbOOlpYXN5rmEL3UKm71FeAkMgk4Up52Qd0MqxY + Sqmkx4yVlZWlpeW9vf1coSq1iKJo+utbn3302e079w5rNMaObWJsnMJ5cJ7nh16OGHs7O3e/vkm/ + J3/zB++889qF0f4iTHNjZf7K+x/cvH0ncfT62+9cvHSpq1oSYFgLEoV8IfB9RLt3b9+qirqONt+4 + dGp44ni+Wl1cXrt+9cv/8B//eGu3Njh5/luXLvV2V152E8xgtmCABTvJ5oV8i5HJwkT2K3c0IJDF + 7r6Tp86emJq68WTp1ldfXjk2giR65VuXJZEg0kImrfhgd3thdcvoQr5n8PREFUqXKl0nz5zuuf1k + 5sniB+//rL8a9pb90aGBZr2+urL87P6tax/8xa2bXx82UVa6GAaZ8kArAZZLS/N37925fecec25i + 4vz3f/B3BkeKYRAAxoElXsjVqAMyHzEvmRxnMhW2Do4hXcrCaSgFKcfPTr6z9+6dL69uLS48bz53 + CVvpLKdsEp0xeYUqDw5fev31R/Wvt1YW/vgPf18lf1+/enGkJ0csk3pza2Xxys/+/JMr7x8cNt78 + zqm333y9VIQQsA6SSHm+8sLUcH1re2lubm9zp1f1MNBs1speqvzc6bPnT55cyH3x4NbNG8WcKOTF + xfNnu4s9NmWlhEujxsH29s7Gflp2udHukb5qsROxYtmqI2po5sYmlQcYL8gXiiVPqdrO1uz009Xl + 5ZIaCrQ62N8Pfa+Y12BrSToQ4CQ5Tx318uLF2Fo4JnsUvElsAA1m388NDY0urLQ3t7fufH23UPVL + XWPsuNFoLc6v/uLKJ3du34RJolYjjSMClFIgBeiwUApzObBdW16Ymx3eXD9VCUXi1GErKlYrKoR9 + OQPNRu8OmVkQCTB5Qc7zg4OtvY21pY31dm44jNqmkR5WeotQbFLLkI48xzAmZRMdxeoCyoOInZPG + MB+lCxCbrFQsVrtHx0+cu3Du8WJ87+u7P/3TP/pb33vz7NmJXIiMmtaKWodbBwebyf5ho39yYHCy + l0hMP5teffRooL+/ODaeHzmmtELSru3t3Ln51dMnT4QQ1a6eSqUbkEL4g4ODP/i1dw+2NhYWn//b + 3/u97//2j965/O5wpSAFwBKOTL2+uLfzYGm7PDw1MdJTykHJo7qFXsj94I5KapKyWCn7uXw7jhcW + 5o8vL4yN9cXRgUAUeiVfKEnIRgKV/mOXX//2yo3nM9PTUfLzekOPjb/a1dcjcwIygi8G+/onBypz + KytP65thLixU+wZHRnq6SqHODExCwAwODr/11quPHt5ZWHj+3p/+FEFZv/LGib5erWDjdq2+8dGH + 71+58sny+u6FC6+98vrbw0NDgdeZaRNRxus2JuHUak+CeX9v7+OPPymXy1NTp7u6esIgL5SO43ht + fe3Ro8dRO1ZKKaF8z1dS2cRVuiuV7pJDevPWlz3H+nt6fzMf6Gazuf50+uP33/vio/f39ppxQs6a + bIoCJhj7AuZOksb24sIf/af/GO0t2e++fnyoOy+ovb9369rNL764vrK+Pzx28vzFSxMTw8U8STgo + JaQHCBizvr5+7Ysv/je3/+Nff/PC5bPFSv7wcO/5/ft//of/4dnzuUpX9yvfemVsdCSQ6MheiAw4 + IWvBYJZZLGfGugURc4bbUgbdkhgcGj5+8vTxqfLO9u6XV6+ODo++eunS+OgwZEeAFyfJ+u7OZq0V + R+2TY0Ojgz0ALTx+urbwrNLT3z1+pjg0yQLWmVar9fTZs+WVFQjRNzDY1dMjlQQssZMd92QwkBjn + WYb0RscnT0wt93ZV5p89+ezj0tTE+MTkRH9XIefxztbOg5mNj798ODx+7o1zfeGxXBCWq929ZvFw + fX3t+fOZQrGoVEgu3d9a//rG9Z9eubO5sWZDnURNAiulBGuQdCRJKCIidjgSAYkjB3JmZhKONFM2 + KeIX0G3HeQB01KDAOisdAzLIFYIgtNY8vP91T8GrhurSubP9QyNhvri2unrj+vU/+bM/29zZ7Z+4 + 8NYbbw7290spYFDt7hsbGx8dHlrbqd2/ffv9n//s7Tdem5oc8z3BcC5NjMPa5tba1g4Lr6d/aGCo + 54UzfvYmckZ2zqRU0FCeVs5T0sat9ZWl5aWF9YnBaqmQRJEQoloqipchQcRMrhO+2WE+UL508tSZ + qfGHpa++fnL76oddhUK5euH8uWo+p7klbVtYiDief75mmCrdPT3Vco8fQLnB8ZMnxrd18On2w8/v + hrtPJkvBydGCX27a+MGTp08+/uTqT99bnZsDBZ6Sylk2IJURwF52QgRktDxrDZOxjvsG+srdpadb + a0tLi5NrawPdp+qNurRJxYcnZN/A8Lvf/Vtz9WvPN9b+/e//Wzr4tfCtSwO93SSo2Yo3Vpe++NXP + P/nle5tb26ffvPjdd97p6apIpEQ4PjY21PMs2p9+74/+5NnNz4Z6gu5K3mm/ZeCkXyr3DQ5Pnj59 + fnCglPPhAcoLUek59/a7FzfkzAdfX//w5/1h9Ovff6u/txDmi1IK59BoNXY2lg92NhKo42cu+IWK + lgJQLAOpfCVE87C2vLCwvrbeXSooP5cYhvJ9lQrnUhZMkogkW2c6RJpv9J6UpDa1yBWUUoqNIQYJ + yhWKp06fnZh4ePvx4s2bN/qqpWLonzg5EVYCz/ckOIqiaK+2tLCeer2lwdOFCoIgozZqIgHn2BnO + LIgtXBaRi6xL+2tD6/5/df03gm6Z2WUhEaLjdWKNqdfr9+7dS5M0zIVRY//pvQdLaxuxRe3g8OH9 + e/XUL3f7llrHJwYn+nsL1RLYtZPD9Y2NX/7yl7+4ctVSvqdv5MzZ0Uq1mlmPETMyhc9fz2KgI5+E + b+C2R5c7SrWVEBICUo6NHrt84cKdr57sOTggarct8qJSOHHmwtjkcV+AAJHlrltAOkhRHhl59ZVX + fvTD5XsPZ5/Ozs3NPl9ZWQ3YuPahhK0HRVftemtifOL4FLwAvn/8lPrR3/s1VQpufJXOzi188tEv + P/nkiueFjoVjUso/ceL0O+++GYa9zmnizIQWcExS5PJeT09xZKR7djZ98PDml9c/V8orVLtTYGF1 + /YtPrjx+8HD/4MBYsLNwHWUHiFw2K3Mmc0t74ceLDOYCpQ4GAoBkqzmVGdFO6b7Bwbfffffe/ScP + 79x974//pDByLB/zQK668Xx27usrdz/9BTf38sUuRNIjFs6+SCvqTH/w8jOyR9dpsCRYQMiu3t5z + F893VT+FWIdN641W5qU7Pjl14vTpQlF4CtJ1oNtOJ1Msjk5N/p0f/UYr1xXdfrS0vPH5hx989atf + SQnrGaNTlvLimde+/ea5Qr7o+2HQO/B3/u5vHLr8/FZrZbM2Pzfzr+ceJVEzgmIvdBDVrvLFi5cG + Boa8IGSSCXPayYC2zllm8AtcUEAA1hoSjhmJsQ5Se56MaW1+Zm3mrkLLRysFG6m+8+s/GOspHiuH + Vnjk5xxpI42Fezb3KF6ax68CmATGAO5H/+C3i6E+NTURaJmBUA6CIIjwfG7ho199ODE2evL4xMjQ + QNRugl3j8OD9X/78+fOV7q7KxMTYwOCg0grsUqbIsIUioTI26EvGDgRIGyeMzXpaI2A7h7Ln6+HR + c5dfuTi9c+X+0r2vvswZU3/3eyN9/XmRxLW1Z19f/+L6jcfT89We/jff+cHpU6d6KyCTOXDme3v6 + T09NzcwsTM88/+KzTyT44OCgVtufnZ396ssvlxaWHAmltBRCEr+0oWM4CM6IwBBHSiIBHQyNTpw+ + dXpq4sHj2eUb1z7v7+s6deZMqVyK4vbVzz6avnNtp9YUvg5zJTAyUtVffrNfaJkYRNAelCQiT8uw + kPOku3XzBqJ6s51c3t2XXrC/8vzjv3hv+s59qcJXLr/6yqVLPV0FT4MI0lPnL55fX1249fDh4yeP + I5L9g4NDg0P5fD5NEqVUHCfr6+uPHz8KPP/kial8GOI/N4GkDqYc5ApdvQN+rri/e3D/zu3RqS/S + 1eGKTXpDNdBfHMz3Zilt7IyAEyKDFfEClCAAJCyEtc6lKeLIGdjUgKBy+VffeO3Z3OL9xflr175o + EGlnTx0bKQcaLnEmOTzYXd2szSxtD42dGTvWnwvy0J3l8WJy/XLXJQCCWTv2M+o+UfqNwKvMFde3 + 8BgkYCQSccSucSQMi4QFA1ko1ZElYrYbu8PD+oNHj//0j/94bX3j7MU9r1Bh6TcO9p4/eXT//v2o + 1bpw6dLoyLBWOlvEXugPHRvJl4u7K3M3b9+iYrC5t720tjowMlS49K2S5wspYRlEkFIwHAmGMyyk + CExQCcq2t1Iu8+rmzIP7Xw0qcZArFLVXLFf6To2NB172GKi/t+vk5HAx5z1fbC1uzrugVOnqGR3q + K/gQDpL9kaHJgb554ujps9uOgnx3vm9ssLuvFwAZ6ZwndK5cHjlxvPmdNy9/fv3eyuKjn/38P9Wb + tbNb57zAB/PB5vztzz948HhaSu+tN9545cL5oW7yAGTJFi6L2XbshFDQWiKhzZ3dW3e/3mtE5b6h + k+e2vVzRko7b0dVPPn308JGv9cT42MT4mCCCVCiUh0bH+gcGpbj39OGDzz4ZKPre+TNn6/X69LNn + n175ebyzUe2p7rX3rbXOJIKNgspGJ0IIpRVJarcby4sLV375i+bmuq0fFpXaX127d/361Ru3a43k + 8qvfPnfmxMhQ/1FmAnmeJ5RQSlcKXZtrGx9//HFOJLvnpyaHKq69e/2j969c+aIZJVNTJ195/fWB + gb4w28lZMDxBmtiJI+i2s2M5tgShtevIDsAOLJjBx46Nfuu1yxfvPrw9s/rRRx/JnN7ZOTk51a1c + Qm1rDqKtnfr0+t52TD/44Q97vBFX0vu12q1btz/97PPd2sHk8ZNBWADJre3/m703Dbbrus4D1x7O + dOd5Ht88z+8BDyAIECRAiBQlkSJFW/Igy7Ycx1Gl24mrE7nTXdVVXd1JJ7HjJBXbUmhHlEyRpihR + lCkSAkkR4EwCEIj54QFvHu9977473zPsvfvHuQ+UU9VOudzlX96FKgD1Cjj3nrPPXmt961vfV7h6 + /cb169cAxNTUZDAQsFVQ7GODMUYQAHDGGELYnn2miup2uWPhUHm+sHjr1jtvv7W7veLweWuGmcjn + IrmYFo0PDo7NTN8+c2nh2qWLz1O+e3Q2n417qWTs7i1duXbu7bM35m453d5Dx44PDQ5FI672e2Ej + 09im8NhSeXahhzGRJEVVVVUixk5h6+JHH4hWfW+nEIxEDMbPf/je++feuXrzdl9vz8DAYFc25dGA + CABEQtFEb2/v9Pjotbnb5z94V1Ydhd29/nxC5i3EWk1G6ha+MrdYblqDY1MdtD+sqQi3hbVBosBR + W1sTAGHkdLrCkWg0Et1Y3zx//kKu81wwnEBUNWvFD9989dL7H5ZKFWY6KXAsLMFNCzgHLkmyKjlk + Ag2AUqnwzjvnFNHSy8XubARZuyt3Lr300ku3F3YCkfi9xx8YHh11OwEzAYwDYKfD5VBVELxVr964 + fg2q66XCWGffuj8Wv3795juvvX7unfc7c7m+/sHx0dGwn+6zQATg/Rl5biHBiLDaWQcggRAH3Pa3 + FIDFvo6WO5Dt0A4ePLhZsS5fvvzaT05brabRbGCMKSEyofVyeWtt7fr8YjCZ6xoa68n4gRCXz9/b + 39/VdelnC+uXLn6YjnodktXX010u7c3P3Xz/7BultduCyFS2FEmRMaYCKAAlAIJsbm2dO/fWC9// + gWUpk5NH+/qHEqk+RSY2lxa1LevwzzW+26zbtqcA4nedQAXDwAlImAMPxELDYyMjQyMfbuzOr2+B + 4rMIE2Bhbkq22wwmgURm5vCRixvNs1dXXn/15ZBLNqq7fR0pyuqVwtqdG1d//FevzF+/Fo0lpqam + pibHnNq+sCNCsupwewIut69Yqd28evX9t9/aSUS9FDuQ2Z0OJHPhRL5zZHh0cODq5Zt3zp17k2Bj + b7eYCCW5IVQiGa2dUiSW9gAAIABJREFUneLiyuqiJcdzA4ed/gh2A0XABDBuqwG0zawJkTDIABxp + Tq/PH/T753fKVz6+9Pa5TGkt5XG7mGVm02l3ZwbsB4oQCE4Rl+9SPmxJIxAgwOJgQ7eEIIIZEhIC + 8Lj9wyPj1+eLtxYLP33zp0SyKrWiEKJUrMzPLb7+xutLS4uEIG4Z3DIRAKUygAXccLg8fr/f7XFt + r69cPH/+jZQr6ndgya+b6uDoTNChtP3QhSCAQNjmRHbgxADYF4iEw5ErS4s3rl8+dza2nUtajZKF + jYNHZjSvzBgIoBwke84VCWtfaR5AkgAIA8IFRgKI4BQ42DwMjMDpTmW77z32wO6rF26urL304vcN + fbdUGna7qEwtIRqNcnN7tVra1JnAU9pkoiOMAa0sLb9x5jW/PxDq6Q339RFNlhu7za2FM6++fPvW + ViwS7ezsisfTAgBAikQjR48dvnXlZ4sfbbz40g8NlyyquCvaqXEVi5Zgjb3S9u3N8qU762OHWTLk + EYosADjixA79GAMIW/i33WUnNBiO+oIhLuDG9WuO8FuArFq95PVq/V0DQbfangqhkjucGp08dPZ6 + oXz9ZrloxrqmM9m4L+QmKgByAcGJeLQvE75949pqtSV7gn1DY4l02utWKAWK7eSLRqLRmZmx997p + XDx//Z233lIDCbZbK3X3uzDSre3dvdunX3npwoV5xekfnjwyc/CIP+Dmdu0oQLRFcxAXnDGLcoQE + L1fKZ8+dpVTq61tJJtJut49KSqOpv/vue5c/vux0OJOJZCAQcDhdlEiMN5OpeDqXcmra5SsXJbca + DHp8Hle1XLp66aOP3n53YWHV5fHJjArOMBIEI4xAcIE454JjimVFAmFdvXrZRVqiURztznkorm1t + vXH69fMXbjOhDo0eGJ+cTERcHFoITMAEYxmAgMUolYrF4osvfF+1SnvlQiDiLRY3L394/ocv/5hy + 1D0ye2h2NpdK708BYiCYY7CwsGx9CwEI2bUvgbbWLSd3BRMwUgKhnr7BI0fve/3Da9evXHke/WV1 + pzjY1+tUJAm4MFq1pnFnbatQbjo0NehS0rEAMH77zsI7b55Vne5U32a8u8gBybxZ31k7e/bc+sZm + OBLt7etLplKYEFtBX8K2qArhAAZHVGBM5XgyOzAwMD48eOnGwoUP3otFY30DA9lEyKnijdWFDy/d + /v7LZ+878dm+1D2GpYZjye6e/g8vL9++M//GG683W81AIIhZZWP5+sUP3nr//au1akNTDMtoYQQU + EwAKHAtEMZEQQhjaji4Ad7exvSuQ7fHTpiTf5SfvZ9Q28ROBAHtAAREiqZIkSwRTDMuLCy+++OL2 + +lo23+ny+m7Pz79/9rVzb7+biIf7+vsnJsYjIRfGIADLXn8umz84NfXTty+sLN55+aWXaqXi9uiQ + x+0AwXTGDIsvLK+sbWz7I9HhUQjHQnYTln8i42D3AglgCpwAkTUNh4IBTVpeX1k8/8H7DqFHgn5u + WcFAYGJsVJH3nTfb5Ks2b68NnMiORC43OtA70nnx8q3Ft956u0m9axUrG1SdVoVYDd3i9aZ+6doc + cgV6xw6C6tMU1YlEKNkx2Ls51Rm9cWfu2oeFn2ZDu8XBQCxaM4z3Pvhg/t13dwsFhonT7caKLCEB + DBC1b2M7ZRIcANtOHIIxLpAAjJKZdDAWbl66cPnKx0o8rDdrtVLR51JHurMhpxwIRe+59+iF5cri + W1fOnP5xgOq0tdPdkUdYLlXq8zevvf7jly9/9IHb6xsfH589OOP3OjDsAcFd+VxnKuXE5L2zZy9o + 4A85olG/Csis1gyDKaFUondkcnb74MjgeHen04FBVoG4e6dnDxbJ+Wub8x9/+FJrG1uVVCZqq81a + FqtVSsuLt6t7uy6vP57KOZ0uigkISlSX2+MP+QPVlfVbN66/+867O4WCw+WmkhqJxfypABZgIQKE + EoIwWNwEJNvwyN2FDYsZTFBJJpRiGz7CiDpc+Y6ukZGRi9eXrt2YP3f2LGbW9MxEIOanDgLIsir1 + 8ub21cs33dHu8Xs8VElw2dbVkBAiIAQSDLUHZNtPAv93V/6H9bdZf0/QLaWUYGwxBvtCt9Vq9dq1 + a3/4h384NzfHGLP0OjJLyGjWqtDavnxloYG0HwJpcdx8/IlPf+aBB0KHZjVKADDCxDTNVqvFMeGc + I4S5ELw9Z/bXeGZ/52UfnOSviSTAJ5Tbn7fHI4imksmh/gGn0j52KaImkRWHp29oLJXJWgCqjT1Y + QNo9NQSEDgwM/P7vdZ9768IPT5/+1nPPNw1DWKYDyQoGNRSJjY996qFTx+47pDopsKYSCnzuc6d6 + h/I/Hez4D3/4n1dWy4wxo9USIFHZmU53Pfzw41/+8q/FYi66n4YyDoIJSpjTpXZ1Zg4dnigWF86c + +eipp/702ed+4PT4a7quc65JqCsdjkxOv/fRRQTALEPseyDYACRBIFNM24PiyLaWE0gghAWRLEQE + gIy5gyIZAxMWcO7yB8anpw8dvX5bNzYu/Owvvvlff/T0s06QcbPpwXtpv3jk1CNHgv07335VQYK1 + Wp9IUuzTRADg7sAG2dcf4wwjiyMqOXy+VJoHg16qUqvWsP8NYOjq6evr77e9xW2XABD2zJUMZgsH + gw8/+mjHxKHBtz/61//mD3e3igrCuqUbhgkyTqYzJx489ZUv/Xo6EkUqA24mu3ueeDyS6h79T3/y + 1KUP32lVG7auGDcN6vQcmDnwr37/690dWQksIitAZSC23iwTgiOM7L6xbW6KETYthoVAhGgOJwNU + rlRauhOEDCBsPy0OnDNOCHU63ZgqTVMUq3XMVcM2SAIO3ADTDvQcOCMgbH6ljXYDAEZIAOGMC0R2 + SuUzPzmtN+sKxba9GOfWTqXl7xg7eeL+Q7OzmUzaZEAxMhlqGAyoIiuqTCXMAWzVT4TtUG0KbDEB + nGPBbSF5bH8ijGeP3tfy5na/+Zfv3dr63rPPvPrSjyiWNNFQeR0aOw1D+GPpe44/9JXf+Go8kVIQ + gCUQRiA5fF75xLFje7t71z6+8vbZsxfOX/ij//ifhOAAQDH67CMP33//8ae+/axlGpW9XeDtLc0F + CCAcUwaY297QtmAKUWgwMj1z4Mkaf+rp785dv/JHC/OypiJMmODc0meGuv/5v/j9d99556Ol2rJ+ + t/yGu7sN/bW/AQjQDavZagnOR4cHxvp7djZWN5cXnnrqKfObT1kcU7Nqljejbjo0Mvngg58anppy + KjZAzxBnHd2d97MHmow/88IPL5w/Pzc3L8uSRCi2T0IhKKUIofGxsVarJf7mkwsBCOQNhrr7h7v7 + h669e/PS++9evrnsR8Ir2BMPnXzo4fviHQndMHW9JTinGEmEEEoQMAGCM4FseTNMGaIYE02SVIIk + BJrDAZYOFOf7ek49dLKO8be+94PXTp/56K1zDgIOApQAt3RmGSanDl/01COPa+ojlOzD6HdvGvoE + Yra3YZt12/7hz7FuAQNQhhQLZAE269ZA+/R6TCQkawLLlEouTZHtQ4zBXXd3j9fHmHjn3Xc/On+B + ai5TSAxRCowAq1VKkVz+i7/wi1PT0w6HIiwAAm6/d2b2wOWrl396/t0Xf/iDH73+quRQBcEnPnUq + 4HD35vOy6gTGCKF3WcoYCKIaB4Tc0UxXeGJkePXm+vnLH3/7m7ee+UsZJK2nf+LeYw/nfzUHFMAC + 4EA97nw6ls8k1orzhToOBJPBUDTgdTgoqEgG1R8NpsPBkMtJC/VtzR/N9fZHMhGXFyMAhSgEu4Ah + cEVHhjSny8eZ8errb5976/T75z+UZCfnRAhCzT3eKKTCrgMH7//ck092DOftOyMJAQgs0wJMZYmC + AMt+BIQSWbE4/PiVV+dX1qnqlDUXA6I3W0aj6XG4RkdHjxw+PDI0yDkDJEB1eOOOI/ceXS4ZP371 + tXfffuvyhfMUY84YwSQacB2ZGM+FnN98+tuyJHtdDtm+imVCW7WEE4rGBkeOfuGxb33/e9/59tMv + v/A80g1oGaKpM5N1jk0++QtPTIwN+D0O4K1PDnrBNYfW39ePU+OUVZ999rvPfqvkxKbEGrxZ4lxK + p7sP3Xv0M49/PpCItPcbooBUhCUAgYWBEcOoXQoBFxwT1eGoCjANg1uAEWAgFtPdAf/E5NivGKA/ + +1cvv3Xx6f/29HPP6bLaIkJXGFI4RkitCdUVy09PT2NIE0wDgWC1VvvJmTPvffARlVQ7xweEBUKl + UnlqaurLX/7y8PCw263Ze5sxrjebqipTQtrCpYzrrZakqtls9oFjx5rVNz/++KM/+oM/UBQsOR3R + TPqJL31xuuMx4GRg6sDXPMn6f/3umUu3fvTi93/62l9JEqiMOyxB6nq1Ucl0ZQ8cPviZzz2W7+rS + 7hqycrAY44QAAVkCAvv6JQhzRJhAHq93yJu459jJuWvXnvvuX/zghecFoQywabZYY8+puh741CMP + nDiRigcRBWAgmJDc/qmp6d/9p8p/+ZM/fevDj7//wvd/+PJpJ7FU0CUwdVAanLaE1NkzkO/ulSnS + ZGhPlQsBBATjpsWIpCCCNU1NpdIHZg4urWz81StnXn755bNvv6+6vEwQ1txzYiMTdt13/IE33pnD + nKkSlhVbSZsxxjFQp6bEOnocuRGTkUs/u3j21Zcl3lBJHfNSsdjIdvbNHrnvnmPH05ksZ6AQDByD + xZjFgQvqcDzy6YdRq3D+3I8/vviuiTAjkmVx3GgqTvfUzKH7jt8/MpwHFQBANzmVOFAKqoow5pxh + YAQs/Env2BbrbhtDtmMHooAkh5M+8fgTSPOXd3duXr968+rlv/j205xzBJgizE0LCwCqPPS5xzNd + fcwCoAI8nt6hofvuO7ZRM358+vSZM6+899YZp6ZSQoEDa9aeePjEgeG+P/6z7+wQWivtYgYYwFa2 + 5JxbzORCcM5MU7dMkzFAFAiy1T725YPsnlc7fbKVx4VAHDAQCdtdd0JVMAGAW8AssDx+z8n7T5rL + exfWzwBnJjIBMwkDtQ0vsUQzuSOB9GINSuzNM6+efubb33rp+895VIqNOjLq3GgIQ+/pyD3yhV+d + OXkyk3dSsn+/BHL4g/mu3unp2eJ71698+OHc3KKLorDLMTs+9IuPPpjMx0F1HDw4+zXZ/yd/9vTl + K+effvrpF773nEY0CSTEOEJNBA3D0oenT/yj0aNEgGCAbIfedoNSgBAc2fJrFEwBDncqlR4bGd58 + 78rVixf+7e0bLgk8bufM1NQjjzzclYkj4JZoC9kSxCUCzAJLWNSmPAgALgyLWxxhSmRZUiSMAQjG + oVD06LHjF68sX51787XXX3/rnTdUDauqojcZwXJ3/3AqGReFXZ+7HcEIIcAwcNB8gY6OjtGhwZ9d + vXX61VcuvvuKTKx0un9q5r5QJBWM5CkBQwjGmIRtOUYECLgQGGFwuPv6h8Ynyu98vPbm66cvvf9T + t4pVzPI92UxHssvTIUuKAMpAAkQkiSoUUQyI301xkEASlRSCMeaMW3q7AQ8CgISTuSee/JJO4sYr + H5y/9LObNy+oGqbEpMQkoHNDCNPhdyYPzB7unehBdg2EULVW/8mZ17dM0/J6W8xws6qb1Rp7DU9i + 8Pjx+w7NHu7sSAIAgOTy+buH+z776KfKDvanL77wzHefO/38aSd3qKaEwECgC2Eakgu5grm+0aBP + ViXADLjFgCK7MSkQJ4hQWcbCBMFBkjq6ujt7en2B9y5evPD+5VtP/fk3uLAOzE7/zld/e7RX8we9 + gAAsLLuCHb3DPu9bwBnozVjYn+9IewMAMoDQgEAqnhjqTL4GTb3RAHc4092XSKVUGWxPQQ7AOahe + X36w94tfeqLlffMbL75x+sevfvCTs16qEKOFSUlRq4XibiiceviRX3jos08OTQ1wDhi3HVYNXWfM + dLk0SZYpxcwyEeKM8XK5cv7ChWe/+7yiOBCiQiDGodHUBRInTz144oGTI8MjiioLACrRsYnRcmHz + rQ/eurO6+tJL33/zzdcIRoIzq1U7cmDi5MlH9prw2ge3EAYkmK0pibDMhdBNw7CMWCza0TPjiibX + 71z9N//u33ol4WBM1vVWpeXydYxOHDp+4tPDIwMAwKFm8SblDCGKMQXAs4dmMz587f3TP3jhhe8+ + +y1OLEsYvKE3S8axw7P3Pnjq2L1HSZBYwi4YCSaUKBKXgAEXbRppm3ULba1bhgVrs24BgNDuvsGv + /tY/rqFvv3Lu/JnTr75/7qwmUwpCpZgI1jKshoXSnX2/8ZVfUxSZWUyYpsVEobj75os/qvLnweE3 + LEtiTcLq1YYe7Bo/fv/9k1NTiWSSgyAIAUFYIOCMCcQwJhLBQgVoQTA0Njb+5V82//w7z713/tJ/ + +7OnJM2pUo6FLqyWAQ6s+BKJcFdXp9cnDwyOF6vkzLmf3bx54/bt+b98/i8FAJhVleiZuK+ru7fY + WEKaJEsI28xSJgAwYFlWVIwxBkEQgOBctK1+EADBhAM2GFjctpTjeD/G2K8mBwGCIwwYMKIUkAyI + VuvNlm54Pe5f+aUvVYpbLzz3zDvn3sRUFogwxqx6qWXCzOzhkw+e6uxIMwmEACQpQFhHR+dv/cZv + YPLMKz/+0QfvvnPhg3eoRDARlGBBSMu0dIt5A6Env/hLmGJZAiaAM2AciAQUA5ZsMIQDYDAsQMQf + DkxNjF1bLb935sx3n/nOqz94TqY4GU8cO3q0v7dHpk4EApglBCJEIpIkUYkSjAAYFwQIONz3HTmk + Yfijbzx9fnn5wneef+p7r4REyc93HLzOuDCZ0AUdPfpwemjWlBVTgnqLOP2RybHh3/7S55555jvn + r8x94xt/KiQHEMRBmIY+nEx87R9/beHSz964sbYta/InbGubdmbHbztq2Jx4jLGkaEpnT1c6l+aI + nznzk9Pvv6upskrRsXtm/8X//DsO5Hd4fBOT0Qe39R1LfeXll1/64Ytvv/oDp6pYHBkWZ4YORj0V + CT/2xC/cc+qhnu6UZtf0mur2eHo7Og6MjX54dTGQCQ8dGsfI0tdWStU5ojdXFm+fv7X00k/PnTxw + 4Pe+8msz/d2euMYFDua6Zw5LW1vNM6fffu/cGx9fel9zaxKlhqFzW3pJiGQqeWh2FoNQiT3thd3+ + SFdP3+TExF6p/PHPLi7eWVA0TdMcwyMjn37kkZHOz4ApCaJgSaGEUGD2GMknVRvCgDCmEgNUqjQA + wKEq+56Kkubz33v0mIGd3/jmn8/P37o9d+P5F57lhOm8BYhpQFRA1UrznhOPDh84Zus4WSZHSCJE + AiEowZQiQAAUKAZmf4e/qej9h/U3rb8n6BYhBBiTnyNsEkJ8Pt8999yTyWRarRYwQ8EtjRqWZTVF + UIeghV1ADaJao0OD4VDItntXNUcinjxx4kQgnBXEPTo64nQ6EMZ2X6iduIpPhg3+TstmkXyC24p9 + mikI/AnWYxt1AcgeT3hwYPzXv/LVhZXdahMb2MscSWe0f2JiOBwi9sgbsYVF2m1kDAiDproV/4Hp + CWfA3z003GRCsSxNcAmB4ffK6VTn1Ljf7QGwtUol2e3o7VQUGnBpob1yjQHhQDgihMj+YHhgcCSV + cbUdSO3XWQBCAiEMSCEKnZm616n6hofuWVhc3ynVGcJOrzcYieSzibBXQ2atf2RibHLS6dBImwiH + ESaTU5OW5Iolkul00jBMWUIIEwTAOccCE4f3yP0PBvqbljuTDLqIAIIxIAoKRV75yAMnaSrTfeDq + rY2terGMWjwTifakvYNd4YGuRFWK/DIPyuGunlxalf77278/87wvjm8/YowQlYEwUDR/MPS5z342 + 1ztZ29sjli4Jk8nq0ZOnent6pP1W4f5wit0SpqBooErdsltoPkVyNKt1CbDJrRaxmAYef3CsfzKZ + imoUbONjUByJhGPW4WeIbD58P2vVkOAmlkykEMXR1Znv7x9wajI2m4lU5ku/8qtjR05asntocjYe + i1Dbq+tua8luxhIOQBxe730nT1F/vs7dLfBKYCnCkHjLAGESlO7q6Onrd3qd/WMT//zrv4+Ewk1S + t3gD4xYhghDRLlVEb19vX1+fKtO7F7FJNRiT/qHhz1lmR0fH6vJiqbilNxuKLHk9Lncwmuyb6Zk8 + 1tWRcToBCxAcfIHQ5PRBty/ojHaoMpXtwcv2zscgsDcQmZic+ipy903PRkIBfBex4wiCkYmJ4G/+ + umd0bnNhYWl7q1CrNSSrHnPLMY/sDcczXYODkwdS6ZTNSEWkzeQFSvr7+h/7DI9Eoosra1uF3Vq9 + 7vF44vF4d1fn9MS4RLEuKFe92b5hTd6fBcUklkjOHDjs9EW6x2ZDfp9MQXBAHIOkZnP5Ew84qOK4 + dvPm6uZmpV7DlHr9/mwmNTHQNTM1qjk9sS1jm7kz8TBYgKT2ZuNckH1wEQDs9jKR1Fgs/tijn1P8 + ya5Mkgpra2Vxbn7hzuJKudpUQe9K3duTjiS6h0bGxnwBmbR5FoIQApKayWUf/NQpVzB67dZiobi7 + u7vbqNeFEC6Xy+/3RaMxv9/f1dnp9Xrx/i75/z63EKjOcDz9qUce03KLH93ZKdaYm/OEyzU6Np5K + ZwATWVG7urq++ItPpjuGRoY7QdhGi3Z6AiAQ6Prw2MSTyN9wJntzGeCAKAJM7MZCV1/XI4rqiibm + 17YrO9ul7XXQm7KEnZrq87p9wWgokRkam3Zosu1lv4/F2pmq7eFiW+QBZzwey91z+EGnu2t4/Ggi + EaCSTYK077VE1OD49BErML6LosmYTyLtt5XKqj8U+8yjTxRJKJvPeFQgABTfBdeR2+0dG5/4J1/7 + p9vb21s7exuFPYsjpyYnY+Fw0J/u6TkwPR0Kh8g+TxercjKbuv/kCXAqa7vbxVrZYFa2Mz8zOeF1 + uyXbcdsWFgRAGIs2dZ0wkAEhSSNHjhz1Em9vT36ztl2Dpuzy9QyODvR1KUrbOxmwDFTxh2MPf/bR + 3MjOTpN6ornJiXGnDAoAEgSQEyuBsbHJr/y6vt2wqCsYy/VkO2Oyut+l4jZGTVWX1NOlfOHxxzp7 + h+ZXttcL5b1SU28JWXaE3bQj4e9IBWO9k33DQ6ofCADBABzbY8K2sjDBn1AII/HU4SNHGdVuzC9s + 7ZQautkyubB4NpnKZ3PZzq7xyYlQwE8xsyebgJDR0XFT8nV09i7Nzxe3toEzn9eXiMeHejsH8jGv + wuuC8EAmm087VWrzKgFTgcDgTGAUjUYOzx4ETV6Yu1lYX6vu7MoCR3zBXCbfMTo6cuigPxygAARh + sBBYnAvBADCmTs05MjXTnfF3pzzbK9frO9vIaEa8rmQq3zcwOjI2nUgmhARmG+6VVC2UybAvPP4Y + 8nRmkmFNk4XN5kZqMtXzxJNf2qV+f+8AlThGCAMmWAGMFE9saupQU/J2T0yvFNYLO4ul8jprNTzU + FXIGwuGEFooE0rm+7qzLpWKCvF7f4XuO/JNGq7hT2i7uFIslAcjt8UZj8WAwPDQ0NDY64vf774qF + YYxlWcaYIIQIpQgDACaSjCkEg+qJ+4+7tPBAX263VODCUNzOzv7+jnweYQwCgTcwOOT/lV+i3dPL + txZubW2vVau7qGnEXd640+sNeLuHeruHe7v7+zWvdx+ZA0CASfvlsXUVBbMIxsBAdrgmpmdC+WGh + BkbGZ4b6urvymY31jZ1ypWlYgYAvFnDn4qHj9x3r6OpSaNsFCyEMRAqEQuNjY7/0pV8cnDiwvF3Z + 2im39rZ5c88pIdkTcgXjnnAi39U90Ncb8Cn70g1twhDCiEiASJstSBSlv2/g8cdpPJW/Ob+wUdhl + iMoOV9jryEV9+XggGu9Ody24fHmv20kJFQAAVCBuiaZu6Fl/cHBstqu3f2nu48UbF/e2lzFrOhUI + hcK9Q9ND47O5jrTDiUW7lUgAS6bFBReaKvf2dOZjYyPdseU71zd3StWmrqqOZCDYm85ODI/mhidc + Kuj2G0wxtkWsTTY6OfUFNVFzZ7qyKXuiEDhHmATD0aPHH4gNzvb39vncrnYXishYwcmE8/jRe52q + srywuLW5WSrt1Rst0+IU42gwHA2F/KHoyPSB7p4uSsHu2YMkj0+OM4e3p69neeFWYWvd1Fs+jy8a + iXZmUvdMjuaiga2KtWm5XNkxn3MfA0UQjUYPHpyVJNW0pEy6LxQO2qp9tuUDaSfYPzcBgRCAiMZj + T37xFwqFsicd9njcFHA7mxIADAAjDMjlco2OTmhPYO/gyApw7+DgyNCAx+VsA8EIg6zKfuXw4Vk1 + kBgbHVpfW93ZLerVPWw2PQqOBv3peKy/u2tk5t5ALkfl/dzZvgqV4on0o489Huy889HcxvZOVcUo + EQpMT40m0xl784TCkekpl8HRjbmJ5dU7xeKWXmkKQ6hE8vrVeMKnOtV8z1RXZ87tbjMiCbK5IHed + Cdu1BmAJkAhHY5///GORjuH5a5dqu9sysGDQPzMzk8vlEaHAWCSRvu/+BzLD9dzwtGBAZMAYf+Ii + hHC+o/vosZOhzNDI8KDXLVEEwIUku3LZ7sef+EKqc2hhaXl7e73VqHm9Xr8/GIslu/sGduv65k7Z + 4XSmEwlkc+YQBiIDwoMDg1/51V/+4OLVjeWrjcqaUyFd3SOHDx0K+L12IUsQwvaBQohdLrU1HATt + 6O499SkvU2PXF3crO9syshJh/8BIf8Dnp4iCpIYjicNH7ncnRgf6+nwejcDduIkBUSI7fb7QqQdP + FXXnyOCAx+1kzML24a+5Y0nf8eP3+yM9MzfnVjcWd3Y3dL1CQNdk4XMFwoFcKt7XNzjU1d0JAIyz + fFfPI48+kch2zK2vrFd2y/WKk0Qibi0SjKQ6pnqG7+3vTLk122qCA6Xg9g7MHHzc5/B1JtZKxVpB + rxcNXseUMJ9X9fucrnAykMxPjY8qUltS+i68Agh9wtezfyc0FIvN3nOkxqS5lcJKsVqp1WKx6OTU + eDwaUzXJIkAyzO+PAAAgAElEQVQ5AyJhVyiZwY889NlYurfOlK7RQwOTvYoCAgMXLixIIJKenDrw + xS82VsoW8iWmDx3N5bKizQwEym1FIgwe/8DUwccccW/H6MZus7xZKG9sy8AdnqQ/Qj1+tSM9Mj1x + X09X3q1BHe1rFgNIkkwIatu8I4QpQQL5Av6HP/1wT1/v+trW6sp6o2EAwk6n2x8IJZPJqQPTg4OD + Dk21ud+YUtXjHpsa/52v/c6VmzdvLS6ur2/IshTw+/Lp5Mz4SCoaLJaNcMdak/hj0aCqAAKw1TYA + Iy6EJNNYNHL0U6fKhf6rP4tXttZQua5ZIhFKZrvHeoYODoyPBoMaB8AgE0wBCd1qmJYFVEnGcocn + MkfGM6u3PlhYWdgqbBKJhDz+jlRuuH8kPz4bCqgGBQkAAViCSao8fehA3JPco2YkEpIQxsABMJXU + UCjy+Oc/b5LIQG+PQ1WAcRtIwy5fZ7fniSeeyA9MLiws72xvVfZ29XrNpakeh6q5PL5QPNvVNzo6 + HAwGMCEI5N6BQc6MSCq7tL23VWmWymUVWwGXHIzEU0MHuyaPdXWkHBpwztrEbfsMA7zfzCIgMBAl + FI0eOnSIIWl4bHJpY3u3XDOaVRmzaNgfSuSiqY7p2Rm/3wtUeALJkTH5N37zqzfurGxtFyqVCmPc + qeDObLwnnw4mOrqGl3XszCbjAb+v3dgD6vWHhkfGDSku+zt8HpcskZ/zveQAyOMLDI9NffW3HPmR + Y9FQkOw3Ce/yRxBCXAgQjGJk2zx09QycMB350drxY/cyvZmKhtZWlje3i6Vyxe8PRPzOqN99z+HZ + 7rEJTQbDThUEAkQVp9KRz3/2kYdz6fjq6vL29sbObrFWr1IJq06XNxD0BYPxZGZm9nAqlWz7cBDA + eB9K3kc7ATAQCZACknxw5oAuB7KJWHV322pWJYx7urqGhodkRUFt5RkSTyYPzM46/KHxmWmf200x + CIEBCBAcTGRmDtGvCG1icef2jrG9UxLlNbmpehRweoOeYNQbincPT/blkwEHKAgoJYCVUDx16NgJ + IWmD125sFnaKpbLBuNvvjyeSU12d99xzuDORcPcXNrjWk88QsW+KxRghbYcBIYRtqUAoRYgA4Hgq + ed/J49zluLK0tFmrA/BUPDIzPRkKRVSHDBJCxDE1OYk8sZH+ns2Fm+WNpUataliCSkokFEjHwr35 + zOTMwVhHt6YAEQDMhHpl7uOfXbl6fbeq33P8wdEDg8MHBrhVF3sVVixx3VzaK19ZWX3j3Q8W52/+ + 6NU3/L7oSMSDqeRyOXt7OqXPad253qu3bixtrNWNlmUYptFyOR0+fyASi2Uy2f6+Hq/Xa385gQim + cjgUefihh6Lh8KXLV/YqNcDU6/WOjo7mc1k7gQhEE0fvOx4b5r7OKQlDWxjTBg6AA8bReGr28L2B + RHZyakpTFdKeq8RASDKRvPeIoqrOO7fmNtdWq7VyqVaqNsuqKkV9gVQ44nEHekdnM6mEU7FHmCRZ + cQwODH7lq18dmTiUTibverG32637NrD/sP62C4m/L+Bb7M/a2+mIruv1Wm1nd7dSqbSaTYS4jHQJ + 6QiQLrw685pIxQqTHcLj0wJOj9/pUjEFxMDS5+dubhbqiLoTma5wzCUpHKBFgFKhgAFcMCzvS379 + nT7xz/0CsH2TbQMojsCCthcqAU5BJ2CC1YBGpbVb2t5t7pTNpnAiT0oNZ9xhcDtAsYeEASizJxB0 + wA1AJjAFuAxCBkIEBhOBbCsqCLBkKAPUAdwAbhCUt/btOtF+Rt5OcHh7JOGTz/tJaSc45gK3XR0F + 6Hqr3qjU61eu3VxeW6/U68lsJpvPd+YzTK/qtd1KraF4wg5/zOtxqADIaJXL1WJFLzUZITTgUUM+ + TVMlIJQD4tyQrCYya1vr64UmbWFfMJ4JeohTsgjoYDsBI6nWbCxsbX48d2t7ddNsmP2dvQM98c5s + iBvVQoNuVaGF3D5VzkWcMgGgYCHTgpZsExUEA+EBocC+9q3AQMAAaACvMB0VK1axbNXKFcRMKjiX + 1VhPn+ZxYAAVQLXdMAgIMDHoICxo67uogBQAcvd+WRj0/TlPCiDZ0haiAWABVgApHAEGAcICIQDJ + d2nX9vMgAkAYABYg1ULY3HfYsyNxezshAMQ4MAwGgAlgArgZaMb+Fe3RFIbABJBBYNEE+6ELCbjU + DqH7+kH2te9uz7t/sN1RKMEIuNFqbG2sLS3cXllaKO/tOjQ1Gg7F03l/qtcViwMGBUAWgC2rVS2V + d7ebzaYheyRvzBX0uCXAFmjYQqCD1TR2tjZqbNeUqCfsd2l+t0MljPAGGBUAHahfgPNOobmwtDJ/ + +87OXpkatUzIk4v6o6mOaLaLOqV2513YI1TcJjSAaXCj1dCNjy5dmV9ardZq4VA4n8uNDA9rqtxs + 1G4vr7WELHuC2WzIgYAKkMDaK27tbm9UG7ojlPTGcg4NNMaIWQYCgBVguLJbXlxZvbW4sFUsSrIS + Syb6+/oSIa9G+Nbm1mZLqdGAI+gPu8DfxteAMyZhgTAAMAAG3AJg0Kjv1MzVnbpFXF6nGgv5W9Xy + 6trW1etzu3tVFVkTgz0dybAjGAeXz6SAARADCQxKBIBlO6JWKs3NQmVldW1lZaW0u2tZVjgcjsfj + 6XQmGAx6PG5JkghC/4MYJjhAHVhNL+/NbVYvr+yuFapugbLB0FhvdyzuRRqwVn1jx5hfKbv98VBQ + CQVkmZoEFOAUCwCrBfWdSnF9tUHL2KdE8xkfBCTAogp2KwBhDsp2ubVaKG+tLa8u3DLqZYnggM8X + j8cS6aw/ElNdbmoD5dA+gQQCIoC0vywCoMCQadaqlY1qdb1cNTz+bk+wQ3XYHlCWBC1staBR2dnc + WW9IVRqIZzN+N6gYZACoF83SRqm0WwJvyxn3xaNBDRwAlNv+JAAAYBj1em15aWlheW1hed1kwuPU + ctl0PpcOJBLE4eBYkgEUsB2PAEyrXNpbK24trq+uFbbqenN4dCSRToa8frfqUDEBAdy0MABI1H6v + GQgLGAdwAKb1VnO9cHP++mJxuWzVtEA4kRuKpfpCAU0VIHEgAGBVoLFa2ils11DFdMjukMcfcPs9 + Tgk0AWA0oVmtNGpr1cqOYTLZKXtDgXAkJIELQDL3+47MBNwCYgBrVav6wkbx5uLa5vpeqymcmi8V + 8Yz0ZsJ+B/ZEuSa17PMNgHC9HQ4EWEA4xgyAciYhHUQLBOwVS0trm3cWV3b29pq6RRAZ6h/IpTP+ + UERyOAUFLIQiGiBagInFpb0W7OyWr1+5srywQADHYtFcJtvXlXNKnDdKS+vrJXAwTyyZToSokNke + cPOdl1774z/6xsdXbx56+NTX/5//25DwztbmrevXdwsFBeRUNDU4MBRIJ5CCdAAFhBM46HWoFazd + tT/4v/6Pb792PT7+0KP/6F+dOp5ZW1zZWLq0s7bJmq10OJbr7O7s7lUdagtDC8AE8ABXeQV2N7dK + eslQTSXk9jp9HllTkCIsaDagtLNaLBWxrHsC0XjYK2MncBkEtAAMDFQSgm82qgvrS4vLc+ubi3q9 + GVADcW8ilcoGUjFPNKw6JBWAggnArZZRrlQXl5aXllZW1zaEQL5AIJvNZXP5cCjscP61fuPPtX7s + 85gDGELoCHHgDkun66t7S8u3l1cXTauluF25nu54Np3zuaBVA9YC2QVIW95rLqwszd+5uV3cFPVm + NhDNh2LxVDyeS6p+FwPJBGTue6lJAgDuCgcCtxjiuiQBmA1eLa1tbDa4gh2BYCQpLKuyu3trfn59 + q1BptOLxWD4Vz6diAZ8HKZodOJAAJCxANp5nQb2+udda2iovbhTKWyutcsGtUncoHst2h1P5YCig + aoDsrEYI4K02fIbao+scAAsO3ACwgMHm1vb8wvLcnaW6Ybn8wc50PB8PhVwKxs6l9arFnaFkUHIJ + LJsOqO8uXf7pD575va//8cypJ0584XdPffpgpbC3tnBxa/U2a+kuVe3q6k13dAWiMQ5gATABKgDl + RSjOvfTMX/zZyxfPXi9//f/8Dw8cGQ840fyNSyubm6VqXXM4O+OpiYFht+YSGjEptNpnFlAQqlmB + atHaWltuqWUlCqFszA0hFSSrzprVvZ1tvV6uIhf2JaVgJKKCBhzxGiACSLIsaLVa21vb62uryyvr + e9W6YTEJ045MLpNIRhJJp89DVCACKDdANABjDrRsiNJe+dbcteWF2/VaNeAPZtPZsaFBr1MFvbG0 + vFE0HaYzkcp6AjKoAjBYjdpeYWd7a7vAmeJ0hhOJrNtHKQWT6QgJigmAQIIisT9bjgzQG80aq+y1 + alXDVFGoI4EU2Q0gM4AWgMQN2WxBywmUVAUU9GW9vmA2W0Ffzu9OadQpLBAMBAPggFUQSs0k1Xrj + xs0bSyvLe9ubhBlBp5pPp7ryuXAkDK6AoKSOgAHIABLYX1kH3gSD3VovX10qLixvahTHw6HBno5k + 2K057aCiCpCaBhRK26sbS4uLdyqFktUw3aoWjvmynQlvwOf2Jh0e774z5t1nx21OMgcQGBNhAGuB + MAE56ly5uVpcvHVjc2VRxSIUCg0PDUaiUacmg9Fo7BZWdmpVrhBPNBby+zSkEQDB9zn8bG99qVrc + KrWYN9WtRhJuGRSLEasGEgWGtsvVa9dvriwtV8tlr9eXyWXSmbTLF2hZaK/W2ivtxYKeXDJKkQWg + A28BYM6dlZZ8fW5hZena1uZtv0fLZ/tGh2cIVewiQQAoAERwsKW3sD2y1QLWAmSZunxnvXrl1ubG + yiIRZmcm1dmTj6VjkkTArFYKq43S1l5LUoN5LZgKuYFykDgAMQCa0CoVq+zOVquBfJGAL+ymXkXI + 2ALMATMQMjeUagPvlvfm7txYXlus13cJbzlVFI+k0sn+RLzX41eQAhaAxVqqIMQUm6ur8yu3bq/O + 71X3FEkOB0O5bHc03u0PRikFYlspCgsLAIEABLPKxdr20tri+nK1sNZs1bFMWTTsjcdDwUQmEM9Q + J5Ix2McHFpwgC4ABpgKoZY/bt+MzA26xanljpzK/srWwsVMo7HR1dnbk85lsWlElQgBbpowEMBNa + xsbm9s5epc6II5pSwzGXC5wINPv8bOwYpY31ra0dU9IVfziV83mcmoIlAAkAMwDTAqQDNQEJw8Db + FWt5vbh+Z3H11oIqU6ef+hPudD6eDuUC7jhwxCjUMZgACoAMILcjAhNggeDIRlUZa9Qb1VqtWChd + vnytUq4hTP3+YDKVyWSzoXBIUYmAfSMu4EhYwE3g/PbC4tz8nRs3b2oOLZFMjA0NxQJ+meDdSmt1 + V68JLZFM+J3goCCZFt9buvnBmd/9+v+6I8X6jn/+t//Z/+LRxMbytbXbc2ah4jBxX74v1TUQyYYs + AhYGE0DCugqbAKsfvP7Knz9/6788deE3/6d//4uPHpgZCmwsfnj7zsLC0pIkK8lIfGxozOfxcVeY + O4huv+bAwCrysjB2THPHrGAz2JXEQacTTAkaVn1ra9farUsm8rs1KeLTPCpChIMwAEwgisVooWps + bGwuLdzZ3tiolksehyPg84TC0WSuMxhJSjJVKZIwAFhg6aJR3SgUFtYLC+uFQrHgkFA06E7nO/2Z + AWfEDwAagGKZCjL36TNYYNkEYAAK45i3AExABBBplWtrhd3bS+srG4VGtaRKqCOXSXd0RpJpqqoq + CCosO4rt1eqrm4XVtdWV1TXOhd/jGu7rS0bDxOG5s7FdNYVDkTOJmEcjlBsAdVHdWtmq7DYVJodD + oUjAQx0yAAImhECmAmZ5e7m1u2kJmasx7Eq7fbJCgAAAtxVTDEKAC8EtLhMAMMBs1LcLW1Vrz6TR + VIfboYJpXL388Z3F5a1CMRaPZxORjkwiFPRjV8CuMxUAWVhIGAAyCMp0Xq1VtrY2V9eW1zfWdko7 + mCCX15fKZhOpdDgWd7o9P0c32q9kfx5iAB14HaAF1GEwdXXPXFxcWl9eaFR2JUI6O/K5bC6ZiNks + Y0CitL21UyxUm7onkvRGUw4Vy9ykVh1siXzGWMNc2a0vbFVW1tcbhRVWK7odij+WiWa7I8msz+fT + VARtlxsOYMvRi92d3a3N9eWlxc3NjZYlQrFkZ09fTzzm0BQobC9V2ZYl03A6EQCvAhJvcEYIVewk + TQiGwER4/ysJgIbF6vpOvfn+5atLxR3Ore58rqsj251LgTCBGwASo64qh0bdWrx5ee32zZ1CwbS4 + 6nDm0umuXDqTiBCHG6iiIyACqLEJa5e+9eff+t7r8ze25N/6Z//bfSenOzt8HEyFY40TEFDc2b50 + /eN//e/+/bUbm/HE0L/8l//7ieOdDrlJUBmYCpbCKsby1tbHczeL5b1mo8YtM+j3RxOJdDYfCkf8 + bifGHLgFAjOgAiOEwGy11leW5+4srG8WOaaBQKAjn0/EYxGfCsaeKG0sFet7wsPcqUTU45XBYT9s + i4NgQGFndaGyV8CyStxB6gm6XS4HYkgYAAi4ZArKAbbWN5eXFje31rd3tiqNssvlSEViHel0Mp52 + +OJYI0yADEBRo7yzUSjrO1VTcwdCbl/U7yYAAgMnwARDAuhdu95/WH+b9fcE3XLObYF5gjEmBACE + EIwx0zQZY0IIggUBk4IOgEzuNrnL4MCxJahBFVCxLCNKAQA4CKNZ3mvoGEteWVMkzT7gdAqUCAms + /cPm/xfW7d0pdADbTsamt3DAFhCzfSlBwSJgATOAtcCwwMSmiZug6YrH0gBkkG1sDoQKCLehWwNw + E5AJTAJOQUiAZUH2oVsOIMCSoApQB3ACOAFkwYDjtqP7XfgOc0C2nYHYlzATtnEZBdqWkeAI23dE + AFgAhmi0GgwJg5n1VsPhcqlOhyJjLAxktQyLCckJsmZXhohZnIkWwy1OBICEmExsUwgMmCDgBExg + utlotrjMkENSVVkCjAwMDAkCggAHS3BTWE1dtwwhLKQpmqaCJAsQhsVVncstBhIHt90rpmAhy4KW + BIzY6B53g5Dtb60z4AgkolOsA2qAkDiTWya1DAsDUISYJHNNtitbFUCx4VUCHCwMBsA+dNvOqchd + +1MLgw6C2a1SACoACwCuA1iAKWBbyomB7WKCZIHQXcUMe8eBHd2RbAG29nHbtkhQu84QAhgHRtrI + vwXgsECzPy0FsNnj9g8kAAI6CB0EAkFA0PZ/hPg+Mo/bkM0n8ecTAHcfROCWqVtGy9SbptGiGCuK + RCQHU7yMYBOAcqAMJMQxN4HplmXpWLUkB5ZBBkCmUAlHyASug9FsWbTKJS67VIoVCSgwym1wWQfs + AuRqcto0oaEbOmOy1XJTcBMOiksoionb4Z/wu+q/CICBMIHpwmJ1U7Q4YkJIhMqyrKkqRiCY1TAs + EytCwjIB4IAtS5MQM1pms97UTeLwSW4nBpAYI2YNKAJEQRBgnJlWwzRbpgkYS6qqKIpCAANjhllm + WoVL2AkuDCq0+QKCcYI5RrAP3TIQHAQTXKoYYCJFJsghU8zNls72KnUuiEaRgiyXhMHpYxTrNvOL + AQWDEt6GPwThnJiMGKZlGCZjlhBACKaUyrJMKSWkLVL8PzqxOIgWQAu41bDkkkWaBlY5uBH1KMr/ + y97bxdqWZedB3zfGnGvtvc+591ZVd/X/j9vttrvTdseJcRvbRBj/yRAZAUK8wBsSDzwgOYhALEUg + RZaQIIhXED9SRCQkeEuQEDwkIZLzkgQj4oBiE2QSG8du211V995z9l5zjo+HMefa+1SXHSdq9Qte + qrr33HP22XutOcccP9/4xhhcO/yM2M7b4b3HYouvC5Yiw5moCDcB6ohXuLw869lDfXZe8Yw4CdCr + oe8o4PjYy+sN/byhP2h7jNaK22E9rKcTa91pXbc3bADjDCWDbQUWqKm/6u3lpYHLG7bccUw86AUN + vaNtuMR7m7+Lw/HFsjhcWClrr3F+V4+P79mzx+Utv7MTsABsF0hmo74V0ft5e9z6eesBc8NafV0K + lqWbt3mKbQBaQI9z315t59fbeWttOSyH4+FuPZYcYCBEawRZLMgObMAZaMAz4LB1vHyFh3fOevXg + Zxye8fi2lmcEilCFBYA2xG+gXS5x91rPuByLwx014B1ogDbY5dEe39F29rXX+4J6n4q939gaXuCv + wAeoPAR/+3U7vwbiuNZnp9Irz6cFOjzbHI9ABZaOivMcWozIXsaAq1VswAUgml4/bq9eP2whmtey + VCtrXZbjKSNjtbbg0XFBqcL6oHo+t+3xMS6bmy2lrHU5rA5siAe0/tpOD/VUHHfopb2Ldvlr/+Nf + /i//8z/3N/+3X/zBn/yxP/Uf/tz6xnMnzg+v+6VZlMUO93f3dhqabUWsEM6PeP01vPsP/uOf+/f/ + /P/8i5/4I//cv/hv/Omf/qlPXl5vrt8szXmxRdWX5Xh/CsdZ2EwB3iNWnfH4Whte9nIup7qUWmmG + Qw+0jvNZ7fL1ypfHspb1CK7AIsPZBwVlwdm3l+314+X1ZXt0+VHPTnhWa8EBsQIIR/folnF21+Wy + nbe+XZpg7qUu67KspXxAe+pvgG633s/uAk5oq854vJxfPb7q2FS8nI5+WN9gsF3Qz/AVfnyEP7Tt + 4fL63M7lEi9sfWYLF8fBUdFQWxIl94QfcjDN+HTTBnbEGf2MrXcemp28rJBi2x4ezg9bu3Ssh/W0 + lNNa3GcaOKDRByAdhQ3REcvLvrzutMsrbw8FrZcj1nuu1ee89CXHdvXLPuYJzKFecHWdXxOBuqjp + YWuvLu0cUKl31e8KVnRFfTi7WMsdesEFqvrtV7/6t//qX/jzP/Mn/7Ov/sS/8mP/0r/9oz/x1Tfv + Ufnb/fE9Ni88HA53flxRrANdiMAK1PYb+K1f+ov//X/3X//Fv/GX/tZv/uzP/ad//Md/6Ns+8Xw7 + v/ewtXPrEu/K4a27OwPCsRnOMViJFTrqNS4v8eqdhhevTx99veKOOAEej4gN7Yy+bXZ3Xp6fHSdg + BSxeZUc3gAi11h4fHt97/bgF6ctaF3QdSj09O6KgG9jh/cH1gFrE+gh/vFz65bH3DRHF61LXu3U1 + BnqLc7yyZ4/Fy4Jj5qLQoz2eL68vWyNPtb4oBT7q+/uAxyCqcs/hc4Mu2Eo/43zGZYny4tiBE1Ab + cAYqLsv5EQ/3WO2y4B08cPvagpfPDx8C3kBf+hnqiA5TprG7fOt43Lbztmk7l94O1LFWXyqKww9y + viaUShgocTFdoAtkj5fyO2e+euzV7HhYnh2XY+mwDYoELQO4hB7bw8Pjazz20rCWitK6Xda7Y13e + ID0mXRiZwECkC7yFzN3Z0NJzOzU/fP2Mx5fvnl++e6x2f3e3rGut1Q2IDe3Swh+wbqUcChaiCIC2 + 7QKiFsPrV/31y/e2KG9+WMdTBerWfTtjcRgviteP5zgHOt29LMVXC1qgZq1xpVbr1QVsiAtowH2T + vfsKr1//9uP5d57fH07ri7XcmTFqshWwACUC0UGEUaTrjMtruGDPHtr69QdsD69ccXeoh9NaVuvq + 3F5XXOLx5cut2N1HtNaVKMoG1ht4Rn912erLfjr74VhxNKzYgEzVBFARqxrODY/9/BiP6o9Fl9Va + 9YP7W17W4VsBQK9wduCybds7l/bOpZ/DDlzufXm2+LFyzqVyRJwVBiskjS8R754f33n9cHp8vJde + VNuKtePB7HCHiu7DbTbAhOknu1g6aJCle5asjt6i89WGVxddzq1YOa2n0/NFhoYLoh25WDh66PWr + vp17XR7Ww3ldS3YzAFbB+4btt3B5fK+vr+xuffY8a4AcWAU0YTvDOkqgWGDZmj88tHi88NJ6a5dl + i6PW+8Mbfqp9RacK3jVciMNAzYZxFjYpPcwAoNBl2y7n3ja1LbYW7mU9nE6nU6ljyOyAbtmpDdoQ + Ol/a+dLO20a3WuvpcKgielwaX2q5+HI4jlNUtgve/fu//L/+pX/3T/8Hv2Ef+vwP//P/+r/1M9/+ + 2Tddr9p77y6vcHcpzw4vcLfggAsRRDgK+oJfBX7lb//1v/pf/be//Gf/k7/yr/6b/9G/9i9//4/8 + 0Cdr/NrlEo/nHp3Vl+d3z+FLlOXsOM8I1/FuaRXnite+2daP3o7lyM3xgP7Ow3l52O663R8KDgUV + F8Q2ZklbBZZH+ba1djm3y6Vvl8VtKWZl8eXE4iQoMLrnxBh1tP7Q9brpsm2ubTUtp/vt+GIjAjgA + R0WJ8zCNgW5rM+vA0lHiEeyDxN3VGl5e4tW5q10KdXdcl7uDLSUAD3kHYTCG+rlvl+3yeL601kz2 + 5rMXh3rojnfP5zNVzU9LdQGXh6Vu6O+dH/GyHZu9cTrZUuDMnm8dbCs7Lu/h/HJrvvmbvb6oKwpB + IQJggBc3EJSgdvE8sD1a1NdRy+H54mCPd975+uN5g9myrMfFjoubW/h6AXo6ihC0QT4xBPV+uWyX + S7u0aF09zOqylvVQ15Wwaw39jGT9NrzUhv4KfEQ5wU4vo5zPcX79MraLUYd1PRzWtVa1jQpfSpwf + Hx8fXl/acvdGvT8ZYNGsPXgxIBtQIjoeu7atoT1YP4Pclhf98NwWHC27TbbdwxIswNaFy6t4fK+d + X5/lKsfl9PxFdVC4XITjOyyPFUfH0bDgMbRkZUY2fQQuN8QnYAuc22OLB9l7l/7w8OqN5/fP7o6n + taBfEBfIW70/k20DtkdcXvdtCxHmh2U5Vvdi8AKWC7EIaL/ef+nn/8Sf+JM//0vt8Ok/9u/8mT/7 + 1a9+5H6FYUMYHu1U+d47X/u7v/wLf+pnf/av/x9f/8yXfvRn/r0/81P/zNsvai/6B9gW8IgL1fWy + x1lQNERb3Hw5+HKkWyWcHWpAafIwFMKk7fHVy4fLwxZhy7rW47qWYisu1CO299rmL/HsvNwdFxyY + 8UvqpA70/viyba/DPOop1ru1lAUdcYEA1a4iIrra5XLZHs/90nQpxY+lHkutZQ1fwxHD9J/7+eVD + s3OUshzxktIAACAASURBVNytZguhho5AAR2j/+Y/JPL9g+sDrm9dwwQzk8QZ65D0a0FQMusvwAKa + x90h0APdSncDu8MHVV+AcDydluMBtmQKZotGiyt/EjfNO75pD5B/XhNPySAnwEG9J8KhBcsBtVZ5 + 5froOBe0VHypM24L2dI/GUokQN3W1uflA8Kb/2KM/7AXMOSfOWpjDxv7nOqz8zKVrRNQBPajFy6L + wLvtGYvBKbUIufl6XGVLAyLQFQU0L2uBAwJMbmCCxxqotsOWeiquKiy0MZKOnm1SrSskFdob9/fG + MgpFpB4XWmWpB6B2WOxTyPbFAWCzMHt82wetx0EHFrBYWU62RJUBIMN5BuIWvb/+5WORxL0H3Pjn + +Ei+X3DoU5g0/MV8ycTa9ryHgFnRpRtgdVJurzUyQ4x4k8i8fuK12ybG38xp3fNuc+wOOOVwQDaz + s8T4lBAiBAWhUtZa19PdM0UnRCrkMNMNsmw0LxXFfAnHshnGTxOny8709XCoC7Bs7mXMMRuDj8eU + HKoa/IjTcelA1XER0C9SjWvnC5AzVgYgAw3uNL8/1JMtYxy2EL2FZMThcFiMA92KXCErdSlkXaV6 + HAvMLOHRWDxzP5Rnp9MzKMgAW/TWW4G8rqe1QghDvZLTQQ5q+rjTMajdWZe74he5qZMy99OplmWl + WSWwbUDAjftsN4LjXTWaKpHFvdbl/m5KixBZoT+5tr8PdZXqocDLwdc31/X5HRZh7YOkjy64L8vy + vLIDNGQiJ89biAbBKtbTaifzQWxpoQJOSWwRzb2cDLbUYpXxTBEUSEfJMyApq7iupS7j/Oz9RgGw + kKdSShFla9h0kdRbjzJakdhpNdC4t0QEYQXLib7e2akU67uImmk/iHky1/Xu4Hc2tWP0FBqzefaT + Spx/Ote6luNywn2M5k12g7yJWZjAXRXABy0cgGM5YLHVD2s5w1exXBKPFS6CgJUV5Q5lXXAsWMaI + EiAEH7k6R10PxTt6RTlnQP0Bu+yIAi6wutb1zRfEfSG8AIWu5maRA89z+3IE0OQ/A9nMC1kWaJAD + QPHT3boeTqLR3K2od2oUSjkgMyIHSrhghbRDvVtr6gcEFBGtGQNWcFiPdkQWnUhgQbRNbMCmEFDd + V/e1lLtlAcAomfHs4zz0fE+woqwoywa79B49GL0Ay6kecFpwNFU2Cwz7Z9f0o7IRBg9+D1uswGf9 + lRkplMq13lWGje6fkUJzVcooXu/8/rgeA91RqmrZAKH7gL6InImjMWRpPaxHJ20cXo3MzvuKe57i + tuMkmDlGN25x4XFdD/erEJ0MZxCMbQL3ATQvfrfW4/oioArULF5Rz6KPfA7Np9k/dxwOqUued+YL + ijkXRw3BRCzLUpc7IPWDCZSiB5gV87hqI3HYMfO1eCHq3TPnPaKBtY1WZTdPnS/OBiJPvXFagTpE + 1nJa1sO9bWAbuHMgNqqe3ASE5wGQpcaGByHKTWvF3QFHf27HFXKggp5ZbJuqkSHQYFWsoBnJwRCI + w2FdjoctRNqCkhJIwYU6JrKPeefwiuN98fvjMsKPaZIdywGq1Y4i9mwLWEa6XARRarn30+F06qhw + L45+gQGljk8hQXOopBPitMOycKlGOSxj5mgbe1Cw9XByd0PfHQmJZF2XZV3JEwkFoiPUSk03QHyf + UEoworrTTwuL3wxpmH4WoTrqiR1HHNxfrKjIPsA5+TyVzFhvJ1BhpR5OteBUsiGoAr2jC+oNRZl4 + H40siDDAQT8cDh9ay/NnsGwbFgjQggAREWEdpONwOBwOS+3mY+G2C85W3TBG5wx9YrsvRpCWzcHS + voSyLvduxZ0/1/1xMZRas/5P0QmiLMWWEy1zOgoIIiefhYb14ObPA7GuW9oFElYgA3L876mcqk03 + rzG2iIE8FrhosjnroKTjXAzPTzgd3+o6LosXLGwApmO965D9gEHY3RvauvDNCt7fm+AEM/KhmS80 + d7P7XrXUltIQiAii0wkudTnc8bAw+WvDo4IMsPTiaDisWHw9YQXullEfVhBrdGwNCqi0QkR06+RS + 6uFZ5XKnHioNRVhs4iFjxBpTrjtHD6N1Pb2xrs96vycwggIDDJugjsDMAQ2PIofHanbBS1EZfqnV + er/Uw12y8eQgDGfgggKqCosEkuuhLGupDoMsLNnAHVuDe8H6DMvhTrViSY/7ap1J+ALbMrtAoBbz + 0+LHJeO2ZtulbgQKyijMJwqHC/3ExItQChiyR8myWC00q5L1LshoNJ+d7myW4+RtwOBYD3U9WHou + geTtAMDifmdey0S9AXMPqPUeEmFOq15WYuGpvijHk9tWUuFmaGi8riw2tMiqxG0t27KQbr4cj6sf + n1V0QpZ81bzJ1DiB5gBKASoM1Vbzq3cIW9b16PWuE8VQAMSMpmToCoab17VwLcQdBskjDafF9P0G + hsChro/0A105BwlNdBHbXvLIPdZL0zT5twbAR3e56KCVpT5f6+kZGLOK3BUIops5wxDMkU3rWtd1 + ub9Hj0BntYKAhONhrRYGG00PvIIBX9ZTZZw2Wk6g0b6fqdiWFYYateDUeA1958GZ25/l/TJYQbXC + 9Q41cvHdnj9/fg+aOzlZRIpIrbPr+EQgoqfu8uLH5XS0O3AMc81RnLvvfAvdXsGG8TPCSrLSISyG + erS7w/NxixkJcwg6AFuWk1s9AMs6LY/TZq86BQTzspayHug8ZZwRdrhw4CcakgpkGziEhGL0dcXq + iPtnKA1V6Wz0DdXp5c6Qri2AUEgB2ZNnGV9lzEisOFRb6+EIbpfnS/HqTP4zRNEIFdALvBzqoUwl + RQroDWpo0QwiurpvcWkWqK0/Prz++u/81q99/XfeLB+pq9VqYXWL6F/7zV//xf/977x6GW+9+daX + vvi5N54n39o77zwPfyGX+qzUE8est9yALmyB1jaimwk2OkMmfa8u64vleAeT0aYQMQxy1ENZ1nuc + qsEN7KPDKAyQQeHrwdcCsPnSs7+E9siOyRIxsvh6OK1iyDRkTIKY52lgZKTXw7GWBRVmRSNA5zyM + 31yU7v9X17cOuv3dtmn+KJt002c07QYzODPomTMwkmNairOmZ9ATBLEY9OGBx33zROIWax14Wcyw + Zg9TqDCGX8cv0sHRjBlXAqZuwMRpYWcmEaMn1g5xDaxxeT8KmeWSszJxdNB9ClaHT+R24JKhbqMZ + ZcCCNavqWGvpiOg91KEuYsnB0JCihyAaDcMecH9kxpwVGCBY6DRNeCaih8KH/QqjAmqtMg2mSehQ + EwEvggPLfrfXJbcZkNrtk3nJlUmWamSgB4zIcwB7k+txq6OnTfQpG3ZjRbG7//uHza3ya8ZgxHdP + BpfsWMIEdDPCkmGfpHl7ab7YMAgynJZwYlvX25mCk4/Byaie08jmxyZsapzBXX5MktlJ0A1pwc0D + oYg8Q1mlYISPJck3pOdkjJRYuxF2q7Cace+NhBqU/7EnrDC3cgilOMUINuR7P6f5XIM4DHF39aXo + vUOSsfj4HaUEmjGDyWqlQrDk2dtwJuY50FzO7DEWEb1FhIji5sSBaPt2p0eYA4yvnHaHkOermIeA + EJVFxVyqjb2sFVPz2FxSpvs/Al0LKRSuAXfv8jVU1lNX4ve8UrSVDWUJG815EyO08bhORAAB+T7Q + U0rolgQLYJZknJ7Kc74Kravlxxjn4rnf3ICgTUEko59XGXyC445JORVyGGjDTQ31iECX08gKgztW + DgrhdPIdvsDltlRcTxRozPXtESHFkBru9x6KHkGY+3DQCUGhgKaskgXUta2w5oS/zDNdn4ATup1y + WuCCNXCDOnGpLETNuDYG9/AAbCANzYSgR1IntO8dBHOwwDrU0echuvkbjqggAi4rBW5Ow+j0zeqp + EGx2YiFvFUZAIDMfYoOilMJi5lbGivRO7moQRJ6psp8yTqOSmcqIiNYVjQyC8NFGmOkn0gHfhHOP + LUJQdRZmzi0MnuZDkQSi5mhEGdCtLQG7hLI5KXvYsHdN2KRCgxn6ZOkXsGf+LF9opNlq+S3EfpRo + cHNj2Zdlh25nEMCMg8H5oKMLswJg5D2TFuohKUSjhTKRNwpbIsj9I36Pi0xKJgFEOgb55uRsdMAZ + rIWCHdGy22WK7NBnEcRc+XlYbj97mK0IRZCwiWkhR5yn9iSRDzwOJHqPUcNrGJZ+HKjBhREiFJo9 + oPPI7bbn+tECNE3VPJF5rlDqkHSNb+9tK0kbKFjatwA8PRtrHeeOjjQC/bRi8bTZJlII0CQCA3Ew + wiwggy1dDpibOxDRt3ZxE0hFr3V1XM24JXQ76iwYosHgFV5wtQ4aocuNg7ALlrFQHZEssFHmVEpx + 88QWSwWVNCuMLqzmiJqMOgOMHpkRG9ZRLRShkiqPo3iWQELRAswyr8CUxgj0aO5mdiMXvNmktFWF + EMrt2N0pSdaRLiEAVLDikEYcEYig2+gk25+4oru5Tf8hlOiGoIjR3gqj3ypghhh8ysXGQQygCz3L + KUSForcuhECjmXl2fe0CrZTDMCyCdfTRKmx/XgIc3uuQK0mEVEkWWKkMKQJmghTZuGk8TupYSYFO + kjYttRXUTMzubIi0oYD66AE3H1FzRmBmdYbHOUIEB6EIhGgoDic6j4E+lOgHTui44k6GsqR6GtZ3 + JvBGZgF0r4nbuy07dyGEiAb1xQirTNc/lyyUSRzAulwxRwH7uI3h2073pgttOGUdJSvIVLxcPW5R + XUKIfuPQaubENT2Dkv7kOInzYGm+RLhJs0GQQmmstS/07FR5E5OkP6QhVxcYWUKZVMiG/gTlzN4A + BwJoaBsu5FIOQDFwBYSW7BiISqDHDVZybpoUmChnnpDiZRBdMBEQG4nQb8jrGRChmL9OknRTCEAp + w9FKfZMpWPHm12dBQ7bpDabjQafBHUDxIX6pD+HeFefLpfeAw83XspQpLeZ2k4C61dzRxb75pS0R + BPpxifVgcDTQRKOPXM44dOnj5gdPZ5Ij4nUfkZFgRDGr1WiaDqMxwzdIIQYFBG2m/rjr3usKZoZx + 5KSns888yDTIu6hAVmfZCPM4XmrGkT3IT/c98ZW+jjmyFfl8ZxGdaITDiECPYcczIeVmZo6OCHTC + CuoUaBKlFsSWDu2CMdU1uQQgbgARh6+wStQ6AQwAZgl9ch4SEEnlyTjC9vEYIEop+9ZndkBBMTIn + Oy8bxznadAg8oe2MfDQtOZ56F9+olADCChhJLbru1/BuhqzDjNk3nAartZpgo82gkVwGdz7HgyiC + gZyiY8RIwV2RjTmmmhowbLcR9RqsAMXpQWDH+Kd9dwARgUDE02fR9KyNECxTJSX/r4fCqwYi4XNI + SyYxY4Sr5H5D6BIj0EVXNHTRj5/+tu94/v/+X//Pb/3a3/wbP2/+6tPf9vHTkXfeVj1cXr77t37h + //wrf/mvXR7Lt3/b53/wB7737Q8fB2UIJ7cGBSzgPm9132JIUA/13hEDAUkAJtKVoLnXJExoZG9h + hj4CeSfrjEluVBVnpO8AfIaxAphZ+em6SQjFTQfyeUgzK5pxFUYqPZk5Q66YImOY+dH3S9cfXL+/ + 61sE3Y5uCU9QAACwCdRGqIMBD1lJyzkYiQ1sIZNKarVihmiILpVMQS22RIJ3MbOH39xrOjIj+kLf + bTQhwozOHBUSs7v4cOIHH2hPak+DhNEVbuTDNGNP41Nx9lGEMszIbHnwOLq+7Fzem9MzMjNzrpcE + iYgQm2fPh1FCYYCZg7BgqiPjHNBgwFKcGph67wjBHLJBjhtouyJoQImIGrJk+xK11CAD2eHKSi2W + 7519HdzNjL4MR/z9BJ78yyfrQE9O93DfGDBDSdBw//Xdeu18UnFfGU79ZOMHuVgziNl/vMck4190 + 7CVaV7s2fvUWvU2HQUgOtjFxlR1LvN4GYzhm+Z2MyYEbR23aqpw5FMNdzbdL0FzTI8K+SNyZ2Gao + w0kbj9+HYfZhGeePbHdDNQd7U/TqdvP9kELmA5rmdfmQbFxEBVbHkv49esC6Mi3vtfgkHI2Fi+mu + PVXbJIHee5qDZVnG7t2QiOg59Gk8skIpmIN5aSXzt5iHM/8j4EbON5QGNTcTGQPams70jSAm2XHI + USHpe/o+APQevfWlVIIRiHQWbZeO3OIAaO4mJxmT/0fSLF8DAYrA757curlM8FA0Za7Fs1gfjuFI + aQsFaDmLvAxkl+Yp8zYSyBEKL0QxmCd7/TLQF7fMmkagB9x62ZU2QcqhASslrtfnPj5ptZ0OBBAm + giVoDZARdBM8emGH+fAZAhvgo0qLV7+cqRySIJdaXYS50eaJmRsytAor1HqHK4NvullO0ZZCPQby + QBoTlAImuMjrm03BmQo6mzMllN8aeofLCtxf5LDJGCWdBxfRN+giGOxkXixPYbqrYoiiABkinzMn + ZyDKzQk5gCvtCksQAbUIoxXOo+SCEU7ekBGSaAHM8zDyW3uUFWqtXS7bcT3SPXrABiCuIVrqktA9 + EUZAIQOsOGhjixP+y6wPHRFgaeK5h8xA9K1Z9I54eHx9ON5n2iPvuUBENxjkCKLrvdfni2i1mpsP + k6aOh/NjL+Jid7aMUDwVraFDiAbrDhIMqBNG1qFRLCGk0a6SYIHNgEL7Rk9/0xJStpn3MUI0cbZV + T+B8TKPjIEMB7hg55g9wP6/I2PzZVLeTHC0plCUHHLa3LFlRYmYkQtElASFzGhz0ism63eVzV/97 + 7QaZ72FgQFDrXQ0G9woQob5tQcILko5RnXJeD28eFowiBnOyLLDgAPENkmlGeleXY8jb+43dvgIz + 0o7etG1mvRTLeZ2yxHOiowlMVhzs8bK9vnQWtN4u59cc/YvQW9ukTnpZC5eEiGYTqZEoP28Kcak1 + yabH06Fg64hsagCgd1ggT54RbVr3TVp7DrxCb2CBZQ4yg96cQBA9vNjM/AbcjWC7gRh0XQQNNCry + 4xwjp2S75xY5CUZkRJBGsi4LBZMykVpSOeZSuhM9wNYbYiOcgBvcq+3MT1wlU0ySUocaaTOnmOJt + GnEUPFOBXUNtMEkDjz3U4WeWlTS0ISEx8mbGJ4IOGObkwjoV4wBmxgvtavo7EnvyAh98euUMpwKE + o78/yM4lJiELMnPPw9UftQe46idmEoBiRNDcCIS2bWu9r4eVZnQqoeKty0AzN9CJYESY20io5PtG + dG0qMNSRM8SA0Ua8IUAjze1mcwzxnt/CWAl39muusivO27YoDrUOj/361ExGMTFPJHxkuCMky+IX + gRm0EwBMYVTRTUKvOMSiSH/RAM9oIzqMDdZhVSjak0TTSU3Ut/fu0eAFWQ/jgJGshk6/Dg6DChBG + W4rdBpXCyA3bOBQ9ItKPUCh6V/eEVW2Kj/kIYcgB26U69zxtOdopn9kGohERLTpbT95JwHvxBizI + tGdHzEEZCLOEbmlYzbAZWp5imGGzfjaIVoylC6O9ATHwKEIRvTVjkYYfH2jwBnap5trNGETDdGPI + JGmgKyTFrjR775dLdyu1rIl0SU82IsGQQMz0AdHi3LYgUH3xNTVJZEKTs8aEALBdtofHc0iDcjBo + kGrRzudYNwdrxoJGdEFo4GasfnwTvCMcrmpnYgsISErwDYqeBTHCMnIJbiOb0CFvDVmkOeu0XBo2 + VBhpK84xwTlNdBogRYQiPE/SxFufaoQdyZfQSQakQPIql5neRhIkAtwDY4xAmjR4wRgcndopJPau + 6OFevAQsiCaVHADpDpkLXeiXy+ZezB3cu+5F4BJh6NXp7lCHjagABGKO2uY44YlZgjEV8V6GOV+R + V0AQvBTAR964R7CZV45z1XsoIsy90Mw8s1i2V8iOE56EcGUnqJ1KE7v/8L6YDN/wTc7F50zYwEaB + WlJhAU//OgeoJkMpdymiQ8HRIm7weQalAWSpKGJCLB2kTEaW+ZmxHwqaD0saEBTRe+8SKC8LjCgF + feu9BYo73JF1+ZJRTx4Q4KiZpjiLqrfLJpTido0IvGCwj4YET2x5ShRgXlgKQMGC8Cjg6fD2J3/q + j/8Lf+/V//QLf+F/+W/+3H/xF/6HN1+89VYt/fny8Hx59c5v/trXfv3y9a/1L3zhj/7gP/lDP/Hj + f+zZh4911A9U9b6oDXycLnieCjej0Q1czGId6cKZRMnRuJinrHf13sysZCiUlP6AGIZp5XYrTkw5 + VMI74EhNjtTXPIVmg/weit47Md1Om+Q4jkQ7Ro11Gyn7YrnnItMLnsv4B9c/2vUtgm5TF5s9oUhf + v5ZmwaprL4tBepCpajnqY+SphiEOB3J4JCZQEUNgvinXU+2Ja5C8Zz935bvHhDuUMBJzN0NMbh5s + dy7Rr00YNAyubj7UEYZO1Gl0fHQ8w478+pN3BUBcffgZSYk2eoBCYECOG8IQRzmcC71Fj2iA1bJw + 4gRmw63k8JySxpaOADVy+5P4apqo1W4RMO6Z3K3FDIhJztD8eoT3pX7yZEj9TwAy+Fj0RG+J3YJe + E/bTvudKaERAM/7OhZ67zAn4XiOwAY3uliv2n+y3JUxQYf4gHQoiiHJ750+fhDN7RwB7OSL2gPz6 + e7mZ6Xtihg0zeOf+BXYB44DDrp/0gcb4ejN7/G80eSamrwxeklZmRsS4m4UdyEkGnwyCAjQU68JG + lhRO7U87NlCD25qQzJ5rySTHKKZIKeFwzHAlwZBQxMwVj/TJwJkyFsw8Qy0j6JKyjIOT+Jvuv9tY + WdsbHczFmKfHKEdnDBZeANGjJS/AWMxuCGzz9O8Lq7GEvP2JZSnmNZ2AGNWbep9u/KCLkeQGmiFI + UbKZ+gUxBEMk4VnQdrPre3E8INBy6OqceJdHMsaR3P1Km9y9jEsbxAbfQECuSDWwNx2YByj5xMPe + 5/LkEc8SfZOZJn6JuX03T77fNx0ilRVYc2+UJ26s1b5nUzgI+pCqq/yOgy6zufgcNZhPIuVxKU3Q + ADmekgoqeAQCvgRLBuALQOxHvcACaiPbMk3FvEkq25DMT5q/RSjQNDiHjjDG9QgHk5iQ0jJWdNBD + nooM9wBzqgXXKEsaC+T0pYznNia3SDMIGtE7QeNAL/N180bmwfchXJLYAV/e+NBHvuvLXz48e/6F + 7/zOpXgBBPlgBk5FmyYjWbcjZ1l9PX3bF774fV8/vP25z3/ojTsfZLGSdLsRBQZikmeSDjx4ORxi + atehh7BhT1Njzuquycodx0SWlOB86rE6tmNdUJcGOXvG3bm4ulGyv/dhff+VxyEmm/Xa4mtKp83K + 54x76aTvJWU2bi2vPfH2RLEPR8l4E51oHOBdfU49w31HdtnOsQczsg1EHw7bqNsFYAxBCsZ8kxtz + uR9D3X4TGArZBxDmtLAbEyf2nuE5CCCCthFYDqe3P/6Z7//B7//CF7/zwx9+XkbiwJ1L9949RA1f + piMMYd0H9cveeOvtz3/+O7e785tvvlHK4C87BIfiEsHKemvVufsaVuEBDO7PjjyOBzKDaHSbQOrW + 0XLySxq/GVnmpgqz9HtnM08IM9+A1HR5UkuS172ZFRkJOOwWWfOUc2r1hJppiVzf4j7D0CSKqOsb + 2BC4q2CClpWgV2cA4egwg5ywJO/4aHaUtJmr3p2rd3WDdBVUCb2js4cNKmqG98PEkOiBHjHB7IGG + 9t25Qq7NICTM1YJTmGj9+FAB2TYjNBJMhEkcTbfkTsEjxIxI6YOud133hEbDWAF2hU/zarRppzR8 + 26B8Vp9cF8LmDaYTEMjglRnu2/R/cxPNSrW+k3WvG4Jp4DSXQWPaMIcwz7XKzwrlcLUxJNB41Vw0 + iq4bnmTun8/bDljPXmQ7OjKRTrs6nFDWl6RyZWcK5SicXzSIsdidwxYQgyNASQ5/Eyyy0dlIuEt5 + DKZGGsU3A8IUCI5mSdMTEWaem1Pu5PmIA+01G8PrEhFuCIHZiYgm+jQlNJSSQ4wTzbguEZPXb+iD + ns+hgMiBxHGXQ4wAV2rAtZ0RGuR8QlMgYZOuNHINJIvTuDcPxPX1011JNyLjLBNBFi/d8mhPXXot + megRnqZwPawffvvt7/7KV94pH/vUZz671oWAw4zmfjM0BWBkXqARIRTodLr72Gc+87kf/IE/8vGP + nNz7pu6snpyhkePxHVS95g6HpYN87kaAI0woSVKxwHwWgKbs6LBHlHu5/V7pvbtqTywOwdlNYPxp + MktnbD8X2RyLJKeNsXmiMrSFTU8UAOQZnigNdKpyu7XSY60lt2KjnR2y5RdgDjeazCj0SP+5INNw + NxmcKcwSMhNarmd67j7H2RxOB0fdg+aDz4BB6orxfINXzF1N5s5i35ykT+X6TgswPlPSJAn5k4V9 + 6iRPhQzY7sCOo8Abh5q4/uoNUuMzlMtnbQEEGe5jjbdRe2zeZQFmfDMYNEnpB0gTrCdISRGbK7LY + bNcI+RPLJW6Ad6bfqBuG17hFE1xTywKRCZLd7ZVAm5m8AfQ+dbxzQ0Z988QWjOiOw7MvfM/3/fTl + 2d3HvvPvf+3d337v6+89vupxkVpXuX/+kY9+9KMf/+h3fOmLf/TL3/uHP/nhU8tu+J6a06AFEma5 + 1WCpc6gO2x22m/0Zf01oxlNfEKBCycEuOcnceOuH3W5xahvtbKI9wryKy1jnfHRPrRzXqIc3ryAl + H/6MFKMIgzeR3h9c/xjXtwi6xUQonsC1uzkb3516cDfK6TMBucvDF8ny7fk+mmiEgJBce3T1zbie + yOqOtQkjAss7yIC64PotzFA4fzlm8t1u33bat359Al1JmxruRZ/NXnYmRQWfTLW+ucMnCnN+S0D6 + ctPzjBv3/pr4yxtF69FbI1hLBQa8Om3X8Jw0gnDY7LwL2p5dG/gP4yY5axEYBaxZ5KcQAuRNA8PY + /ZT8b+IE04Wn8nenJTImSVlzaAtHeDK17K0/PH7npihtYms3P92L+G6ipLlMV7v6u8rWjNv2B9/z + vrtHM3oC6ObrOXhu9gXAvPWxVoa9Ym28kc/bmN7u9a52d33UnRHXonI+lZN0QiTEfiwzb7Y//F7Q + uj//ngAAIABJREFUYH7L1hl9baGAQsPZlizFnAApsAGOZBdoLMQwbblKV5cM+6Nmim/fIM17GLHw + 1AtpgmiE0/ZHmmFb74re11JnFj1CEUjWMAVKQUWZvVW/8Yr0FJUMF0jwIuZ79w0IyqzQbBSojpKg + uZ6YxPCEf+y29ePOrE1PIR3+302enl7pA/ekWTEMbUQv/SoaO8Q9cK/xSaNEj4bpIE50XsgYZVYZ + 9jxDIzTHVZoU6BGwBmxmlFxRFKhlHjYRLDPCuoEsxz+kSKeLJLPNydQtut0EgVmXBIiS72ir5tbM + w0DyxvO4SrdN/xpP+SvTpxU0Mc4pf1N+xqZACQ4Ce73vsDsOHlAJK4Jne8uCOb2SyPR4ircG2eTG + nA2EJY9e7GcQANSjb0brHIPNpuYZxfm5Z5oZwQEoS7d3D2LHBvLZsznXWJzUm16KT/NhtKTZSsGU + 1SFAlm8gXGOnUER0iYCZxrdDW0et68c+9Zkf+OF/6kvf8+5nPvvJQ11KLvRSd9x8Xo1oxFz2sh6e + vfjKP/H95a0vlmef+/hHPpTGoKCWmjMkI2Q9EJwzNxOPKTZYeUPfj9XqUOFuZq/o6Dw9+V8BqvrQ + 0BwORggbASjnkwmmgURl9dksSLm6Hbvc/UMu3khjlvg6/f18xWwzJ+UBlCHpJhM0iVkz+dQ5+sbL + mPxUCSbSfEdYB2GXpUzwKKbMJxlrL/gRyQacu8qMKceW0SJbmkYnR+j+jc86XTKMrHaPCWEKpI+Q + 6sZ/G9EoCtDUgUbo7tkbn/uu7/5nfxof+tiXPvvZj9WCMYvT1+JbYBtrEbOJMhvRIcHqpz7z+e/n + hz/xsnzqk59Y1kVAoCczcItN4SjzCOQzzzoQsqAA0RK61b76IzfvMBpLKtcQtgYCbijUKPwc+3MN + BLGXgU2VDyKRT4I3SoI+NwYYbR6ZAei0yNIebsMsTeDTSxPq258u+2DAxAH9QMndm3I5vfDR6eva + XKQD3VA0G78E1BklO31of6R5LPZbCUiKQZPmIE0iusJ2Xw4diR0ZshNatJCxMsvgr5iCJk9t9J7c + XfIExPctyvXJ2DbQA1nXQiZ4mr4lzb0aWu8K0F3AXrHDuXz73OYAEQMgRp4k7KF/g9SjhF01bCqT + m8UPZZUHqYieCpZzuMV8XTF3YhCab1m3I2jW9DsZebsD4dpfOtVgIEnbmImWm/MM0iKiz9oVT/gx + taYiDf9AFPt0sTPjYDOlREgTxxyNXkaLE6EkR8PT5ikyO7lFB6O6zxPRoAat4gG2317PaqYJCt9m + PcbGcti0qykROfGnoZ9L5qKT387hzA8UGNusqkZib76/M+AFkS13BrF3L4cLowewARAqOIASow8k + eVfBeZaDwAZ0jvEkjj7zgfNRBiZ5lTgCZvRluJH56FcZGP/W5Bywt6iJbNViVMut0oChARDdLNAV + UeDy092nPvPpf/pHfuR37KN3n/qe02GsTGEpZeY0h6CB6MaG7M/fDy9efPq7v2zn8+GL3/Gx08mb + Aqwa8VoW95gwff45wGKofQIFplHwDUu+v8AyHjsL9wECTcyWy2aThsP0oYaTFhHDb7y5RM4KvD0o + JGasymyVEkk3zy7iu1sw9Iqme5Nc/slelNl+TpPeMAZB7GQICQJLWbATaQ1Xh5EOZw9EDy8+fizt + 3tg80WkPsLc9vEZe3NVyfiMthQlMjpR72YkeoYgepZRidnuHmEJmGo43xvmOiZ5IuPEOhIiEaMSy + 25ld0Ke24Xxj3WSypL2f2e2rr2+du56pp/mTAFqgd5O4ElUNugABO8CWrlEKMz+4Q1tm26TayA0I + YAEXc+R0Q5aMZ8kEKQ1CbOhqwMZyJXhOzHNQ1kAIHgnFSOa+1ztgNDvKkysgfB7REVbk7ZECe7Z2 + UczNMfjx+IkXP/n2F776wz/5S7/y9/7Or/zy3/3V//t8eeVoh8IXd/ef++wf+spXfuCtNz90WAHh + 8YKwbm5Cp5lQJl9jJjXmR1OhCA2E1fadGB7YsDEqpkzwBNQjRCOZaW9Tf1/jkXlZll1NYzchuZto + I028wUi675hOjIDdZjsfImXPkcSTiOjpuuSi4Q+uf9zrWwTdmrvNoWQAovc5/2No8g50WjciYA1l + HNnA5E1OfbejWnQfLH0ZNAYB3KjGb4JUpHHJhJmle83BHonZdVSZWgxG3xv+pL4HcgQqENnhbFiZ + K4EicduY3576fHKEypim2nKGhHTjMON9D9hxO2xj+LEczj/9WtUFXL2EHYfOE+VGWK21ljH38Rs/ + agQduL0PAfTM4U8USdGB7CwfgKSiGAyoPZgu46kjiyQB3oTW17juqi3QMDpbp/uByCHWDWgdrg5s + FKWVg8ZK7lSr6yPcGLXrIxBD0AjYTQ+oGddwepNPrBKFp9gJ5lbOkTzyW0vM6+de9f7EeSco+r63 + G+htYEa413T/eLdbObhhDdy82e2Vm2oAKSgI+jc2GJkqGLpyBMen59Cv+bmROTeOs5EeMtCAC+Ch + ugUh1GRtWwRjhMmceV/zHPPae8QY0zCctlmqrKeLT7ObnoxXv81B0L0k8Dc8EkU2KZDEnv3zzdw1 + QdmdtD4eN5WSAZntAAoGkZ8iuVgJNAmjpUtSIW5yGxyOXZZhj86D0wd9sglInGW2I/j9mLHJz9/T + HTldwLKhajYzyvWyIflq6NoUrS9+KJnyjjw54xU91D1gGXV1Q/Mb2buKrqGY0RyD6GGyjKDzaQKk + RhLesgZnKpvxmt46BDOzYiPQGbn0HBMBmu2HTshJ34FsaqvJdripCh7uaX+ag7lxXbMj7vWgDFKO + KHFvCnlVMVkjtPdvStdjj+MCrYGYfaCsgQF4DJYegFF9nLoi67MC2VERGO7Q+HKsWYcQoJvBaavn + A242UlGZbXNcO9mYcZ/4B7WU1/Gett+4AElJ0IZxDqHo3TJJetu2KJvSDldvSNckTsfokjPfk+Zm + Nnw/BLJJqleRH/nEp3/4+RuKdqi+ZM8+cil+6X0QnsbpelzsApzGM5S13L/4w9/31c9+6fDQX5ze + MAtE34ItmUWIc48SqjFB7llhoemaYsQ1w/JnBVbAihl3zGBkJthnp7XFOTIHeQV6w6OLhgOt1GxM + eTW5HGby1mX5YBfjA5UuAYXU1QM0hcFNU00p8Tlv2aUZ3bJr6h5eCE3oQrk29rj5qFsLrQE+9eQ2 + MCN6UdFbgjMOIxCIHuqSAiKNXmhl5tUliO7lMGomIpqpK6E9MxPGkCBG9gt9n3G9umoZ5JSCnk5S + BxSOKBYjkjYSpY51MAMjoEbi2Ys3v/DF73n7E99V17eWw9tLmaPmx4z7LqhgzRKs4ogcJ+KO+xdf + /t7v++SXj+/q2fL8cDoAUNPmiEquxagrtxQCYksHSSPHPvtl5mNFzrMSxKvnM1d+WQDC5aNRzzeQ + BvbYdpqBkeefzuSQTSWia9xdsltPKScCQsxGemAXuzPHsAFIsLK5iWakCVe0+f1yeaMO8xenLHFA + 9Pur2YiNqKPeFohM72KS0aFsFDS9ufmmBiob7IeyCBsymGcnzgCUXfFD9C5rgBN1XSzfr/fdyxzX + rN3SVbRiVHFwRpa6IZsBA9aduEQWjCC5+Ukty5jXuRtTqmd2EYD7AALpPm3QvvUCAhagmZcrBKIN + IKxMgwljSC3Z9DSCJnqMXGCWFlsv1tKI7ALzRKsMKzdAe68SenT0bhTNR9XNZILTr0ukLmgTstdN + dkljpqKR/UiztYB669yoxtwyQB2xYbZPnY88dtYGHyE9sBhRV2rVNIVd+VF0L4vrWgEYI8ijx2Rc + mgJxBmuwbCAlUzeEGUb51RPPHXu2LcO94cFFeFZn5rwQhhK9TT5ldOg8RTQbGtDBFm1U694ufQzl + N97afafReMCyzQoHkNMnm7CoA9mQRN2xJTaKIDpQZiI1OQuZTsWtFh9qJhQ9OQM+hk9obj4DuVrp + hioVSSaLorcorLwevp5cxOFqLMvp4x//0R/78Xf9Y5e7Tx/vwP08cQpLeN5OVrF3RKCAy9sf+fyz + 55/+Q1/6yvF0Z/cH2NL38DIXNxA56zKmK8gOThgQ5jfJpcljIDB0XzCHhInu9N3Xit2nzHVTqLdu + JnPfO4MMPZkYcgSiSWoswWIGV/e4IDqsmFW5Y/LaJ1FGpImWCql3SeFqhd2gTMwLTmWtXiE9riPN + kusCK+y9t9bdaxI0ssfn4DJycD9TH6RrphnaZ+RrkI22A2Nky15plc8+TUDm09m7WiiEIIvRmY3o + Y8CjIEenUWT/NRt1/bhaAtJLzQKbHnHNShGcCNsMRHczrj3yyre4BsWyxA5ylkfQIzHma0ptRtS7 + y7JzW9L+F5gzLQu60B+gQCkqy96VlkMwGvJsmRHqwGPOmRAsVNQzI6NAU5caHRVBuAmhruia1m74 + zCHOVGD+L3p23lAPKOCGMRwmYHmQcxtHenOykEY90axYJAcPCygrzNEDXt94fvzSt3/2k59646v9 + S6x0FoMXq+v6/Hh65ulBXHA0oFjTuXMLLEKJiXdcq4DmDY+5A7SBlmkMRBbYIiKaUcXBOR4soKFh + DMaYE1FuTO3+7oMVM92LPQu2y6aiKzIxzPkrpiE/HNHxLGAcQqTk3u//fv/n/sH1j3J968aU7V+P + JMJ+/vMFmOSEvftGmi4JjFDv8mBasOkMzCp+7fCC3eiZb8KVnkgTXMh5WrtZsRFcJc3T1CnN3Hke + hTmmKZAdYIc6Hx6+AYO3iiz21H448nFifDkx4hkUjd97+oizgE+7548RNiHpLkNn7T+8dQ85NOqE + Bs0oInp0ZEP5UTF0Q/i5vkXm6ibVy8YtR8BdQDhaTi2ALchyDQ2I3QbLr6ALUeagtvjgUy1lGak4 + NfmTe/EchwbKOJ2HNC6gcIX6bkO2G+dp/pnfnUKFGURdE3A3yzt+94PQ25uqjPdfN/ZoKMeJwd3S + XZ/uztWE5rP7NUT5IEGXQoJN3uAeyOW5SCqaSYiWhvv69CN4TU8Uc9kGfNABKEzdTNemDUSTkA2J + rkvcgQuw5DZnU85Bx+D4kOEwDyL7JNYLQkj2PnXBaFAHDZZY1s2KR0B9rFT2hvOxXkN50Ac327Bb + L1Of0G2aJcu5F7ghs2hfkNwmy2J32qgs7gBC2dDZhJGa1y4zHFu8+18323p1FxAREb2HF//GVuDv + 39kRs8IUY044E9HboR7dHvsADKbMG83Dex2rckUU7EbCd622f2Oei0QTIQx/ZrfZCYf5jUbX9bBH + 1uyYW4F8OFhPDsuT03jNZUWmQHZhYYRab2Zl0KmGbM47H0Hu9bm4Z4v3gGFqkL32Hxyh6cifpA8L + Ih36MeQvgA0GsMCKiDPwABA4QWUgEaNZrYxC0XC3btATJhlg/ivJBvAxXxvJleyBvqlsRB2tGNIO + OLrBnE8EZFRmJUX8qg8jxopyGvd8Jruheg72Xf4owZabE5dkV0zbsBPl6RySJsRGQFjMLIDlUN46 + vmkA2haXB+9K7ombMkzBQLMnL2cXrVIPb7z10efPH5vF6HXnpiIC6LBOmmnEzzZKCHKjAwGEAWVW + XglgBLyX2VIhxSLLO8vIpcHAWTp2le5CHOaHAIQitq2ZD6z6VnEDN6yW34enEZFzJG60+dD6u17n + Bm0DGsN8QA1swK79xfdDPLTM7T3lFxqWL4sKU+PNcH9g3ABAZV7MRBlvJRNIFrbfxAoCIjEXDF8u + 4TCjFd5Wet5c1+8N1RP5+LaTqaDYnaqJpP9/7L3tkiS5jSV6DkD3yKyWdGdtfux9/xdcmx11V2U4 + CdwfAEh6ZFZpbDWm1TWTW3dlZoR/0EkQ3zgQUeAEupBv387/+e3fgP9hDr9KtWI0V9IIZk/hHdEi + AtADf37/N/z5oNiRCm5CCk921ue8OThhKYLBijitlDNJrLf1X7hExVECpcy44JtB6zZcspZlugw0 + 2QO8amcdoBNZQihh5+7qhDtoXfwJAjiiK57jTnMv6h7Ltp4cMdFhSjzUKbK0rRBinFMAwWW9y7Ph + TYHDQMFF7eBANTSEV8QEZia2VfdIvNgw2MAw+BGIMoGX7TMpPO18+bS9MG2E2sZzh5e2mtgjVdKZ + OUGJ9S21Pxyle2NuIaU4xWX6rkBkEVHmF3ALEALkQDpJI+hpYA/uuon0AcJMexF5C4npjmGFab0d + JWdqj7xun5cVZk4rwoU+XxqYfy1TKF46q7rqcpJOYqr6UsQfkfIX+52LBquJU34c3xiseniB8GPW + 11EQ+PsYRypn5vABKA7IAW/qaFaMhRn2Z1l+2QKAU7e2wLpFcLWpLtYgC9oEtS1JSgO+BSgWSzEJ + dgrAKa4KNXIU7R0YUU5UNeDmHm6obDhGAvJI0LlgE3Mu8pUbRKsJGODjFhvM2cwQoE+lJ6KrpbBg + T6Qvce5mDh8Uo6i03LZIJ/NNz8/oO6kR4nYQOB/v//O3d/1//7Phw+EFG5fzvXLSARpwDIDGptpU + z/Pxl9/+ApFnw/dcTfERbqqkhslt5qg9uYiHZhlfWYR/zCRwqzRfeRHWpLgo7Ej6jrS+6EdQ7AyY + nD9oJ2hbqOGG0rL80n9atDkMcFP0KYtzvyjhSjfxSB6qLJ5MCwnroNLESckuhRQ20UFJ680CGtQT + pIoyt2Bx5vmWkdy65p2LG99Wf16XGm34/dO3GiVQlIKV9Dq1pP1GF+4cDsK01aYdpfHWA8mZjTzn + pgh1SaVim+b0nHxGOg/zDonul0h001WHZD3u0anBC8spa4qpkAcAyGGVNiExWTaGU+Rtpp0GcKTG + 9pTmeEssDkLIIWIwg4dvtlFMpugpBaqY5xJbtVKzBSgYBtQMkgLu9JGLT3GHZ6MSqWvjdR1wQzNp + CDwl2J+k/Yn/NvQvQ2HUAXEcAQsfbh5BhwzQZTybYlA78CTU42W9lAyU3yArXgzAcBkXOKAnoRAV + uHCUolkRFWSdbDHYewLTVAGSB+cJmRO/sabqiJoXWMnL+fqV56AWATUf2dRhEfbKi/jX8X9w/KMA + E+qYsAmUF2UhcwuBCbqEiq6b2eiuzmrUO/WTSl1AkI1uSd1//1DT6rscZlWrtfh9WhuphDOdi5Et + OxWh4sdTZy6rrQpplutW5s0XMp+nH7RKhcLos02b3VRerWkB5q2Qk+T7qG/vCEG4G1gaXexGt2EQ + hRRMj1u4MWq2iw0HKr602PDldS8FDxdhwIONIbyHRfKPE53oMNhQmlAiP23At67d84Uixpz8BnM2 + cgoBqLD56oLhXlwlC3rmyF8kEpN1bV9sDwfXy0yt2O/cJm5dEmHqUbbbBdup8VCUfjqWjTWfUNfF + bE5XGwCDeu3ZyWw5aSsEukW6oYY4yUCsOUBRLWoYC5uvIsMhbmijRtUq5y4XLr4SopqtwCDdDOUY + zKmxAV7gmGlEiCdWMV5FooHcDkGDGTEIiREv7nAbA9bFOiMbIbDTas6YrltCfMpllJIAiEjUdYUX + MVpMu8c7upUdmkrQ9MSE3hthFziE1jBmbi3JKDSPzooeOP3R5gkIpOfiS5Jm6J20JkFFTsHzuk6e + v3bdpvYJDIOGwj09w8UGzZY5WxQk1ObUzAeNtZsupIiQZ8VWidZ5scEqNMGl61ok6Q9rdKeasK90 + 05q/irnBzQioiGqAdK/qgMm7uMAgy+GbimmxRgZ92vPqrbGhleofOzReJxLfcuGjeSS3BQ07IDNY + h+VWrm0Hhn29XGc2hiMMxQ65IAfYnHgS34HvQAP+jAH8DhB4g59uGM7BFv7m6ry2dmnubHMxO8gE + HJ+MxvuAX7AnW3Qui4wfeOEDzHzBDITIpnvme0TVkhdAae7wABWwxSQSlzq+pdhGYz6/JTEqD0QU + pdC6GXons3FOuXZTobcxlAHrINHurCP1eEXNr6P8ghJUeB7oDjpUG+wkRwDBKx8RQTVDiyyDFI8D + g7ADmDl/nlwgEzaG45kizhTUtLpj18lwtMmxCBIPYgoaN+vX1dt5Qpm+0w15L/pxcO4j/FwBddhI + l1A6YCmJMgZkjqFLd3uqEK4Z1CTcEMHqm767VgllGd2+gQMQamSYxI0ErhozwHTEpGM+EsDoBdsU + Aj5qcKJkpswqTEGQODLWw9PyeRj+5a8sz101o8lkU0unVlClsrEAbA1PxRsADIxnJCcZ5AJoOMrX + OB9ibp4tEYzD7XKJZmBMwnPgion10Rk9yCYXLZkdCWTunlD6ERF0DwjRcByErACiwRGG4bCK0Kda + 4V5RQAvZx/BzOQv4IZTAYuFCZmoyuVQHd8e4xD8gAonkzXj4pjzn7uNNG8bSkErbWcxxRToQ6XHe + HUYJaInI+e9y/cD1DmsGDLQyKxOdwgn3QMkiENnbiwwY+uEAbHg3ekPVclAWRJhUwlJFUJf1j+0t + Nh6eKotnuUldUFzQYAHhx3Ss329SIfxIK6giMRRpM7n0TVZ7gAM43DSkO8TAK5inWVGhWKhewxRA + E0AMEpxrQGXX4nI3Se6fBf3/umfW8EvzgICiEpmtyGYC2egPU9aE0hgAHMXhJvqtj7IHaICLqBYl + l7QIuk8xPGOivojLzSwCakJXH5xtNueU+iCfEmeSg23gAPRgzX7Om4QUkwTe0tLtKlvFfURDM7hK + 9JKrR2TcEeISwYDIvKXo6WAvYwyC0M8S8piAKs9Z+tMAxQ9ggCd4wDl8GEaD0NN1C2LwAUBCQx5G + gSb5CvAWDtgFyhmK7PRdztg4I0dgLhgcnkHNz80OMkvfRjgUhSI1dXFPUjWDyx55D+yACJookqEA + UIU2AqOjKVQxOwjBBXhmEZEf5NEvCsfRxDBoji6i4s0HeocbtA8eFk3jt8hKkWnRsEfI0FdMmMPA + YZxOe0lPdYZyuHGkrCOLXNzNO02EKbOC8UThPIkWdGdiJ3ue4cU6hgMWSQ8OySz4OguKAzDYKKbs + cEb5qKu7DM8UhIr5ACSOFuRsiY9oYuZyTE7moabdpqbodtPbxGZGEmpKN4aQbHUrJAISri7KHi03 + KCO5ZLHhzKykO8ZwcEj0KQuZ8xlyx9zNqNMKKOmxvUBM/jAHeAgLiE1YbsKolJP7G+YyW8Dswf2Y + 78rlun0HxSWDxM0B/4H+HDi++0FpB1cE/i3uypDrTQA1kFBRBzuuhECRwJeO5nIlsNKmKY0pG30E + /VBk0g8g0EoijUmnmbtDySAtN3eXCj9K2tfmYA/cQGFzg/9AuxCVUH18F/tQ6eCB43C0EaG+C/gD + TjEXPR36AfwATmJiIWfCThpHCckShO2jKwf0AENtaQLAe6lacHC4V3zZM7yUnieZXCUcNZU6HZh+ + UrVtdUTEoPRhHxVGyECJ+ehOUtUMNKMPRZasF1mxSOlnqvO/jl8d/0DXbaTWA5g2D+DzELXqOdAH + WgfoUA81pGmWpQpAk2IPSTpMUbGnLvK/gx4c6I6rWE66nJbplEUjwzHGEYAJYrsa5obRYQatXJ7t + 6lLIEjRhq+JLSVMCuepUynaaCkgcnP/ew7wvE7BHw2LnhgB0QeCbj/RTmcBDJhnVgqNWjCw5MKxa + C1BFCHXDSG0cKmjR7x4GdOBye+/hcxdIQ7ksL+ACVY6z2tBcL6bUXYsdkezpWfMJejR7n4p/1Ddc + bfoYwgybfnJfvH7e/GbulJwKHn5/+qfh1CTPCHCdUbf3+uvmWpmaNTeNzsrxhNvgilCYxJQE5tui + V+Yciuhds04nrw/lp0boNjDGdcDKaRlRNY/MpII2s/ImOzwUYkCgcih84WKAAETX9K5JoYXTuIPM + R0XKbUIaByEZKncAQFjGmmnTY3SAQlHV6iwwpa0H+p6E3pYY2bJS2RKhBCHkbJhjiKRzTjXarYxK + JyHL92zl8xzevUuTaK0MQsGR/u7Ads48RQEkQgrqmAbSLPYxwPpos5Y9XsB95sYJeR5Ha+1zKOvL + g0ATNDTgyqwmU0dAbKkyDax4ueSfsTieSurC6+NO/ZmWteY4UnZlge3VGhtgqkFTkRmVRTfBGx2o + KRJtAlfvvffRjjNofxi6+KBL5oWyIKGj4KySsKPM3gZGqLouou9v78GFrgsMNW61GJIkqbo+PAgW + Fn1ux6ISFh+MVcntNKWSjT5UGsn0G9l30CCPS/ED+AA+wqvFgfGfpf6fJAbkBwTQcwaEgvjVp2gQ + 4tAFbTY6xJ0caC4qChVIdHxnCrVcMjMMhRg0Ag/hDlueeCAaUwFBlgbAEmaau3CQ5T2bKutOFDqV + 1/S1RuITLTaDqBwHAGPCMsS5veMUOd7egQEaRnelA2OEw6/ox61faIh8KO3D+ni29rAL3aEHwAMC + 4AKehtPxIDNXiFnNOiADxwPjmOI20sNmbrHhcvwhUMVDRTc8IaKZaHcMwxHg0bIWi8CAXar63h7O + 5m7X1UkItWm6MVdF6twaO5/f9ysR4MLm4+KofuOaKDNBBgxrIXZgwV5mkrG4I3rHHMtj5+naKBjK + 9Txy822DQEtTJLe4uzHaFserOEG6Z2Ct0Q9CRTrTm3Mg1JwIwkRdohOBlLDR1FfCMoWE2bSYwn4L + w0khOoGZiWHo13W2Q0VJFdBhhhGrcwaoAj6Ay/FwnER5Sh2wHvwhOYaosj2IISGuusAN3frHoQcI + Hq2cJ4lpYmCglHTgkLYSRNJLWAmxyxWziTsWP5mZeLJcdUqAKllAbcEuu9HNGqJfVvYojwUxx9Wj + IhdNeBwKE8Dhw8ZAm5jPN778eRXSJqofrIoSB4ebFDQmwm3W2MEz7noBMuTIGm06otuCCa4V5gUk + +nlZQ7iP7tRftHpQQ10YMBplMl43+HA0LzYV3dTgsggHkdsV8z31sXpQ5cPfdX1OY7APjDHO9Dmn + UCBom0OpBN1CjzW3bj7TFIYP90t4SkCFZBZdn3jjFm4bPiGiKkfNj49ITZBZj+KB7NUvjRIi3UeM + kp/3dwQzIgWOxLkSYISAd2SfKDClg2kqxqmYxufZtY1BfG3VoDlg4Z7qKM0ytb5h0aJ++WCHQ5ir + AAAgAElEQVTcjFbhKxW1wtROdGEXCAZhgpZP+yB4CA1ygU9opOW05E0jfS3k8PnilQgWGbIUijZV + 1+yVEJDNO7OZ+o2ZmXikQzcDLsDh7ENNAUoMMgIjAtILGK1Zh/0OAajg2yCgQjnMHdY5BkV6OyKB + 7SFCswnOANJxhLdPAUVXe2YlFFqCWc0dGGkK0zQBMPf97rUNHsmQABFgU0JXOtMANNn6VMRTB8l8 + o+HeNvwbh0MEjxPNYOaeraqn2PsD1oE/Ux9nU9AHfghMtaFnJs1AH6F0y2SeVccZQ8IABtIdilC/ + +mUHJISQ4hAyzd1tCSvrpShfJnBsBXLcpuTjzLKeNRRuHMN8DH14eZOQtYMDNgZaGKuqaNLgAUJo + 3cYQna6CdIX4QCAO6ak8EsdTaDIMI9h6xBVzZygMY+ASbypNMcvFrbJWyqvnQdFp7DCTHqOd9dzu + t188k4zzTS3jSNt0mWVYnoDTYQHfmtZSVicYGEBlBMUr/23WAUQJVtjVEjoJygCMh8+QZIpwApAm + yLq6IT5cm0dbSEFVLm3vk5nUwT4k8dKL38RwjXQ9fBE4YD9gv4Nd9RRpHzU3RyJJGoCB8wlcwBF6 + 1TRjotImLAIYApWhrB2iRHZ4vQ0DcLXKJwfMfRgimUPLYHIcFET/AMcwZ8AiAyh/h2RCQsbFu+P3 + H/gzfrzpH2AMs4noqfyoziU0aCja0jH+A/1Ae4fTiO+BPAhcsOYjbNVlpHkY0AAhLQr4BtzMI9Ep + K5sRbQkpkUwS41SPKIUE/tskQCvkp9zCCD4jtisdHoUUBQoMlBe7qIQQFVCdkf4n9PAIubt3NzFq + PvcLpflfx3/l+Ae6bjOHIX5dC1a7CLIXpyQUYX4mkLbSdKqzYSmBCpSJWcGs/x56YMBwoSpvliac + RzRXzb2jQOhtCyCfhBzggAIafulDlpoCQKJ+pfKJR7ToKRx7BY7gk6irZnHZ/q+vgSWwZ5iDXueE + O8fNKM1La5+2ZwHSeLD/xKrE7Kq4PWn9zko4EAmG56VfZwMiYWBL+cmAfCI0IYC9VpaIPiiZfiWR + YrZikkw0JbjDG4xUPSqDw1GdxRjBJ9NQbROtPf6vXIq7dlTTkkQ046jxmpXyTNiMBqeXKapMJDir + V11lDAHwJNITiLNm8denOdxMkMxtmudMdWytrhBNNvCk+Z/VLRqAzDLbOjREbgxquzjUu3CkLhkm + Y3l/1vwkWHDmGQXVVapPm+mZBHXacgiXf5DuCXwDDnF/i3GEWcAAZJOGRJnSzKscTHS6wH80o3n0 + 9A0m4Eem15Xi64ximNCBc9wyVckYT4B8sgvI0QKIJ0GIwhpJc0D2OyACSKRovnUeflZWvaWSmp2m + LO86iWlq0oCgu7izRXhUANggDWJDDFD1k/XcelBe7EwHbINEu/tjaYDiUSduG0MiDUfWMBJCqwTW + 5BlZk8WRBTKZ8xMBKAEOxzfHYVLJ1kHYM1slQuZo5MEsswRc4AeYARRf4CpkYdtYBFzYs6N3VrQV + udHTcwsLhXLu/VSN0eAHnBSoOGDmqdwzOY7V/sPqC0I6w3vl4pBYrHQhVeX9Z05a9MTI9RDAFRIw + eRpzddTzHnGZnPAZPwBJrTJNKTqMfsXiOB2EU3qiTHgLDkNmBq5C3iHBGcOLcs5EG0KB5sVvKrti + IzqEImyFXa5lRVmp5nDkanNupEjUzjkQIMsOEaYpgCwIXGI5RovsNiXAMPRIGAxVN3VyJ1xhJyQs + GMEB/OZ8QGEhMulKRqP5lKUEImsFEl5ueRGpKOoUnYNmnZMsMhWDyqfZuSsciM20AzoiWsOJe3SZ + BsQZhT5uuCI9dU3hF8dMXAsNNrppxwo5fIhLc/csE3QTTsvbBFbzIU4MyaFpVhoFZqQwDZHMO5nj + 8J2Gk5FLzf+q4UkPVczKnnHIZIJlzMUe3DSp6BGmwfAXpZBI80Dn2cFKBQhWma8f9DZg0pzSMTwp + OGbAAdCt0RUDLqQo3g3sOMShE7nHBX40tMd83Ra+WjgP4oAM6Ah0Jr2FZkSg2fmNDhlbhBKR7Hdu + pQdBD4hkouFwDZu7h9cH2SQ9uEgLIYWWsj6sU+fhmFqWxPXp/GXJZCxNp04OwRufNgBs0BNuseI2 + htORqWipViEqsaPKEWnJT1EIN4nSR+tkUzJBcymh2EagMTdCbnIqjgNnprmXuq34lugY7IAJTWES + ufe7bsNQ3EKXb9GKVlAzm+iYKd7nBtSp4G40DCxB0XJ05cdZyn9ugvRwkphFctSKWk32mDJj3zRi + Cn+HDNCEXRlzH9coeRJtnY0GvgHNZweMGB2FywRworwsmYgFAMOsu2ux7jblVoxw4+NImshHRr24 + IFTVC/wBvNHPdMDFBi52Hi2/JBsUiZQhLu5uRgqEoMFpeDjefEAcLR+cLggHDKI4IA3e4DxKmiD1 + WskdEBKvtnQtlybkT+qRrdWL5juTRTYFokQ4CSoRrpSwJZajpzrv+kz3xCyJW5NYGXUaqy3EwdhM + LMFA1I6d4uwEEWrMpOGURUJAdCYdd1SzNk+MX+c1DlE0AtZgRjhULNTPFSOZ+SSJTkKMylZy97EY + cJLOgMvIBL9UdiOlVpMgEHU5Ud8vxABDxTAckMDgfgDfwAMFnySEzpIczgDEkcZswrExf5aipeAD + mnUrkvUv4EIyLlog4MQIaE7icFEvwzD8YCXiM8cgpIA5xoCIRbfOLe8+mh5GV8ziiAZBRqPJ5FA+ + i0lCoMTI3N08zFnzqc8bbAAiQ+CKZeUa/Am5gCOwRcgBjUSmAbtUPDpfTfIYqXgq0T2gfBK2zYku + yAqeYqAy5SczYiZEJ57ARWnwc0qL4gsgDoDuDD9b7LrhA94lzRCJxrbiFBMwK9Is3a8ZEBv29CaS + pkbMXy9rMZyNJbUZ2kUwFgN76hulf20MKkUXacSIkvh4nkxIoCQPjuhpMwgjpEkVJs6lLlGGtaGT + oTbwOIqnKFBB0AFAcAb3aDPTTwAMxxVQWhDdqo4NlCjOnYm38Uaxh7zQVSBOH8knI3E3ObOm4o+0 + ceI1nbGdL0DAEwWR1QATSHBRBiU0sDXwDRS0eKOyZQV8gyiUwAX8ceIQnCegoPtJeGPM4ECEJHGK + 4RFUpgekJ0WGQGAHnmALRBeZWjXgOMhwLhnSIRC9e0nTI3EZ6LXegrlzK+FjK87LcrSsMjT4E+zg + IA6hkFMFJUHJdnGhBmhx9mhe62Z8Xq6qLUWuwc2tk4C0yI9MUvGsB1oMtphG6clbUV3lNfqktmAQ + y5UydUUWMf5TH/9QwIRKCFpHYQtOTwcAaHiHvEKXSdTrhD1QIPmvpGo4F/LvPlhquUOnhhCbvHZ9 + cKqDNM1hZD2a5M4R6FmhyG4w4oiocVbrEIZGXMFsjVcqCtOpwhMiMHEMmCZWGLEGcxtyBwZIi3hQ + FoQyGsLSL5qVURaTDxQ/Yw2bnErKzZs5L0k1cFN/CZeZ7ROptoRDG0T9DJedZpo0EhVOBDwNTugG + JCPZoywLOsuSodEY0P9ka1K4QNOiq2zUhsIhYkWMcpCjhj2x9eq/uXNTd0RWmCDagkRHI0TJ7cDo + 6KIkHO4zRFe6ccREm0UwzQEO4Jnk8AJ2lnQUjKXoee6EjQoBoLwAycvAUQijdrviCXf4mWZkqeFe + 7orGkPB138jqglbyZaUXzSHV9twq+aUWB+t9vIRJCDd/FLS5NQjEQquJnOw2cZ3C5KdngNoV1YPJ + YZtvrXTeevkIuTP0E/jGdW9oGg50XIZx4Gyqt/LIQtyU6dhHCZf0akpaPCs6jKy5RizrFXkdxBCA + aIVskV4rEohCd2keGhbLuymDMiw0D9ZCFiklCRKudmEEP1HPTXFENpz4E83Jh6QuGhtrlJSFQGQi + YgjnzDjgT+DIUv3Qv2GAJCh++Ie1RHU0krDI5eTocB6t+rN7kn4gMEbq+Eh3WiDWO+DQdB1HrY8G + kMdcClsq3A3qATH5quG3rSm6gC48C1YOYAXpvbRiz90Vim2bEBuoNAik5fAVikV+q1LZ/AT0zDlF + 2reSKljwzXdAYC1Yf7hwEKpu8UcTADiSyURfxctA4SNBHST8lSDkdJ5ENGV4wgXepsIFnDk1MmU3 + c3PnHjHY5cNGf4hE+48w+XyEkjvMByiBqEJkHxbSQ8lMiNOY7XTdak6/L6YferLUKZG5ICcM8sMG + IUpXCmD0Z5MDrvABnsDpOHhOOC2jyqFiSHvQgawPQ3OcxKE3bjP1z5ru/M7bst9jH8OxUCtq42cy + F8q9PrU9wzXg4g3WgnqHIZoxUyyS8gLu2pHOdMGk46gv6ZY5QoAzUIxncmpEceGRleMWyYQMb4B3 + uyCqEF5AFxw6VH8gX+CMyjaYowcX/UJAb1oBFkEYMbw6KdYkROhi5T6R6ZeZwn2GKBDxZJxe4jPc + kxnVo2c1DDnxalIlSFadJc0Af79gogcxogchQAx4hw24iIocAjPYgFP0nXiMp7HBJf3XGGG665uU + XNMLGhaROEBJtmab61nSSI4GljY9j4uaBcemEqDswmAZOlpYuAP+xGjh5nG0ag+SyYmmACHswbYq + Tc4Z6kR4uQlxSacXsw1cyReWItGUSWcOMOL3HhM++jB3hxznQyA2XWiOAi9EltFjrs8Iz49dQ7Ry + 9dwAHSIf4adxb1O1bYCKQBuOQFZEo1d0JKNe/IidvwHPL7KboU3J1IRj0l3pI1YDTeaV876JcpmE + WPPT0iVqqUrAkaSRSr/DyGEw4J2x8yMcRlTetM1F3tTXWnIoxMhe1eahSWYMZoklNuAdLmZOZ2sh + wM4g+wa6g7DogFHWfW40dwyKSyTtR0CgxNBicEsNDLqIQWbzDDjwBL6DJ9Amry6ksPyvA/DGmXvp + AAa9egaAALtrx6FUDDTDUWmHsIEmhBhkRH0AgOkOh/es3xOiJThUDT6pMdd2Rlio1aFB5htOd/9m + XHWwox0wVctCR8+MdCM6yEQrt1RbpgWSWqpP32f5xZvEMFyyJ0BxSy3CFQr0HXAUX0oCjdwxZyQL + 54h6IKSE3+EKfa4bmhx15RmBMQ//mp45rfeay7TvplbqbgbJlsFeGqZeg8ajLWYKk4iWhEZgE/QZ + DCySN59GASH6yFknImwerJCQQouK2Y9BxgSGodJgltWQggZ8Q7tgREAHSChqRMlfTgcXmLnXcCia + ouoJk84VCVxTm48g3fv4EJGWYAWWHY5iz6D7fIZplPRQK2WYoW7EdbDILVdklQlciRG1TSElIuvW + tFlmLDKyBtCHP1UuQIXhvBpEdxrMvA8VzXgAEPc0ZDsrjT52hlBjhEZcCP8aDN5quKcH8CnC4Rua + caSNnmC2gphTOtV5G7CO1kCB4fL+V9LRiHFFF44OqDexM5Ucmmfqajzu2e07QeIBNIcS0YWyAyf4 + KLablkqSKxHReYnNG+E2Tr6U+70aMIRLQ4nVuS4JTN0FmabsgktiXHEN1o5MTsZpn1EgAbOmWpKu + MklHGYJ2uDQvcZljHMAzTc6Jg+cAB9gsM0VS2GQAQGDkwFHyJQKEuZNShQidJ23Aja/nRuiC3wF1 + nCzsoyY4Hmg4gEd6wL2FaHqAx0zG8gJKPv8EDWCDJ/F8R+sQxbfsyAoX7eATGB1jAA84omUbgTeF + RmVDqasYQCQrn1jRUIuM4MxwgwAf4CgAhdak6pWI2TO0GHwi1zK9yaVOC1f6kZvj6fhBaAqIqYhB + gabpBnTAMwVhhF48QHNrP3709lDNrMgBdB8fFIe89ZyQFOkYG3zkqrU2oBcbjLxiEol2tWyBfJ/Y + KTOHKzSWzeHxz3r8o7Fuf3bMtc1jOWYzwKb7udtfnPlO8+//tkMqiaKsw/qiPPiY3jfCW2XnL/sl + 49vi+Ii0JIWlUSPp54u0oIiAOcx4EYd65uGYiPMUjWygTDvegvHA0mytRAW1sZw5YgC8i3V6b+1A + RaxevBaLvfmKDmN+MtlqPhBZRJ+rZuV3BBDSJe259H8IgCtDUq4wASVyz3SuZWp7FbjzqBYehgsQ + FSUeyc9HKrODpbTgqieXQlCu2+DjROhckYHVwm5fpmupzlb9ZAEhRuOADnjHOCzqp1WFLfU6LbfT + Wu+VsOAzlVCuAlTdXbezSOgmpfdlXQPLX0I3N6CDolSrGg2facL+kenJoWIXHE6cs0UsL0DDS+6Q + dM8EB42s6fLPrhEVj9605W32HCK5wASESv+t6pKeIc2fGXSeXV2roNcH2VnPj4dbuiwxg/STz28O + 2Iqt8b6OZZM5vOMa6IpjzhHqvle2K2dbyV95AnNBr/T+8QE/bvfPQG6PMvFKnTiWQpM/B3ENiIVq + FZRhBnbINXA5IHifT/fpWqysl3DdHgHOF4i+gubjKexojnYK2B1/PEfT8a0N3URskr0DCx0FCHsj + ljDCmkYMgXom9QIowIerqwMqEsCjOI72ls074PBrGIRHef3huEjP0nbfppShfM+PmkwZu+wvr80n + K8C7zIK5rB34kY7LPMeSbrhuhO3l09r1GZ+KWFA6029CZ242jzS2JElNR1Y8iw3eYCcMgLmTJ6HW + mgNOPK9+jR8CVz1VT1RhZtKHGXDF6l/gAZNlQgKRjDoARzv9AwiEz7YP0ebM6GYJcpKQQSCtnSKx + A6AZchY4o0A7HGYOSCucRMpwdEAFRyWL5cOSdcYUZBmdJJ8ckMvR2AR+5J72LBrugms8v6s/eRxw + AR7AYbPJcibN5TSHf8cr23HGTeerbhudqVpx4lI6EyK94FcYGfGRiKOxNGTQD9PmLX0NANGBJwkk + TEcxSIAUUQLdDL2b8iGSMdR0WBPFjCN05YJs/HVdEMMjavmchdkBiA8MYKmSKqLBi3jG238A/wk8 + gN+Qte4OG7g8zOxa9l0NwGSVjH0wAm/UAwsBxMa+t75Uvm36vOMeAZ8XbVuxyC+SnWADOrZ0hdzW + 7oCN3ini7cS7BPcJNm9wH0/aEwRF4eLD8bw4DPLA+VtreGvV7ppzg5RhJRhiTzwdiOwVekpw1OCn + 0UBsLkA4eJVDLE4xAY7pAi0uGeFHlQgY4ff+/N4+3l0OPcOAFa+okCOkq0F+gA2iyIgkpXrEpQyK + FLpRe+xFYQ0HOLecTQwekTNr19XaoXLG7uuOMXBEjk7uDM/EImc3OKA+GlNROUSzhnRcgOPQJ/AH + cAJCqNmqxoARcuAk6OhUtcp5S21NYnpEYmI3jdQzhjuy1Cl9B7mIRT8p+7n2QBF0uYJZ+70+cMIY + 4ci4hnWHAGPKUvHLMYg3RBJCjirgCguZ7K79ZtnGYnrREU85O0/u7mmGBnpE8m5DFwhIw5kKl180 + S+WznZs1awI5DhHIYPVbtsHRQQ1gpjmLLzRBbF2M6OAFfAf+lJsziyKGFXhyFBBQQWfg8cM7dIAX + ZMAN5uBp8m2IEDgaWtjoZsBAU2TRt3TQGaCTNQAJIx8ameyY8/YyeCmHYP4x47XTevJ5qSPgTnt6 + GqQaL5UxUgFIzUyUAUeWTcvkCqxETBgXnB7nMEqxq61WeRwgcC4Vab1IpAf4PFlD+LYDNNhl43ce + 4mwRMUpCGjH8IRJRWUvlpLSwpRZtmisF0YTUDD6egg/KhfZNj4eVpxO1CB3ZxTQ7wEVRvDXybeot + cZw8ZuWc+nS+x8MTudoSOjwSTCxzRv1u1RkapUFGJAHhahFprTfxtXGn2mXEw6PvWZBt3i1cTRLd + v+O6Ji6nkAkbZxhmw/rVnI3CQyE2otzqOuSJBGgJ89IVqKLRARisBeqKgR6An1nZNQCPXMUOnlTN + JQumIGYyPPV5M+vmF9UEJtJaq3BkT+CCsKZmta8Eq3M4YCOAtXqWp7MnUruHNw+sSCNgwAfwO/AG + vi/XbaDXQjP7gRBBvwC75Pj+OHqqKTqYeYjStBGKDlxXl4sR8L8cIA4cJzqeFnFfgDDiIj5Ks5Na + uxGKakfuPAMbeKA0T2a8uSjZysoM3iQyfYZeqoT1C/2H+AP6iLjABRhGQ+R95u2SZjuZrhFHS7fy + dKUSkJ45ahn9N5psYei8ieNpEENTKfYSM0az9bLwrUQ3tzy4uYanmhW2wrmymObjpnWNTvwVOID/ + J6BoKcUxBGlBRJGxKwxaNOm9SrbxDWLAD/Nr8En0hiEYwL8LHg6oC3wA30EY/AdcfBx2FGAEevib + QqjBwKug3VISSqo2jykLBQhYS4NdcIUd0GQDEnqSH0B6ABIfaGlWlT7FcMK6g9kA8kK5ZSrRJZyN + unEyoNQkgYznH3qY6Pn+28OJYXh+PB/ywQPSLLatQ6/C0xDB0epOLHERGz9dtywtN7WUYOcZ0o5S + JJhlmNkByDr/RQj/0x3/LK7bXx7/dydx2iMlPrfh+H1wPxlomJi3L9dN64QScJVRUy2/ekBek4FY + r7KC1birIthu4tGuhVU/HW4LEUDMcfWhh37yWRS3Xq+61O1NOVu6NTCl937Zp3nwed6mst0mYL7B + sg3rt03PmXe7XXTj2dvB+y/TvpmWxHZpaXlYS1PjtTULQjhYUWSBJfxMPWoVKd5eB9hsYb+f8llR + 314qiM5vn6WI/C8cLw/z28+5qHvWzJyon+642wu+vu16QCZPgqWnh64yYXq2py3iz1f2RBhBof/d + njKzD2RelTCu6d7IO9XNV0LI/aHI6pgvHHcbkfovqYvbkrxevp3z9eUTX+T+9J3K/eV28TG3Z7sb + +gBdRdIf7vca0pv1u91iZTVwksErKxOUxxMweB/UBqCPkXXmmy0OIPYHgewhs1Jl86cnV6vc2tvT + WKbXvZy1wFgwXcZL6ge1SOlV9VlRweKG8+zFCX4pVL74bl/rsBodQHjo+jCqUwlAhOpRZUcEoJrq + tiRrNP7FOG7U+Cow/mtH5KsI1H1wOIQ1srnI8yk7pZIvS/Uio+5HMpGcX4bKM+orR6UDLZQa3y77 + fCfMJf/yeS8fbqLvzsEnOa6pLf7hr9eTt9t6YcHOTT8cT8fBfjJyiGSgETphCxjE5oYx2GLKBIjk + AbdhCPAh4jbeEhZbXWjgycPHSNwZoJt1kXN7uQo0fE2+n+diA+v56VWf5vS2Y/jy5evH6zOvEC3X + kwzscBEK0azIbpp9CQcr1RCPSrfMqKDm2yYeZbJ48K5uFCI7Nkpdgg3gcpdUie9kHXnWTCjxiubI + tLYGzIfMuytFVBPv2cxt8IgWi8Vv+KLpLUXnZcJ+EqpdL5JLUVpA8sbARGbC6xd+N9ydtj92bYCs + mbEBh0gbw9Su5LBuM+q4No5PgREiMvyfNkttPr/LC2nMfX4jjvrKZ6zkhaByi35BoEt+3TSPfapW + CuAmG/nFFPN2lzW6Nem/Fui3PyQ6q1al0rbtPNdGFRQ4upnDKRE/AVbiE8qn8KrVVcr8Ck9t73AA + b3vKCh1kJHln5l9iyjCjJ1kckYVfEv59YdaqJ56FA33AR8CNM9+kdkU+3ZDhmp+Khl8c+zL+WoW9 + A4395E7++uunm9Q2+MzRPj3uRSnHvJZV4mBYIzKA6vIGCNGaVr8nQSH7iA+6m2TTbQE+aT3AtPbq + eUMYQLQCP+HZ3s5er/r8Kvzy1RxgSaCfH8m1fK3MiyZQHyORlR3wzFt50Z+jNHts3pTbs0mUCl+V + EHWeUIZdBqeQaKQIVaAJberd2QllgCdmxC1y/w/IgXoBEsDhuJ6XCS0q7RPSM1WTiCK/rcm3EBXq + aIF5XlPRYGE1O2TABmxkVVXES6LM1o3sxMGZkuoKvAOGUSkqeoAKfwcQHd9IqJoezEQE6BIdOUue + sbTQxAVVp/cQKNxhA25QBQNRqbxj1ESkiUwFA0xF35kO//D5HOVePTIwEg/l2IRO/NIzyL6240x7 + AJcAtSpAPBfNGECBn4RLJPmqoGXu2k6YG5HsCY9f0u3UZzY9785ZyFMwmC7LnrPhkYMs5WOIpMAL + CNysMjYh5cveXbeaS47tWa982RP0Ej27NXA3q+bgYjALeYPa0QhXGxCIy5uDxAFaZLQ4frPJol0w + FOomNHg2jyp1xzBk6laZhnVMlpSn+rEPqNbJtwXd+y4Zwjufm103n2HCKC8m4LAB4pD2bZtA5nbz + cz2VAD8wtY+YBxGX7uzUwxw2As0+VOAGGyZRfLwYlGgMMDShhZDtVYEx69vBDAje43fJgsJ1W0UZ + XzjG/gmP/1+4bv8vHrtOVh99YX9+eRU2R8wXWohUgaHnX5IC3lZkhwDtMqfo8TT3YY+zUCe+HgAB + mvkwc1CbC0SyYjhZng97/vjxxjdpWnp22R5ebxj8xWR9uRqJz1Ay/ObHftXG968KiYgv/Oz18JXi + 4JnRs9Tqxa65f/rlXOSXJYH4ql7/RNOZucfzkwg3zdaepDuGOwB99m6Qs+mX7/PZNbYec/v9rnsS + ZQjPT/R2xaf3XO8/H2JfaF/bB9zk334n7n/cBklOP8PPFu/+uSW0BwUeGA0y343cRgHsRj1SuFUT + o/mxAwVnMVA4ZiEuE2Y/0wV4e/fICuFKCtjMv1sRMfBpkl9H+Xle7sv6ySgh9nfd7hr/y1fOuzjH + N+v3db7DW4DQFcbAuHA8cIoIzDDsLqk/H6+5J1HEkFb77ulOq8Md4Bg++mhvYm69PyOFS0R3z5xn + RSIAg7mjFVTafHOZcGT3UXBWwG5i07ENC8slfVunQAHNUP+nbZ30M30ZG1u4PebnHOQnHGbeR5wY + dkl0vwOaatMHAyps+Mfzae19FZ2uH4mku7bDbTShSzl/MYT7W8ZPd5iNaL0+htPMnaSSO6US+6vV + q3C30HNHvEJY5o/5QK2nOufHHi2JxhDYox0lVrhf+NUxg4RfzPUXZ68vHT+58PUV1yeGQBHhTUO3 + 0D3dI+nsOfBjdPB5tkEBpQlOOK7IIScacQrssmz8C0Zukxl69zE+zuPtCM3Q61/6Gla9RPEtsz60 + C0zh2vs1Tr31MJkVyj8h2U+0z6XUY7M6vuQ6/NXafPWo+RIrqILa5OWmGCDZDtjBbcW48ukAACAA + SURBVKlCs26xCdLGF7KhAdLgBp/ZOIEDMxIC4D5Orl8qk8+L4wPwATqhhYzQypBFecoieocsR87K + Ekwzv2NYt8y+FpztGJBTAMD69dH72Y4i60+S4stJ5Jz8r1biVatZfwgAirZGIBpcg6Qn5bj7GNYk + bPBsIU1CFAqBuTvMXZs8P556fZyPAzA369ZHa3V/wh0j2v+G5Re8+tMm8sVCfP9w/ZyLdBOdd1M7 + Lwe/ihX8ahJ3BvYy85ncObnzpyXx9e3nG9944k+G5NviEYEf6y/nkLA+Rld5A+hkN6O7Fgp4SCT3 + GuCWaGy3iRxLls/9AwEeBhcoOBLvu6TvwDB8AA/BKZi280gNlJosnQBVo6poFJIH0MdoY+Bxlmsm + 93V1J/N7tvjfZeLeGHfN7G2LfBJ8r9/OUzZ++rcp6L90rLCzh6N7q1cA4MNNmp5vBifYCGI4s8N6 + 3GA84T7ON4UYIJtjcX9OoLFFmraBAzJIhR0wcdPdY/a6S1KSyG6QsTw824R8NSWvdP7VpuDrjWq/ + z/wagxgmAgAQmACVtJi1UItH3EiI2OuNnCB7h5mLSmuqEvg56Qd2P6MTE4+qtR/fR/9OhWgnn+DD + rYUdAML9eF6uOtphwCBVW7QMp4wGlUgkxdbs070VnrOGp0zxBhNm2nD1ppUWrLXzMnx3woYLW5Mo + OGPAK6cIGwa7zF3OE5rW9Ljw8QEIjnO8H1LQRMdaEM5ZGtN7SwmgIxWq+4ORpjHMmuLM9OtUoLWp + 0OCgQgGDD4Uq5VyLQAJviNT4RVghshN/rFa5AwATxBfsxBVZ6uUJCR6VbXWZ5Z2I6Q2iaHJaoPpy + oDW4QNnInkNhJkAZip7H1JYWqeY4V3ikLOyB6AOavBXkYxmcMX4we2YgEVaZrv6rYgkP4K34HDfP + 2Gah5+p0TAidmyZmdd4FOdKrmV/1motRpnGVAgigHTL8Op+XNFdpIvrufN9vH+3o4IApervc7FQD + nAlpAgF4LWcoW1FDOOv36tWKwiZbmc8J5tWmKzaWhDmBAE74TR11yLZCADA66Cr6m3kjLLEZ/W3V + taBER61yzqRAzsPxHHh292HH4XicbbEXN8OAUDDK7Pc9gwsJdOCAEIdntdkoUOXQ7o4lNLIoR4CT + Wa9lt/SIf+7jX67bXxy77Pp0fG0+cfvlVaG7Ce6CbilLgpUGONWQADzyJm4BLHgo24Jt3Ie4/SUA + w4Nitsljn2KdTfnb+7fwuhDwxHng6nwi7hhJxKWvGMxDX3Qiba7QHdLDMmPKu7ivCCuQQIH7tCxn + WvGQYiKlOFV3OFk35evMfxWcf10VX4omp4K4bnVf5MgbdHdzk+jmQYHDDQ6Hmob3zCH35oxfPZ7r + v413vl7wBZXtp4UD9OtX+/S4+Hlzqn91zRrYp8t/wrb48vPrU0rQFnWkVNncsMR9xVge+iB4hgIb + lJmy0NezZ0A/XRGORPuSTF/Ruj/TG1XZdbdxk5tx8rNjAgm+bLPtdX9tdMb0Evj8pK8khG+/0acz + a+ku+WWY7mDEGd0xOkbQJT7Bid+fuca1bdic4KjVP4HUaPt4Ki/qG1pTYTg5SBc5SGFUAiNxmizx + 5phV2UHyrPSifOxr8cGnCfFt2S1owotsNh3Ky/kCBy3Bml8nMjTLRTqvfOPX8rkq7L/+ZulxpItq + hPLH8D4u99FUoz/M+XjLrt9fvGyRZb1VEUpg4zj2Qe5r93ornz9JNG2hk4gqybHxAXMXxDJ93hLb + kGQbjfP1rBuzcmDgurroOM6+EupEEo146muyLyFvd5j//poB/ez4BUVhbsDtIV5paA2YmukAhkKi + LUlaPYrjaIcd6j03SRBcCoTYI9YEoCDPgblQzvOANwPGMOoW4UhX29rXa5UTRbQSQI6WjX22QxKN + BJ8X4ov5KEe842seiE+3IH7uRvs0y2ut7rKq3intT515DzNNUAUt/KnXBfsBOaDHENAmVCVhsJAB + BhfnS470ehwxa4W8eFruoMB+1amDYM5e6iazy44kDGjdldWdq01n22Uf43k9oFBxHMfxOE6qLsKt + 1htYvAa1i16YDrl997IIsp98n3l32OjDYY52nFRoOIuE7Tjyzo5n7yDbeTgwzMbz2VRFtPdxHEdr + BA3uFD2kPQFU7SgwM1eWyuSTeF7YaSp+vI1wycmNr22MexP/23KuX+OUL8g0p20F4fDFfVIk+v71 + vOtGqvaitm283l8HttTZ1FN4f19LkPaNgXuQVEpvh0pTuHkf1IxBWOoqs1HiwiXzeW+3aH12T7qk + 45HdFAL1048QuUKQRnTBSST4wTkTbWcHsVSzSVhDuHBhAA3tfJQqz6kg+BpAj95ZIDEDz3/Hsa6v + jE/eFoa1v+cfr9ftxxcK2t81qijZzjhUFSFbNgQ536SQf8z6GN+FRjaRJtIECsPRTrAVqtnLVvko + 6DbC4cNJohnGd/AC3+Fv8GNyiVKMNhumrK7KQtu9LZMGt/d5FRa+cfsv04FfDDkA7hWic8ATNsAz + srVkQED9BjDRUjVqNNOHxYnYPgYIaMPRHuYIlOARMCgBOZDNt0I4JNYnxVoLKXMBT2D08dv11Nag + BOV4e/9LMZLsKycNMgKCpwIetfEZ/fD4hipaJUFP0ClK2BUdGOFadA7BX4H/7TipB6BeKDHEW1TE + 56bTR4To+4XrCSXoeHtEv+Y34KOcMAKgJFJHSr+YruiGKK0V6pJVNqQrDdlPC2UaOcyYqCxMifJ8 + gu1uHZAoEMIil6zci2bpNZKeeo8c0ynPwqAjUc5WR1YMtYWDVbTnDuPz4/qrQR9skANswCEbThrw + SBUhs0nsVRKU9Jy9snOEfAID8tuEOySgeBCDCHSF1X4opH4hZQUkcTjl/0QcDtkoeT51+0Ssluxx + e8fbSVdSFFED8Pt/6wob48f1v95/E7b/cUI9Wug5EtkozGgJTJgOB1wgvx0tuta5RHpvAw6PLho+ + +Tu8AMfvuTybHUQAzKA1wUCnnVsDQLRSLJHaMIEyyyHhu8ro0JaBJMEDu/zYEvG31ewrU5nxju4Y + TtPmLbr2PTF6NGqVi843FIr0lXj0oY5LNBLG9L06RhimNRmMsAQzkR+wYApJw0zNwT8pFf+kx79c + t3/z+LSKXy8rv/iTd9OsjIt5SKITRs/M3DZ1wkB0mBeD80d3tHbepOB6UmWJlmSPmIQ5MxuNWRtu + HGOAcrR5i+iybGnS5I71EWhR5VJDimpfDVJSR7biplX8tWUQbNnB2Yz3rvl+0rVIePilNhDCcvj6 + 1DJian0vk9ZXtnibZmIZaZv655XUz9t5jkijYHr/MmM0uoCYqBFubh+Xkcdscj5fad6q3lYXn8tj + V8W/xMP2W/rFzcy4OZR2NliaCF4yodd4trecxgpvp7z8+/ked6VwH+/tEQ7XtRyczlkAqxFaIRsj + ukOU5UsF3gDMcDOw+/yPWjcBstmCtiA8ztmszVdpLlNMrXdhRgUqC8733TrZ933avpqQl0n4dALv + MzM/WBA8Tr7eO9Pe57g3t4UjQtSxyekG3TxiQQd7HtMXtLK9FyeSG7DtJyZPUQdXhxoHvMrxI9XL + XSTbA5jByYrXWuyeGcQsdYFL3n8qXigfwSR+r7lINfbzVikldtNIt33O9cwijW2mf5Uy9AXJbAvw + EmgmVcsqpgvpkKyTJ1WTNdbMptp4W9RX9rEc9/Jy2guh3CEp4lQFhnvv42gKaUyDC8gOWizcT3Cf + psRlf7m/7KRXT9w0Ne+wDg7KzeMkoFIlnznZ+RIItUqLTFls60vW8zePz8SErViT84x4+FQ/V+tI + ZfbWjqRKDINrAA0rTJcSKMsZXNtbgYFrQBxQ+im5wBwY7hJ+FlnjI26L5vX60dgtXDumR6I1LmmR + 3HFj0q+v/XLMfbudtv71+5mvk/n1d+uRNyYZOzFhRG0yYgM8oQh8uW4lUArzbiEjxCI33C7aANVd + J6/wKOrOk/c9ETO5zWey8chBir6Iba43lmOUxTqAFVe0NJiYpXYaMNOBRUATQtIqIIQisgVYljD5 + aq7q5/r1K9aSn2/r5fv3JDmiVQqp9DHsunprrUVTsdhrQpoP948fz+M8CDrFqRDxYRIh0ai0rQ1p + wPMaJ0Y2eQssVLlrWF6zxjnPse4s3S+/mpW6LwzL66uJ5jipkNvL/pKYsfrO3iZ0EgBLp96eXaJn + KrdIX/82tmK1223XtyFU071YKeV5Y9Ip1Z/UPboPikNISPSeuggXqItYV8+eceawyBBfNzPcVGab + Dt5tvuNbVSA9hxzwbJQGQOBHge9HYq8LyANuw8zpTRvGAAKldggMhLkOgzsOJezAGCApW3u1PEb1 + 25BdW/h7jpcNwT2kOZM7t5OZK/GzGoFf1g78rZHUo7yMmW296bDvsAEe0DM8BWnxBNA2hwuNMjAa + pDp7St7Y91dFIlPOxwWchTs6IJqd4RQQjEhL4PJ7yG0TzTBeemxWYKp+udPr7SjMrimAanRYKNH5 + WRDqyuj1dMetKrj9mH/KugO8IEp9jjzD8qPKqgQCMzezSJE7A102BC6Db7GDlxCAgqfZBT7BiyD0 + ifbuig54t9YOpCWL2QbG6BCT6GzpNV86cV3V8YiEgexCapONnOBvkAa6Obs9IeJ4J06iOQ5Pn2YH + DgZifkyWFFz7JrsC2B4yDJ1A9WWdkP4JKr46rMLcO0XN2Q30UikIEIlSntvjgouLjniyEA0weL8x + tfpl3Gs645SBhLoKigx7ojEdpgixufbL0iVKt5TqLj//G2zyZzeFuIlTIvBjkrboJj/WSO69WNcv + cvtTWvpG2aMRLFbmZLw89+SheQIc5DcmxMcbcGSVMe/D4cvTUY7G7dv8qwHfaoQdDCfLloOcW+BK + HpZBxs4WHc9dGnpPgo9JGYUiR8Kco+vUg7xkRioxQkA92+qY45mv5g1RdbpPLYq/OuAK/y0gkZZq + lVzYiYMrnHvcVqkKcb16slEhks6nu3S/cfbiMQf4vvIFE9jidCQO0Wxfv/H0rPIrfjQyR9hfuqUy + VOU5i9OkKhaEal4C2t2h8n8uQP7Rx79ct78++Imn/NdP20ThZri+XBHOE8uEmiTJsj166Nm92//6 + j4/227//5dsU1/uxI9SGXjjMzIex2k2RYsAY/nw+hWwaTVdDxS7WuTGsAZMt9IaVoO7ATKTdn7tk + ulfxrMR7WypaK7ly8XzfZynUsqxbFHAmagFIZddVF1Dm5vyR4mOe22+ZPxPtbrr1KpdzavM5IoeH + s5sOgpJ2st/uRpijf3xc//G/P/7y539/tBcNNm9aRg7X0q/R7jqU4+WDPGcvMJDPHl7fzt1Estze + 637OluYn1QruhWQ/0/lnc2plwPr9bQgnnlUvE2RnN9f4fgjgPdI3gGaAR08+gI5zPrZislYNVB73 + l3eqU1Jn9ZnqGzuLUjQIALI1EXQUDHT85dxopnRhFsZ5LfE+6S8T/1MWIaiX5DpLSssJ8OTt2jmn + Icv3NFVmjgeOaMlgNjrdcTR0R0RrZdLfvGiNFUC5GJZgdQyH0wVV7MdotUoljwiDMpspc3RzFxCi + MHgfvR2nSFrvkX47k1MSPDLfKzZm+nkFKCW+YXN4zL1eKjO/yrpFKcL5CraungYOObkOsDx0nht9 + dlr4ask2Z+lGh8UpttNqQiWrSb0JIQfnrT9l380rSh/bZcR+alLq5xS8V1IEtsRYB2Ddnj9+/Hh+ + /OnPf2lHK7TZQNCRWIKbOkQUu97CbvO7mvSlpjvXdz7gT6io4ArPF4Dc/0qEuzNyKApI6vbGtj/v + 13vpk+H5868cd3Xsk0sto0RjomkLGnGUs9e9jwscqiqR7KPRh9YHoouYA8AgRFWiYYY9n3I26KFS + OYx0QXc2q9RzuQ+Da7O6mwkJFXwMfHS7Bs73WI+626f3Q+2A1+3xQqZ3SfBqD91HVD9e+P4Lsysy + KKCCQitZT3CAsFl6N+DmPOv+0XNCkWCggDk60ATXBR1dRE02fJSVjxgP0W0gzHToxUS80A/6DBvN + Gt2d1Vh9fmc7E8bbG8DoSC6OJm/tdCTc2qyNvE8zl4606zv3k28z+cUabHJp3xkEQNWZeOfj+eP7 + 77+/f/uNx0nR53W5uYi01jCu33//65/5p+N8tOORbLGJm8EMbhhP83Y9zmjneH3/8db8eFMI0QfM + Dc2qG1CMhOXpWdxtF0833ZBZsOIzIpMTnmVcBQZzs9q3mOJPNjvva/h5FqUCIbWxF7FPq8yROkVD + cYaqDpm3ldvNfQcC9VqhQuiRqZC6mcF7i47W9PH8+CCe+n4caIQqIyvAkUCMcynjEXdc/oBh0cq9 + ALDegNngyLK1rKfPXxBNXy/D03Eiek05h+vz+VRF09YN6qHcG3AJcA3vo8FxhCbVuxEQ3qZ79sQN + 1N5fkfDfOL6+shI0f3brfdfYZ4V45/h/3xEET3hUc6X67xfGH7ALfHeqafYiBqACkTOSRy94h7kb + hmZPsCi+Xjg5McZSPmlgFFCPVHP9gChowz96xx8/OuR4vJ8zGBLmhLKoOr245m5wkCYz8g/gKw1+ + vmhtXuNtw8Vfdgscs8LNuV8rOSjbwWaSz7KnOL2Qk/n1SrmNZ61OtpNBOAFcSoMOOIQHhG6l6znG + GC4X9SIP4jA7nk93fkjrh1Kl8zEc+uzjel4PeQgdMCWA7tYZiUriKtG7MaBnVHgCMiDdABzKwqR2 + jIE2bXf/BgE4uv3x7EPPg3yEm9VB4LvDgCdxkieI4bgwHH8IA/2hPZpMJAkjHD8cT8EpOJjl3pOB + 3VbMje6Xws2PPiDBrxRwmKMPSArSgev3Ph5o7+4wOHhBO9hoQlYzvRSpBjyBE3s8GAIY8UG0WjI4 + FDg82U6bVfm15cYWAw2770e5lhsGrwtKHNZU/zKIS57VnNhuNumLioNJa3cK9o0MMYnt/2PvTYMt + O47zwC+z6px779v7vdf7DnSjgW7sAAkSAEGKEhdZiyXbskxZ8hLhcfjH/J6/82/+TITH4ZgtpLDH + mglZEfaYGkISKZEEQIAAsRA70Au6gUbve7/ufstdTlVlzo+qOufc101S8kx4NBE80dF9+y5nqcrK + yvzyy0wPeCWNXkzW9dwgp3W5kjyz0Lg2O7ETGkiBirAC9ECtVr3kADtOh9JU9GDcls1dGTVBt0D6 + YbO2CFTmqhQ+NkZlI72iqwiCAGrFEiLiwTCc5icE4z0MAQ4hYGjhOgjAyKETt5+ElweFVwSBTT2H + 64WZ7iIlc6TdXAApwHXFQYnU8vhVrns85oVLtd0XtaRAYs6HSRU1gocbgRmGYUxjYaOW63rwQ7f2 + 35zIqAooC5sLi0RDAwac2gUjrh6CJdhsOPAYUmJCje5AkSrZpCSA0HIWQ4JuGxEaN3b//3D8HLr9 + mcdfcSZ/4tc0fZqFl1prSWOfG4pp+dp4PtmzUEF/+eyl5Zff+Wh2y9579uzcs22jtetOn+RUMxjH + BDYEBhGLc4PKld1JtiBD1pZc+zkab6CFrymg0WYUjbXfs5maSUfaXLCpRZsHIGrHZrvXvOJNA8HE + 79E6wlg+B9VdWWsTP98apzxuIMeLkF0jrLPc1p+2xbOqnzMbpQ3KpdmQz+htnI0QCAFk2UTzJVy7 + cunjTy9+eOTkgw89cdfePfNz3ZykR/WZWpdu0a3SpccT4O7gpmhWNK1vtDeA8Vc0Nge3DWn7g8av + uYOFfJuRp+s+bSvFse+mh3eMAaHbomYnJ25sI64vQxWIFR1Ng8KKUEKNUmpNaQGTUiNieaEeEtJY + b82xfhJAKUkpQ35ABELqEZGc3pPgBmo+0tTkcr3+jlsbt0d3fMh+pmYYu1Aaveb2xiZrXAq0djfz + N+tTEpAGlSI9SrV/5tzZVXdDyy1379+0AYbrKxHuUDijNSrQmjAXxYMIBAcMgR6hjDknzIFNRVQY + ayOIHlQALYoiAi9ExpisgEAxF0Vjsck4wNkebRljTWmu2x5dchf6xrrA+i+lf6QxKuIFTW1dACnn + FKlLS6N9408TfXj9lN15QWZ9Ja1vpo+CCiGRoSVICKoCtoYNOR/Ahs3YFGJsWnOspX6sBqwGrZ/9 + n3KbCkg1qk4cO37x/MXV4fAzn/vc4ubNhmFIWSWvsuYO8qnSwzWwQVSWWjevwO2/o3rJIgxu3ji/ + tHJpebh5194NG+Z7JQeARQrmOkoENeORuHoWmvv/6cvpzhNz+6Gt0zd32/oxKcTHVhJKtlXGUAli + RIiUSlsUqcO2QhAUasFlIsUKPA+BEGBkiA6XIGJbRuIDBMFDGbYUQohZM1pnDrTigNQosugUKYaj + s0dP3Dhz6ZJfu/vpxzvbthYoUBPzNZO2CdJkGqJRa0oY98LH/kNobSvtCM+4Mh8f8PEtRuul1tJq + zULQRngBZOg2Sgpr5JYQIr05GTl68/rxM1dGxVw5v2vPjgVbFkY0sV2zMlBEFFFTHR5qJ1fcpkZJ + E79cIyaS3BHKAd76i7XqyDpKWncfO9AEiORRMN7DGQ5KHbYiCMEXhaVs9SApHUqP3jLM6r+aras1 + CWPz03xU/7yVsqMt9QntFKaYnmRjb62uXbh89ZNPT1++dHFl+dbTTz25d8+eTlFAVRK3Mz0EMwME + L1c+Ovre+ZvLnbktBx+7b/t0p9O15ADN+VE8PpOZbpcWoUSanLYeu/6q5pZYYx/lf5P2rDuCtJ4n + a8M7H40q+gnbbn6Xs1jfyWAB0IhDeppMuIs/pva+Q+1T19Mj+YzcEMOhSqpERGQAcoP+0pXL735w + GJOLm/bct33n1rIExIM05RjFVSy11tX2/RKQsu4yBBfvk+pYRKw+m1AArZUjEwFewgCiRESmSNVK + CKbsMnsFGWvjWSV4pREzqQqIrWUo4EnVqJBmEnBaXxFhBNAgI//vHfn+I3ZB9eC3dFBb17TUz7qK + HVj/n7/mXeQXChEmRq6NDRIUybxUioA4RCAByiitqI4cGQcbUJoYH20ShGv4wMds+vR4SSEHiEOo + IAbcBboI7srSxXNXz164cG3p2lpRTG/auuWu/XfPLc53bMaiNMtEjpaS4TpDKSU9oYmU1+GF1j+1 + 5VOT/FqxW81fafbTuFGm5yEAMZ4JaWIi1K5okpk/pBkE9PWlG6o+YBhBUFVQGrFdKwwzVEldIJFJ + KKxJELc1Ro1VcgrEXOmimAAryCucYgCoojTWkunmWEAR4AzEcgCCpGKuFcERKqIAFIpSUSomiIu2 + GKiC4IgSIhkcAsF2gjFVabrAhIBC8+hWsEYYEEqiSTCYYYwEijVpAmAVE2kTSlVQq8hskoRG1USH + ca1FcY4E5NgUhkGACFgCmIGU8qQA8QgYSIZiiUgwYozAHVuWyE3h8uGBEUA18Tbz2QMhAKO2kGlO + PuE8Gq2lElDn12kB8sAwnllRirHqOySFjHDtJq6sXhry8q69W6Y6RYH4W0oOqaZcwiYMoA0SMLZK + dXyIyACk8IpKoRwJwpo5wk2lqxROjN5NitzWZzbxKVcABWZbGmEECKjTWj75T23MJfkvUtlicola + q7YJVWh+0AQ0O5AHVOEUMghDKHVtCWPra0TzUTMibiyKAHjcPINjV26e61/ZenDX/bu6NgNLClaU + wIggigroSd7FE9qSpn8Ya1I3G3DUcRKzBQlUgR1ggG6jpRpVUu9TqqA6pEQsipEiXLpy/aNjZxCK + LVs233tgLzhV7chapAI8Qq8BtQ3Of3ri1JUrfcX2u+/esW2zhRJ8UFVPxkEpUtRBBI2kQoos82xK + aasGe9saUQAGTCBpxY3iY0gtHunLTYTm/8EW8l/w+Dl0+9c6fpJh+ROnWptvtL7TGOkBjb0Mydsj + VFOUW91g9dbpU6eee+EHm++6zhK2Ls5PWHPbRRQZ42Aglu1QDUvXrl2+dPHcpWsLm7cvbNmxdfMM + MRsNmemOTCLIxm7eUmWd8Zr2bFp/zeaxWhZWs7oF6mMpUiUTaoioscHXVVJsnzpAKWZ0gaAm5RnH + 9Z7jaCEniqTz3Z5IRfkW6+DTuO7PKo3yaCQDhBOdCBRUSNXYbCkGd/3q5Q8/+ODb331ZzdTU1NSG + uR3js53HNsMbY5Z/0pR/Fd2gYy8aK7aOGyZVzM1jm6yYxkQ17Y1pR2xIl63T67hHOf4sLS8uTeud + kN9sdkfxqTlTDd+0tguzkVgbtunkklq7avO9nEUfz6UxQxBUB3hb1jwBWmN0sTxVK1tYE48gWf2g + lqJuLNKx9V2zEpJMr+furTco7nhEs1m58Sc5l9KgxgKm8ZIaefHxeG2/dJOiSspERAx4jIavvfrK + m59cuMmTv/z3vjE/s7kcKw097tmMyU8cYKOpyWbdigRQo9A4owooM1DGsCzEDYaj4x9/fGN52RTm + 7v17FzbOM8eKi7WgaCxw0kCuSZra0FIr23i9YpVUv5igbTQlPoA2stc24dIPKYt3ROjUg6xQ4VvY + fCOlQL168jjftgJaF7pddalqgIhKLDpcVe7C2csXz18WwdYd27bv3gECU2v2CdFIjb3m6ssoJeJg + XiNJt/L6ufspdoUAoRoNDn/wwZH3jywPBjv27J2aX+h2jIEg1S43AqimfmTjktGGbmM2W3tkWzqi + uR0FBH508uTx197/6PCnF5/68lfvv/+BHVsWSGM6hzZDPq6YW8oQP4FotW6x3fmhdfz1+OCQts27 + 9F5cywFhWI36N6vVFV8EEGPINLIiXSonudspewLLpcluW5l/CAAaFGFgCzXaVRTBUQhuNFq9dWtp + ZZWd73V681MzMj2n3Ulhjh4/CBCqCwXUG09G5ojgZdTvH/3wwxPvfHhmcLN317Yd2xZy95K8nSD6 + cBAIpdJyaIS6NRTxqcefPYY+pXb0WgM79sXWL9pf+gnGT21KrJ+VXLs/lTpqTpVsAAlYvXX8yJFn + n/sRZrbvOPi5hcWnpwrYiPjXA5VL3mcdvu75svqPQHFcX2OCUG87jc7HHdZRl0oX3wAAIABJREFU + /XkqcKTwiJXnCPCjtVvDI2fOL418r9u7Z9/+yYlJZtKaRprPedsYjUmo1lvnndVMQ4kCkJNE2rtt + /Duj0kysevrTj987euLN9458eub89auX+2srSzeWnnn6yWee/Pytm9cvXFn69NylrTt2b9+2ZdPC + DAGxo82Jj44999oHy52Fz/c2Hth+qCgM5SoKsapKJNShNWhUF0/MaltT28kxwdP0HNEIaVZNHvMo + 8k1Gdv2TnzBudPtbuO0zar5TG3OS2Jla99qlLBt1nhmRphLvdWg/SULb3dVWckCOCihYiaVW4SKG + QMwEAkm/v3b2zKnvfe+7vY27HzHTsxu3zBRUSAACTDebNjE+yfniWhtngLRgeq2fPYEoilTnjKIh + lDJ0mOKTMYswqir4/qC4tUbCM1OTmOiQZU5PRIBCRNUoQ4wBq7dcriz1R6ujoNKbnexMd+PNIVKb + KDHOI2OrHf79zzn0tl0/kzRM5tQqjYE4lHvQYEzVS41mt1fIOqn4a91oW18ENxoMXX91hcNqyUPL + qGg41L7wZLczOzmRGowJjFIR2x6mSlzUGiDKpxtjVccHyeWuYseCQtC/ef7alZfeeO2D48fOnbu4 + fHOtW0xs3rbtN3/r705M9WynLGKQXHNmjtbGCNUCExPONBcn0/qJVFuXTn84kThbj13vHCmDQQEW + sdK4MpStBF43yOsCsATku8j/u9MPk5FPEQGcHK3ZpWvhzNkrgdamNizsu8t0DIxRGA9CQKnosBoK + 0IDRaHLQn1xd668NnQsyMVlOTvHEBNjAlrCWRJjIWDLe+dWhWR6aoCWrIzjWiKgWIiZGoooCvR4m + e7FZoxjjwCPQlHg4gRKMlj70+v3ucEhVBWH0plFOoGcKoEsY1UpPAUUxkoVqiLUbOlih4ZqWhia6 + mJ5Hbxa2Mw0EoJNr0mbgEuuFhQShmry5NKjUo7BT02CDQM4wIr6W9YSFdgdrnRvXAIvJHs1N9Zg8 + YJiMop2oGv/JdWnHNWYu40w1yVuBwPWqN3U6DRQgC3QAgtpUKI8oIr2pyiIXOsLKVRx+/9aHZ0+u + mf4vfm3Hnu29uR4ILpviGcyvpa8tQ1QLeWvDb2Q5hpU4oiiMAmqTmZMNLGmwOs+xRDjGe/AqgALo + ouaZEnJNVYDKLKP1sIxtba3RiCZExhvadm96aSLCG/d3RU8wVQ0mg+84wzdvYGUFg0GYmjaTU+h0 + UfagJcCwsX2qx8Vz8uY7xz+8cPbzvPXA1m4n34ukaxQKJnQUXSDbRM3QxUKxsQRdkXy6PKQEAAwt + oRqL9abtvr3uAYIKgqRnLLKgMmCClOfP4EcvLIkrHnyke89+EIu2MlzTWdoJ2IqPjyy//N6xFZWn + eNvGjcQFGZR+iOUruHrW31q9uW3H4sImTM3H0jFNdpTWJpRG8KOFjDVOI8N0PQrAm7Tb2zZzZuz4 + z9/S/ksfP4du/+pH22yojzsbMHrb61YWbv2xQEMNKSggriakpDegfrB688zpUy+8+OLOa6PNC3Of + feQBRauSQbJBk46o8xag6kbVieMfvfHaq6+99f49Dzz66OefWVz8rBEg0ogo52vWqnHMCUl9mWvN + o/Vem/qJa3N9yuz2TLnN3xSoR/BKRjl1C2kPWMvgxvgTBcBDGV7gORY8kqbpdFSctUdcd59uzJSW + wtTaIdJmN6SETJDGVvDpW8l74ZirxkaZKTQwJCBBfXXj2uUjRw5/93vf37LrwF17996zb0dtN2Fc + GrQNc465eOPHOoGqpxX1rrruodL71BTijW5IbZu3t+fWVtM4MDl5V+tr0fq7bblUknVjFjYde6B0 + QcplcXKyVZSu7LE1D5b+W/cJrR89QlaaehOAkGGmZnvikNPYOH7ayp5tMQmgtZGIlJ+jXG+l2Xhs + fCNkW3TsZnms6BflYUrPfNvCp9vnNl5EmoFK459Y9qiNJKK6+ViLd0kNbSmv0eCDEAwbNgW8x9rK + n/3pt771w3cGvcX9jz556N7N2txOc0MtQ5myYCLaZHlpKOASmS8UAUpFABtmcKR6KKBuNLx19erS + s9/61odHT7Clf/rP/vFnP/9Yt2uZU8d4RA2THaosX9H4atDKTIZrhre1PrQxtFpiAyTXtG02rTfh + amqdBoiDVCAENo6NyXglx2HXkISjufS6yUtJVvVg1ZHjbEtCRIMmORNxK7duvv7qay+98EoQPPOL + X/r64sLUzJRlSlW00g8jmk9JiSU2g1HUs4+8UFs1nKj1950PBSRCt6+8+MqNfv+LX/3atn37Op1e + RHUZRogUCFLX5s/n1MZcjsnn7Rq3rZFpVnLm6QQ/Wnv//be/9a3vvvDGB+hOLyws7tiyYAmWWtOb + Cym0JBPZfGzTz28b/7FbTFfW2z7+CcMxDt1qrWwULHDDpaWlTy/3L9zyAWy5KshZDVNFb647PT+3 + oTM1YSe6valJMh21LC5pDAlQHbEOy4KYejDFypq7cXPp2vVzHx5+9+zpG8O+vWvvwd375rdsm9i8 + bWpqritk4yIXHSN+tjZKAlRDGK71D3/w4esvvvjJyvXHf/Urm/0B2IlG2bcmITcXTSS1luqh1rfG + locmNa6t6zabit42ni2Ja6zg5IdT6+3bd28k7Zv78MSMh6YvZNp7xN24cun1V3/0B3/wB9M77n/i + a/zwI4+ZuaLTVSYVochVtgZCliJ41IBcjYrO2rUhuaUCHa3diTIoa/Jv1+uX7KOCjBJUOYRMxyTF + cPnU6XN/8s0/P3t9ZXHjxt/5h79z1913Txc2aRKkNvAthK+eijxQ6ZqNgdYa9lpFt0Y1hXLaSfT5 + ZVKwCqiO+i++8Nx/fPYvvv3CKwLDTBMde/bM6f7KzV/64lPnz576znM//OP/9Ke/9Mu/9re+9pUN + TzwGggkeEj7+6Njzzz2/3N24+f4nw+cPpSCnRJ6yhyDAeJv0o7ZsxPyWQQSu7xx3iQ+y/qMsYzSm + SpvVmaQqC16zUH76kh9jDzYjKSAHRSsMUIf2BWn3MfVpQ1pCSR3FZLTczGhdypZAPMACE4zhWGsy + BFvYXDtB+2tr586eeu77392w54HZPQ/cdd+Dmyc70IDgFB7Wonbuo8mcMbIYj70dt13/4Jpb1pBR + cOyNzVFeQgESWHXL189fHJ44vYZy+97dG3duneiky6YB0UQU0ZIBCETOnD178dz1otPZffeuTRNd + w2AOhMDRQGALJc2Z8zwu3OtvsD3B694de532lFrqY6xCQc30oAYV0CbGZ3uvUXU/e5P8CccdLDli + QIdry1cuXT57+tPRcLm0WnZLzxOjUMJOLs5v3b3rLiYUJQwMoUcgAxIwgThj3nnhIxcwza5TKjLD + BJvtFg9US9fOvPnjd//Nv/nD1z84trayalRKa6ZnZx5+9KG77r6rJGhZRtKCKlJG25jYa15BjYF3 + 26Fj5lm9Xsa3fCCWcQgIQWE8Q6jIOpxyZA5jKzX+LIuFGbtGo0KaItr1nBkUBom0GIqbl3D4LfsX + zx0PxfCuQzu3bj3UmQbsEKgE1mshoWABebgBrl3CxbM4f653/vKt5bW17bvK3XsmduxC2cPULKY3 + IARmlGDuLw/PXTKfnlMn4FBwKEy+NecBoCgwM4tNm1HugOmBWcAOGEJsFbo+licVrNzqXL6Ia1fQ + X4MW2LwLC9vQnUOBKQOvIeVYBcHQ48Yarl/E6Y/o4kl35dK1menJ7dtm9h/C1rsws7k0OVjEtdmb + xGZUt3oDOqTsBjh5bLBSLXdmZ+++p2unoSxMTtFlIESYHSWUb1znd4/eKCc3bN+KqX2WbYdguYbP + Gp/AAGUNAcWLh2zaZfVIEFNXP0Oa01b7lkS87LbUVQGymjwLBthahBVcO49XXjj53NvvrpayffdT + GyYw14GhWHI0YqlSdwVMglGLByNVPc4CtE6aFaQwkijprQrMFOnDRmACDBKhmICQUMt6TChuarNQ + 2zKS6tKoQ3Avo8zcVM69o/KLkAIUlF0MbdsaBJSKyBNnRRk8Rv3e2jJGAxw9IqdOXrt8qb937569 + +7FzL2bm0ZmEGt+bGIEmAVw8f/ntN068fOTUxj1fdU8CkwCrV03hUph61cfdL+tqT03RkvihB/XA + eWQb4hNDe2kzrC1Eqq0bAlThBS77+0WehdKNcOHT7qvPOz9EtxzKr4F4jTDVUvFF2tOibnSAw7H3 + Bt/982PLHDbuffrhh9AxsIRRH6dO4KW/PHX85OEvf/XpRz+7sH8OpgDIBVSRmyPoRRFqd/5t1FGm + PAvBs4n6ytQhaR4j9MVhuvO29Tfy+Dl0+9c6xiwqALebocjherScppz4nI3UJC51KIg0swRN4q4L + OHbBloIVkNW1vldjOz2lsSBzvoy0by5KsUq4fOHC0Q8/fPXVV/tSLO46oARrwcKZXoHkY1FNQKzp + cobrUyXrsa7U1zhntbuBqMNb4CJBERxGfXjn1IgtpX7gxndsnkIBFSVKUInAkScdgV3pjCix2DZi + rRl8aRimd4j3tl60vM/6I8mGVLbI1cN7FaqEvartmLJTmqJgrZuoBgrOkKpIfzAkUxRlJw9Sy7/I + AqJ3YNiuE56fdujYXbefrH4/AxPJWDOog4k69oOWiTv+P2Q/YSzrsT1g6cuZC3HbMzVTSVw3PoYi + Nn+83YhvwMh19bDi9Shb5Cmwxo2DGNGKGnFTgFhhkdJ1BGjRB9AsRc2G7vjzsSZETW6bkajck4n9 + EyeM7vCa1k1X9M5aQWxKBa1aALsAVDfiaUcdamu3fVpjLRkoNATHIYCpLIxhdk7W1qr+AFOdZszb + w946V7yoxovW4Wigj2Cl4lDxCBW6jrooMemESWATdDVYWV46fPjwa2+8X3TsV3/5K2trB4ztlNZm + 1q3ELIImMRVUQ7fjc1O7Ga1DKesJbX25PmpxaN5vrTatlRpJQKjgh0LkjKmMMQzb9K3TxOunsU2/ + PWvpj9aRoXFJVgBgZgZ8CAYI3q0s3zp25MgL338hKC1s3vTEF54qJzrWxBpNEeLhNlu8JogpUgCp + TkPQFJhp1cpqrdAxfC6tZAECqZCI6w9Xbi0Tsyk7uaZzkxtmTEsW2vtROo/WXW3bs3L7JBEUGlQd + UVCIF2Fj2VgALoBFytQnqk1lgzaMrcTGbHVDWn+V8f9SvvR6+vsdBQVJj7SUYXxUAtSt3rz86o9e + +d6Pjvz46IWRV4uRpcqI74C7bCc6ncWNi3vu2vMLv/TVuw88uGHjfEVggVUYRslcggC3dPHi+x+c + e+75148ce/vG8sXllevDNSY/MT3x8sS0s93BwQd2P/b5X/jFX/6N7tQGRiyg2DxMmgKNlrAnULcs + WVGNRv3VFWO4tAViE4g6oNvIenR1opwwUIdvx+W5JSeaCFTakjKqg77rhrQ14ppPnt9ab3yMXap1 + RN0cZ19gkkURFMEFEwCSqYletyyG/QHWBkNPvZmeLaHkgoQgFgqTCqixiE+LKIl6bXRR3gTzHpXE + xLTvkmqtoVEmY1M+ouzH5FMx4lIhGFNoiKHcMBwtX7x47kevvPLx+aXtO3c886Uvb9q2faIzla39 + lLvU3mBaaZr1Kq0r8zTf05bloG0cHcg6MOuF9i9ipvxwuHTl4ntv//jkpydn5xf+zj/4vccfeXBx + bsr1V7cuzq2tLh87/MF77717/tLlD458tGfPnkcffbjsGjAjiK+G1WgoJYgLYyACeA/xMAw3Gnrn + OjZQKr+s67YSoA4A327DrCcaUUvc6jcTE3bdYKRpQLNtt8+ybngbeWzeytttHj2f3GxN2TY6BvRL + 3SOl/mosRBRhpjxzmmWkVswC8QTTHw6G1JmaLqyBIUuEIEFUC0ZhTae0ImEwGq0OK2HLJm1tlIhl + EEAFxsA22q8Oq4w97rpnhwo0JrRahantaiDm3xcwI+jo8qUTL7700befP069/V948qFf//rjUzsm + gpMO95IQGQZbQBShWu1fvzb402efffXVw53exO/+k2/84raNECUOBjHju1Aqo5y3KLftfegOx3pV + cYfP0z9NrB6ap6fVCk0ViAT8doo6AMkY6M8ypv+ahwDBjc6c/uSNl3/4w5deOH/xQhUc2cJTx2kp + 1OkWE1MTs3v37H3g4Xs//9TjW3Zumu5Nx1sT9cOR9LiMlOiMQteR/5grXcYeD6wMZbCFVbC7fvX0 + +++9ff7C5d177//Kl7946MDeuamicv7gAw90CtspymxmEbUqjI/b2IrWxcZHfWyrFNzhaKwAROaN + g3cehWNSKoxtCIeUmQ3NQWOlJsduB5TLsLBk3Yv8ScrIF08AAgY3cO4jvPb8YFhevOXML//KoekJ + WLsKHYHmiDpkcOkCPjmKt3506ZMjy5dOB191hm4wCkPYazMbeH5Td25j+OxTm778te2zc2wN1OH4 + 4cvf/+H17754pQo7bFDrpUBqBeCDZ1NMTE4vbpx95DPFb//Dqe4EAA/tg9aAkkw3lr0aDfHR4Wsv + /eD0O28urd5amFzsP/aFnY89vX32oY61sJiocxoHA3zvpfOvv3Px5JHh9dNldX3RMthc63SG3bnr + 9z8x+egz809+4dDERFMNKKtaAVaBIZI6nXVu8uoF/Ol/+vGZaxfntm78nX/8KwcOoFeqw0DQjR1A + 4qD7kT1+7Nq/+7c/6E7teuKJ2R3bDpjJjjUmNqitpwkAwEC5znqO+W6mAb04dkHUJrgFauG2jaWM + NsHH1GnEEn00gymLDnZX/TO3+joa9XwFcXUZqcjVjdWTScGp9Uh9w4xI8+QUXs2BgAxjCEhRaGZl + tJCVClhRWEURcr0IBggBRCmNYGxJZGWcJrHGOpNlRcmANbhtabUGlqAxHlMBLjOZDGCBIhPajcIr + zPItnD+D7/3FkcMfXLh2JQzXev3VibVb3YnexamF69ML1xe2rh58eOrrf/sQd0Y+TFqFUat+eu3m + zHB1OnhUHkXH19V70hHakVMPjChVwKA8ZyGTqnIRDzR5pmnNJvUbK/PGaidF7EBHEMDXGxOjiOct + GDLavHb1gPopP2ARMK0qupACmVejsKBAsYhwIAxh3N0Wd2sYCLZDoQE+YPkaThzGh2+Fo5+szcxc + X1hc2H0XCoaxjlABEjCS4AwWOBuDY7uAZAYgYWgwjBCOQZeTow2AayZFdk+klWzzN/z4OXT7Mw7N + bktusaOIFdBSwmukvTfJr0EABo8rt1atFQSCaj3uilRNjWNpzoSPcibnS2AVQCvnnaoCQSX2/RIR + qJqotVREg2frGUW+kiHqdcvZmcmF+Q3zixsnZzYk8nxmDaTbFggrRA1Qsyk1+b0aS1ZLqhlJqkLx + t7W5lG414X8tQ02Hays3L57+6PCHN2lq632fWdy1d9Nk7lykUAhlV1MVsYEDgKh3CaG/uvLWy4dv + XujrhHn8S0/M7JjP+ywUQhqgHrAQCKVGMkq5fLaSISAEaCBbIm8rcalKCFw/H2WTXxQhYDg4duLU + B8dPUtnZf2DfAw/dn2oy1N6UeoRKxENUuSBjQDG9u+lFmvrw5lw8ars4TcvSOGqqAUh02VxwzyTP + gVq1phRASK2FW5tGIE3+oyA4UgvDOuaQBwhrThrhGKeniNtly1igqmKcIjblqacwzpPmKCYLbKJQ + kQsOtqS2bU2G0QW8wBNcssg0YYTAWP6/KKAGqmSE8+fx1BqIxAINeiQIgmCSTFoCQ03tBTflLmAB + MIQgFHNdY7EhqBr1UKgaELwABgbi4Q3IpgFhRcrTb/Q51UsY7VfpRU3wRKu/TfPjGB+J1WABl3IB + JRX9pBgnZ83Jb0brgcrVgRlKEAlBvbEmO7p5zDmOGaWaSKLkAnxIXinV4ycBakQAihXf66eRnHkL + AdRLAfJVdfrkmdMnz1y6sXTfow8t7tw2M9UpqDSZWV+YYnpiesumLTu2L3HBk1PTbC0RBQ8AzDnX + XyENa3/cG83ecO4CkmMojasxhrloOziq0Spq0Fu0V1auVqmqbthfvnz23MdHz9wcLew5OLfz3s0b + p1uB9lhuhVWDMDMQVFg11oJUgFLPNc2lcqMcghGortuS/A9SVVU1jF6nnJue2bSwCVzMzc4XZQcc + wYJY+iq2tspQRNRTOXkYkfRGsekZCUQ0MDHB1rhZ4+I2CgCtFehVAysoaHCxjbiNBjjE1Q+STNNY + s5FbTxiVlonwWE6VUigrCAIRcOqOIiokhjTacOKrELwCyrGybZxKTbou7ilZS2chF6LEHoxbSOqR + MKbckAZtbGOJbTDT7IcAAAl7Gje4kttQy55kujMU4qrhypXL5w4ffv+td04vbt6xZWF6enoS1UC9 + W11dOXvq5EdHw9EPZkf94dNf5cefeUYMLCEoepHKIWHp1Kev//j0n/3lWz985f0rN84sbJlc3Dy/ + ffumQiZcX26unDt15sSVa58urfi773t4x97uhskebj/icITkRJNCNTYZjZsjpA0baUqx9qKOpbUt + 5aXV6hSn2aFJnxMU8MlPUq57GubNW7LZMOa5qEKDBk/MzRaV/HACNFdeoqbTTV6xaiiblwqOQdbW + 9RAAsRyTuMkHCrCmiBJCRFrv9JxAiIxXioCKlkbhqMfqwgU5JGUAC/GAwJqEMkrs70wAISioazKv + EgKoAdug8LEvNTFTAXYgzxbdXmdhYWG1Kjdu3DwxOcnGCgAIIyhIxMTFUA+eQI22JFIEHAieQBJS + l7Y8Z6ldnpdQqKE02wSowBOIamKUUmMBQWTYv7l09cypkyurK9t3H3jqS1/+pS8+vTBl1paXqepb + 1qmJzuLC/M5du+c3bu5OTFHqOxt3RqciqhTESB0mk4Dh2icfHX734/M0u3nTvgfu3bfd1CUS8zAB + CiURTSajZrOrJdRZgupaofVbpKoUosXT8hOTaGvLMK73Vs3GdloojbFU2zAtnREEorBGoR4BCaEA + oAhkzDpwSeAVwSaGm4XGcu1BJRBznAQJSXKJY2LWaPnm4Y9OHr9wvSqmn3766Y1zk5MlJeqsKkBE + YFJoENUAdiEq2xhhDj4UidDL8KmqSFw/EpuZtRquZnGox1ZBQQEbNbWkZljZx1aCFkCAjAiB1B8/ + evjG4JLV5Sce3bN96y7binoqsYADpNAw6i+fO3XmnXfeeee9E3ffc8/ISVQPRgOogkKo8BGDVKRG + nJrDRbGohAjAuWNnbck0t61BucZiY9Qp6RryudgJqUAD2NY7kgFydQiAiDj1xjLIy11if9scf1Ql + IhUBau4rVCQzyP4qLjkFRVAFsLa8dO7k4Q/efOXqymB20/aZxc1c9MjDEJauXzz6/lunPtl0+vzx + C9eufO1X/9bB++bKJEJByYMAGJCqiCqYa/6HgDxgo12NbC9FZXDt8oX3339v6ebKw/fv//Xf+K37 + 9m3fMMEjNwoKtsam2jGJk4/803otgBD3Qo3DldvviYKb4lvJqq8tslS1l5HBLE1QFQTwl8+fPnHu + 6icXru858MDePTs3bZzPa9ImL1IzqkZwXr34oiwUIBWbQhXJH/YAjJFsPUeZhRVV50IwMEQlFEWJ + qRksbLZucmp2U09ihEUNpAeaqjyuXcVbPx69+uLJN390YnBTembDtq07Nk5Nw3SX+zeW15ZOfHzD + f3wTdveuvdOHDs5MTwFD3LxsPvlo5Y03zm7YvLh1Q3dhqixpRCTEYnxF7E2Hil6n6BCnK9bNVZSs + giiMcOMajrzXf+UHp0+dDLeWgp29Oruls+f+BdUOCaBl3IhuLoWjH689/9zhNz+4NbxVbpzYseuu + qfnZqdFo6eqVU0ePHLu63L2xtn9x/tCefdiwABCY0QJYLVAmHwoToUL/Fo4fuXH8/PmNN/3yamwa + zoqQC9uk6fIOS9fch+9f6kx3t2zhIBCYZEAGpLoCFB2PtKnGAGYgDCGByMRcGXgEAy0gJUCe4TI/ + hmsHJ0tTU4ch6Vaj6GqriEHMniFl78uRFi5A2npNAViQF/hU3FWY466XaklWCicIQEkoOVZ4k/rX + JLmbTrxDU1fAEQ/2mj61AiZwADOUgif4qGoaz67pkJVXVyIYKeAJVmAJRfp2YwEF5mwXgSSoRKOD + SyilDhw5E0gVPsS9oLt0XY992H/1pYsvPv/phQsXbFFu2bxj244ps3POOV4bDk6fOvvxpxdurHT3 + 3be4n6dnJmEFEKvCqiqEQHAKT4FTT4U8plzvc8IIAhCKhvAPjZIDqKiDFmacqYG84ys8kQARbOE6 + vKCJsk0BKlIVzLEYGBFUUI1Ki+noyihKVdUWv1HJt7IsgAATZkhnBNZjIsS8SgWXmJjB3EaeXy1m + FslOpa52BkowAQSUIKsUq9SMF0bK7C/Xx4Ul+fD0pWUp9u3buHMLipkaLoCJDRaNAgKOSAzl8lx/ + lZ3i/8vj59DtzzhU4b2wyXW+oFDx3hljyBgIqQRVsGEAIqiqwNYUyVZsmZS54psgEeVTAoOKIICY + YBI9P3VeJogiOIiHihJckKHzVeWClIbJOUciIDUFw3snOiITSrIZULKlPbB/H9xgfuvuA49/cefB + x7Kq9QgObEA2Qp7Oi4bQswaGQSSqQhGEif1PIQohZnAI3jqHokBtdxgGGVEIVFTYxEFSgtxcuv7u + 2z/+1//qX55bM1//xr/4+t/7R4v3LnqFgUIhIZh8uSBK0MJaSpygQJCl69f+9b/8V+/88Gh30/T/ + /O9//6Ftc3GUs0byEA8WhNjqGyFAOTAxBARrGN5VLJ5NASIBnIcxUMC5qjTRkVGgXTDBD9dWv/2d + b/8P/+MfzG3a8nv/9HcPPnT/OMlLgSBuGLyHLTw4RPs/eKFgLCmiVgwAgSkIAsMgpcpCoRrIaMZy + VYJ4J8zMjAgWBYVlgCho6vGrCiIVIe+lJDIm2mlQqIRgMwTvnB+S9IrCQDk5uqQQ752JeaDeqyGw + VUAEPqA0jbcbfBh6Krpsa9s+m+Ya4VgFSTDwxAGCQd/ZnrGlAcWKxga8e0aoAAAgAElEQVSSquP7 + MGBbmRjq1ISqxDi7CMAjpk4ICB6qKDvC7AiqYA8CjPXW+joeCyCE0K+46lCsQ5S7UiYsRogCpY2Z + BIWBMJyGyooDGQQBRIyOfBDVni19VQHBdks3rCoOnemeJOg2Bn2j5dHGMdLy1bpSSarUkU0VCUE0 + CjMAHwKILVP0My3HzbsCCu9ExJSd5LWHEEwhNXlCY6zBgkFB1HCs3EPeVaMhJicnYlqreFVVY4mN + ARPUezcK3lNpmMvYciBAWMUQQURDgJJ3UPalibinEhCCenVky0j6dX1vjK0G/VdfeeGb/+cfv/7e + sf/mv/3vPvPFr27f2tswZ41hqIcPtjuz666F3/zNv/vQY095+AcefHB2w4YCnWG/8s7YmOsC9j6E + MooDcsnWWKWX4h16UAhiSQqGhmS5ptLfdZWI7JX7lGMKKAMWsHGdoZVsH7w3dRUQkbWV5Q/ee/s/ + /OHvP//W8a//g3/2zK//zvziQ0VewzlIEoJXb2xhyDlnoGXZSaabCEUs2BjN0G0IwuqNLdtggYKC + ilUpC7N1+5Zf+NKX5ie2lN2pex49tGnLIjFy7F01YDTSTsekMF8AOHDUhlCAfABICyYFiYh3o05h + QUUIqWVK7WsFEQMG5cQDA0AUTsUbQkm2NKWCoyEs4lk9TInYb0AQu4uLhJgeDoCCsAcKVkaoeSMA + QlADJfIiBmLIRHDRazCFgsCk1WjgXEXMIhBRBQqDggxcBUicLOcQCIVJ4QJDASwgCgIlbnoOJJFp + Bldz48HsgqqIGAMCq6IajYis7RqJXKyWOSONx48EetaLVz1TNdE1RNqbmPzil37xS08+dnDf7tHq + TddfvXH1yss/eP6dN14/+u6xy+cvr+nUnkee6c2jRxCPkmHEYTR866WX/8M3X/7333rZYfaeQ7t/ + 4+//yhe+9Ll7d99XuOLquasfHn3j+88/+9qbL77+2huf+8K7ncmF+b27CONoawQUgmgIZC2Cr6oq + BGHDnbLUID74YAJgmBLyCgEKOOeG1vdMyQl0RYZLOe9kQMRA0JpJhSMw2MORd4aL5OtHBkVQBcW+ + wNr01hTxrhoOut0OuAAIMXBssocfA6/K3kOLZvacq9hapgIa4loH2QguWIoEXA8NIThS7XV76PRg + unUbYEPMFpCsFiOgpZmPYYoozBG6DaoiylHPEDwhCDogJgvvHHuwMRzL9VUgH0s76qhSVtvrxbES + L2wMFJUi1FXyuAAVQFXOTh44cM83fvsbN1Z5ZnHD/YcemJzuiCpRADyBvYeS4Ywfa1w3orkTsop4 + VQcTCNZ5B1+UCTUW55y1loirkYcxZRMBFO8dyFiu/ShQCrcrIG40WL5x7daN62VZ3nvo/sVtO7tT + RoDJ6amOFIb8k599rDO3dW7HR/se/OzDB+8pO5FDHCDeshbGqJCrtKrAJWAtwmjp2uU/e/ZP/pc/ + +r86m/b+2u/+i7t2/25BEBP9V0k5vEoatPLeECsjiLAIwHUzM00SRHm5xSSupFZCEOsFxVjDBgG8 + F8Ma6am1noaqiBAZZQuC8wJQWaZFHZwWlnKysUZ6hfOAeGtUgkPl2ZqY4RtR1EgZy16ewGMoXm0Z + G6MWokUALDkXPHMRNyf1UAYzRINzxuDyhfN/+O/+7XdeftvMbf3vN2565OC+3twkGyUiZgMS0SC+ + ggZbWFt2h1UYOTNpActwYTSq7FQZicsugBVltP8goJBZucgF/dMCjiCLClylpS1hqPIVoMbEZOJa + eZaAh9fNm7d87jNTs5N/+fEnn77z5vDSpa8MD+6c6XaRo1wCeBBBC9IwXD1z6pMrV66Ybu+zT35h + x649Nm0wAnUIGuxkRXCKXsqPFUgQVTYmthDwzhMzc1EjiW11LgLvXFkUKXygARojvVBVF1LUFN4z + BbTKUyYgO8bo2AAmBHiDIiN/IhLAYiwBIgoVNsaHAKBgBqCqPoTYANmYdW1C7nAo4INUEibKouRg + 3C0Mlndu3/XFX/3tQ48/NTMzMxz0raFjH773nWf/5OzZMy++dOF7r7wxt33/7t33dCdQgJgN9Swk + ttctnA9BpFvaxFSRAHghEROblMGAIILgUejVK5fef//9wai7ecfehx7bbxyYhjPTU0FCEIX4WLZC + JDH9U4UyhXhhqwyIaJAAgi1MzEILIbWY4lypGipCHLdEUYgP8BVsyWXRzBoifh7ee+fNP372u3/8 + 7Pd+77/6r3/7t/7OwsI8x2g6Z38tkoEUYDMYLq8NhxsWFpQYQawaaDRT2XsdBm8nWJgkSEEMleBH + xnKQajRwE50ZGAvCwiY89FmMJh4tNvKGPTMTG8AG0AmgQ4qVZbz/Hv782Xef/4sjKzf6jz96z1e+ + /MjTT89s2o6ih5srePvtwYsvvfH22+c+/vjUm29s3LHlsY0lMARXWxFuKmYPPfTY00/QQ/ehANjA + WnifSA8bN2LrVnQnoxZTioVcycQI4miE82fw4duDw++ubt/+iB+a6ytLw5EVdIoCFKdTAMK506vf + +fO3XvzB8eVq2+cee/C3fn3vEw9h0zxu3Zh4/Uc7/tffv3D4+KXllbUd20KnZ2YWAECoXauzB/Qi + Mq6B4KEe6jaIn1GZMQZkIUgB1QiniQAB4iEyybygukg0V3bi5o6OgQQIRraMlkRHpAhCBFiGKiqH + ZVfxJHVRKgIJ4A20iEGVSjAqYBv9HmBjpIhJiKRFxScE4YAep8BJAyc6v6oE4p4XaOKR5BhCQmC9 + IAgMBe7E4mviInQrGAUETb1jGcrw4LwtCWwMgjJYwMGjTNuwUxIlBkpFGfJeY5VChQ4HKm32NQKM + hhhJgEFi8HImmcZFxqIlAuq+cAoE9dBhwalNC8NWXnxFE70egyEdqUARa0kUqNGwqpimmXHi2PDb + 3/roj/63N/xodve+LU//wr2f/dz+/fuxuIjVFRw/vun55zo/frN/6uSFN149MTX94M67IohqodKZ + UNuFGniCp2BhA0JsdidIucsKTY67t6wFWQ5BwGBWjR3qQCM/UHEdM3Fb7psGcZBgLYcQQhBmGI5Z + 36QoRUsiCjJ0bmA6TOhGy1MI4GCtKcoJaxF0WlSJvbKAPKU6aUaV6xgAhVK81aLj1DqFGhQGC1vw + mafQKe4+cDE8/vT+bXvAnbo2rwWYYQ0zIBpxpHznNYpOhJu3+m++deJ/+t+/c2U4/c//+TfKp+dn + Z8BAUIiiJDU8BAaAKIyHBQpKlW3+ph8/h25/2hHtD2OZKAIPkSukNuKjEkCG2JJwcELMzCjLRFwM + iU0nhtSEAAlQiCmCgRg4h2I4ZCsojWV2EBIUBI42mCG4Cq4POMsoi8JEXJXJFkVMgY1AJ4vX0Ygg + 1nbFUmA4gQFYPCRs27pppvfwtn2Helv2mfkuEpKiiUchgqFDUZa2AxNR2ljrhok49U+gaN0ZKAVo + QYzSpCS4yLEikSBkiCN5S0GqpMoEiHfDNVJHZBUsVORQGxOpzRXimAi5EE8IEnQEGpAZqYRe0Z3p + znR6U4ZszQMLQBWqrgZIBcewnehtikJFrWUYRoB33hLBGuQG3cYmdVsUBalH8DAWqk6UNSWJMBSi + IagoVVVYWRt0u2XJZc5mF1gqDFlrUHZGQYdVDCRzq6QiMqfQ1B0wkp1JQpkwGIKPdfGtZVV4D2PS + VuRDIFbDBQBB8EEkjIBOUbBoQAhs2IsPkJK56q9ZLzw5ZYvCEitYNHAEBVRBKKzlIAiODBObpOAY + JvVbrUwYoLDMhbW5LYVCnVNVZpZIPymIAfJGXDAIMOXERDnwWBv0ex0y7CE9eECBwhSWFU7hIx89 + 7enJeAxKQy8g6hgDIjJEwNAjCEqgIBTEqe8uSgAhhDUqfQFROEEpAiPN9gJywNBDnO956RYFiFgj + uyKW7SOAVZWM7aiTtbV+j0s2FgGdsjC2kLiRQFSECCBSDSCKxA7nIUE7nUTXUIn0MgrOMTElvh8Z + wxlmhLEsAueVmVThKlfYAHIIauwUqVEgOEBQlEZTuXoSDRJMBLEEhtiKVKSeDcqi5NjdHgDAlkTV + e2fEsQRYmugWhbVVFYztFmW0J0RDMEysMWOeSiIhK6pBgkiAimE2NqVbGkWvM8keoVoSt0ZYZnaD + oROdmpqdYQPFKM4flIHivgMHt+/aTyXNb54FTEDoFNYa5uRFE9syFt/zQHDOuBAbeBhg6MFWGNYY + UiHvnI3lijwMAy7oaI16BoYBBAlKXFgajjxUpssSHjE0lIDt/MdYG5sihuBB1hqyJBRG3o+CArZr + i6Q9gqjxFVDBGmutB1U+WGMjzOK8U1DHcOTsQ4IyR9a5MWxgAfjKszfcIQkIpEVZiO+7aljY7j37 + 9m2Z3U3c7SxO5eZzAnhIIC7KTlcZEhCc2oJALCkdVQEyFgKqglNSo+gWRRzPGM7SuKjUWUGXiwTW + 1RR6EkCYVb3noIaMgpxgJMGEqtQK2h2FqqIyGvEawIYrCRXECCYoxu1ARAEY+hGjsGRhmFQUsFyQ + EkKAKJissYCHd340MAxrDbGBsarkBQlsYkbwQABbUyQvUQEmNQyoiJDzwkVhEo8O7VhnWt9EGdqW + +F/DFHwgUsOmW3ZE4CqwydlP+QSSXZoG8E7iQoAyqrWVJQnV9Mzs/v0H7j1w6N67d5IfhGow6i8f + vGfvi7t2fPub3zxz8dqRo8efe/nNx774+K45lBYBw+HytdWzJ1594YXD7xyd7s5+5W//o2e+9sSj + Tx5a3DqzoTPbcXZDd2LT5u7BQ7u/fOwLS2vV7l27JyZ6SZkIiBHTTlO8jpkicK0qKkVhrYnRtQBV + C+PFV4FK06kLrBVlGSgmJAogwfuSitgcw7tQgawtTSYnZg8DXtVZWHAH1tjcB0lFhUFkDAkhOAwG + o26nwwbBOwNlpm63A0PwfjQcFWWHixJE0Y+JqIySKSwFRRVgAGvEcgFQFUSrqjAEDkCIvAznUCbO + tUCDr6qVlbXuggQq6inTGjqoX0HhHWQEW0JVgwRiJ+o4FGzZCCRApAKGABsEBYtB0TVkEdNgwgh+ + CJPSI6igDnRYCRfMlILlgaEEjwT8p7ReNwTLwuL8k59/cugm0bGTUx1NNxcbxxfWmpBB+QD1vurF + aL8PznkmNh0TC4ErvDU9ASqH4ATGFdaqqkgoy9LUJ/ES1FnDJuJiXsEUeXvwAX4Eo5a0MMQkIFJT + UNlFis2oSoAJ8wtzDz04v2H3wXLDjoUpji2VYBisCC6EwGxBpUhkswoYkxNdgl9ZvhWmR6Km7IIZ + Iqics1pZDrBl5M8XhQWzxNZcEiAOgZStIUbU3QJ1AZBouI2q4UDCVHfSsI28JfF+qI4KGxu7Gxth + CEHwpGKgsSY3x8rcBAVswZGkXlVKKr3S5M5JghBESk+xp7TtD5ZLG2zZQVWBCOiCWYDURjuo+iEZ + gjHdshwCASgA4gLe+2Flio4wj5yboLq8qoLJFAX8YDRYW125VbmqVAydF1FmBO+VDbEBxFrT65bW + UggycsF2SjLAaARUbMrJXuk0J6zGosFKCJKMJAXUp0Ug6hE0tgGNwSuG7dh4Q2xLZS9SqThjNGJM + oALkYDu9bm9+lu/dt/vihdHKjavnzp27dO2e6R3dVFHSgMjGlCoN1fXL5996/UdXr16dmNq178DB + DQsbo+UG7xD6KLqitCboD3D91pIuXzEy2rCwuGFxo6sqNsbYorBWgCBKTEHUVc4Yu7KyfOHCBYCm + pya3bN7sg7fKZOrEEx2trK554YnJ1YE3o7Upo9NdC+45xUBRKIyNaSgGQP/GzavL/Q1bd5oi+kmJ + NCiqkSxhidZW165cvrx58+bpqal6E2FmEVHV+Ppncm/ZFhYWqEhGRVidtFjcuHjPwYfvPvj44oYp + cf2S/P7dGx85uP2P/uj/+P5rxy9cHr39wcn77j75xcfvMoCqH1a3yo6wmQCMKQojDELwQJwpIiYa + eXiDkoAQy90zqn41HDjnRq7sOx1UmLEo2ELFEIwBQNVoBJiy0/m/2XuPJ8my9MrvXPGka/fQOjIi + UleKUplVlVm6RVV3A90QPSCHADgkjRuSKy5I43/BHcdmODQOMCAG3V3dXVqL1FpFRobWysM9XLs/ + f+rey8XzyALMhiCXoBneIhdhme6Rz5/f+33fPed3QoEwROhJXaecg3IqRSARcsYi4Q2BEiIQUjGm + g5COyrJz3zrNVKBABSgh4Fwq5ThtMAYZqNCPmRqYAkPoO67r+EEQCCGEggJjlCgaKgihdBmajAIC + SoShMkzCDCtUAQg3OIdgT6PdOdV0rvkCgsCIvutUMB0gIaOwLIsRjhAqgGFjYBwvZNIyyZCBbkGG + gK+BEM/H+ip+9XfXnjyoJOPH33rtmVdftV88h55+aDbAYHfDSlnjU6+de+k0oWJwMGdQhA6oAx4w + qnoU2c70kZFJHDoKnYExaDqk6rifNB22CRrlGCkKxaE0EATKa4bazib77XsLq/N0dPDC2WenVpbx + aH2f8pSCIQ/2WYQolzH7OH/t6oIUPWfO/PTP/1KfGke6G0yD7mPqGP7r/+aX//7fz83OGle+bo1O + JgenELeiwWigIIjSIQ+EDwREQAYIXfjthAiSSiY9H34AxiDhEpgcNqXft7dhYLYdi5OY09YdF1wD + AwIfGgHlFKQtVEsIwVgqMmB4ATgFM2DpyQAQHaERAdEhGXxIAWJDAh6gARoBIUoilPAJKKc6FIcU + oQoUlYxbIB0RRaggCXhUfghwTeimoZMU1SGAQEDT6pAJSAoJwSIpaEBBuMY71hwSgvgSroTnI2CQ + GliowKWma1p0SOgLBAwUkkGGaENolm5CAVSCUEIYARdgURsuACEQhjC5RiiFVAh8gMCQCkEIT4IT + YlEY0exHQZPwI4Bux18QDX5o50egghCpEAoECoLD5LrJqAF0ztoPRhsQEoqDcmFYtFXH3i6+/XL5 + /q06EydeeenCy2/i5beQ7Uc8Cd2EnYOdQn//qYuvnKq2q0aykYwNaxTwwZRJCHzRbIclV+RiOghI + ZN0GoBCEaFIEFFoIKDAGm4JT0VkJfYHQD3VdoxQCgnYUHa5QhCpGKTsQbUnCFFFKyhCUMmqQTlOs + cGB7FBKUGIYRfZhKKQZlKAA0DGXoOEG5ZMRyum6EgENIO4JnU3AKE8TwfeguICF95nskJAwaNCu6 + c9uSZ7L99nMv08P+VLIHegLQIJWnVChhKBi+YEp6pg5CvCCgnMcjva3vgwImAwhcr1ncr4L26MZ4 + 2896ISJV2NPsFQWHoAIowFawVPTQ/D1Uzz/Z659Ht//Y1ZniHxhjoYAoJZaSzmJPGYTwXL/ecJy2 + 53qhVMqK2Ylk3IpZTOv4YgEJETRL1ToxhJ21khqjEIREI2EGhAiFJ1pNFjTBdD/Vq8sO5I1GKicA + jDHOOWE0DIXrtGqVstduExnYOu9KJ8xskhxoCUAQjQe4padV3KFSWWbID1pZIkAiszKVQOAHTtOp + 1atuq0ZUGE+leTzJY0lqGAQEpIPDZ4oqdWBXk1L5vtP2KtVas+2EUqRy2VgyqVsxyhiDghTNVr2y + X6yUC6HflkJrtNrFUm23lDRkI66Ftq7HLfOgkz5AqkgZtR2e57S9ciFfClyfCQqB0n5pJ5/XLA1E + GYbebTAQCRlChOCIGsJqo9Fw9tqOQyTVmJEw9VwyZpk6qJSERoSzjrGIUggceCuVJIBSynHCWnG/ + UKzX6kIoKdFoOflCMR63DaoxSbNxi2kSSrBIG9RZ24iUaDWb1Va12qhSTpOambUSlhmHHXsqlEOk + HejooCgUAl/WavVa1fF9EGIYupHOZOJx27AgBVOSy+jxiMj4SlEiGWNSdioMhUjyhlAEbssJWk2a + zig7KQCn3nCLJcdpWdl0vKfLNAwKPA1lCgJVrNbq9Ua75RoaS+hImzSZjCvToJxG9huOyO/ckb0I + pcKQ1BwVVPNeZcemoRbP2plewS2NPQUMHIxMBNpu2Bau5wXN1n67TVSIZCyZiqXiCcZ1CqJLQSgF + YaAEUnoiaLbC0IERGPGMZukKzEOzXqm2SgHaRtrWs3EaNzkMBaokZACnUXNqlZbT4Andzsb1WKJj + e1CRQ4yySPTXriMI27ouYnFlWDL06uVa0HIghKnxVCrJU0lokdCSBqGv2u262yo1a5IR20rmcv2d + vUp1ZsEyDAkFITz6UFUoK5VKq9lq+22lpG5q8XginkhyTVcHJxOQBERCur4LxxVCZWIxjSqoMHCc + Vqm+3/Tqmm4k4tlEPKPrJunoxzRKQiIFhCJE5xpCiVZLtlr1er0ahi5nyCasLoNABJxTxljgKiFp + ZxJMSGQ7VmEowkAKNJqtWqtZd5pM57ZtJuOxeMxi3OgMJRU4R9hsFvfylVLJa7kylPul4n6l2Gz3 + 1MOGroVp3YjRKCtQ2pYFLUYMxjRNQhGlNE46M0QAYELRerO133JblYrZbmUgcpmsns4iliRQSiEk + nIJRIgkkhXTbstUKOGUa83VGuUDLqRer9UbbI5zZyYRpWYYRAwEIFwfDnY44LpqiUApJoCCVbDnN + eqlYr+wH7YYMg5bj7FdrO8WQqTCms5whdCKicWpHc6/guG3PabZaTrVWV4RkE7GuuJ0wNRhUMS0k + CARMhk5UkOp0I1HTzUAVUQohoGzD5MmEgK4O+GOABALpt1tt4frSshMaB1Fwmo1aq1qqFbnBbSuV + SuUM3SaMhBKEco2CkhDSk4IBPKo4m22nUi+59SYJZMqKJRJpy0ronawLJRGI0IcQTIIRSglXFDIa + 3csAvlsoNRyW7cnYNgEhinQS2EgHAOE5pUo5tE0RN3XOJVFQosNdUZQS6vlurV6vV2pe4IPSbNrO + 2JIQcEYpZRLRCQ//Pk0qclpBQinXVw2nValWnbajkzCbsBMxnZsJxc2DdIVokTyQBRF8/6fqrNwA + VUqKUNZrjUaj6bTahDDONV03stmcbZtPM4gViUQc38O7/kElRhVFEPpNIQLOY6l0NpPOZtNJgySV + 9FSYPjQ62CwW5x882NmrVsq1ja38MffpYM4tFXanb9x8dP9Jo9E+efKlH/zoR6/+8Fxu0JCQGqip + AZplZ0cGDvWPjA8Waw4x48lYPDrUIAdbAWiEfnhaakgAlHESgZkOfNcEpFqtFssN4fiWZibMuJVN + IW4QxgUkAQgYlOjYw6TyvLDhB54Xes22cEOD86RtJ2MxHrMUoxLcg9ABnVD4HqgGpvu+V2u51UbL + 80MhBJTs7elOxS2zI+DozGchO7mCYRA03Va11nBbDSoCQ+M8lrYzPUrnBJAUina2dCoVUQAlECr0 + 3P1Ws9gK/UYlpSNn80xcY4zpGhehkopKcPk9LvZgz/wHn5uEEgi8Ztt3g7ZmJ4jOJSeO75UrJbe6 + ryQhdk5L9iXSmsYgFGWEI3LtSUmiuxQdkwoROO1Swy/W24qbDERJkYgljGSXnrDAO0qgUIEpopRi + TFGixWxTC1LKoJR0uE80kgWBS8FbHm22W6VqsR04OiXDvb0p24r+eefoUklAEEippOvTRqtVbxRd + t6aItG07Hk/EYxldt6KxftSHcUqVglDRGBkHXg8CIUS1XMjvlIt7MvSFVLWmky9VdsrdNg2SXOo8 + 5DQElZZpZZhNElTXIqXBwUsoASkZ1SgzIoajdBy3UWpUS06r7gc+df1KzcnvCZOFOlwdfjrGuSYh + iZJMghFCA180HadaLknPpTIknBvJpJlMxWPxgw+MUNrJz/Jdt9VuB46XMuI2NwLPL5QLpUbZiNmx + RDqWyBgGi0oJKSVVokNhUBQHtqNAgnCqFFxPFgsFp9ngBDqDpWvphGWbJnS9YwOnkKCgDISCCDi1 + ZqsZmnFh2zYjoes3SnvVUkWjWtyK02y3SGcCAh3oWMwASpkiCALf0iLE1MEpRRC4tUqtVAxcl4BI + RYr7lb1CUZOOCj07mbISyVAKKUKlQqJk5JaPPGH1RsPZ324JXRgpI9VjJFK6DQChgiYPjvxlCBmZ + UJgUouW2q02n2fak72pUpeIxO9FnxiyBqI4FJRFRTTB54BhmANVBTUKS6YR36uihjcWtuzPrq8tL + G9unJoZ6Oxb66BsKQkA91yvk9x4+fOR6/vBY36GpyVTaJjjw0QuhQnd5d+3xjlsolNuFVVHZ1pSX + 6erq6RuIJ1Ojo6Nj44ei5UoqFfiiWCyura2XS+W9wt7u7i6USsTj/b29iXh8aKD/xPFjmq6pMGjW + 6/fu3Vvc3qWxRMMPuNtMcpUzuZbpj/eOpAcnBnL203bGqdVnHk0/Xt548fW3c0PDcQOUCA5J6PfR + zvV6c2Vh/vq16xdfvTg5MWFaVjSoja6nR3j/35pyAlCqhKY8TcE29HRXb7q7vysNhoSGkPfaR8Yy + c0/uzqzXdjbXdwv1YrEWgXhJhEhSoYIulea0/Vaz1ag32i2HqqArHU/HTRIzJIcgEedewHfhtdx6 + pVVzCNHAeL3d3thp9toq5L7OlR0ziEZBuILyQ+nLwGm16/VGtVIxDC0Rt9OZpKZzTWeKcikCJQXn + hCiBMHTbbiCpJFrMjlEl/HYzX9hvQyd2JptNGBHuuLNmd8p4BaWUJO1Wu7pXr5Y8z2WcOa5fqlTz + +YLOCOW6FYtTRknHCy1BBCFKCL/peoVy1Q2FGbIEjJyRiCUzmm1Gue4KOOjFFCFhZ7EjjHP9wOQO + ymDpME0WGAgNIDpvlQwKpT0sPMHd2/tBmx2dHHrrx/aL5zB+CDS6mQQmkEigvx8Dg2nXA2OwY6AE + LELzqnaItplEugfZPpgMjB04ug5Gr+wAeHkQswkoQ0mzVFILC807t2cseeK556aOHEGjAbrFQagg + nZSH6CXKBawvYXmhOnDk8Mmz+tlzsDUYHAwwbQwNo6sL164Pz86VZ2YW1rfO1FrctCLUoVBKkMhL + FdWOT1MxFBh0Ri3G49EqJWFIWAR655GOTpcoCOWhJEQxCSpUBw4dUN4AACAASURBVEWiIiKM0hHq + bjPVaMJto+1AShgGEgnwLEgMEghATVCEFD6DBNpwFJoSrRgAtNpoVVBtBsQSRkrvSpmdt5ZUSs0N + EDTRrMFtQRFYCXCLtHykJZRAGBKpBDSfaaA0+okp2lS0IShIihNbFx0wAwU4Qg7PaLVbri5Vgkkq + dZgMXChJVWcQ67jYqfosrsfjPKaDQEEaAGQbrkcdJ+35tiO4T6kWRywJ3YKk0Gmk/Ggj1CB0uKTV + DAJDCZNyGlM+3DpqtZBxZcXsbC5OtJCgJQSohArg+2g20Wqh7Ya6wexYMpGCZkiueZJSEF1RInFg + JfveKRwtDpwRvV7D/OPw/u3CXr7ePzR+/jVcfBvHznSsS1EVbJvo78GhQ6i00pVWOp2JztJAFePU + UIIHPvc9QKLh2fVq6LZ8Bt20kcqkDTMIqQjgEYQHX7joSwepEIZc+HCaWrHkgEmuU8ukMVOLmcS0 + oSjp7EAQgPQDwViMMhoG8Ntwo/+4BwHEU4iniBmPCdKO/FQH3yMipBSi47gFeAgdUGHIvTZEyBmj + lql1PFYKRIRKCkkIOAg/qB0k1RjiMVCbadYBJYsIIiWUAcU8B8264Tq+78lQBOlMPJ5EIobIgRcK + BA3UimZhR/PaXQHrq9RQLCAbB2fQTegmuAbAgvBApKCmgAXoqvP4/VO//nl0+49dHRvW0wefQAqh + VMA6p3IAlOd55Upje2tvcXl1Y3MnFHJ4dPjYiWMTk4dinVQIBUIgwrXFhR0XyA0eOn4sFoNmx6Fc + EMEgGKTTbBRWGvWia6VxqnuSGhZ0CdEKRdhut8Mg4IyahqGkdBw3v7Nz68b1vd1dKv2TR6ZOnzw2 + 2DXUOYqPTsuVlKFPw3B/b3dmtRAbVubQRCwTdcEBhIDkoAa17FZxf3l9Y3r64draipTB8ZMnJw4f + Gxo7ZOs6IVSChTIkhGiUSEGUglRKhaJWb+zs5u/cvrO9uxOI4NTZs+NTU31Dw/FkklMqQ7G1sbm0 + OL+5vuK2657Q9wr7swsr0q0YstGX1ga6u+3BIcq+zyCVUoa+rzFGKWm3m+uby7PTG/v5guM5qIrH + M0+qhmvGTELV8OjwwPg4ZHjgY4YI0XKxtb0zv3RvduaxCpFLdx2fmjw2MTrc28VimgQXLGr9oaIP + teP5UYRQCgJCS+VSfnF2Z3Nre3tbSASBKpdryyuriUSMKQJfnDl2OJkCQl+JIAwDuB7hOtcMIbC9 + vfVk/sn07GMzZo51950+cuzQ4CiPxZ46vwkB4CkpCWEgTEkZBGJ5ee3e3Yf5/L5lxvsHBo8dOz4+ + Pt5npTmnQuiBH2g6o4RTTjpq6EioBShITnnUoWiMNNrN2ZW17smj6fEEA9nc3lm6d3d+bu7o2TPP + Xni5p6ebEEAJSChFmm3/4aPHMzNPtre2Bvt6Dg/3Hh0bILJXz8WIxsNI08oAjUdPPYWSQjVbcmV9 + c2fh4fb8gxjx0/1jx86e7xudTMZtCl8hIESAaVAQgSjs1YqV/WK5srK+kS/s+453bOLIsamjU5NT + yVSCMUtE8Z4UgPLdRrtZ2W/5+RYRsV5zOKn7vlffWVqYu/voUcNzT507133oUGIwkbJNAEp6ntta + XXoy9/jx6vpGz+j40bPPTZ3ojtmaBPwQUDAYp1R5bqW+s+40GmEia/eP6tT0HH93t/jw9q1mtdTf + kzt2bKrv0FSifzACFsogLOzuLq4tP5qfgUYHBsYuvPxmJt1l6B1Em1JSiICAMM6ZpkPBb3sry6uL + i4sbm+sCQXdv19ThI5NTh3O5bsPgUUXFdQ1Q8NuNuru2lQ9kY2J8Ih1HGAZrqyt3p+9t7GzEk4kz + p589euyEjYQGk3JGoukzI9J1FTPAdT/AXrG4vLwwM/PI95xcJnHmxBGtN50iAYGgjElFXE+0PcRj + MMA0RgmkW3eaTcd1/cXF5bnlpZXNja6+3qnJsZMnjnKeMfSYpEygQ+gulTcX52c2Vjaq+47vhesb + qz0r07Ekcxq1VNye6O8xU3GmUSgUC4VCxQ+5Gjo0kOxOaJSSKOVPKjAmobWDcGNja2ZxZWVujjv1 + XlM/cezo6JFjvSPcsG2fEA+ggEmIxoEgqFUq25v7lLLe3lT/SI/frq+ubdy6e2+vVNItbXhs+MVz + 56xUVkYypadzPQUiD8zdpFP5gtD87u764+nVpYVmpaREWCqVZheWCRSTwXB/tzHanYgTQCH0QU1K + wRjbLhQ2VpZX1lbX1jdB6Phg33PHj0yNDMRzuuSWoGi7ilvQqQIIZ/xpIdZR9DPKCANRpf393ZWG + gJEe78klU6pT+/tOq7q1G+aLZGzsRG+XZRtke2P34ez0vel7djI+OjJ2+tTZbK7LiiUI0xiL9PeB + 8tu+UFSzhELbD0uV8tzC7MrcYmknPzE8duLE6YmJw6lUkoIAMpBu22lCCA7KwTTd4Do0UM45wrDt + 1BfmN5sspx09aiQN6AQEnDABaBQQolUp3r51S+/pSY+Pj4+MECkQeGC8k/NDUK81NleWZmdm8oWi + lOS5syfPHh3szuiGphFCRKgI0zRd11g0sA1BotxEGYqgVK2trq3df/gwv7trUnniyKEjE2P9I1Op + 7qSIcKFP8YXRje20I52upSOYIkoI4bScrc2N2dm52SdzmmZks13DwyMnT5zs7e3TNC0yUEdLvAJ9 + iubCwYtFDw2jISWhCH3X454fem7guzCM6D0VOEun0/39A7H4km5YhmEdUBNDhfbaxurvfv/x+sZe + d8/Rn/zsZ889/2xvv+FKBAiYYDbjEEopnzDa1dOX6eGSmR0MktYJdgmElFIygLLINBpSQghjhmlK + pUIhQiEJoZQyBexs7648mFl+MteTyU6Mjk6dPpUaHkLMinx7GgjXKJREGEg/dByvUK6trK5vraxV + i/upWGxybPTo4amhQ4e4ZRGg0RYaCW1N+U6TcEuLac2Ws7S0cu/RTLla1zXe0911/OiR8eFBuzsD + AIEvPIcSQrimx2wIVa8317d2Z+YWdjbWQrfVk8v0j05OHHsm2zukxwgFgpCoMNQ51RgBMwCpfFmu + tR7PrSyu7eznt7qS5uRw36nDY71diXQqpWmckO8Dlw6C1ICDRI9O46MxSFWvV7YLrYbDuvqHU105 + pvH9/ersvbtLj+9TwvrGj0+cfMGwRi0bkiEMKCVKowQQoApUg/Dg+W7bWV1dX1jdmF/e8AWEIlLR + sUOTY5NHRyeOJDM2N0ApRACpiKZpoMR13IWFXdeJGxl73BrXI2d0NLoVrO3KfNFd3Vi9fe9m0633 + deUunn9pYmTENk3TMAilQAARAAGY7nnefrWV38svLU5vbixUKqXDh48cOXK0f3Askxu04owRaJxS + aICUQgVCcQ2KRnp3EMoAsry0sLw4t7a84LuOH2ibO/n707M0dLtibGowl0gbYNKvlNZLhYV90TV+ + aqQ7xjhUZCskYERRQijjmm4bBphCpVzaWJmrFLaKhTyj1PWDtY3tG7fuJk2VsVlXSk+O9cEgCLxQ + 6RJMEbRaztr6+r27t5xmDQhi8cTA4OjI+MTkxGFwCgpmRDQnAUjf82qlcqvhDPYN9uT6ypXq9MzM + /OJsuis7NDoxeuhwd3d3ZJqhJBqWqs4sRCiACCHabmDY8VCqRqOxsLi4trxYLu7FDK2vO3v88MTk + ofF4T4oReBJKwbTiHICU0Ei1kl8pNvTcYLx/TI+zark6P/145sFDJcKhgYGhZ57PHH6GJG0T8ANp + S8VNS1IiJcIwVJx2hn5KBX7g1GuFjfWdrQ2ihGmaLsjS8qpFvGrGIjIYmZgYMG0Vek7babeaIvQ5 + AeNMKrieqO2X73x3aafUoFbq2NnzI4dP5ey0H9kSpDQ4BZFQAWQAooMarXaYL5YXV1aWFpeqxZ24 + QY5NHRo79vLQ5JGAI4pUN2igUQIaOSmUIpAEjGqACaqSMe+5k5PL0/dv3ynOzz2ZWjn/6rkT6AB2 + EEUdUqDZcvN7+3MLi0b2yKGpibHxgWQSUAgC12AEoPvFyudf3PqbT249npnz91e19p7FlFAwbPul + Vy788l/82eDQEAiljFPKHac1Pf34vffe++rrr3e2t3VNFyJUQkghxkdHf/LOj/+X//l/ymbTTrM5 + Pzf3r//1//bep194hIJpTLhW4KUYMqOTr/70T378J38+1nccAKT0XXdlaemTDz/89LvrxM6c5rGB + ngThPtdCwnQOrgAobG5sfvnlV//7v/k3hMAyzb6+ft3QNV3j/MDYcbC3PL3+k2NcoRAoaJRwCp0I + +Ah8zw9VcLAvqeh0wKAnjk4cnirevbVFmMWZGVETQZVhG5Be4HuC6Pm9ysrywpPZ6Uq5aFJ28vCR + qfHxrhHT7rYVEEhoSginXsrn93Z2y8WGbSYMYpSb1XuPHgzYdMCm2bQ1NNBlpUxwS9N1J5Tl/Up+ + d2djbX1pcZ4T1d/bfea5M4Mjo2k7K0HaniNCNxWPUUa5L3bzuzVHKC0+OjZGZVDI5z/7/MuqR7qG + p958861MhoVBwElINMu2LElAoQE6RLucL8w/vLO7sxn4rh2LN5z26tq6TgiHSqYzh48dTyZjhhah + IQQIGKeNWm1xdePe9MxusUKafo+Vemb08JGTpwZHD3GKQEZzwejsOyJGUCmjFMuOiyrCrrSqWFpw + /Uygjxjxfp1G7BAPa0t4dA+1Snp0NH323OCLFzE4CGgQAAgYQSAABU3H0CiEjELowSIWDxxf7ASq + GHJAA9E6itTvD4gBAJJAiIiNRiEjd4jJCLY3ycOHm/m90hsv9PzoHQQh2AM4bjNQgSRKHQRUQKK2 + j/2dbLOuTx4dOnwaLAYVRlkBsGKAhUSAnj5mxpy19Sc7hVi5NtHVpStAgUklKAIQAcKUihQ8oAya + DsvWLTtmWkktwhcBHF1R2B0EwhBMgHNwjYIRbnBuMqp3vuycHwBvQjSK2FjD4iKKRSg4QyPm4AjN + jCM1Cp8h+ovwmWyCAmig5iFfg98FPY5GHvkZPJ7btnJ89OjA+edgHGBMKUHLwe42lmb84lYgpDh8 + Itk9gHYVR/tBgGZTNp2GzwjXoetgQKtiVAtolsFMJEaRtC0fDgE4CFMQLloVsr1tOHpg9tmpvpjB + iIQg1OuoZ0KUS5h+XOoa6h8egt0FnRiUInRR30dhB5ur2N/Tq67L4mbPGAYn0T0CLQUjYpWICglS + lBhBHVtF4dtE64p1p9GuYG0Wd+9uWTE2MTn8ymsA5QHhbUfoBNJHvYKFOSwvOfl8IZtLDA3npo6g + d4imcpY6MFcZ2gFA6OCwiEYUV+hQ2N/F/duV1UWPMHH6XPzsKxg7CuiQgJQgEiIEBTQdiSysHAYi + l10TLAQD0ahNVUoEqcCF9LG9jtnpen6rqFGzbyB+4dWcQXVXQGiK6S2FUAFQerQfKgLOUCli6Qlu + 3q6HpG0m+EB/cuqQPjoEXYPUIGjEP+JSBm5bajrlHG4L+zvYWcfqMvbLVUnoqWeTo1Po6gczTI0T + xkAi9xuIVIrrRioFqkGE8ENTKdNtobqPZh3ckP2DSMQAHfDBSMiYohqVtCMWoLCVMN0mdldRcETf + FIv3w+RgoKAgASMKXhM763hwr14q1igLJw4bk4fjU5MwDBAFt4HKDvKr8b3NeKXk1XQzn8fKEmQD + ICrXS3r6YXWBEBuhoXzPY3pgcPb/kD/3T/D659HtP3bRp2Q6CQIJqjoROzIEYTIIy6Xid5euX716 + K7+7v1colasNqWDHY30DvS9feOnlC+fPPHuKECkbtcra6ke/fW+60NCGD//8X/5l4uiIaUReV0mI + 1CA3Vlb+3f/6t05ZjJ8czA79y0y/HdNJdHBDKSEUfuBtb2999OGH67MPZqanq+VSu9Wi0r/alZka + H544/fLFn/wyO9rbWUkZpYyszs1d/e6r33xz5/Rbf3L89fhQpldIyVUARsH4xtrWZ59/9XB6emNj + s+W0ytVyIP1L167H48nh4dHX33j97LNnxg6NUqYRRakEI9T13L29/LfffP3o4aOV5dVatdZ0mkEQ + XL52NZHtyvb2/uKP/+jo+CHih7/627+9d+3T8vaTfD7Yp/tXrt64tVTL2oEhqxeeO/rGhQuDPb1G + JEcUkmgap4QyRgnZL5d+9/57d+5+u3Znf3u9KmA5de+D9z8UN7kkwrCMV1+70PXznx5KWIxKwCxs + 7l15sPnJlx/uFpf2q2vNek0FytCMrkS8K2FNDg+cPvfaiVd+1D3RRwDOwDtwx6gEE4EMPAVOMP14 + +vNf/Ye15ZW59bLvhXuF/a++/ubJ8pymU5sb3anM//Df/lcvnBkFAyMicoUHQi0try7evzZ989uF + laW601BEJZjWG0++/errZ17/4fCpF0iCdrjHNCSKAGr6wfTVq9cfPppeX9/a3y/5fsC5HrPjyVRq + YnLq2Wefff2NNwYGejWud4prpSjt9A/R5Fx12O0KUDtbmw+uXvm79z964Uc/7T9++uaNmxvTj/aX + l5r12pO1lXyj9tOfvDuSzSAMdjZXv7x265Nvr24XS/Va3fNck5GMiZ64Pjw08MzLP3j1J39qpeMG + g+95OhFgDISuraxcvffkk+9ubBfKfmkT9T1LtWGlzeyHU888/9L5Uz/8wQXbIghcnaFWqM0urnz2 + 1bezSyu7xa26U26HLSZwPZ7OWMlMuvfll98898LF08+OgUBCEeUYOrYKu+99fGm9zuy+E+qNl2e/ + /f3arS92Ntb3Ko4ntU++u9o7eWLquVfefedtU9QKy9NXvvx0aW6+sLXjeAqxrviHlyeOn3zt9Zcv + vHreNDkBfCEYJY16ZWH2wReffmwOTL35J395+9NLC9OPl2eeVPK7wmskTNLXmzv87Lk3/uCPByaO + NAt7v/3rv16fn9sqbJcaFWryVKL7o/e/ePedn7/x+ivJhGmajHOia0zJsN2ob23t3bh++/Kly8Vi + oVKpNlpVSUI7YXZ1dw8MDj//wrkf/ODdTLZX04jnOxZvt+r7S4tbf/fbG+2g+1/95X+5uzE3//j2 + vQe3t/fzNadJOP3wo48nJg69+faPnjn1/NDoIcXAiaJEUp36frC9m/+733zw6NH9re2NWrUEGdgG + yyWsc8fG33j2xMrqcqPRIDRtxZJWDAQQkI1Ws75X+Oz9D+7cuVuvN2r1erXltIKAGkbc1jNp++jR + ydMvvPH6D//YiNFm03sye+vOtx9e+e7y6sLK/l45EPL2nZvTO5vp3+ekp45NHX/3tfNvnjvVnTHc + uvjoow8fze0y2/gXf/Gnz3SfAAAiAB+ULs0v3Xg4c+n6zc2dfKFUcWpVw28nqMwkE/FMemB09OIP + fnjk7PM9I0NBhMQgEtJ/dO/ub379UTbTfeL0kROnj3z8yQf3Hz7c2t72fI9wZcf1kbGxcy+88dOf + /lkum7NjB5Lbg9EtJRH/inmuV9wvf/jhB5c++L+8wmp9q9Zq49btOze2vGzCNqicHB28eOrQn71z + sbcvDsIb1crNJyuXL11eW14s7GyXqxXXCxQlcY19HLfGenNDUydOv/bj4ZMvprMa/fu2BkSiMoAg + QKhDEargeXdu3f7y/ZtMT7z47qsXh17XTEiEymtuba5++uXM15cW//wv/ruupLY0d/fB/RtL6yt7 + 1RI4tW0rm8n8+J13zr/yxtHjJxUQSkn9FocwNC45+/zL69989+XCylypvNeuNkPHTVuJbLY7l+t9 + 9dU33/jh8139BjcRsy1LNzRQEQjfD30ByaBU6Ozt3H689h8/vF5D7q1XL7x1/uzUQE4Q5XMeUMaA + cjH/+Pb1//Pf/R+ZySMnX3+jp6cvbjIwgEhCtFq1dePWrRuXv56+f8trO82m6/nimy8+PTaWnRpJ + lyqldtvVDNNpe23HBcApIAS8FoS7tLbz6aUbd2cWNzY3avWG6zhcBd/ZejYZHz186tUf/OzoM8+N + DKYYe1owRbJsdWCSjiQIymk5Dx8+vHXz9r2795qNVrVSLVeqlDDDMGN2vLe37/TpM2++9fbExEQ2 + m1SdcT5TB7EuT4UPRCkIXwlX54pRKEWUIoxqelQKEUYYhfA5Y7F4Qki4rt92XCmiTsGpN3Y3ttfn + F1ZajhztG3rlwsWunniU2EJgRNg4wggBgxSglBIahmGgmFQRZwmRTlkSElHMQSmNIggJpFJCylCI + MAyLheKHH3x45atvq5v59l7ZrdUsTjNxOzUwdOGnf3jywquTh4YFSKDACPFbrY2l+Y8/++LJ8sZG + oeI4rlOrhU7LYDQVt/u7clMnT519+8cnLryim7oGHdLRLauwV3py686X315+Mr+8Uyw3W21AWYae + TiWeO3XiFz9959jhQ8lsmul6lGoXNJsff/bFd1dvLK1ulqp1r1VH6Fk6s5LZ7r6Ri2/+8NVXLw4O + Deg65YQRouA6rbbT9trXb9385LOvV9d3ak2PSB9hO2Frw12J1184XVib44yDMAl+IPE9KJ7/vggM + iOgcjVbj408+m57d/KNf/heS0aX19ZvXL+9vrnjVghSSJ3rS/YeefeGli6+89NKLJxgFi0JYqIQC + lNpaWbt9+9ZXX365v18qV+v1ZkuChdB8cCuRSaaz2VzPxdfeeOn8K0emBhgBIVxjHJB7xcJv3/td + dV/rm+j/k64/7RvrikpBVa8uru//7e++fjC7vV8plev7ofJtXf/8o89Onzz59huvnz11sjuXCdyG + pkv4zn65+JuPrly6Pb9X3GvVCm6rJEL/2pWrXd09/YPj51/94fPn3xgcsBkDVRJEUUJAmTwIFqGA + 32wW1pZ+8+tfPX54t1YubG+VS8LYWVgs/vq9bxJaDO2/+KN333n59OSh3v389pUbC3/1ya03f/EX + 7772UmY0p6SA58BvESU4ZZ4XuG7o+xAUd+/eff+9v9nbWt5ZXwhC2aw3r12/s7OxqqOd0MVwb+J/ + /O//1aljowo659by+s61azfv3L69srxare27fksRX9cM20xMjB9++60fPvfCi2Njw1HokVBhGASP + Hjy4dvX6Xr743PPnx8YnP/roo7m5R8XCjmbor7394z/4o0wylbY0jXSQnBEBXUEqJQShjFHGOf/6 + 629u3r798OF0pVptN6qe09SZihtaNmGPDg8dPXvh7Z/9mZXNxAwoMAUuvGZhY+2T37536cHi63/w + n9FMoVncvH3p873VuWatJEMvYZvW0OGpCz86evHdN86O2pRC6+A+GYVt25T4kCEkAeP7pdKNS9/c + /ObTubvXlnfLO47etMTv3v/wiiVTzNc18taP3nnrnXfGh/tithWP2ZxTAjRbzlffXGss3V698YlX + 2mq0Q0+x5Gdf9k+dOfzsxTd++O7xIcakBhVGjAkQUS8Wrj+c++ra7fm5uVarWauWRatkUa83k0iN + vDh0/K3TF3/xwplY2kan1Q1CSAVOFKU+hQZwZUASQsTkcM/UoGVzf315aXF5u+mB84iXppQSIJKA + 7O7k19e3Hcc/fHbs+MmTdixSZimDkbDd3lyY+7d/9XdXnxTrfvLnP//jZ0ZTY1lGw2a52tjeKywu + r+7u7Gxvb/X09plcazmtX/3q119//c3MzMyFCxemJqeGBgc5Z17bLRWLM9OPgiCYnZ09efyYrnNG + QYGhocFX3/1p//jEQEw3vebO3OP7K7tXrlx1jQwV7WePHepJGpzRhB1DKMqlMiHUsGKmpWlUgfg4 + yGSQEqZpUkIKhYIU0jRN27Ypi/gMAKCUkkpS8g+ACf9JEW4HYAMS+l7g1JmExpmmW5Ig7EgxoVRI + vKbn1APfA9FCwXxP+i40CmgKMhB+a35x7b3ffze3VMjn1+q1vSBs6ZJ/Y6UydqZ38vC7v/yzsWfO + jOd0EDx+/OCj336wsrCyvri2V6g3aOLuw7vt6l5KtI/1Zp87ffgPf/bWYHoIKpydXfrmxqPPPvsy + aDtOs14v7zOImKml38uOHzl29txLP/npu3FT1xiRoaAUjXLxo9//dmWnwuLdb739w5X56W+/+Ghr + Z7ce8lhuOBTkrRdPHB3OAR3CjucLSI+RcHt96cZ3n/3mr/9tqZhfq6Lp0Os3b60uLmRsy+J0cGjs + tTffOn/+5ZOHeqEU2q3t3c2Pv/vqzuyjxdWtYqXh+NIMSIZbl/VEKtszefTk8y9dfO7iy7pGJevA + jyL3J6WahBaGqpOhS0lYxdIT/O53V9SAP3S+f6z/rEIHgbS2iEd3EXgDfQPdh47CTIEYUAxKOgRm + RAoE6eRCRZrHjo6PgfMiM2rEbgcMPukQSyJtq4AvEUaGBEZsQg/U+6EAFSpEsYrbN6s3byyOjU+e + Opsen8DaWpT05DONEI1ESzQUlI+9LZR3s5R053oTyT74FIYGJiAFOvAPif5BfWQ8vlryWl6h3LBD + DB+EehGQMBLrKGgSGiE6oaAUYei3Wq1ypdFy0HahLOiMR7IcLYLUEHAOxgGKQAW+cN0QdpQnRbC/ + 68888r/5fKtUyFTKen5XBn6CW7tWYi+WKqYmxLl3pw69mD6eG46GZ9QAAjy55/+Hbz52e2K5M1On + R8au/Hpn7ebe7v6WnsWzFzHUNTbWBUOH5+D6o/r1R7sP7+TLW/CrCc5ZLEPNhDIoTo5O9VqW5xEJ + 4YUlX8BzO/DcO9dbn73/KNUdP/ezngu/6GVcAzrz5kIeM7dbly892hd7ucn0Wz+/cGSSc0YMEEKI + cLGx4F65v/77z++dOf/WhZd70jEIYHUWv/2bu8VN3q7E3ErMrdlN5SgLsZ5E7lA4csp45qL10hlw + BJQ5RMvAwfx9+Xeff633Z7qOjk0O93/74d7c7Xw+vxNL8BfPGyPDPd2DsNIxy8T0/frDO4UHd/Yq + RaNWMitlxzAqsXghkXGPnjbOvpR++eJALAHKIKMAePiQfqRUIowBhpBwmtjexNx0O2h3jx7xzr3W + 1TsBLRFB9kONcgJAgBBJGDgLFPRO6CEHNAgvcOqhCmOh10lDHgAAIABJREFUh7Ul/OqvwrWFW3tb + +0FLajDtZPjVp/Hnz02de2Owa8TW4SqEMkJRE93zcH+u9vXHK9sLdnkr13CCVlgX3LFtZ7Afp45n + L755eugwjXchDGExGIxbtlWtYmMdV7/b3VgIipu8WhKtdksRdftGPttfGJiov/uzZ8fG+iwL0odU + 0HVThbqUpNmCrYNxcIrNDTy4Xfji42klk0dPJn/5nx+xzANQMQ25RgiDK9H2kDTAEPc9rC/ju0+8 + x5tfvfYHR4+eTyf7s4Hyld8ul8Kvv9m/ezdcWii1ami3WCjL2Z58zwAbHU3+4uenenuxvYgvfrMw + cy2/WMROTavp4RdfVR7fcnvshh0rHj9jn34xZT17yLDAJSPE1inC7xeE/x9c/zy6/X+5yPdad0Wg + CAWkUjIklDhOa+bJ46+/+urSpRupdJcdSw4NDoPQlutUqrW1tfWpoxMSCpDC95xqef7xozsbJbMa + vFxteBIC4ApQihBBEJbzu9e+/dapyDY57QVh0Dl/BGWccU4Iint7Dx7cf+K3WsXtZr2eTMQsw/Ba + 1YX5uY2l2YfLeZ4bOcXfOTasy2gXpijs7c5MP7h86Rtj+GTP6bJEr1ABpA9u7K6tfXf17n/8zW/K + pX2N0YHh4Vg6FShAqfWVpZW5WadeoZQmsj3ZTJooqUKPEJLfy9++e/93H326ND/vue2xkZGBzIBS + quk4S8uL1elHY4fGU6Y1kO0iSjEC09AYCQAFysB0ygmD/rSWAjogx+hOMxY55qSUISFS40xnmgzM + EIJzjWhcMcY1DkKC0FdSh/Dh1G5cn/n95/fe//R33f1WtkcbGhpiioZe4Naq9+Zn5u+rtZ2Sb3U/ + m343l+sAGg6y4yWEFKCSagqEEMIoNQ2DcS6lUooqUEJphPjnnEspIEIw1WHdErq4soZmsbrxBK19 + Sml3T0+tXt3fzc/evuPValWlvTs0GbNyOoeOAMQPA5nfLV2+dO399z/Y2Nyx7XhPT7dhaAqy7fob + Gys7+e31jfVUOqdpL/X0xoEoiZcQCkDKp1E7T+VjkLVKaWlh7sqlb2vMzG0Wrly+YjjNHCPZbJZS + WqvVRCjajtPY3b5y6dKHn3715bU7g4cmu3O5WMyWviPqxb29nZWluZ1GqHePnH7xQrzLiBIzlBu0 + Ws7NGzc+/OLyx9/eSGT7RjP6QH+/JZ2yi/W1zd2q57qVF184qetxKonjeNMP73z65dUvvr1Zd5SZ + VIlcMmtbmqJ+rbW6MnNj99Z+vhU6dk9vX7pH02xfiTZnslkr37t9a6EgWXZf89sL1y831maTtpnJ + ZRst78mjB7Mr2yvbpbjOZbuyvTozc/cBPDcWiyVSxupOaXZ+eXZ2RtfJ4RMnunoylgaqGKA8t1Xc + W7914+sguUxzY5fuzpe2t3UpE4m00GS9tLmx9mRhZ6/NY4OTG+3S/nffXaa+QwzW1Z0rN6orKyu3 + bz7SWaI7lz579rhp2gAjjHqun88Xvv3m288//erGzVujIyOpdDqTS0oSukGruF+cX1wqlEqpTNeZ + s+d7enqoUoD03Mbe3s7Dh4+2i2Z/3/DWyqP8xmPHbeqansl0Oa4zPz83Oz/dbLcIszLdI9TiKopZ + Ztjd3b58/clHn3yyvLwIIsZGhxK2Lvz2zvb67caeKG2ur6+0WoYwFagWZeNKKKfd3tneuX/v3r07 + t+PxRCKZ7O/rg643PL9Szl+/8WhlZWGvEk4ePzcwOqIRGgahFJIRYuhc54RK6LpBmOYHwtB0pjF5 + IImToZibnb9xY1ZP2D/42Y+it4MK4dWdavP6jeu///Lq5eu34/F4Npcb7h8wEVC31axV5u8s3Lp9 + ve60XGo8nxu0bCIJVTIkCHe3N65fvpzN9uSL28ubq9du36qWy5ZpJVPxen1/eWFmfvbRfqE1MHzy + zNmLQ7GO+JwqsAhLTyUARZiiLBqIMUp4xBVWEFJSrht2nCsflImIBakkRDA9/eTTL658/PFHCIO4 + ZWRzOU03Q6XCZm19fXVzbtp8PF9R5jkzd+78UQACkigw8L/v6FcdyYWSYbC+unbj6nU9lu07MR6E + keJBSOGVS3vzc3OXLt/qGziZssKlJ7e8dlkS0t3V2/TcvcLOjRs3fNFWzBgcOWzbOgeBkmCy7TSf + LK9++unnn3/1ccOpdfVk+nu6DXC/0drL783OLllWcvxwVywznDKJoXMeAapDGQQikFAMBNJp1Tc2 + 1u7du1ejPZNjI84zU0A6+s0jGHezWd/aWL5540pv04mPHfFDBRBQAaXqtdrC/OaHH3x289pXhe3l + o4cnM+mMlCz0Wk9mZhcflQzbKlQowISEkOpg05QQfn595dr1O7/+zW/36m3KWF9vX1dXRhOBdJsb + a+ur25VSE++qeDr5QiZJOuEPBFBERRlVT4lTBJ7nPXny5ObNGw/vP+jvH0wk4ulUWkq4rteoN65d + ubqxviGEMH72h4lkknTCJ+j3q+XT0S0UEBKEGoemMc45JYwxjdOOYApQYbtdqFQ28kUnUGnL7stk + 4p3cZcfz66VqbS1fto1M9+Do5NEpbkMKaCyKz4PsZDIxqBCkM4CmStIDZqYiirAIaAKhJCWqsyHK + KNEJQqp2271z915Jl7cuXRtOdXXFErmY3SjvbW4uXr53u6Jojdq5rv6MxTXGQNBqtVZXlj/9+KN9 + J6R2KpXKJEydipT02uVCfndj4cnyQlOPJUaPD41kImYiCNbX1z/5+OOvL11rh6pvaCyRygBKCdGo + VwuFQqVSdp2+ZCoBziDD6v7+/UfTH7z/wc17D7gZS6S7+gcGOITw2tWmc/XKt23PlTJ46wc/7u7v + SWoEIoBot53m9bsPfvfxl59++lnMjuUyuVxXVgqrWa8+nH7ilvfCWj4UIVNQYN8HlkTEAnUQ/dwR + Tishg3a79WR25suvbmd6hpuet7yxUSrsJjXZ1d3tNJvru1sPZxa2Njc1Kg8fnkwnDY0DSgAyaLvF + /eKXl69/+cUX33zzdX9fX1c2MzI0KKTyoYfMrDT9rY21Ozev/9/svVeQXOl5pvn+5rj0mVWV5b0v + AAWPAlAF7xpooA272XRNUaREaTgzOyvFbsTebGzExtxsrDQxklYrcmkkkWo2u0l2E23QDg10Aw3T + 8EAZlPcuy1dlpT3n/P+/F1kAtRMbmtvdCZ0ALipQEajKk/md73v/93uf+FIsu570eb6Wl295PVyC + Coi1+Pqjzq6FKVWVrDq5dipf5IO5cLMz4yNXP7v74fvvx9ZQWFocLSjUDEYJVhaXJqZnZmZjDfW1 + eTJApIBtL09OfH7j/nvvfXJvYC5aGM3zW9FwOaMqkUzFZma6e4eX00hT76ljh80AeM5/DEIY5FOO + fA486bq6plmmkTX1nNQNzSCaSTiFyCqllBQQbjadmJoYv3HlSknLvvbtLZTm0RymUEmqcuh3KlUO + FQgCRQl4LjwXijLOdVM3PFwKSm0o6dhZCJtQNjM7c+fuo/Pn3xkZHIZEZU2ZoAGXZonA7HgsPh9P + raUAppveaGGEcSUhpRKzM9Nd9x4MjYwtryRKKoY/u/aFctIBryWVEK7rOCK3XEkAkjsiy0FWSE5n + U/H42tDY5Mcff3zt+vXJ6enS0rKCcNDMDysnnVlfHR0ZHO7r6R6edY287fuPN9VHJIgCd6W7tLLQ + 2X334qVbLL8qow+vTA+tzY54IfLz8pLrS4sLUyMj070rYo4WNBQXB8L6BqcPQC4/XdqQEgoQQrhC + uC6BYgRESSmEFCBM0zToHJS4QgjHcQmhjFJGwQlZXV3u6elJPXhMFwfZ0nKR16dbJJ5Idj+486B/ + smt8ubimuTyvzucBshLSBnURXxkcGD7//gdX7vbEVxdrq8rz8/I8BV5NJBIrc12dD+8MrK2qvPzQ + vs2NIcW5giBi42RC0afMUwqlg/KSooKqioKiaGBuaWFqfHJpQZl5xDIAJakSOnEZyNjYZP/IVFay + 8qrqxoa6XLQ1UzajYmp+/u7DxxcvX8+aJZt37D17+vTeTaU1UQ3O+vLq+vjkzGdXrkYLi3JchEQi + MTo2cenSpce9vZG8vMOHD+/f315RXqZxzc5klhYWrpWWpBLrnDMpBCQ1DINzGolEDh4+3LJzd12e + 35tNjD68a167/+jN9x8+6iqO+GpK8vJ9eRolmsaVEJl0WqlcfGyO17AxnOX+cs6hkEqlpBCcMV3P + pV3kvkkpKKWUepKf/V8qtk9H9ifHJKAkF2ypMTCihHSEfJogQ11XOonU5PjUXGwehuH3eb0ea6Ne + EQXXHRkcvPLZg7ffPp8RHr/fCEUKTL1Qk7DXU6Oj/Z2jo8IbOAAjb1ezoSsliVKK0413ERQB8TDd + yxzJONUYNCqRjq+szF354ur7l+7cuHGzuqy0KC8UrihncOxkfHpyYmJuYXIpHi0pbW2uK84PETcD + 4abjK4O9Pff7p9MskLLV5Mjjx/fvF5eWenWfUCSRSmezNlhOapKOcIRUlCilZDqTEq7t9RjrGgMc + absglGs655quMc65UkqpjQE3Njz82c0v3jr/1uj8rEu0aGll2BPwCWpkxerEbE/fQFffwGJ8nQWt + xp3bzCBTCjoBI7m0d0ngqqeoQ8LsLOZncO/uAKsSbil1crfDBVzMzmJsbMkRNFoSqGwAtSAoOBxC + swSMKmMDvUmBHMNLZSilOUMjh2REI8TrCgh3I2RIEVBCiWsqQBL5hM6Xm65ILnM2ncDAY3Q9XJiZ + XX7u1I6mrQgVgM3mfl4BJhVRUm2guqSL+VkszTHIgNfrtfzIvZVyiQ1ALvCTh0M0vyBkmHoqnV1d + y4jcP4JBcQBPU95/LyRJSFe6LhPScF0IB4JDUSgJaUPnyIXf2xk4Dij1SaW5km2EHAEAFhdWH/eM + Xb12x2M2+/0VoQIf0xTjViqDkZHp5bGpebF6xGir2g8fg57z5gvEJhPXrvQ6xQWBNXOxJPDoTg8W + RCg/kMBK0kllspAukMT4OD7/dPDSvemZiUShryQ/4PF49Xh6fn1x1a95Hi71mY4nRd10UsJSwEaJ + 1RgWYqn7t8d0nxGspdtOFHpDXgXmAlxidRGPHyVufr4wmZqMjMSbd/LqChCdgrFcdzw+tnb/7ujN + GwN5Jfsz26EEEgk1Ojp29eanxC0s8NR7fKV+wx9gniwT00sTvbMjkXkj5dtVURJmEVicMuqBwOK0 + fef6OKJJ37Q+Uup9cHsgPZ8OhrxgaaHW05mo6yCdwOICbl2fvPb5yPDgYmG0OpQf9AUNIZFOZ4dG + JhfW7eX1aDivpLYRoQgUpIID4gAuFAdhhPBcTq6dxfICxkcS2ZQ3EvE1bQqG8kEZFGwKQcEpcphu + RZEF0hQmYG7YpymoAoROZGhyFDev4/oXN4maCnkiActKLqenx9f6H08tz3s0y9ceChqWLnPjkaSw + MdiHK5cn3jl/W5cVEY9eVlUat7WkWJUOGR4aW4ktJNLuIWxt8OmMwyDIQeUnxnHti/kPLzzKxInF + 8v3esDdkKiIz2bnex31D47PNzTV54SLLelpKJaFKQQkJx4WdQSKOu18uX7ncc/VqV2PDpiYapAaI + thECxSEpURLEkXByAEtowsXyAvq65+/2D9VuLynbHJaAdAKJFXt8OHH5066ubuo4tLysMi9q2UKB + LMUTC4NDU/F4a0E+IEGUw5nweT00oQANTCM8SXma61nGDUpzo/mGy5dz5HI0/1W6/W/vyj2yKSHU + tbOc0NXV5c8/++z+vXvZTObM6TNHjp7ctGkr4Wx8anJweGB5bTkvL59RRqE0jftNwyCghBBNZx4/ + NXNoUQooAikySTedJI6tspKBhvMKNEMHEpBgmqYbJpSa6+35ePixF+5LZ44/f+5sVXk5I5gZH/7J + //nXPQ/vPHz4QDv/TtYqrC/fK3O2ewjXydjZZDYrhBKK5jK2BZQNwj/4+KNfvv3Jl7dvnzp++NlT + x4+cOOWPFCvNm0nG/+mnf/v+b1777OIHkWhJWV2rxxuyqJJ2kkB1d3f/7t0Pvrh5L5oXOn7q5Mtf + ebGpsdEyra6envcvfnL+wgcTk5MrjSsdO/f84N/8YPbknqHuqz/72Y9UqmD3s2d3n3p1a0PUx9ct + FQ/ohq7rIJQRYhLqAgBylKOCaP6rr37r7HMHJu+u/uh//dXUvXheifG97/9p1aGmYDjguLblNSo8 + GpdZLManJmJv/OqN96/2r2fW/uB7L5157kBzY53P8MSX1/ofPfjVP/zk9tXLFz/+UCuoIZGagweb + vBqUgnQcyiQgs9msMgyNMQK0te3dWlWcWU/96J/OD/7k14XFJc9/5dyr3/sG14lFuUl4yGQgSShh + GZppGqDs/sef3OeZqEf+T//ue4dPHLMC3qGR4bufX3nr5z9/9PBBnPt2PfNCfijP8EiDZ3SSjccT + ly5d/uCDj+7f79y1a88LL7z07LOnAkFvOpuYnJp+//0Llz/7/PqNa6Vl1ZYZPnJ0F6VP7ISAhCul + YFSjhBMQ9aSHVNKVTsax7S+++EL2jhKQV04c/cqhA4XR/ISUwtDD4dBSbObR7Vuv/dPPe8ZjxaWl + /+7f/3ft+/eVFBcyac+P9nZ9+fmPf/TDe/fvreK1QLS8Ir9BNww4Ym11dXBw6Pz585/eeEiN0Lnn + XvjqyfYdNVFLJodmVy98fuvC5Rt9ff2Ly4v5BQYj2lxs5oML7/34Z79NuebRky+/8u1ntu2tChQo + pJ3RxwMX3/nwN29cvHvrHnGjBYV5bQebCsp0KlJcB9xMZn1lcWZtemBhqPNuc3nkyOGzr77yYiTg + H+7v/U9/88O7PcMPr19am59ZWlkSUh3av/vc0faOHc1Uuuffee/1N94cnOgbGxocm1qGJ1wYgpeD + gEC5SiYJ0r09ff1/+8Mszz+we9cPvvuHJXmB+NLorS8+eOutXz7o7RlZ/FmW+PIsXl9S+NILr7Qd + 2BsqCH165dKF9y5/+vEXd27fjYR9m1vqOAvkqnpyfX1ocPCX//Ta8PBEYWHhD37wb9vb9xeVRiV1 + hsYGvrh2/cc//em9B/eZ7vX48wOhaL7fQ7BO4WYzSV03pmcW/uIv/ypgZHa0lPzp9/+4uKqGmJ75 + lfk33/z5Rx+/87vzv42W1G7ZftDUg09gofL+vbv/+A+/Hh2by8sv2Laj9fvf/25VaeHqYuyzD9+9 + d/nCu+9dSqwhA7gZO512MlkYHBREuO7qyoqlGTu2bX/uuXNbt22NllVmFJlfjT98ePtHP/zrgf7u + O3dud3V3GoHCphKjfd+B7TXlRzsOXbn8yaVPLqwNTJw793zr0TO1tTVBr2XpPABRYHEQ1xNkjHLX + deG4hHJC4TiuRR13NXb/XteFDy58dquX6Z5nnz333Jkzm5vqLa6SKws9nfdf+8U/fPzRhx9deI8G + iwIVmxuby/06pGszKjSq4GQXYrMjU6Ppz0T74Y5nTj/b3rY7EvZ2dd7++S/+r4edD8fGRj/59PP8 + ks3FpXkApADfIGLldqqJBDMMT1lFxR/8wXfOtrf23fj4k1///WxvfEt7R9sr/+HogR2ZeCLk0aqD + NCBXgbhMJ999953zH38xPj526vjR5848c+bZs1TT05ns+nzsw7fe+PLSR7e6BtRnn8tQ2fZdTRqH + gisdqcEg5PfC4oa8AKmkcG07m86C2kJsgCsoQJgSTpoQQpn+qzff9vJENIDvfeflbXv3BwsK51aW + L13+4G/+j7/87PPPBcy2/SfLK6r8Xp1ZBpQ9OTH213/106u3elPZ+P4DbS++/Nzx9kMepk0MjNy6 + df+99z50bHdycrJhcyGBBkglXOUKKaQrhLtxKEY1Bk6JEC4YDYbCpmmAU0aJRrjzVHkXWcdOO7bj + CIBqyLk/FBkdHf3s8s333/vISS9uamr83ve+s7llR8BfsLIw+9H5f/ztaz+JJxFzYQfKNc3UdCPH + Iss5om9/ef3DDz65eevG8XNfOXHi5KHDh8PBoEWUHV994xf/9NaFz9988y1fpKq2usJrFTHjCSWR + QD0JvX06YAvhLi8t+n2eY8eOvvjiVzY1bwqFIq4jZqZnu7q6/+7vftjb0/eLn/+iobG5urbONDWF + 35PKnhpvFYGSilBomuJcGYZmWhbnOqOcAsoBIa6CvRqPP+jpvfD5F8kM2VdZdXD3rmIfDEAirTFh + A/NJ2VJXG62stQJ6xlUqA693I2vBdXNb7TQXUA4CnXPt6TqlI1zlUkNTlCgoVziMUk6ZkhI57Dql + iiCeSfz6N78J1Fdu37b9+6+8uqOuhctM14MbFz96++9+8d6ly5+tkGBT89bNdRWBICdgqWRqaXF+ + enry4Knnz7z0zZZNm4KWRt30+vL8lUuffHThncvX7ty+e7egeZ8/eKggAijIVKqz89Hbb7+tuHHs + 1LPf/7f/IVpUYhq6nc309nSpbLKqtJBzLrMZ6jFh2729j//yL/6y63FfqKDoO9/5wz37O+qrKy1O + E2tLd+/d/9/+4i/vfPnFdCxWUlmnBaK+MKSboZoD5fzqzd9+/MWdTDJz5szpQ/vbmppbQuH8vv7B + T9958+bHby9MxBOAVwhJ6FOR5cmt29jr3lBvGWOM2k5WSrm4vPz6G2+kHMcXivzRd799cHdrdWFo + bnbq7Xc+fP3Xv+t9dOd+VUXHocONjfUWJ0o4hIrZ+YUrN2796OdvdHd3+by+c1/95rlnjm9uqMkk + EkmpESvUMzB25dOP/v7Hf3fr8ocLsaWi0rodezt8fjiAFG7WcVxHZtNOOpF2bUcKV8JR6dSju7fe + eO2XsVim7chXvv+DPy0pKwqG/YzRh/cfLC8teXRGGQVR3OdRiyvd9+7+7X/+q8ezyWjznj//H/7H + tq2NlYUhCGdgaOTy5c/+09/++JNLl2IpuWlLS74n3+QUwiGM5KyVwEYn4PH6quvr//zP/vv52YmB + 3s7/+Jd/nYwb5fv2n331B20t1WHNjpoiyjNwkx5D83k9IHCEcBwXANM4iAnNIkQKITwer6Z5CAFj + OHTwYGtzVXJ19le/+PHg65ei0cJjz7/0vT942UszXm77eNqiSSVtwq3r16797vynFz/5dM/O3edO + n/nWH36TeuGwrJOyz7/+u4vnP/7g3Qu66TN8wY5D+31+jRKqaZwRIm07sRq/dOky8fhKS0vOnn7u + 5OH2bDZj+COhYEjTtN8fSSsFKTdAuBoH1Ojw0C9e+9WHn1xOptNte/a8/PJX2/fuKi4Ip9ZWhvu6 + P/3wvYsffXD3zq2hWOLfa6GGhpMb2HFCKQOhbjyZuvz55/MZvxfpP/uTV4/s3lxSGJgc7/304/M/ + feeL/oeP0uEv2xobS7fV5YUYpFSUSwLbsY3ckQshcO1wOHz69OlnOnaNdN76yS/fynZOpf2Vf/z9 + Pzm0o6G6wEqsLWkevxUKM8aSmXQqmSCQQ4ODfVO/g9JPndj95z/4X2qjPqIQm5n+j//7f770aHzh + 1q27D7saSiPRhoiTzpoyBQtLo4M3bnz5u/cu6AVVB46c+JPvvlpfWVLg58yJf37xwuvv3/nVB3fZ + 5x8VhrNVZae9QZ3AFcrWpZBCgKuNJCoAioJqPBSsqCrftKl54d7MwvTM+MhIyKz0G5wIV8vxg0AH + BkY6+yck95ZWVFVXl+scBgNTAq7T2zvwxa3OZJYePXHkhW9+v7m5KKjDdtY4EMmLRPKjjU3NhDLd + sBjXxsYHrl690tPTHY0W/cmf/pudO3eWlZaaJlcCHsvyVVaUf/0bRAlCicikk4l1n9fLKFOA5fF6 + fH6/L6CZrGnHDtdXeKN3on8x1dPbm0ofUVBQcG1buS5RcB3XEUr9vlCoJ085uI7rug4BXNd1XYEN + a8gTAw7JpaP9/l32/5qWQAA7K1OOsLyEUa7rhmlCKbEeX3JsWyK32E5tW62tpu/cetTbNaT7yqsr + SirKiy1P7qklYNuffvjJa7/+cHhk/pnnv/nC889vb22NhEKayq7MTf7m9Z//4ncXX3v9V2vw1xdG + rKh324621uaWTHz5d795Y+Jn78YzoZ0dZ/7nP/uTMjNdrKfChtB9JBMb/vJO92/feuvB6Epd8+bv + fvOVEx37K4rzNDiz40MXPr38+jsf3bh1lxnWd7/5cvGRdqJpSKdVNuXRmJJybGbm4eOflxd4d+/c + +corrxiR8lVHr6ysLopaACCFcETSUYY/YGiMghYVFz537tlXX3n2/bd+/YsLN8c+vnP85KkXzzyz + qabKpMSwPHnRPM4B4cK1r352+ae/+Hnn8Ej99tZjz5x9/pWvF5RWeByZmp278u77b/z2fGff4MVP + P4zLzPdLoiW+cpVMWR5NYxzUBWwCyTiH4hAbYA4ni/iaoyWRzjIBuC5guzLN19ZWVtYWXZKNFJGy + WggGB8JAJpdPTggsI2d4zLVeDkiWgkDqcMClRUVIZiOuDeVAU2DORvOoAZQgKygMgG6EogMAD0I6 + 8WV8cWVscGDNsvz7OsqqmyAZqAaugetEEddRWaFMSgEOCszPYXHOla6psAFlZADLRWhKAsmhYGgw + Da/GfdkMS6xTKTYed0TpANuA8Cqa83dJAdeFUtQ0g6Fgma6B01zOFXJeLKgNaubqIuIr0LQ8B15C + DcNALpRQCawuJ5KZWFGFe/hobfuBymgxmIZMsmRyvOTN1z2/+vjtd88/ZHnNe6rhz4NXeyJ4KL9y + 8leXgj3XVu9lP24tyXvu5T2nX4zcHxbwi2A+DI74OO5fn7/0ycjjObRu2f7K2eb2HQiFMDxRM7Mg + qM0+Oz947/LYqp1dtDKRknyNwTTh8YKmEI5EigvrJ2JzSwtqbRVakOkEMgsNSK4jNqEvx/Jja0sL + toivbuQgK+iEgHPMz7rjo/HVNXh9xQUF0BhmFucXV0aCRen2/Y3H9+0r8iOiQxlYs/Gz17Uf//ba + 40HXelDaWOWzWqSvQPNKCgJdmE4yNDWM2OisQcdEZSqpAAAgAElEQVTaNlc/e7zt8GE9tgDdI8L5 + 4Axzk7j6eeziByPjw9mqmpaXvtbWcRiBIDIZjI/hk0+Kbt64ffP6bCgyqljFtj2MILtBeqAc0AET + Cjn3l5JIJ7EQs9Mpk3OrIArdfLoYlnvO57CpjIBLEAXFsUFPhYCp6z4rj4PcuDLb3zNdWp5+4aW9 + Z49VawKdt3Hxo8FrV4Z67lBbddVu6QgUcq6UpnSAOWl8+F7fex+Nj4873/ra1tOnSnbtQ0oUxe2i + 1Cre+GnJtY9v/Own77GwitTsLC1mFHAFkuu4e3vqg/eGenvmd2yvPnG8Zfduq6gIhKK7u+bji8n7 + D2KTI8nqKqekRFM6AKQzcU68hAZNC66DxRiG+/GbXz7o6u70+b3PfeXoibMsUghOXEgODgappBDy + afgtckVAOHBt07W9UBEgTCSYxEos0P1wuqdzTjO2PPPs1tPnUFYNqOLFeUyMJ8eGe0NhBAKIbkVL + xabZgU2X7mLtwsikKHv5a3zfVl99aRmn8IfgDSKgg2UBOw2uEcoJ/lW6/W/lyrkzc24gQgiUzLFP + OKeAjMfXujo7E4lERUXl/n37Gxsa/X6mCMrLyn0BXzwZD4a9JLewKRyVTYlMyrFtuMohXBC4gM44 + IRSQOoPFqcVZWjmO7WRsV0HXJTRXumKj/QBkNFr0/Ikjpw+179y+LeDzMKhoyPftb7/6jsnevny7 + t6+vcWw8ndlrUFcnAkR5LMNj6RoHoSQHdWacIJVaW1js7n08t7jU2NJy8PDBjgN7i4uLlB6wCXQt + fLDjANZnXnv9zf6+gWvX7xdEK8vzNI2I5eWFrq7OL+888IWjh44e/MbXXmyorQyHIhrnLS0tut+3 + afv2/IJofWk5ZSwvHLYjoSmdcwbD0PPyCgqKSgpL4KNhzTXNnLdCSEVIjiWM3DGnFIoor2VxPZwt + 0DnlSgKSRCL5hYVFvoDXVQ4j0GDDSS4OD164cGt0ZLS0pHzf4ZeOHD3c2FSTFwkalHt1w8+2Z5af + C3L55rufdj585K/8cuu2Jn8YJgHhHMQBiGEaWUptQAN8fp9PReN02ecP+ANBzg2P1xctKmZcWYSZ + hJnKBrGhlGNnstkspIRhbdrS/Mcvnzq8o6m8skLzmL6g30+wPjNz68rVlZXlnsd9W6IVxUGPUq6U + qeXlhatXr87OzNdU13/1pa/v33+goCAKYmuGp6am/NQzx1bXl7t7+ru7Hzc3jh0+vMuVIARMgyuk + og7LgbSlq5SgTAECToZTeE3dMrSFVMpvWK989ZUzbbt21lVZhpamxOaaaRhDszOdDx9MTU42NW0+ + 9dVXd7e1FRYV6ToxqFlSUkRaN+9t25W4N9jV1d0/MNhQml9V4OPA4uLCxYsXp6emS0pK9p984eix + E83NVSEfkKalJb5TJ8MVjduVWi0tKyUUi/Nz1774vP9xj2GYp5792rFnvrl3b3OwKKuZq7rX8G6u + 4/ZhneT99o1rIwPTH394ub4lWlpTzCWFm+Zw8wJeTS37NNpQV3/29LHD7btL6ys8yHCV/aNvf42+ + /tbl63enhvsrm3a07j307Knj2+sKSsOcOWsduzenl0Z/+daFmcmxa7e6guW1BXkQQNZWdjYlxTqn + ACCEeuGrXz995OCmTZv9Opw8HvQcHR15OLXmji2vmuFAbV3jH33jqzs31ReWF8Ige/e1OVne+WBg + fS0xPjq+troaLfBzjYlsdnho6MubX66urG5u2Xz6zJndu3YXl5QaHi7BykpL2zv29/T13bx1+/ad + Ox0HTtTUbs73BwAJZQvXTqUyWVuFA8Fzp08+e2zbjl3bzGC+4GZJtmx09PH0bP/tu53T09MDQ6N5 + oW0wgExqbXF+oK+vr39Acf/2nTu/9e1vRIsKLY8nWFl55szphkLfvSL/Jx9disUY9/hANbWRsk5D + ofC21m3FgbAQTnVVRTg/Aq9HI5yY3ubmlhPHT6RT8bHZteGRoYrGLfXFlWDcEyqqKEtFwn7TlIyp + YChSEK0sKi7TqdC568uZArOuSGYd21FK6bohBBwBS9PgOnMzIx9e+N3j3l7LHzn3/EvHjx3Z1NQc + 8luMCEPDtl07V5ZjXGUuXb/76GGX//ObhaXl0XwwTpDNSiejXHs9nghXlnbs3X3q3Jkdm5tLoxGD + uWxLC/321//2h0tdA2s3b98/eGpls5tncRD61MXgQhGh4JIcmAbBYNAsLlotLAj6LUNfD0cikYJo + OALH9Hk4NB1wuZicvtk52N3dJZXas6ft2Wef3bd3j9/v1wzTH6QFfs/pZ57xwR6cWhgfH793/8Hw + 6MmasnDYYprGiAAcQEDkXmvkyPCScWYahqmbumEZhqkZG48RAleKbDabSSazXn/hnt2bXz67v21n + U0F5NbMC+SXZVHplcOj4g0d31uJrDzu7LV9RodcEVGZupvdxz4P7D6Q0t2/f+c1XX21qqbU8VkD3 + 1NfV+XzhsrJqjydYVGnqhpZF2rYdopTBNa/lMUyLcAgAkBQyB7p1hUyl045rQ7m5TUIJSCUphcYl + 3fA3akJSF44mMyD60MDQlzfvppLOwY6Or3/11N7dO6L5FZoWKAxH9HMvFAfJG7/99dJo3Aa1PF7d + MF0BCYhsOrO8ePvW9ZHRoU2bmw8eOdR+YH9Zeamp64YUMLUDHR2LCdI79vajzt7Pr1wvKXxO1zRs + GG83/Ca5HcRcU+v3+86cPr3e0c4or62uDYXCnOswSElxMaTatqV1bXl1Jja3traWTKVYICgIRA7g + 9RRVlpvfc1q/tNOpeDqVWFwU1298ifX4eFkJceIrq5NzC8NjEyOPukeyTD/14vPPPHOmKqrrAFMp + QdJTU8PjszGbeqSviAci3IJHEqWUsJXGCSNQT9KyJAEhkkBIF1B8g6RHKQfN2lnojFNOORNSOI7L + CGWUgdCMbTtCGNwMF5e27tv/1XMvbq3fVBLI50gbtFU6c6MLyzcez01PL3R19ReHw4XBMID8/PwD + He2BUDhSVl9U3ZKXl6fDpq7wGXlbtzWvLI7f7epZWVkdGZ3Zb4MAEHJleWl+bm5tdXXLzraWlk2V + lZWmR+MU0uOrr2+Akwp5NL/Bqc4ANT891d/bOzQ0VFNb29Z+sG3v3sqq6lAooBFl6aylpfmlF8++ + 9/57o7NzDx71BIoaioP5OlXp2bGHj0ZHxyc1T37r1m2nTp1q21YfCBVwI0A1v0XcfEs9vPr+pYdL + CuRpBhCeKDL/ZTcmXFc4CgqUSKXWE8l9Bw8dP3W6o72tpigUNlEQCcbjiXRi/b0PP52eGL1x86Y/ + HA6U5+uMAJienfvkyvXxhbWKxtavvfLy4QPtVbXluk71oNfLfMII1tRSkdyTXZ668dmn8yuL165e + C5e0VJRHABBGNcMwTcvUuMZ1XdM4ZwxKOpn48sJCbCaaX9/Q0Njc1Gx4mG6AUrS0bE6n0wxuOOyj + jEI5w319PffuzU7M7Gg7vPvMS9t37yoIWYammGnU1dTYWWd0dumTLx8OT051DwxEDbcx34TGQJgC + HGywMxQASsE5DQQimVAg4Nc445ru9QejxWUl5ZZfWUFIS65CZNLJ9fX4GpRyhHRcVwpAuXBt2Blh + Z13HcRzpCggBxWH4fIW8KOtBXiRkmSbXTY8vkBdhAcPrZV4Tpi4JUWuZZLyzs3NkdCwcjhw/evzo + oaOBQEBYghPN58GhAwflcrbr4eOB/oG79+63dbQ5koDaJqVUKWU7mfWE5vVXNTV969Vv7d3WUlWU + J11XcA9Mv65j4+bnPvTsSSSmwtrCUndX56cXL7qu2rVz53e/973GxsbCaIHX0j0azJbGoEGUnUx8 + 9mAgttTT29f1uLGptsRvSQoSDPu9fk1IxOYXWva1P3ds35H925tKw0Ef8sJcOoszrve1S32Ly6ne + gdGO2hKE/LmOf8MbpgSUBOXQNIsb1KDQ3EgowCghIFwzQuFIKBzJy/MEfabkOjSTM0EJIUpK4Uoh + 4PUeP/PiV47u3NzaFOZZDbIo4v/q88+mrXtX74x09Q70NpTvqI+YpgXXhli/9+W1G9e/TNuy48DR + c2eO1Tc05vs0Q3MhsXPXzmU31D+dnl2Zu37tyt7djayxJOyxNN0DCEK13EnhkzAtgFAwHi2Kbt++ + 9cFAfHlu/t7t2xXFgWh+wcaitb2ejGfGx6eX4k5FbUtpRWUk4uUEVAm4SSeT6e7tu9vZH8wvq6tr + rq8s8unQKLjOKTRQDjDD0EEYY8y27ZGR4StXrmia3tTcvGPHjmhBNBdD47qKQOk6pRqHq4SdZZxp + GncdW0pBGdVNg2qahEI6A0IioXBNTW3vQtfo6FgimXCFq4ExSk3d8FoezjWSy0X9vdaxgZFmjOma + 4fV6NV0jT+XafyYH5Giz/5WpT0HXqZdTRpVScF2VyWB1euLO7WszaZIf9MLNpJbnlyf6p/sePLr/ + uKK0bu+Z7xzct6ekyLJdmFSIxNro8OO+zp711bV9+/a07d/TsmlLYVGlx4SmpMWdAwd3zqXlP779 + +dh4rLd3pDq8BYEA1alHc7w+KLgSmuEpihbqeZoeZlznaSA5Ozn80QfvTUxOFpVv/oPv/fHeHVuK + S4pMHZTSirKiE0cP94zFxldu3X3Y1dG2c++OLREvh5IaETpDJp1ei6cCkYLDR9u/8fzx+oY6aUUT + yuPzEZMA6XVwxXTDozHCqYIrpPD7fZoHIGldY4wRMMp1wx8IFJeUeigBI4TCdcXqyuLiyMBAb28i + vt7c1HLs5Nlnzr5QVlZteHxehQhjx44eMv2+v3/t9aHJmTv3bh0ZHvSVFEe9Hte1lesSXUiakbAp + DBALYBCMEegaTD3EDcL1gMpFtVInmeDpzJqibjBiBvNhhUFJXCCdhU2hEcWUC85MKCHgKOpQZBmy + BAzwA4QJk6kQJc7Fj8VUZ+L94vUAnaFgVFEnm9U8mYIS89Sze7e2ggBSAC5AgQW7/7F968aQZWzd + ua+2qh7BAmQScCRcCUVcV9qutIXKyW8OlJZOCCfDTT0/GPR6/VCAIyEcsKfikIJlwDIgXJbNcjtr + Srmh1lICKAbBsBGXCKJAKTgDITy+ll3qHv+bv/blRSSjSxDLOpOWDuJkNQeag0yS9c/5EwnKQ5ZU + eiIFzsEUDKChvsYfjuzuaImWhvOLYfoADuZFlYGOQ9vmXXXh2r34cnVsBjUhwGPD1eEArkZldG3F + oyJV1dX0hbO1x3egsAJb81naYB4DKonFcdy+OrO2HCotaz37fOH2nSivgK5DC6DcZjSLEKkv9daf + /7h72XUgNceGECAMjKOygm3ftmvu0v3FBTk6Cm8B/F4whvQ6Zqcw0J11ElUMxEmnY9OYn0dZJWyq + SIaoNGYms8vLKCvdVF6hR/PBKUqLC/d1qIJKb3FFWUUhAgQaAAamsO+gdzK7+/WP7s4u8bklqohG + aRACcKErGKQsGZc0Ut3Q6D18PK99N8pr4ckH4WlfyEcV5mZw5dOZxdlAVdmWV1+NNG6DPwrLD5ZF + CccRmr+8uvX6lfFbNxarmvyb9uQzpJ8AePlGii2AHPfRgZOFnTENnh/wm+EINM3FBs+MUQACRIEy + AEwJKCJABc0hlSWU6wqbi4zPFy5ubCp47it8517kFcGQ2NUGk9fHxgqHe30L0z0zk4g2ZIu8AY2S + 7CL6BvH4UcpONO/bu6Oto6RxG/QwbBcehUAQBw5VIF70zkerA4MzDx9r+cXbTSCbccbG3P7e5di0 + UV3d1tZRtu+YVVoOnxcE2KwjGDl+5NDxyioUFsBxwSm4BtPiTtpRSnDOl5bx8B7e/W1PbMrX1NB+ + 8tnd2/eQQB5c4kjMGLISAJUuUYoyRjgozzX8lBIYHKaer2v5SoayGaQSMAgWp/XHj1YTa2bjlvrd + +xAuAQ2CKkRN+EPeioqWkih0DVTBCiIYBtVWJVYVLbX8PK8IxZXgBFwDYzlXjQ1tFYyCBgArR/n+ + rz4i/r9w/at0+y9dGylKKpcCBCUlYRIAGIVQwnHSqaSUwtA1y7IY47l65PPB8ocjdpjwjZw+KMmU + JMJ1XVe6wqU8x95WZGOgBqROYTLKASlE1nGJgiBUA3UVpFSMERoONTc1nTt3dldLYzQ/QpSkUH6T + Hz58aHyg56Mvu2KxuemZ2bV41udT0BSgNE41TgmBK3OdOyiQTSeGR4ZHxsbSjrt99+6t21rr66uY + YaYlpALn2NTSLJa3v//u+empmUedQydOCuTpSmRmp0aHhgYnZ+bb2g/u3LN3z942jQoKShTJy8vz + RiKNra2ukJ7c/G0apq4DglFwzhnXTBMWhwHouqVDQCpI9QQw+vsXHERREIPpHktAQkJSCZ/X5/F6 + ORgjDJCADceemhi//sXVlZX1qq17X3r5qy2tpQX5ug4QKMMwjNLiA+373bWFi1/cnZ2dffy4b2kp + mW95vSYIpZAKkOAaJHGEYoxA0+CxdE3TNV3XDUIZ5ZpumpqmDBADIFKDpMi6wslK4YJxf01Nx4H2 + l196qcCSzNShccNjkrq6PXt2T/T3P15IjU9MVK0nGTyEyGRyZWp6vLu7y7Z565ZNe/e219VWMR22 + a3NOgpZvc2vLo+6GYCg4PT07Pj6dTudmNDAtt0UsNxCpUFJtgJSVkrnRghGVX1BQv6X19Jlnd1aX + F3h0KOFlLMOoku701FRPT3cmnaqvrz958lSkpNjUNziMPp+3sqJsy5ZNnRMrX/Y9mpicXFisq8jz + AGJhYeHmzZuLC4sljdtOnDjVtLmpoABwJWweDFgt0dLS+gYg7fe5tju/tLR45/bD6YmVvHDxiROn + 9h/YWVGJLFEKRIfmi+Rbra2aKrx7c7T70eKD+3fnYsca0iXc1IEkI1mNS0pUfl7RoYNHDx89un1L + lYdKkhb5+eFDhzqu3nxw41ZPyiGNjZtOPXN2f0d9oQXdScJ1G+pr4gs7Prh4Lbaw1P24/0gG2Jj+ + CIjkzOEMoRApbGo5fux0+77aiAUmAU9+KNjU0lx7p292JDZVWVnb0X7w+IkTeQETXKbdZFVVZWK7 + qCyvHBqYmovNra2u2dkoZ5bjZMfHRh/cv5fJZBoaGk6dOlVZWWlYPPch9wd8NWbNlq1bBkdHHnVd + n56ZWV5dkxV+CpnTkWzHMUzv5i3bjh07duzoFisSBDGyoH7ma25p6h9qfNTds7y6Mj0z5wgARNqZ + udjM7Oz02lq8urFm85bWtv07UokMo8JnmYHm5lIfKfKgr6e/dyWZ5TohLFdYAHhMy19SWlRUvKGK + EAUCQqhl0MJodPuO7ffu3RyZWVlYXFhfT26cDemG3+fTOKMElIBxphumxwAB4wAnCjIL4bqOI6Ui + oITkduRBGUQmFZsevXXzi9VVVrplx8lnzm5tri8Ic6IAEGqaBSXF+/buEcml+129k5NTd+51njz7 + gsjXQQHpQDhECkPj9fUNp599bk/7/sqigAHAXi8pivo9Oy+8X9w1MDA4PLq0mnIETL6xg4bcR2CD + SbURUaZpGrMsj6nrnDJKLMujGRYDqAWes8pSMj8Xu3f3zsT4uC9YeujQob1799bWVoNSrmmcUJ0H + t7ZusZdmL924f2NgZmx8YnhkLC/Iw5afEb5hA5RPBsKnoItctc25FQjjOVxs7u7nlCdXVVbXd3S0 + nzv7TCSiwQjYipkBb31D/b72tunYcCqbHhuf3Lwlo2BCioX52dHR4anp6bK6Xdt37Ny3b78noNOs + Swjxhvx1fn95eZWUgJ6UfEkhqaQQrkskNK4xxp9gihWFYoyAECGVbTtCCEgBSVTuGwhhjHCqSC5C + QdENT5N04LqjY2O9vYOaZm3Z3HrkyOFofkjjIShi6cFt27aXhNA32D+W6B9PcfXk/wNBMrE+NTbS + 39+bSq7vaT+0bfvW2roanRsMhFNQ02ppah6PZSLvfjE9Pdfd1Zs8ezIc0v4ZS4Zu8Ik2viSmaW5p + 3bzhxM3VPyFBuc/rLSkqqqutGejrHx0fT6VS6UzGK4O5QD2J3yu3G6vluSNY5UK5wnVTa2v37z9I + Tk/1Bv1cJheXR2di/ZOxaU+kvLWt/eTzX9m7oy3iAQSUzNosu7a6uLKeBPe7nnxleRwCQxNUEZkV + 3NUIgyIQuReBEQVJNiTcjZ1rUEoYVa5UKodBoYIIqRSlEEq5SoISyrhpebZv33HwyNEDHQfC1OAC + kG5eWVFra2PH1EJv7FpsLTUwOL6ndYuUYUVg+vxlDXVl9Q2CeDLEpAxwFaWKGXpJSUFdbUUw6I1n + snNzy44DBSgpXdcRriOl1HVd0/Wn6galyM/PY8JnEJcyBaIgnanJicHBgaWlxQOHj3Z0dNTV1Vm+ + IAClFDPMoqJoR3tbV+ednuHZ3v7BmtaVPc35YHJ1caLvcdf80mowv3nP3o7WrVvKq0KAKYhVUGxF + vPv8WKeJqcudl0A2bEMAnui2/0y6VRuBCQoyh6/SdL2opGR/e8eZs88VFfiDOkxkPB5tc3P96vyO + u7dvJeIrA4MD+xKHFPJAiEylx6Znrt99lIaxa/OOUy9+rbw46jMksivQGOOaoiQcDm9qqg+q46uj + PRNfDt+9e29Le6xNRHRGNTDGeO5mUlBOGaOEKkWUgLCVYzNCWC4mg27M2/n5HgUPVdAJIDNwnNGh + geHe3mwSrVu2dBw5VFoeMR2lnCQ48wWCdbW1hw4dejgW+7J/vHdwaHORvzFcBi2XereRlCQBKOhK + EUZBeI6+xDmjjBHKTa/PQ2AQaKAgDIo42XQ2kwZjlDKyUZfkP/ujpFRSEeQ8CpzBMg3b1DhVUIRS + xjRNh2nBINCVTqWGRCYWWx4c6F9bi9fXNezeuau5sRlEuURKgIHW1tasbdlaVVYRW1zq7etfT6V0 + r5cRKQApXOW40nHLyyvaDx46cuJEbVHIgICUgmhZ+qT9e1JAQCiUgFIQcnZ6emhwYHRkpGXrjp07 + dhw6fEjTNJ1ISEEZDeRFWoKtbWODvTOJzok7Q2Njvf2DlWUFHlNDLlmSCqbBn5e/q23/8y+8VJ1n + hDQBuu73FWzeVN+2xt+/Px9L2hMTs+lMFgg8NWaSjXq08VaklIJpgG7oWq6uUK4ZpkfXdU3TNO6V + hDu5JEsCSgmFioRDhS3NZ8+d7dheW5iv8fQSiKv59I69ux9Mp6/eGp6OLczOLbgKRNMhgfhab9eD + ocGBQKRoy449O3btDYY1DS4gwbRwWfXWVmtL86OFG12jA8vz84sl5WVer04oFBwKUCgNijy1HRIC + qvmjpS1bthVe7pueX3p458uD7a1ObYFGCZSL9ZXp0dmZ6bmsMrdu211aXunzghEQlYWbSiXXxyen + J2ZX9h/YVVVZWxB6svdNaY4jmHttcgdtjmPHYrHe3t5otKi2tra8opxRBpVLm1BQCiJXgpVSElSj + lGazWSkEoZQypgApJaSAlJTAMEwQZrsiN3pJKZSUVOU4vWRjwH7S6+HJWybXxrAcVlYplZsv/jlm + +mk5wb90cQpFAYkcQct2EYstp+7d6JleNDVN2HZ8YX51cnhpdKilrnbXgSNnnz9XWpcfCEDZCtSJ + r68+7uqeGp1kYPv2trdu3VZaVubx5DadYZpWU1PT3jX+24v3YwtLff2Dh7fV5wV90DSYls41IZWU + IEy3vDAodGKA2khlYlNjt25ez7qh+rr6Q0ePl4V1jwbiZiEdmHpdfV1DY2O4e6yrp3tqJrYWX4+Y + ARClEcWUcB2HMG37zj1Hjh4/cPAg5zTDND8BAXgWIukyRsG5TjUHkEoSJTXTgLBhpyiRhCD35OJc + 83iYRSEUHCkBN5FY6+p8ODYyAkHadu8/0H6kdctOyagLMALd661oqjMC3oe93bHVpdHJkeHRobJN + m0oChcplUjKmoCRVuQhYpUFqkGC5pXGiEcpBPFLlnOQ8m4FtC6WY6fEwDYqAQQBECg5mKEmVEKAC + xIVyc+e2OQtjLnGGSZPBANFT03Odi6OrE7NBOsOUTqSWTWctv11ZF961Z5MQfp7bzhSgKYwPOF0P + YlPT8w27I3s7WEExdAuZFMTGuCoVlYrKDfC1kkoik8k4jpdrzOOFYT0pafLJ4ZsACLweeL1wHOE4 + RLh6LnkCud80F2JFkVNv1YaUBUal7WTm5+djV+OcrhO1ArHmNRD0cjsZZxlpCE7hX0Flwi33+QkI + k+r3RsJIMSJlIdCQDbgEgrqSCKoZPj+qq9HcvP3yvdl02r+6CiEAstG8EgWAukLkFxbsOxDadwD1 + lRAmomEkAc2FvYyFafR0jhAtWNVc2HYY5VF4PKAE+QFECGQSgf3wKNwfyl+YHoUUVEEJuC44RVEJ + mlpw+Qadm1/p75+tbikmXhCC9XXMxTA9tRoONbmETztjE5OYnEJpFaQitg17DfOxbDajahqqomXw + +kEJwgFYoaKS+iLFpQ/QbMAGAI2hpopu3779zUvD8aQZTzBJAJg5BwYTIIrbbipSlHfguHdPB+pr + 4PGCWlDENDgSK4hNoadzVudFNTWR/e2w8kDM3GIDAmE0t6CiovQ2E4P9wzPT2UwWzOD602ZGbcR1 + 5dIhHRe2AyFtv9/w+by6lmt3JQGlT+pY7tFHGKGKEdCNpJYNcIMLZIRcr6rBoaO84wgKy6ErGBKl + FSAuqqsDM8NYXc7EV+1MRhIvoQSJVTXYtzY9Fdf02j1twcZm5BVCEYCBMlg6WjZhdUT/8FLR7HRm + ZHgt0w6PgcSaNjq8ODWZyGS8DU2Nm7ehthmmtQH3KypFYSGUAyk3cj6e1FlFKXdtY2URXY/Elzf7 + 791/VF+7o/1Q4zNnSKgQzICCI3MTlASkS6Aoo5RvSG25g+oN4pkEiBSAK6A4XAephC2FxrnHtKBy + +eMUlhdBL4oLPBygT0KlOYUU65SlOMtwwzA88FhgT082gY2pUUooF0SSHHTi/w/a7b9Kt//SlTux + lWpjZer/GZSkLMusb6iPxVbGxydu3brNuPoJFkkAACAASURBVKe+ocXrf3rcC0KIAoR0GSV+r2Vq + jIBkhRSEPT0XV0oQ6cDNQro6pQZhnHIhlCKUQodpgRCppK5pZfV17fv3bt60KS8SAOC6ghNQxsLh + cFlZaXlZ+eLEyuLS0vzCQqEZhA4oKYTtOlnHQSqVTGezuZ89vr76uKdnbn6e6d7mTZsKi6LMYIAi + OceTi0g4XFGSF/DqC4vJ2NyqhElA0onVvsePZmdmdNPbtGlbWVUNoUzCVVJAQggFzg2uMw5dqtzv + TykIpMYpcZFIplyxoXgAUFCO42iEEsYpobk+LBdzlgt2zdmclZQAGNMsj4cxZkNoYIBylas52ZWl + xeGhIaKKKsordu1s8ATBoABXSkElCFGRirLWzZsaG+ofTqeWlpZisfnSYGlI0ylTcF0lssTirhSO + cDnJ9eJE45wQ4jjCAFUKrit0jRHkRGYF4SKbVlIwzmBZHQcOHTrUEQwGDZoBJ7aS6UwaQHlpaTgc + pkuZ+Pp6xrYBcNDZ+Zm+vseL8wslRS1NjS0Bf5ASKAnd0BSxpRIeyygujtbW1PT3zs7FlhYWhN/P + vD4ogPMcT1gQME45KCMQALiuZzOZ+NpKOu3uO7nv+De/0dDY5NX/b/bepMmS7DoT+865g7u/KebM + iMh5qMyqrMqagKoiCygABEgQJMShKbKb5EILadFSr2Qmk/QHeqG9uhcymbShWiK7m1CrKY5igQCI + magpa855jswY3/zc/d57jhb+IsFumVFaqs3olrkKsxce/vzee853vgGIFZhFpIohVLM7t29f/fST + XqezfnR9cXGJGaqNXxBgje+0jx/bXF5ZkRAO+v3ReGKtTdPx3u7etatXB+PZmSw/c/Zct9d8dwRr + iTJnUVgQZ8C0jv2Dg4Orn9ybDLKNs6cuXbq4sQkQkoRmB1VIp7P41LnW00+fevigf7D/+O7th48f + XDhzPCNnCNPRaDcJTpy8+B//5u+cOdHynAgzoCLL7fZC0Vpu9zZXl9ZffPHlF194qpU3xU0FS92F + 5dWjJ122MOnXO7vbdd04ncJ7tFpZu+2swflzZ77+27977qlzef5EBmVg/ebm2vr6EdDDL33pK7/y + q7++sNCBAbQMIdjCLi4uXrx48dH9Qf/gYNDvV2XZauUppkePtj795JMYsba6dub0GectFDAwbBni + nB49emR5ZUWh/eGwPxgk2bAszOqcJeKj68d+9df+wcULp7PMgbSuQylZ1sHR9aNnzpx03tR1mM5q + SYBSSrF/sFeWZbfXe+nlz5w9f94A3U7OkkIoM5ZiZfXFz7x0+vTJzs17O2Xd5HKXFXyWkqpWpcXc + pHNeOBAUMNauLC+vrCx3u90kEBjT1J0Uoamuaokg4tlsWseZadRejfFVEmiyhp21RKYOSXSOW/YP + drfu39p6eLBw9Nylyy9cfuGZxRY0wjqAWchKrI4d3/zMS8+fOn7s4e3p7bsPd/eH5fHVhUxAiZAc + 07GzZ1979Wfe+OLP+YVsjtsZMqSsaX1teWlp8dHNWRU1KRSNLB3NLTWNRZpvLdpMy1KoJVSShIhE + NAC2KQgAAAf7e9c+/XQ4HFx85rNf/vKXNzc3iyJvkiIikFI0reL0qZNvvPH525PvDkK4c+fOhTOr + 8Uihc4s9wMGaOVDebPlgDnU9HAxzyesQmocKKEisYTbsff7GG1989bXPtFoZjCDFaRldO2u3OydP + HstyNxjXZVXHRKJAjHt729vbj5Ok02fOPnv5ss+8I2u9kaRGCYyshVCiSilre0YOJ3VVVbMyqMSY + 5LDYM4Yz55hZlUHMzICmGMQrgSzYGBAlADGlEBUMAwONg4PBwwcPd3f2N46fXFldy4s8pWgpEVkE + wGVHNjZee+21T/b5/nsPR6PJdDbzFhY46O+/++5bg8H+4tLCSy+9eHT9iLW2ilVmLQAY01ta2tjY + PHp041E/9gejOoQk84pwTrzVJ4fF/OCIMRoiMlZjhBI1QSQM79zK8vJCrysphVCHGA4bejr8jEM+ + Z3N2SwJSt93KModquHX7zs61T/OqzKlUGipX4vDlN77wn/yT//bihcub+Zz0SJQyxNwZk7WQ9eps + sSQznE6WWzHjFpxFDUkQi6AghjsMGCbDgEFM9XTqvUfhjWGh+b0ZssZbAtWhnpZlt9fr9nr5sPjF + r33t1S9+qeUyC6AG6hK5Li22nzp/ZnHxg3v3dWtrdzKp9LAvmJuoZYWxCAGZIWMtUBmDLDfdbntU + 82Rax2YRiy4uLKysrLRarVu3br3//vsvv3br9Nnz3Q5rgnNw1nNSUIQkhHD3zp3bt2+lqMc2N8+d + PdfpdCK0rOrcsjPwzhw9sry82ALkzt2H27uTJIBJk9H21ta9WRlOHz3zwkuvtlo54lgIiTvs0F5c + ufz8i3c/uED8Jv59Nty/C902Bp7GOGeJSEQ63c7Pf/WrL730crfXrWqtSXIPxNDO3PGjS0eX2g8n + KGczY6y1DEnbOztXb965df32sRdePfX08wvrm+IRWXxeQKZQqeuKuVhfWzzWeeHPV1qT/l7/06v3 + 7j8eTS6t9CzDKWg4HE1GKVQ1nmwj1ix2OkuLvTsP7r//3pUr77337OVnl9f8E6LfPClBElXlg7t3 + dx4+aOc4eerE8VMnAZAhKw1JW7y1m5vH2r1eLMtrt24OXjiPPAepJqmVGyp3jIgRGSVnGAJVUdVm + XU/LslGRY47wpuafYbJZVrRaRZ5bC5ABLMhnmbPWEJExlg1iBChBRUI9m07Go0m3Do3zSUOBJSJI + PNjb/fTjT+/ff+B99spnX9ncPO5yKjUBiNCYyg7Z1aXlF5+7/Obf/PjR48fbOzu9Je+9rappXVYa + Umb9a6/9zK/9xm/4VlFHyQzmGCiaGOx5yQ0c4nCqiOHu3TuPHz1qt1rPPH3x6acvtltZTCopCiVO + gRDh3JnTJ5966jx/8+2tx4/v3L/P1ntrQ42d3e3h6KDdsV/9xa+9/voXNzaznBuz6whK7VZ25Mia + dRlGqT8Yh9A0k9ownJy1UINEUNGqqomz3ILZGmYiYwycs87znATawDKaQhARYzjP/aVTz7z29V96 + 4fln1440jWIz3JL11aXlxQUkiYqoSAIQUM7KrYdb925XZfn0q8+tHz+dd1wIUKOwFlBYLQp/4kh7 + JRv3Z2AYMl0lzAABZUhtATWDoygAwQDk/PLxM09nJ0987/HDqx++8+NHj74+ri60CkDj7GD7o/fe + 2dk9aC0efe1zXz524qS3jcK6RpyOBvuD0VR959ILrx47diIjNKW5QusQLMOYuRpbRGKMs9lsMpms + b6xvbm54Z8oqqYiz1lomRR2DtwbOWttCCjGEuqpVlUCxYYWLRVFgOO0f9O/dv8/Wnt48s7q65jNf + DyblbDadTmfTWV2HGFNUI9QYwx2iuEBd17PZdDKZVnUVY4wpGrLNHT6h3/5/uVQgilCHlEAmE8LB + AR58+M7Bex8hArAIyae46rq/+9v/2Zf/we+sXzhVMgjwBUFlNB5+/MFHo93hcnf15ZdeOX3qfKdt + CQgBdTVzknrdtRMnZHFp5dFo8Om1T/b7r55YNcgYWdvZtqpBihE1WVgDqIWQDPrbWw/u3R0dfeGp + s09d7C1503gaWYt6iljB2fWNjc0TJ6+8+95gNBmNJzjSA5Mj1RiY+MjRI7/1D3/ntdeeaTJFiObT + ICIYa58MzmJShtjGfp0J1lhrrDEAGWONtQBSggDeMmAOYvXxRx8+uPcg98WXvvCVi09ddoarnz5L + QUYrK73PvvLCp3evX3v88NbdW2cfPLhw/GjLGWMKkBAYcKo5xbwpp5iQBNPpzJdFHUxKzaJxKlDx + IojRjMdhOHRrvSxDxxtmGCCCI0gMG0KTzRAVIdXG1ECCbUTrcbL03NGXz/VePH2ulW4hZpwKiWTy + WXcJC4tZVcN4WAdMMdrGuz8c/eTH19qd7MJl8+wLyFqQxsyFYQzYknXsMuuoiVFBEtRhHJKon5IB + WzDgGewOMVkFgIUeFhYRYi0JTV6v4m+9yiogbkhQAJIgCoyrs5za8Kbb8cZZyjO70vLazqQadWzN + beQSi3K0UD2eZTGyo+4CCgcLpAq2cfUSEMMQCFZgNaGeIdWQhFlJVa3NWH8e4mbAhKTjols8+5nF + X/+HWGwjCGBQATVqsn48wu5DPHx4d+WV5848hyOnwYQ6NfbfSILxBN0FnHkGL7yyfDeZAapOCyqY + jJAX6C3i6HG4Yrj1+O677+FzX9nQo0gJB33s7Q1ms/Grn8M2HXl05fbV63eu3dj83BvOASFi/xH6 + +2IcP/3cRncFQZEEKUEcXIYKHCOyQyaL1LCC3AG0WstClZAUUSkG2ASpUVZ9deMzz2W/9rs41oPP + oUDRRlPo7m3j4X0c7M8uXVo5eQYug/eAnaOp1sB49LpoFccHt+4c7JvxCNYUuY1ABQ1P0FsiEKMK + qMNUadhdQF5QOQUTe0eukdICEMQAIngPNpljTOeCbwOPLIPLxmLuvfIGfuO3QRkiKqas8cQH49gJ + dHp4uPOYWJ3NGxPkyRAP7u9OZ7Peen7pOfR6IIEIrIEYSEBvAYtLUO1NRmZw0CsnmNbY3+VbN0aD + gXa6KxefwcZxuHx+0lEDrVooI1RgA+eBhDpgMp328k41wYdv41/9/lsffvRRq8df+/Wnv/QVrJ9A + IiSFQ8tgCQxEQCORMnMzrsBhw5oSJpNqON6FHZu8MHkGxdIqzpxf/+G7e1sPR2/9pNteRWsF3s1L + MmNADW7rgSm0Bol2utz1YZ5bCCSJSYnIEAPKiDlSBBgZeZD9DwK4/Xvo9u++mJBongvZZEE3DNnm + Z6urK7/w8z//aOvgu9/9yTe+8Y33rnx84eKzl1948amnnzp2atUYgCFQxwyRWM4YYowh4yJMfGLD + RwSDxu+jnk0sGe9cUg2CxABxSFJVVQh1kfvFhZ5zFkASMcxMgBKcW11dOXnq5IePpmVZDQYD2eyC + AEgKtaSaCM5b46wCghTqst8/qOp6e7f61nf++vHdj9cXuQz5BJszOtYy5WK6Mbv/3ccP746qY8Nh + GI9jXRuNs8l4P8Q6K7pLqxvt7sJ8lshExCCoMc2kEESICVBruJVnqkkkeZ8RQw71Igy2tglUkqhJ + rG2AxKZhj6gJgYiKPDewdV3PyjKq2DnkrYDGEKbjcf9g4JaPdzq9ogBzE+koholEECo0edm9nt6b + DEfjwWAYwlEmL1VNpGQMCNY6bx0DEAZURJKoKIiYjWVrfvo21DU0wlmJsa5K1HXRanV7C84CSSUp + W86yTFqtTqfNREmS9xkbqwAgs3I0HPZF5NHW4+98+68fP95f6C0ZX5OdJAxDSiJ07fqN6zeuHxyE + qoopknMgwmwKX4BZBMkczoqiRiBagrMm89YatNvtbm+hEQIgNTZ5htlUVT2dTsajYQry5ptvbs3U + tBccCzSgnnR02sH01vVr736yBdXpbFaWJTGPxuP+oF+WZavVXllZXVru+KwpLpqSglMCDIhUUCaM + 61D39yvE5cXuunMshKRimRNshHhYWFsU1Oka56ez2cFkVJWTjNABDgyXRLWxzvrFvGgZUsIQqOEE + 8Oxa1vfILIgWnXZvZRGeYDiBU4PZwbTy9rKdVCkm1Xn4HwEqMdTjEMEG3d6id2B6UhwpkDLPeZEZ + 54tWtyg6IKCqa4Qsz4QkhqgKY5pQjRRCHesqpVBX1XQymU7Sd779nSRqjBFoQgUb1YQIvXbj5gef + fAqi4XA4Gk2Im7DsmGXOWsvsvc/zIufMQZO1mQNEUOR5t9ciEmON9wUxmDmmeP/+3e3txyKyvr7R + W1gsEzIDw8ZYC4lNDHYzb4ACxGzgMzCYSa11EEU5Gx/s3Ll3+/7ucCJm62C0vX334f3rb7/9w8lU + iR2znz8SjfNRlXBjUEhG4+EIdM4ZMDCZa34dszPGkwGA8WgwGuykgCLPivaCcWAGHeKaSsTWkOXM + EpPUdahHs/5gOisDMoWhzBpvOIVAREUngz9EWAkms0sL7W47L/IMNkbYcGiKBAVigNSY86EAMJFC + k0pSiZBIADGxsQbz4rVO6kM1HY/7/YOUkne+aLWstWiSoogJMMYgaJFlJ44fy7P8Xn9048atn/3s + 06Ypeg+t1CQhEgxpRHJSI5ExpigKn2WGTZqX41FScJ6dc8TWujzP8ryVgyYgynOfCN67I0dXnScl + zYu2MY3Vgh7s7+7sPFbVotVudzoNmNIgr3PMWGAMcpszyogYY/TWeeMQq5RSnKvwRGKo61pEwUTM + xAQGKzdckAjUdRVTaRjMjMNtNoZyMDiYTCYEe/TI5urqWqfTduaQrGsBeBhrrQUopMTWsjFJoYpQ + lf3+QYr1dn/rL/7iz67cvLvQWwghZta2FK4OJui1h9NbN+9MpD0eT2MSUfwUiDy8tMEKoEQw1kgI + 1XT28MHDx1vb+7v7dR37+4Oth1uffPzJp598KjKXsrA5tCF+Qok+/DSgGbRAUlBJfmn557/66y+f + OX1qsUf14Mbtd9//6PvvfPLBRzdu/ts3/+ofLZ/c3FiYM2VSDQ6kMShB/Zhb0eW+kxlMoQZRob6h + o0kz6AUSgipMJCTaffjwG3/4h/fv3e+Xk2g0zH0V9NiJ489eevYLn//C0tKSz7OyrsuyUtFOp9tq + tRIQalhBowFrdfOnnjq7sLAwu7Zz69b98aRqOMTNfzg3nUzv7R9cu34VdR/h4GD77qP7N25d/fD2 + nRvmyGrR7pEBAWxs1u0899yzv/iLv/idH/7kW9/+9k5/fOHSc89deua5S88c3zi61HKHj0yhMh6P + RsORCr7//e8dTMr2wgpcbjSRREfKmFWz/Xfe/sFsNukPJ+OZJgGoHg+3trbuVUHy9trqkc28yGBL + hhIhadOLuixz3oOZdV6u48lQ+8nXf8icnlOolQBin+VFq9PugJUsG1CE4W7Lr3QzjlMNzAw2BkCs + w3gyGZc1yEnW5faSazcLk4H543PWUhN7ZNNa2yy2swdVNatSFREBA0kKa30r51bR1igpRhiF95ef + ffpXv/71/+1PfnTlynv/7J/98/MXzl9+4fKLL7+0eWyz3T20OICSynjQH+7v1zP86Z/8yY8eT9pF + a4Wll8pUzmBsHeLd/uTKBx9CdDiZ1nVoaKdEpIQEMGAtHMOIhQhI2bA1HGNMyRnrheinTm2qgLRy + n2c+1iHFmEQkASZBBKHWlKBISRRkLaw0PQxSDM6aTrtgY431WY6YELUZ37GkMB4PU4y7O8Mf/OCH + s8FkY2WtctXAjCfZrC2uO7Hlrf333rpysH/Q3tyYzmZ1CG2wYXbGZMYWzi8uLq4cOZJ3MiZAAnAo + PWtCHe3h/YvMQ0yA0WDQPzgoy+nqysra2lqMwszOWoOGnEsgWeh1l1eWjbP7g8Gjx9t1TAlWAZ85 + l7FxbL23vjgEqRjMIPWeer1Or7eA0BFhVZpjWs3WrfN0K4iQ844doEgxxUCkIcRQB2oMeRUQUaME + YmOcs86aGIJKtIadAwExiTEOGpGk5U27VaDdFTWxsUNMhBCq2TiU04OD4cHV67/3+//6ne8vL5nK + VQciwRoiyKS/9/H77/TvfaydU/394WiKxRXUcwUZm6Ydh0ACCIABOW2trWy0Th4/ceP9Gzcf3L93 + 59ajnWfXTq6ShPJg5/pHHwwG4/zI+fOXXuwtLkNBCJBSqtGwvz+rQuSWzRetzeZe1AmRIjsLZYgY + a8AmJdnf3+/3D0KsszzL8xwE5wx0ruAhAlsjKTJ0HuNoOPOOiVWViNhaZsasfPj+lf/re1fefvvt + 9pnLr7z6al4UIPLe5XnurFWFc95a88SxVg+nJM2PnHOi4qxz7olnwnz/0DmC8v/emDfkYmuddTm7 + wnhcuNh65sv/0cr5y53OWoj2rR+9c+Mn7zz86JPv/fXbxdqZXz112uWwFoIaMh1PRns7e2ESHve3 + f/9f/Mvud95Z6LYIiRFdCjZWNtY3D+q79+6H9tE6VtYxLEEVZUzBWpPBO+FUBkQ0fHuqZrPZdFhX + ePT48be/+72yrHtUdWSWpUmWJplMSy5+cufgg+vbqONkNhuORikdMZRIooEwsfPF4spau9thFiZt + zjQGrAGch5RIAoZhYjJMoimSRBCcNcYwJKUkkgTUiJs0pIoo1NX0YH831rVvtZ3JmDIcjloTAInQ + aK10elneMlGq3b3t4XhoLaTBMYmZWoqclOcO5wDPfQbB7Ilbh5Nb1CWgubOZMZnPXJZBYASOFJrA + sMQKjZI4gtg4giMU5nA9pxopRphw7qL53Oc6b1zutNISp2zu+WxAGY5uwnlEETJlXbbu3cJH71SP + HpSf+4XXL38WC2tgDyCwzYyFAnWo6lgFCfMpF4xhOKdsy5hGg+F4NC7WF4wASDXEgAwUqLC/h4MD + OMfGOkKmh5TMw/S0eSWkECXTnHoxjlwmx9eP/twvv3jyGHILz6AEDSgYhSKPqEv81RX8j9+4aqxL + EhMQkKxqbg3S/Dns7WBrB/cf7gdx9bB773r56G76YGtnNgO7nM0cg35C9PR5bPXqYnHquy1fgG0z + y54QSsXKoI/RQcWQlXVaPjGTomCCk+BIBBkT2j34BOQQd8dkfefyWMMQigwgdBeweRJHNu3jh9W9 + u7t7exhvIEvYfjzd299XpMvPY8fgbx50H+9u3X8oEWcY6PfxwRXsPCqdd+curi4ehc1gEozCMALQ + n9WP7snoVsBeNpjy1l71cLd851EYDbPuxkJZIymYvHVACccoWlhaQHd9ZLtL1IIiViFYUzSzqekE + 4yFisI8eb/3gR+3+bM13H4m/U9YTpkWmJYSTH7+rjx9JXXWn49agj4WeIzigBqd516mgBu43gJkI + 9WfVdlUZQssx7DxhUozAMIxrsBRGYkAt2xoJoanmS6U9396hDGLR6oLRD2iztjLmvI21o1hahR+l + GKtQ26bWKce0/eigqqv+1s0/+uNnfvADdDu1ZrMphrWd5bKO3d7jj1BX+aAf93faEuZQ7M5jHQ6h + lC+vodVtDuRaYFSMacpdgivQFJZKaGTWs1n66P3JYNj+6L2yu7L+la89+8KrWN5AmWAzGNPQyjtN + NU4qKYYQ6yQ/rfWaUsY653PUsq8GrjjOEcdO4NWfOfWjt6fXb8/+j3+z/c4n6emX/Gdf2jh7Iltf + Rq/4W/s7ISNkxsUwnOFBotXmww2TgSqiNlqQ1MSrWT5kZf4Hcf09dPt3Xg1In2BMwwfgxgy9YXwt + 9JY+85nP3rq11T+YPtja3nq0/e6V96/fvP78yy9cunzp9LnTSysLWe4YFqp1rFQjsRiGSgJgG5Bp + 7nDiYH0gDsxpTtpWxnzIyKIpChnvfNFIgUhBdNieWu32/OrakvO+jjqZVkmbgQjHpJJAgPe5c14B + QtJYVuU4pTiZ1jdv3Rts32mZWVm7qawGs7FYIJtdc5OPW+3OseWjG2s9o5UkG5MOx7OqDs5Sr9vO + 87yBzQAiImMogeTJQ5MESkxga5NoErHG8JOCGHOUqbFeF1E1lpr8TSFlmqe7srJRiyDEMYQU4R2k + Machrcs4m8RpjeW8cO1cGuMSJNFoyYAJSKA6uTrrWKjGaQyTkEJKItBkWckyQBY+OzSIB6WIWiWx + kFFnyNlD40IQkiYDwDomlqQItTHsnWUGYlIhhnWcaZblecYkIslYc6i5M3Ups0nJROPR4MaNqzu7 + e0Wr4/KkNIk6CjElwXRWArS6trq6tpQV7DzYINTQBnOZGyYkBqkSCcOINfAuWQtjiTg7zDOxkEAM + A0mxSqFOVZxM0o3rN/dShqJjKSJWUo1bmHU5zCbjsnSbx4+tLnQL74hoMitH42lVh9Wl9vLSYid/ + slMQjAPZQ/5CIqQQy+l0Op6UisW86FhjGlafkiSoNEQ6w84a74yhFOqqrOoQmzQkJYrGErEBO7ag + plslnYvbAxnjrbYoOmdc3sCMpDAGMarARC3YWooKbbBbacIARFIUDWC11hTNTDJSg9/V0NoadcYw + O4JXWDkcy3jngpaQmlPpURlDgKnFx6gaahvGGquykk9vPZjJd5iSakgaYBIZFcPjqirrtL55bHFx + ocitQYIE0uisIeOS8TVMQ2yDBjbwhKTIDHWssapMhpyPjAQOGvcO9oajEYR6nV47b1Gan5HMDlI1 + vFSQNEQRVlgD17ytEjWUe7fv375379a9u5/euH5/e7dUerCzu/Pozv7u/fFw4JaOAybAV4AnGJMS + JzJiKLKqY+cwZ5A12nNQhKnhHUQosYNjmGYTmk5Gk3FfBHmeFa12w7znRrUgSIYMGRhmEpUQQ0hV + mJV1qGtIBAkbJjZVHWNSPw+rQFRYYliLIut6kzkLx0ERI0gP3RJSfILiHm4vFMiIqqoYSoYgEgXK + mOdFINWIdTmdDAfDlIhsYW1OZEhBqqKi3IQTgy23221ims5m2zs7VVU1a3D+7itED91YoSKJQyAi + 77yzjohVmmShpBIsg40V42tyyVj4DDIBacaoFZ6xWBQ5iETBNrFNBDANhoP9/QNSbmftdtFuJlsE + MnNuKlRABtZ7wBAMhJzL2FoJpaSEuVkAJaGQRJrRPasSgS2BTBPQIbWkECUlhlqvNo8KhVCqYjmO + sQJT3u7k7a71bUKA8JMQKZCIRFEBmIhJVSKIUqzLyehANU6m6eNrN6/tznLnNYpnU8TgqlkOOght + l9nF9uLiyqKxTKQ/lSoDmIsFGyyXVGQ8Ht+/d//G9et3bt3ZerC1u7M3m5Z7O3tbDx4OB8PxaCzS + HDz2yYf8O86PjfqPCLBCLsGJum578Wdfff1Xv/i55891UcqHH//gO9/tjkJ5c3vvm3/25gsXXzmf + vXR8JYdUIIHY3C4U3EKd0ngay8rACkyCGKlBpuGhOUAUChZYVUNgVu2Pxu9+8OF77767tfeYMhtI + koiqPv3MRaP0ykufWVtacdZUoS5TcvP7XgAAIABJREFUDSZL5JRYIc20zFoQw2erq3nRaifd3t3f + L6ty/hXHKKP+/Uc7n9zrf3B7/5Orn6A6kGpv5+GNvUd3Drb3d/exspqMd2ogDBgLU1y8cPFXfvmX + RpPynY+ufetb3/7gk6vXPjr/+JWXn336/LnT504cP+5tAQJ0GupJVVcRuHHn8cH0b8hal+fOmFDV + huBN5U05m4w3j6xtrK0stTMLQGU6nR4c9GNMzmatVsc5C7JNXgtp07orkfHeCRE0yfz0svOv7vAl + /6lqVBTKCa6mvIJjw23TDL6hMGSdd67tjUdU9RE+mkIIovVssl/PxiDObJb5ljv8YIAhAkpklQjN + AdtyWctnWqU6VHUVRAEypMYab52x1s03RGPg82MXLv3CLy3cG9rvvXPnnR9976MPfnzt04vbj+6d + ferZ02efOX96lXN4IhgzLuvBRCvg06tX00SssUe87UkoRyO2TtkMEqU6LmwcWVteKIp8fm8MQ/PV + ZgDHIHCjEFKeu2iLiAFZIdssZzRkRGFvObPQVKYUG+pac+dBNTGUkkRBVMbchiqKJvVkW74lsEYt + EwQIBEE0nIiqFCsopuP6zu0Hs8Fksd2SQnfSwdjNFkxrYWazfhpNRktLi8c2N7yzBkxgVsNkLFtm + 450rcp+ZJt+AAG7sT0QOxzaaIAJRNC+KxtHoYDgchBDb7W6n21EkMsTNCM00kT7R5y5vFbBmNJvt + 9/spRkLG0Mw4x45ghExi2/DJYIAmY4FN2/mWd8bwIcTH4CfeHTjcOBjGzs9claiSoDGGFGrT+Noi + EURViNAEDxJzTAkpCZ4kzQKGm7reWPbeI8vxxIybSVSqUMcUyxLDrcfDd64c3DJdntJsN8ZgmJ01 + RspyuJvnrndkpQnYpSccKDD9dMKhhyH15E220KGL509eP7rwwSfv3blz5+6DnWdOrvsq7u7ufPDR + R7Xo+vqxzVMn2x3wXHwjktKsrKooia1ayySHQHqKZBrXIBWZB38lLctZOZtJTM5aZy0w13U1pzQA + ZpYEUeWGZ03srGOi0XB45b33dmbV/Yyz0d6NH3//B+/fZMZzF8/97Csvd1sFz1kUFtYwwxlkTePR + sDVhnujLjSHDZIisYWOYiYjmGabS1AiHaZH8ZAdoYsz+H10fAdaSMURERNg4fuoLX/ml57/wtfWF + 9Vr06VPf/k7u/2r74UdX/savLD77+ueOnDnhO1BIkhhjXdVVFOkPpm+9dcXc2s1zI1p5Ry0SrmYu + 1gdS5Hm2fHR1bW0lLzJYaswiVJTAzMYAEqBmfqNVWdZlRcBgONq7cWs2Lbs6a6WxD6MWykKnU+SP + pFuHVr6+0estGDakQOOVxExESuyywvpmmttIpcGAYcA6xHq++XLT1kVtwEimaIwyQYRToqb3ZJBC + NBJCXVeDg0Eso+s4ZqtEqmDCfCysAERIvGNvoRoPBv3xtDTzn2AutHnCL22Yodr85syQt2Tn6iWC + EhwXBQJVIgEBqOGK5pSXxsWeoZSSJiUimhs48fz7jqJJauawcQRPP4UXn0cbGSUYgBSJUAuMBxlE + mSXU/UHrrbeGV6+lUC09c+HYxgriFKMpSpNN+5iMUVaIlZsO3HQvH49hI1bIikHe7mZF0FKqaRUm + GS8YASJFC4UxAKTGYITxCJbyts/bGTeCMFIIlEmIUyP1JMy9hAgQqHVYWml//ot46TLaOSyQStRT + LLXQVqBCHGPi8S//op04R4oaIS4gWWZChd0D3LqPa3dGd+/ff7j1OAqqQX73an+8b7YqxBqWrPnb + 7HQCDNiLyYLJS5O1XAZLSIge1OAiVYkyzMhKq8dFT4TBAJtaGx0FZy6DqZtTaUioGC5GgOFyIMG1 + sXoUJ04XV/t2Z7fcfozRCZDDgwf9g+FOe7U+/QyWDU6/vXFje3f3YX8yRirweB8/urK1Mw7dU92z + Z7PVRWSMLAEBgyGu7oTr2zv9G4PdT3exz+MpPXw03u/XN2etOGuZBI3NuteGI6IM46XdtXlbD6kD + M0KCFs0zqGvUAWzTcLx783YYVldNazuZe7NyTGgzd40eq0bH252NEydbvQWDBNNoFJpHSXPPGBDY + IMvhvYViMp5NxmWoW9l8HEIiBBVj+afWYckKlGAU0hjAJIlJKutFoCGQYzgIoVQAtu099dpYyJEb + jjHWyTR/Uah0MBiFGA5mBx98fK3IR7kfcV4O651oxj23KXsrOFhdP5pny1jM2xmQMUhwsD8bj0cu + m7Q7qz5/YuYhRiE6B07MIaG1oeIazuqA4XBmtmQ4rLorfmVlvduD86gCDOHQVc4251aiRvIjpDAA + K6jpVADn2GUmah05MEAG3VVcuoTPv3FRzOjq7Q+/+83rN2/5/fsXL11Yv3B27fSp9vIS2gWMAgbR + QZ0kmWoYmghOYIHjxldQBAlqQKbZIv49A/X/n19/D93+nRdBFTHVrjlCqSGXN+0zkc1WV9Z+93d/ + 52d/9o3/80/+/M1vfvuddz+4dvPTf/PH3zhx6uQ//if/xee/8PmzZ44DjS+j1lKmVJEGraYUVrJD + AUWKyWRe845bXhv2D4ahss7kLBkiYuiy6WUtwAbOlK2CLBE15h8EGIUJ7EvjhaxJ6uo0P4wAI2ol + OSi8LRy7BDCioQCpSaXd6pw9e2FjtbPYYpAvQ67cW+766qBN07WFxeV86dyRUy9trpBlGSe7N4rD + 8STF2MrUexYgwTYdlUASzN/KBxQgJZVZHYOyKDQFc+jaHQCXEqjJDSVmq6DGBwo1xMBkeUKtdjSr + +hbjotvx1iBxcGBERsXAeBJmI06A7/S466eCDsFCJNRwHmSQMaQq3Zhaagyb4Fz0oYxVqNstC02i + qYmbddL4EDFMjDaA1anxyXrKHCMAsXEazyzEI5g8a2dZjubPpNRA+oa5OWiZyFpiI6ox1LWkZlvI + 08yFUp21vYX82PEjF56+1O0tGadRyyCzJGqtr2OqSix0j7z22VdX1+AcAGQepELKjmyACiLBec6I + gDQirZiHZJAk1tE0vnhggvPEUVNFUrKqhXWEkyfOPPv65ys2LLXR4DRYKU0sCVpTkVz386+9dObE + JhQhalnH8axa91mnlfknPSEzNGv6SaMAogGX03J/cFDGiimQpVar3faoyIzLCfK6jRYAsJIhw9bC + EjhKDIiwgCRVeO+TpgjxOayFoS4AYAauYgREC81ZHIUUE8TAQi07GCIZ+WpqJ0MKKqCKGvMiJABk + HHWtgtAx3NKGQa+wtraYQgNTgmqoNQQTxQjDFZ6JgcoQMk45zQoZJm2D88DLqpFD2Ur9nKPNWqun + Lrzw2vOaht6WzrqUEsBibW3MJKa6DF/84huXLpxGnEIrK0FSFOOCLUq4muyhr1E0agwqHypfhSyo + RpklKQkVpEISA2ZjyZrIGWzHzdshASuxUYUEQWp0zSbpYU8yravpYGv7X/3e//Jv//Jbn9x9GG2e + tVzRyZPWUg5NmHUIxvmQeBTsMMEZtBnBRJurMxVCzTV8aGWHizpBhGs2U9RGU+RgnDgNIgHkUVeT + 2WwUI9rtdre7EAKQgw00pkAahZ1jMmwciQaRSFBDJBJTPTOIAiQypIZgvEEEkmCWpG2ZFYihUPFM + ZKmUGCIc4KTJklAYC2PkkOghQAKREjEyq9airMZlPRUsMeCBJjQ8luXB/qBOrLZrs54hB4UBxRAT + W1hqiuzpbBpTbM4CEBSakBw5EJDAtpnVkoUl4zTEUNUpRI5Jk2gzPweMRpWQmKLPS+MrsjDNUkqk + yYF8quy0zGulWofj2UQ1ArB2VE5Gw5GF7WXdxXbPW6cISRLgDul80HnNYR0Kb8QYn5hCijjs+wAr + lCmskiqCUIpMzdTEQQhsKY1YI9MM6LkCvltFAGqMtL06k4LWw2oyjdIkbbiGFNOMQChECWByeR6C + hLo2AuaoYTbq76nE3mJ78/yl9uaFpVbXw9gkRRjn1ahjMPYr++jS4rFnzm8sLLWtAwMiyRAxUUP9 + 0KYRJAp1dfXT63/4h3/4B7//B7PpLM+L5cWlGCIJCKTaTGqkVqpgI4DDfo3k0H9UQdKgJ7ZEoX4R + pqOSpQCVCAO06Nnnn1k94sZl+Ud//tYHH998+5vfOds1x7/yCqgGOMX2au/cZmcbZa33b4/uHZ+O + K+54IgpU5SRAG2qy1LDCbUJX2SibWsT2ei+//vrCkSN7/T2fOyVVFVU5emTtwpnTncwbiSkGIdHM + +MJrjLaOhQNZ1tQ4CjASVWWEMZx7WziROkUYA4Ty7rWr/8P//HvffOvap4+mRbvT8bFlKq/TNKtZ + kDkoaBrLmhCAQMYlHNnc/OVfWDl5/MSf/fWPvvGnf3Xjzp0/v/b+d//4958+d/orv/Rb/+l//l93 + F7Ouy0EHzCUMJ2otrz9z8elT3Y7NcmLOYiTAZDwrXKXVQXIbJy+8/vzTx9sGYJs0D0ElRqRSJal6 + IJvTvBRWUxViErIuC6QplaFqYOUciM2scm4gKAy2UDZKIlSjGHNvLJlozAFGpTAJxlImqkbqXit3 + dT5O+RSdBBROZuPH1WgHsV60rusy22ABDagmCg5BZ9KgXcZLsjEKs4Y4KqcTStbZlqMZ1NYhVFUw + 7BxbkIJzHDn5fPfUf3PqxTf/6if/+n//g3eu/Ph7b3741g+/V3SO/dxXf+u/+i//8YnNVtYxKNqz + yIMIGJw7/9TKcy8643rMLZFU1QqCscln+1G1t/gzL7906sRxwCBFIXHWHIIPzXhc4RiKimQqtRgi + QMo6i5qDMsAFQYhI9UxTzQRvKoOgwo08UC0kYymgRpACJpWiJuQcATHELjBX4GRRopyg04UCNaoC + M7Yz7xWKVr544vi5c6c3j670uGv242jMZSZmBUV7xoPRpLW2cvrZZ05ubnSLtlXEqtbIAoopxVhp + LAltAGos6XyWb5qBOyVohAiEYBgaJMz6o73xdGSsB1lids4ASZAkJdtQOoGkKaqIMUG0CjVpyJBE + FbWgIklMNo/OByCgmeASlBHZJ6VySjU1xxCYwXNzYUPz1hSNhq5h1DOL5YAkmgzUMxNENFkDTSlJ + 7R1UNEYRkHXeZTnmVHee2xUzJWOVGQo7NyqDEkVCJSkyeYflTu+ppy6ePb4g5XbLnbHEEkMrzx3r + bDLQWC+tn3/+8sWNFWSHFpqmsQ40DBUYC42QCOZMk0nVKy9cvPfR6T/51l/eun33+u2dN14xk2F5 + 88Gjtz/8uHX69bPPPNNZQObhFAgRxlhXsMvUmMRQFkIFaUwEoZxHMCExR5XUiGpaReGd15QsMROh + gdKaQ1kBVVKx1lCjVRFR1WZ9375587/7p/+0zou2hCKUK96sn7n42//oN3/m57768rMXuh6MOiWZ + 1aGGGscspYtjrx0iFrQAF6IKUcaIoZIUvDON7IYawj6IieqQksJ7kwSq6uYTZ4gIqR76Ks51f6Kc + QMpJpY7VJNQQLkz3eOWOVACl9HOvv7yOUbZ/4wff/vYnn7z9p9/8yy/8ym8udLoOzpJ13uedljr2 + rfa580+vnH62s1DMqqHPqUNRxgeFVFO3fNn0WuvnXzq72um1QAms8JYZqapZNEs2JzTRfVCtyzpF + LQqkot1a3/jMK692dNpKoyyMOlzlMpuIG/i1fV6aldUrn/3sieMn2Hmkitk45wQo61oYaiBQQjKw + +byoafoFB7JoerQmoMA6qEcqp0pRiYhahIyIgCQQUm99Qgx16O8NZqPSrSDvFJRxmgu75361iBQk + EakjYZXJZDoua0WDtAKACpRFiZvVBkEdkAIctR2cVSFFAIJDawmdrFOUO5PHg73tfHtQHDvCACwd + po9CQVZVYoRx80agJrQAGCRbE9cslRN4RW5QsIjlxjpZFBIBhiVYQ6ry8FH4xh99f7R9Ye3cC1Rh + eBe39hADjEE1xa0b6A+AsDR82Nr5tLhzFAOHtIyOQWelvbAEv9PKtJtH18Cy0dtYiyXYBktwIAGH + bLndXl90BcMRGEiJiRO5FEOlKSOTW0FQkMLaNvEoyQgMcjAehuAsigK+6asjmGEIniUiN1JRhBPj + 1CJguoef/Hj63/9Pf/rJrVkd94+ud4hnXLVyXWS1LM4kawI0IjWKLgMYJIfIoYxBWTodWMAkZLCN + v2gW4SyQx2iqWkKKzaJHAkUNIJOAFDKb4IGcMiSpQ6UENYgMo2CDooWnLnSvPFjaehAebKHfx8IK + bt/qD6vdk5cXeyfRAV4+v/j49uLw4WT7EXpHce8A377yYbAL505unjmBlQx5BBLSAT78uPzn//LN + 9+6O693dvK5XnW9lixo8ki+sR60uwKOBSmcwHVhUBqVMFcaoYwEnONMyTpLMtwRRkOm3OrXzdvXI + wsufOUf5YzHL4KqcJRFqZRtaL2u94LL2i5/JVhaQGdATPomqKkJjBmLR66LTWmL0JkNzsFcO+sgW + 4B0AJLGCZADhRDAMBnFj50pg9kCNqIhimQpDZBSo4b3zyJkMKJFaquBm4CAqGg9dCEijpJhEi07v + 9FNnjqxV3e7QuMnBIFeerHWPp36HxovGrSxt+DOXej0LK5CA0XBcVXs232J3CowIRHU5qTNazybG + 5N6ZlKAMM3cDAqn3rnX+fPH6l7D/L4rbt67/wf/6FxsbX19bgyvQyK/5yYBAEYyFsd65jOEAk4A4 + NdJigEjABOsSZwn/N3vv1WRXlp2JfWttc865Lj2QSHhTVUChUIXy7aZpghw2jTg0YkijkEZvDPmJ + CYX0or+iB3FiIiZE1+Q0xWZXk83uqi7X5buqUCh4j0R6d+895+y919LDvoliKBSjVyqC5wkJIDPy + 3rvNWt/6zGSCPz2Df/Vf83MvT73x5gt/+6ONO9fv/PmVt39QTZ1/+tlf/ucXfuFXi2On4Rk2YMti + xCPr0VPrA1yETfu/J1iy0mp/8mmQsiklPTZu+Ef8/BN0+x97VEGMojQ8uekN1GJScgCktnDTpjh9 + xv/2b//mufPnrl6/efP23U8+/fTWndt/9qd/GlOamv7duZ6vFMLCHs4Teep52zGwAagVBsZ1U2o2 + 67A8qreJomWlZBEgLeoxRiPULcEG+KBMxBJbSeSyQFgS4u7W7v3VzYdRU1ENuv05GA9EkDW2MqZS + QQoqUQ1gIJZax8lZnp2ePX/+4vPPnj+6tGAIoU0E6lVO6pek3WFmV/R6U/OLMyhL3ikGtjPvitLQ + BslQJwZn+e6nNrStncwKvUoWfShUiCMZBSQFDft8W8CwhQSQgq1n2wg05QIWlosa9QioY8O2VWzW + Y9/WtSZkDSchMNTbTqdY6Nr5nbrZqHeSRRvVcuOtYQJSDGHPFeO2HNc0VE1ddA/2F6e608bFcRxa + I9YQwJRg2szEIphIpQApjYMJrAFNi5bBhDIDzyFh1KgawxbQlNoUWwJAgkm2D2UbWWOSYbDhfX+w + slssTHXnJKbFQ7Ovfu2FF195dW7hoCs8iJJKEpGJo7LxtliYPwDOzgQwCiJieIXyfkAlkrPJAGQo + MO2mhKRJqZTcmSc4UiUxHKwRR1Rw2Zvtvfj8K9/53d8fEbzGkpKRljSRJkkRZG1RLS0dnpuekhjL + br83NVN0eqKIzdgk8cKkSALDNrNIzMSOUnq9/vzCQmfQqRNCatu21QBjuSiKgBCRMiVPVUmz7Tr1 + Bv1yUAoix5BSIuKkIUGynCi2RkVdKUohMktMpuFut+vJ6GQywC0KDwDURxpQLNmQtdGY3JsJEhvr + uW8S2saNRhIThGAcBHvACKgNJUPM5JlKJddK7h5zLyhWGxt3fNqskx03WmthrIO0rl5FGE/NHjn3 + 0rd+8w9+3dNmyVuGHJKDuIa4sa5m5pROHz48P90xPIKKJ4FKEK3JRd9JefOmABUgkpEupC9UCpFo + C7QGAUa9rfo97wuEHQpAo9TAeEQgqDi2xmYGdvLOzgymPFgCYhEZo42VO2//zWvvvfn6cG/8q7/x + e0tPPbd0qF/4aGic9jbX7t1460c//OSREhfiB2LQAIQ41nEddhmjjqOKCt92JACTIlgC14UOwb70 + vsMdtLBqDAHQQb8zNdUB0LZNaKO1MDmvxZIhk4DRaNTVQKRlaYvCJmOdc95ZYw0EAgpqiD2RNRmU + ZAhzhPVJUNc2NBbCzlDhjIXLR18mX1sGcz5Y9qFbeOfBStqoIEkQlswx8YDjBIOq8L3elN0Jkbp1 + 4NAKXAJba4yCJbYMNc52+z3vfdXB0uFDZVXKRFm3L8gQJIUlVSipEhvvfOELaz3vZ3aZDAlriiKq + 3NgyOh8BKxEiqoHZlBLKELpCBVuyriFugAroTg9mZmZZ1mWcwriFCGUNakoIAAw5iIEKJCYGIZo2 + SFBla4iUBAqokFJpyw4ZBgWhFIEGhmLrOXoACM4QFV4L07Kr1cOCAYSx1DvQ1lamnOqjqGrAw0wI + BdqAGnA0DkrahmRdUTjvAGgsDPrdgoDB9Owr3/ylw+e/dXh2wUWUSXppux93uqg33cyq6Te9hQPT + vtMvmBIBhET7ZBNk9XLStmnuP3jw53/2F2+++bax/rf/xa+dPXv2wMKB2AQmsmzefevtD997/4Mv + rqlxweQhJQzAIvvhRwYwJpNwqGy5uxddGz1p6aiY+CDKEE4XFma//vLLN65sXflw+Yu33/v56akL + 3zpelVqAof2p6sjh3sFDRakrDzZu3rp/e3nx9JwrvSlDQmsSIZWZFJjAgkn8lxbcm5+/+PWvP3nh + GUnBMBEJqWiKVVVMD6b7RUkpWSYhqRGa2FKKtgnJBGcdLCakoxbDYT2qa2HtTffKzsQ/6dblS2/9 + 6O/fe/OtcnD0V3/tF1585WvTJUodd22Ie6v3b3z+b//sr3dVa4ktIwJiDcijaSzk3Knjtjt99IkL + 95Yf3f/i3esf/mT1wa03fvy6n3n6l77ze8+dsb4wosM6xIDqzNPf+LVfe/XIUlX6WqgTpWyjcbIz + 8K3T3UTTnf6R6YW+0YgkxJ2y7Hs39lYtE2CBAmoo898ZrSIJJ1E2VBSmU8EbWAUhmzuJirAwK4MY + xARmLtT3Yzkf/ACsFjuQsVCnpl5SYwGrSZpRlK6Ws7W1LSBoZqbMTMci1DQcy3CU6UyW9kFhy8zS + okZqEaFSGLbMKAp0Su/JOZQsToWVDLNjthA0wp5LKqZg+OBi/5e/+fLRg9XNu69cv/X55etbH3yy + 8rM3P/rfq3/7n//BLz13Yam0lGyVioGk0QsvvfTqd75Tlp0KxieSkBJAxPB+zBq9Ozg7e3B6GmRh + QaScIlvLyE0RlDQTsaKBeqOWmKgkUyYuAJ/glWEcjKtV9lILkoY1ZUamMsRCC9YOk2WDiPFY0DIC + kjNkjDORZBR9MAVKZybWNAVC0p02boqMSTHdP/jM+ed/8dsvnTyxIAX2tK1N8sp9sVVLbQjcqbpz + M3NTAw9jIrztFrZi4xXw1nQKY4EEjGOyPKEF7dsdZ74NZT8mUGCrvUHZ6VYxSd2kNgSFCBoonDFI + OWAkkiHytlWUM7MHFg9YVpNqjQFtpGBUbJNoTNQADnAEmwHaxF3iLlPXSGEJTIFzgZFLTex7gsqk + jmMDMuoteVuUnpyVUKsGsAGSYVZiTYGJnPMgE0XbNLHdmBAAlWA4mWyzoJSERAEkSCJkOc5gUB46 + /cSv/MIvv3DxlMim5ZFR0hgdc66jAC06/UMHZiqGlczFhstZN0yTvjgBKUIIEGvS4ky5dLA7P9+/ + euPmwS9u7dXYWdu5s7K+stO+cPjI8Sef9B2YbAjHDBiwc2WPnG8h43YkaQSqgQQqZWIrb1WCI4CI + oNZYZwyD2qapR+N63BRlQYycNEZMrDyh4NIkLD2POQ/Oz3/jN36rf/jodFUW0Mry3Oz8xeefP3Lk + 0KAgA4VkCR4HpqTBaFPKyEovigh7NtkWJcu7hCGaAlQISoqYkpAaN/lP2UBfhfZGbeGdt0RsWIWy + YlCSpkTGEuekEgEiaYCiVb8n/T3lBPScnTL89KmF9Ksv3P/yzfdXbr/2k79feO4bJ4485T0oxLqp + d8fDZHHoyNFf/83fXnripf5MNY5DU0hPxzza7GJcu9kdDNBfOjKF/lQJM0bKlUtiVStkI3ELMxEK + UafqFkXVtJifP3j2hZd/7z/9gx5Gnbhbpr0y7ZVp2JrOlp3bMnOqOLM4NTMYgCMSVDT7olCecBtE + CCFlERLtM76hZkIOz2xEicjW2MaN2URVSsmnyCmmFuyhhEZaw1r4ctCd6rieYaeMyDEpqAUJIoMo + GSIYJiSrsXB2aWlpeu5A2FexAlCNqqLko4IAq5O5mtGSE3OMEhE9UonONA7OYKnTv7W9urGydetR + MX2A5wHKsGXmLZCzxoJAjADUaNhwxzlYUCnOq+foBU4zLL7KVAmVCT4BSTOuJIqmHo82d8qtYbG6 + 0dlIQ/2Lnp9qwG2UEZsQwvTySrp/v0nN1N3L8UfbN2+8H597tvjWNxcuPll1plB2EGqMt1LcBB3L + 25/Im9wyxxbL61h7BCud6aqa72mZqTDZapsBRDWRqMjxu5oQA1QdSGBqGCgjZbSXwTaT5QGA9iAB + Gsa2hKfCJHhyToAxPnh777Uf3rh6tTx59reeu1gcP4mygA/wETvL+OD6+E9++IHUYJ14mOajv9Zx + i6ZVhNS0+ThRmByAA8IIpAh2NNI9YWUuaCLRIyIOaANCURQscAlO2LN11kZFUESCNWCg08Ozzx18 + 59rG29eWL3+Js4cxa/BouQ1mdOLJvp3HgPDqOXz+5smwefnqZSwylndxe3t4eOH0/JHpTokBISe/ + P7y+8dG7q59/inLp1199bvDEERzsY2DhI+pNfHwXGz+40lNUDAYSRhE9axAskgnE1nJRGFiF1Uzf + nxDAfQFX7kZZP3H08AsvPfvtXyTb6cGfNi5KiopkqaLELLDezC5g0AOSJNTMyRBn+w82WQwKKKYG + OHn0mft30+726N5d9A+gqrIaHJ8jAAAgAElEQVTjObOBYBwxBCqHvv4DNtLEkZIdmzKJJ4UnlICF + jRIMiyCp9Aqgr+iwZzKtohZ0E6DRGlJgbuHA175lDx+xg6kuAyQnnal7rpv2wA2cR6eH/gymHSgC + CVVV9frUG+y5Ipsq5QwnSlp7B84ndJpQ57PMFvAENzePiy/g4cbX3nrLffbZtdf/buSrzkvfQrbR + zsNRZZBFNC6CxvW4HUNaOAdQ7ajDipSaNoaoFMknIAo8YAtMFTj3NAb9qXOnf//ebdy9l15/4+rn + H3W3h4/c9LHQw7GDcCVGFWo3DmFkUjtl0CF4ASdERsoXu9mX5UkS1NZkR9z9Bu8f8fNP0O3/x0MM + Z8wki5ewX1Dmz1UAYTZTU/3nX3z+wnPPbmzvfHH5Sue7f7m8+r133n3n2MmTv/jLvzIopivDxntX + eqK6HQ8tkidwXonMcFW9V++Mm92mDgxYVk08UY0lhJSt45o2jsd1pupIYtrPL0dKW1vbDx8+bNtY + FOXU1LQxDioAWWvYsgIhpBQzCYuNcd1O11tb2eLgwcVnLjx37slFBhDBqs4QkUBCOx6JJsPsOgYg + MkV/aq7T6ZI+2tteHw938ZUOFUnyG8SCzJMCREHM1pNxRMyUncMm+4GIsO8jRsxZ+bsvcHUKG+GS + kq8cc2zSWKWdqNygufgti163O9vpDtbGe1t760HgpFULax0AlRhTkpT22nZjZ0cE/U53fnq23yXr + XN1mwMnoYzVKrlcY7C0xNAVWgahklSHrJOFRgaQpIYsEJIUU2wk0sC8zIYCZiL7SCymQEnXKqZmZ + OVVUHX/4yOLFixcWDx/1ZUWGFUiCkPJim3zsmhKxIQJUmIjAsg9vq4juu04QRLVJApAS2ywizHAS + oMzqLDlrvfNl2Tly5MgLLzwTHCpGRROHCwJiaJnIeweFphRCKMtOr9cvq6qum93trXpvu7AzZPfv + j/xKCQQSUFn2Z2amp6Y67Vazu7e1u7dbtwuuQkGFYJQQFIQoe8PxqG6DsCvKqel+v++zRbnAKFvi + SAzRieAvRWaFkoKhIpTEghg5AlKAHG1nQFwwWxFS0glnIJcOUYUInmChVpLuiyGRssM+kqrmlAxi + +9iImb4yRokkLUsNiaokBGZ2znpKUPiiWDx2/OJL5walVthkWCSP5Bvm2qAhWMAmlBrBCiVDrKIh + aVBVY5RMHsZmN0OoOEJJxk7WkWZJuhrT7Q+Kskwx7m3v1MMhYuZGICSxRaYVhqZtVLVTlqSSAgRB + 0K6sPHz9xz+6df16/8hTv/P7/9mTL3/72BIsgbGXdtbvfvnZ6s2rHz24TmzY+Qx6BiBBwMqsltmR + NWqwT/HMqeSgpBKNMZY4tnEiuIMOBoOZmTnvsbO9s762ljdqZi4xQAkpShvbcVPHlLx3ftDtdztV + UcAa1JpE9z1KacKbNbkB5Sx8cNl5PEUYsjZft4IsQGPaL3oJj920XeHLiphFkjFkzUQeOGECEfV7 + /cXFQ359dVy3a2sbx2crVBasho0AGgSQEMNoPIopVZ3qyJEjnW5nYpaXTz2GKlJS+1igb5jz5idV + VUlgp5TbCEUUhaRkWNlk9pXqBFi00JKNVzYgZpaMKoCmpudm5xagV4c7uzubWylFC/BklQsIxEYJ + SUSiMrEqhyQRSoaNmZhZKMi6stcdOGe1DaPRXkgpgVkJKtAEaWMMISmMa6LWbWAGg7MfhTEwjppQ + j9umibCPSwYN2fymjTGEgBgZsEyWAdWy8AcWFpxjX1SLS0efvfjiU4veCsqETgK0hewdt/1NuGGB + Tm4jM7iRN7g8vmQZKQ6Ho/v3H7z++hv3Htw/e/bs7/ze77/yyiuzMzOpDYYou5auPnr0ybVbxEbJ + PFbF8mO3SgCUHRIJcELFuEVIua3cp2BpBCmXxdPnzp09fW26evfe9S+/vHL47to3DxyZmUHXU9Hv + VEtzB04tzt69+2j1/s1Ln3/q516cWawsCoEYFWR3aLIE3jfsggE6/erMU095a7wBRBjClK0AFVDE + BFVmAiNC2tDEttU2wCcYK5mQpKxNXFvb2N3dA+nC/GxVlUyA6rWrV9/72c9u33rwjf/kW7/2u7/3 + y7/6C1MFXIzWRt18+NkHP/3e3727Z5hY9i3sAM5e86mYmnpm4ejx888Nm3Tv01PvHXB/+cf//saN + G3/9N393/Pw3zx5f8gX7wrqiSDDzB4+ev/DchbML3uwG7SR2dQuXQrcIwB6kQiiECJQgsL7X7Q2c + 3UhhPBzuptSZ8LwnHYiGGOomNG3wkgyLtzCPI2Gz/lxkX3eYTUiJicEepkxkoQqtgYZQplzbKJFI + alsBcdENnHvmNDfdmx90fYxha3O0tRUa+Ew5UwUYxAZgxBiC3RsNG1E2/ane1FSn16kcK6mRqCmJ + Eow1lg3n6Bg2BGcZpjCHT508/NTSL44v3rj96Vs/u72y9reffbn8F3/+H1558eRTT8yWVVF0emV/ + Wutw+PCRF557dnZmwYNsAnTfK8BlCTAAdPJFayxphESG5f0q47GpiBpm53Kgn1G1okaJJ4QpC3IR + aEWQpz0mf/YCJbAjskRsLBkWUD5t2BkD6y1ZEjWqntSbx56HlCQB6rwzxpS+mJmavvDsM09fOCqM + htFmQUMOeQTAkDy6ioAosSFmUYgqM7nsqKQaRWjCtfvqZYGQkyWAnPdn5xfmZ+ZmFbS5tbWxtSmT + hpqYjcZESWC0btvRuEZKc/PzR48ecQYkgVUsjIElmBBjFiykif46u5+xI3akDpERFZomopavOgCA + Ju4NORlTDbJhiPdgim2rkhhGoSCwqsSoiiwmwz6L4asfqAoRycp9UfM4ZVAVTKbwtiiKouwUxbnT + p7/5tRNMJxUgCMskzsw5myvGGEUhLOR4gntLztDkfZuZbJ9JCkNTg86hQ/Mnjh/+fHn15rXrq+s7 + t27ev3JvYxhx9Pjxc2eOdSwokw+ZQVZNp+wMqrK02m6s3N3ePok0DztRxk5GXfltBBQoy7LT6Trn + N9Y3NtbWYxudK/KnDCImgEgkmayiUAYQY1SRAwsLv/1bv3XiuYsH5+Ycs8ZoIdPdriNlmVTdRMzW + ClFKkTQZjQaIQkoM7F9zCsNEhJQEKjRZTJOzP5Nqs4+T5pBnY9VOhgY5wyz3VgQlyrVHXvj5ldqE + Iq8NB0DTzEz/1Zcu/OD4gXce3nn/ow9evXl7/dzR+QMFlMBsnCNnu4P+U0+dfeLZp2cXEQAFuoCP + 2w5jsbPb4seMAeAxho4fwwWc009UeV+nCJWy6hRFJyV0ut2jRw+/9NKRaaALVEgI20hD+F5DM9sE + A5RAmST3VAIOSRSwNmcC5rpTKAuTH3sU0f6amSwbmYwryJqy43xJIohRY5RJncUiZNgWRbl48MBK + rzNO7db25qgZz9BMijCSE/6ScQSYvd26GUVn/NEjxxYW5tLj7ZA/kmzAwElBypYtjIVIKwmIdf4k + xKHq4dAhHF8ql3eblYfrV6/400/MpRIQB5mMa5UpK7iTIBpEGAujDJocg8RQC9hs0IcWQEJKiAIL + wwqr2eM6kvP+yMklE+daXVlfu5c21qMGZVUjIQ43NszubkHim2Fcvb8R6vWFhfmtUV9sNXMAg1mE + uLO2vLa1fJTOQwyEJPewCrSCB8tYfiAGPNUtZvrW0iRTlRiTA4OJYHLG1MQwQSCSVNqJoReBsMMg + JiWewE1EDuo1NojI8ltLoIR2iM8+vvnhB1dinHnhpeJ3fx9HjqKqUASYMR7dAN6tvvcTi7S/JB6z + E6AgAhmoQ8Ike1WykBiIsA7svbIbDmW4oykiWSicQRIEgbIBBLFGO2QN3pSFKpIgTlYhuMDpM/3D + h5Yobt++qjeP0rEBHq2IMh89PV0NMGNw7gwOTvVu7MlnnyzXncWVNWwN5cIzB48c65TZ1i0BAbeu + rH760Z3NNX7lG4Nf/y1cPIf5AbpAEbBzH+XH+Ou3PQNGoBECzos5MhKCqGGNnr2hCYE7a8sSUJXw + 3tXNqNvrHD1K58+jGIALWG/ZWMp5SAJWGEZCxmeTJBW1xlgFK5B9vKKAGHNzOHtudmtjY31t89NP + ysVjBwd9iML6fLQmQVDtMkETkpmcHknBCcoFc5EiSwIrHMEkm1KrToWSCgxy32sYTuGzgaz3NBj0 + C98MegdOnsCZs5iZByL6pSlNlyKohRFMKOKAJoQWzmFhYWrQL1TDaA91DcGEQqcYT9wgs2qZJoet + AqqROfam7Ikz+EXYunnm55/c+uj9653pQ4vH5hePozfI34cksARho8QpCCI45RajsAAEkiQlJLGi + Rb5JcxtPwNwC5mZx/iy2VnH9htnaPPvmW6P3P3jv/NenDp2fWjwIttACpjCqkWLyBCvgPP9WKE2c + FZkzTUA1qZ1YEP1jx22B/avhn57/14f27dJDCG3TAIDZ54WrQqQZj0WEnAOT9W5+bu7ll1/+xje/ + ceHCBSJq6nrSN/qiPzVTdfuj0ejGl5f3tjckQgRwBr4AuG5jCLGqisIbw1BNqgo2cCWqji8KqO5u + ra+tLsfYWJeDbvMidvCD8VDv330UhkNnMeh3bK6NSNkmdrUyRIJqdp4sXDm1sHjEuqIeDTfWVkZ7 + u6FBaEAEYyilBFUY4zudoqyMcwCHEEMMMzMzvV43NM2Na1cfPbiv+2ZJBBSF92xp4p3EYANRJtPp + 9LwvfVF0u5Vh0gl2hhACiNAGrRuoEu3PfwlQITiHflkOpqZnezP9Tr+outZZJGijTY5eLDpTRa9P + lkM7HO+t7m3vENSZCrAQIjJVZ2o81Af3dq5fua9C8/PTvZ51FobQKfqWs84MlI3eDIAEYWsKXzhf + kvWpKKhToethmOq60aRwDt1eFE0iqCpSTemraBDOOl8mEAk0xtg2taSgwGg08t7PzMwVRbG2tn7j + xk1jbaeqsgMwAYZRONjsvAdYi6IwzEgpjetaUlKRNrRRIgDH3lnDBmATk7ZxUh4zUy4OnAPtn0HW + 2aIsrHMbm5urq6vb2yOa+L1mVxowwxjzVUqyqqRERGyMYbO5tXXv/v379++PRkMmGAMRhJChBgCG + 4KMYFZ3plVpvPrh3c31zY6fJjGxDkBRbgox3dm/fuXvn4aOdNg1m5wb9Tt+DWVBUthiAi6KqvDcx + QAFnUVZdQwXBEBs2rJBxvRtjTZSP3IyIGbAFmdG4Hg732mZkjWZjhyBt04SmFWJfVlWv37H7uTtN + amMTQLZtU900KTVE0RjYSQQV7YMEhnLmEjCY6jsPVdDs3GB63lps72yvrz/a2mqbplUwwDEEleAs + HAFAGyD5zgQBJomJCTmLRKVVFZCFLeHKXKUqJs0kkTILAQGhbaTXna7KTgjNrVvX1tZWfDH5/XJM + sLTt9vbOxtb29u7OuB61zTglGHBE3Nraun7jZgIOLR1+8sknpwZIMsldIeKq6hLb0AaRAG3zTWVg + q6o7P79YVf26TikFw1IWj0FPdigBV9dhPB7XzThJC0QmMKjq9PvT81PTWH64fPXy57s72rbIYdeh + TRKk1+k2dXvvwcq9h8vG8onjhw8dnO11LQQ6rJs2GGvZMFRDQNsi7rsU5OzSsuoyMTY3Utvy44uV + OCPHIpI0R5RPmmTn/NzCYtGbFqDbqTrO8mP5dUxIOj07/+RTTznDjx7cvfTZz+t6DF/AWFDOPLNI + aXl55e2331159Mg7t3jwYK/bNTCMfQCMYQ0y65jAYAPmEMPeaG9vuFvXo+wKAzDUJiGR7LDUqiYC + YApyBfkCADN3e30ijrFVaZmSAQDudKYGg2kirK4+vHvvdmjb/YkJwzl4A8q5umKdJ599HY0aCInz + rnCwALPpVr2F+YVu2WnH47u3b4/HYwY558GMFMPecG11Y219O0YZj4aj4Y4qCARTdDq9brcrEj79 + 9KNbN67X4zSZv2X+lKoGWV3b3NvdM4aa0V5bj40BFJ1u//ipJ/pTc6Nx/eXlL4Y7W5wVlMgzJwIY + IpIm1d5XCiV6XAM+FlTpeDze2NgYDoezM7MXL148cuRwp9uRlIyzsJmBLaqaoztJ9avWYzJX06/u + FwAgUYpRNFuLSdJssuU9jIX1swcXTpxaOnF6Tml35dHyjasPt9dJpOvtdHcwd+To4jMXT8zM0+rG + rb//8d/cu3e7lQSUMZbaWsCCsx0KEjSkNB63MYKBsjDWQBR1U4cQVAVsJrkV1oJIRPIuiCntDYdt + G6qqVNWUJhfVzrC+cvXG9tZW4c3xo4fL0rUBAB4+WrlzfxmMM2fOPHfhfOXhDKyzGc8k41xZVmVZ + eYe4z6wSgffoDWAdRFLSTsecO3fud37n98+ff64qq0ePHmxsrOztDRHi4sEjR48cZcbW1tr62qpI + Cm2o6zoGMMM5B5qYeIKUOcMPvuoMBlPTCllZvf/ll5eGw1GGC2KKeda4s7u7sbW9u9cOh3vj0V7b + oA375tUEZnLOGsMgYH+hJJHQtqjHzXgUQztB+8k4kCWCILRCsMYYWE2EBBDZQW9mutsvCbsrjzZW + HjbtxAdJUkJIaANLKkGpjfcePrq3sdEqTp85dXjpYLdLRIgxNE0dQq0SmGGdcQ7Zx0A0tm0LY2At + lFCUp04/8Z1f/86FZy/0B73VtZWmrgHAmPmFhfn5BRCtra4+evQIEBFNmYNoJ8agGQ6zeX/sw0yq + ORBg305uQldjNtZal0RCaJumVomkE1JP3j7ZWBbOV4V3xoaQECNUYFlYBVJY2y3K7sQe3YEsnCu6 + VbdfsYmkYyZMTBjhvZnqTx9aOHCk6lR7e+uXv/x0e3sT+560eWdLnhunDLeBAWPBTKkNo9F4OB61 + IbRtG9oWgCUqvNtHbB8zInJnltOkFCAYf2jp2NLSkaLwN29ev3b1WhIlOEseeXhtLIx/+HDl1s1b + COHQwQMnTxy3xoBgnOsPBlXVIUIMQVO0wL7dcmasMYCmHg/3dkd7e6Ftszc/Hld1+bDdv4vyucLG + dqouEYe2jTGoKMAElpiaug0hhRDbEFXhrO2WZen2OTIiCBF1E2K2GhBv2RnOI05rXVGUVadbj8cf + vP/+owcPYpgcgQRYNt65TLOPIYUQmMk5dnZiDJCSiOr+OP6rOxJgsLFTM8eOn7x48bmprt9dv3v5 + 0mc/eeuD9754iKJ/9swTz59Z7AESUIcaSiAP2+90Zw5MDQbUfPnR21evXt7cGYGsgFnhMnmZDYFU + VERnZmYPHDgwGAyufHnlxo0bztm8aJ2j7CIsSds2tG3Yz1+CqDrvq6qyznWrzqBT9Eo36FWDXpcp + 00UTUoKIqOSZqIgkycsL3n+VIkzIWrdJRHFKIqJgdta5fXMEAgwhyzB6vcp7FkHbhhij5vrLWHYO + PPHgSCklgZIDwznfKXxhJn6ITd1CuZidP3XmqQMLB8aPHt27fXNleZnI2Ko7N7dw5oknO2W1sb5+ + 5csvtjb2Motx0rEZBnMeBORuqGnChLhhnXGODTPDGnifkTJBbMlYV3SKAsPdnY2V5d3Nif5aQbAO + 1gOcMd8Mpec8FZBJZJqoIPbOpthk86evlvY//OKrPzKsS22MIQBmYeHAwsICEcUQUkp+v5AubBda + WFecOH28N+02t9cuffH52uq6NSCGcXBFnr9QaPXmjeUH9/YgxcGFhdnZzgRSF9EQmYxhR4A10bkh + eRQdGI+6fdSGdZGhc1BCEAjj0DE8eR69Qbh3Z/nDt5dX76EZARGIJcQB3LRoWoSApkUSZEcrUaBF + G6kNFMJk3yuAVDbRjgMEhuB4kuHBjrpTg4Nnz7n/4l899a//V/e//G+H//C/f+IP/7uv/Tf/0z/7 + H//nb/8P/+YX//C/vfhf/lcXLj77ZOH1qbOL/+J3X/zX/+af/8ZvvXDmiRnrcfwUlo5DeeXa9cu3 + b+yY3Agh7Y52YvZMUSwvbz54eMvYODVdTc+Abfb1T8QhA0pEjmAzim4tygoioambpg7OoPTwgEGX + URl0mCokjzHBl1XFBBkP63rceAsGQsD2NlZW1oajjUOHq8PHsHAQRSc7z8NXMB5s0Okk76CKEKAp + 5DGF91Xh+pWd6bgD3QIu19ARIUIJvsLUADMzi4Pe0t2b25c/Xd7dRKghYgHv0LXohAbNCLvbWL5f + 72yptJUjQCc/IbsWTc/gwOyB6XJm4/7O7Wu4fQv3Hyqhf+rY8akuOh3MLqA3045G9cfvr1z9DCv3 + kMZ88kjnqdMoS1DeSGPcuNbcuPKo8v7UMTzxBPrTsCXgIAZcoeiCaFOihhphDMXAMkBIijaG8Xgr + tOuPK9AUY9YPM9DrolMsjPf40YPt1Ucggnf77cJ+75S39wRaZHjnLHchXUhHBDGpUs4sCEUHi0dw + 4QV0p7bv3Ln52l9/ePca2iEMQVQTGgAOlaVurIEADRPMlBSIkOhVXQra1qjHgMAb3/EdT97C5NWV + BCkpU+HYeAtjMZgqjx8/OT97xCSsLUMSihK+BFskoA6Am7xXsFBGkwCHwSzOPLlYFv7Rg+1LP99b + eZixfBg0TPWo2WoakMASmCA5b5ORdJvcjq/QncLZ83j+xe65c2c21rffffOT7/+H27evITYwQELT + Zro8qbOuU/X6XXQrMIDU1YQUATiDkqVgKQk54U1hQA4wSAT2GMzj1JP4zm/ia9/oMG+NRo/2dvdS + AgTeYnpqptvpWeJ6hKZGCI+bDGlTE1Umza2xzlaUd9U/Qbf/f39SkhwzYI3JEeQQQUqQBEUKcW1t + bTQa5SmVJkkpFUVRlVVRFMwsIiG0AGAcys7s3IHp6Wkm3Lt988G9Hc6IYRPWH619/wc//MFrr22u + r0GCYYxHw9A2yPkkZEUJKqt3br75xo+/+90/ufTFp6IJgAbsrW9/8NP3Pv3k2ngvLC4dOXX88IE5 + W3rkQErRRmiYj4x9QYbrdOdOnT63sHBgPNx94yd/f/nSZ5sb2xmRzmdWjCk27Xg4Go3GTROgaowZ + DAZPP/304aWlejx+7913fv7xRxurG21IoiqaCLSyvvrWe++//tbbly5dQtsC8GU5MzNnjd/d2b1+ + 7dpouGP2dazGGIBgLTmHx6k5mZ4HZXiDDsS7ogos28OtR6v39/bWLFBQ6dElFKh6h48df/nVl6vK + 3Lz+2Q/++i/v372XIgALNSoIjXzw/uc//clHj5Z3jx078fyLFwbTE+SRkMMf97O2JsduAhlrim63 + M5gut3dXHq3c39jQpJPMRFWFAGydLwwbtE3pXVl4kUkQl4iEENq2jSmB2HtflQUzROG9m56ZPXx4 + aenw0mg4/OSTjz/5+JP7Dx7EkEKYWOrklRWC7uwMR8Mm/w1A3rnMP7LGAiTQpGkiAhaFErOzDt55 + azglSAZVYkqaGNTpdE6dOvXsc88S05UrX/70jdc31kZREAUhSEqZgGXG49G9u/fq8ZiImLmsysXF + xYvPP9/rdR8+fPDGG6/fvXs34+15naSEn/zk7X//J//no+1NMtWB+YXnL5w7stDb3nj0k5++8cmX + N0YhCy1taT2S3Ll56+9//PoXV2+g7L746teOHF6cqgw0Iuk40N441m0I7bhpMDFx5rxcyVnvnDFW + QSlJG2OtSPklhrpBGwTky6qsSucohnoyfGfDbBRGYUQ0xqau0SQAcKYw1iOpsb4oCuctUYpBxyOE + ZkICSEgpJTZGiZKkGFso2ABsT55+8uvf/CYb+uKLn//oRz9cX9vI4Q3GmMzqIyAm7O4MVYSJ8nw5 + SQ7ZNs6qsyBgMvKbDIE0hBSiWOeYSaVNEQzuVP2lpWPz8wuAXrr06eef/fzBg53xOHO+aTQef/Tx + J//HH/3RjVu327at63FZul4XBiyQum53h6O6aUIMMaWMSObmZ21t/e9//JNbt+8555xlTU3zVadq + OlWvLPsK3Ll7e2X1QW6iEzCWcV6PRFZUwVIUlkkloY1NUXUPLB576uzJbre7svzg7Tdff/BgPTNY + rDUGtLez+/77H/7Na3+7ur519OjRr73ywqCXbWcM+coYl1ObchNszVdYRnY1HNdNjAlVp1MV1qBt + gQkyDhARE9NkCmIzPV+0DimoCQn37tzdWFlOjwm5xsLYuQMHzz399NRUb33l4c/effve/fvtuAGx + iMSYYtvcu3nrww8/fvvtd6xzTz75xJnTp6enprL2SUQngp99x/2gUXJRwMSGfOF84bIAQAEkIjhr + DRw5mzM/AGFInvxKiHE0rlXhnC0Kq9IEgGAPHTp+4sSpTre6dev6ez979+6dO8NmOGGBxTTeGX32 + 2dV33v34gw8/GI5GSDJumigCQ8YakdTUGLY1UmLnB71+6f1od/fK5cv37tzb2t0DSGIc7e0+fLT8 + xptvvfHG2+Nx65ytSsu5ubbl1IGlw4cPz89Nj3e3rnz5xccffjTca4HJ5PLe1Wv/4a++/+Zb7965 + fQcpdrwtvc+la7c/fezE6d5gZn1j8/Uf//jSZ5+sbuiEhAZMeBzEIqkZY1TLvoBD8+f5FYBLoJw4 + A2LmlNJoNEqT2RKDuB0O79y+demLS7dv3YoZEFF5DN1mPtY+cXB/jYOIjHPee++czXrbCaIZE9oA + QydOHfrGty50+nLnzp2fvfXpcNtY8qwE4qXDi7/0K68eOdEfjh+9+7PXX/vB93/6xluP1rZjUxB3 + IRaiabR3596t7//we//u3/3R66//+M6de+1jw2SCc85k24kJjEqP/01EUkqj8fi73/3u9773vYfL + y3VdZ6ZUvbH5+eUrP/zbv1t++GC637v47PkDCwtsoEnaKG3SKEgpaQqskAgJAdBr12/89O13V1bX + Q9swksvuXqqj3d3RxkbY3MK4UVXnyDKYDZFt29S2AUhFYcrSw/hjx06eOHHSGP780s/fevuNe/fv + tW1w3uVrPKkiCkLS0LZt07YRoYXS3MKhM088WZb+5s0rP/rR3967d69tYo5X3R2Obl2/9r2/+qs3 + 3nyLGd67snCFgyGoILsba4wAACAASURBVIRJtNFXSNTkHMiCFwVppyq89/ne1ZSSAgpD1ruS2UVJ + 43YoBAKMdbbqHV08/NL5CzaGm1cvv/3OJ8sbaIFWFGzBnsEuyvK9h3/y3b/89NoN3+teeO7Zo0uL + hQdBjWHvnTGcJDTNqG1rEajK3mh3a2tzZ3sbKU3m/GzAhtgUZeG8TRL3Q+3p5MlTJ06dDCF89NFH + 77z19ubmZoyJ9wn0IlCgbtJoXE+g1xiQhIictUyECecSqioQkRhiSqpE7JzrdToZjDAG+XBAlJgk + xoQYIEKkTBMnEoSmkTCJMA8hxGwxxICBdab0xtPW1srm+r3hzr5VAJzA+2Jm4cDhgwcPGI7Xr126 + cuXSvfsP9t97BFXJdFjR2Ma2mVQpRGDDzruiKIqyJKLQhqZtkk6IovtJpvuDLsrQrUITVEH24MHD + Bw8uWmdu3brx6aef3rx5eziuARJVABLC7vLKm2++8+ZP34QxR5cOnTh2rCyL3E02bdOGAFVvjTP0 + VcOjiqZFjAQwkbdceOfsJK1MHx8VGbrlx2QkgigbOzs3572vx+ONtfXxaJRXKO8b5hjjFKygFGNT + j1PYl4QQwRg4Z3MsY4qkwiQExBSttXOLi2eeeHLp8OHRcO/zz37+yce36mZC/2xDG3PFJto09Xg0 + Ak269MmBygxQ5kHkr8Fm8r6ygS8WDi4+9+wzg65fuX/1h699/50PP3+ww8efeH7p4IH5QgqBN4kL + m4wFFXBT09MHnz5z+ukj8w+ufXbt+pd3N7b31LYKCWIBC5EUlpcfPnjwYHNjU0WPHz/x7X/2bQBX + rlz92bvvbm9vM4OyNaqAmGJMe3t764+W4+4QQLfbNcaIiGHOo+g2ZRA1A+TEGWPLaWMqxpiyKgGk + GFOIIUiMSAmPv0VFmblTeSZOMaU2hBBilBj3RxqYlK9tK22bYhJjjMkhOxP6J1IISSIAZmbr2ZdC + iCG241EcT65960tUXXSnnnr6mTNnziC2Vy9/fvnS502IIqg6/bNPnT2wcGBne+uHP/ibK19eqmuE + fVmQIUaUpmkloQ0Yj4TJgDNNNIYYRZJITKlpW7QR0ARnzdT00tHj55852dT19Stf/Pzjn2+sDVOG + bqMiZtqYti0ePNzb260NT9ZAggkCAJZhSemry0b/YRjf//NRNdZa6wCWJLlFGQ339vZ2kWnZyAvP + DwbTTz/z1Nxif2t37c233rz0xeWd3ZoNyEAkscHqysrP3v3orZ9+tL7SnDx+9szpM0uLM1lEBVIy + BkqaoJqAWrARUhsEZNDtpaoSb4MKmGGLhhyeOIevfRvHT0+N9+LH76z81R9vf/gO9taABoiICcSI + ESureO21L/70T376f/3wZ8trkgQwaAK1AQobA0LIH3iPuWdtDyjwmPcPIngDN5jG+edw8Wt4/lW8 + 8Cpe/jpe/SZe+gZe/AYuvoQzT2DQg8j44GL59LN45es4ew4LCzAOC4dw6Dhm5sPa6oMbVx/du4Ph + HghUVYVIWl3d/fCD8c2bV1Trl1959sSpfrcPhoBq8IjQEFKugh/zoENA08AYKsuiKjukCE2G8wzB + EqxEi+RBHSgMoyp9t+MK71UmeRDeA0wx7dXtQ6WJEQc5kEWb8MWV5u13PxiNVjMO4BxAAREISFEl + Wo2FNggjhBoIAMNYENcpodvDzDRmpo7We375bn37Gsa7YCAKBaFW0Db4/FO8/mPcvrkz2jFGe6Qw + DOf34QALEA7M+XMnzrZbcukTvPFTrG/4XnfxxJFBv0RZYGoOR0/5Tndw5Yu9D96sr36+Z7W3tICl + g7CMlIAIAKk9WI/seG+IBGdBBonRKqLBzYf1h5/c2Nq+YTHsligtjHoJQEQUKMTaVLjW23zkpMyl + TQEpoFthadGcP/dKW/PVy2uXL2Fra7JcBEhoGCKEJmJvhBDBhNhCIgwslFQoSa1UA0NgSIy5gzj/ + PM5eGExNdR/cbl77qzs/+bt6axMpjQgpoBWY1GK0g3d+ij/742t/+eev37ixnDVCIfJ4nFS5KtDr + gglJJMQYJEaVzAdgA4BUbKZsAxjM4MzpgxadG1+2f/NXuHYFozG8myhJrAc5RINRaIbNdp1WTRmM + R9nD8ZOD2ZnpekhffHrr/u22HWeAeijYNS49Wt54711cvoTVFSRBFLBtO30RbNVx2CSUPTz9DP7l + v3zx2NGTK8u7P3rtg1tX2/FeHlEH54B8ACSNQTVAI0INtBNmN5M3XBWuT8k1AokYba89vHdtNFoX + 3QFDLUyFcgBbQhltWDZmXDjNAamGUNiCldq63lxvYpioBplgEJ2JoEZTA50oqUX4cVDdP/LnnwwT + /mOPiAAwho01mFg1ZZE1YmjWVlc//Oijfn9qcXGxN5hKijbEEPXW7f+bvfeKkSvLzzz/x10f3mZG + REZkRnrPTCZ90VXRVXV1VbWT6ZaEmcFAgIAxD7sLzO4+CBCwsw+7Gix2oH3ZmdWoR2bULanV6mpb + xWIVXdEWk0kyk+kzmd6bcNecc/bhBilhH+Z1Z4C5IAgCBMjIiLjn3PP9v+/3ze/v7QcDgWAgQClB + CAGhoBq5Qktmdm/85drY4wf3NCVyZEjz7M3y+tTy5M9+8cnj+y9ct6YrGsFSck9wAcQXd6lAGIGE + w/2Z6cm/+etDVN7iHooE49Wys7I49cUnnzx5NAtCHewb6O0uRkyfWS5BeEJygTggQEQiJAWAAEbM + RL6gdbR1P5vZf/Lowd32YtgyOtpbLUNjFEvBK+XDUung8GDfssx4Ip5Vs0xVA4Fga7FYbGmOhkOz + M1P3v7zT3t7a1NQUDloKQYfl8tOpmS+fPA2Gwri7oz+fpQQxzUgk07phlUprL56N9bx8kYj2a4qj + USfJiBE0fcORkKJeCCF8SxpgYBgowVo4kaCmur25NDr2AGWt1vaOcqVqmpYZSlKsZgrNZy+ee7Ix + P7My+/GPf2iCkKVqIhpB4Nm10s7e5qe/un3vznMp1O6u3qMjA8EgYAKS+/Np6ptDJOL+YRKQAKCY + aOFwKJWOTEyvTE2PP3p4v7GQsxTAbjkTNSOGAMCEqggTsG2KscJY3WGC/Kocwf02Z4wJpYwRDAJJ + UFVGgsF0Q+NA/8Dm1s7ExMSn1z+tOW5nd69mmJQpElClWq1Ua3atKjhPJhOtxRYflUAV5rmulBJj + jKXkUkjx+mQhQAIBzDABhBCSQnKQtJ7Jk0ICAZWpxdbisRPHxyfnFhbnf/azjz1GOlsKUUsnkiOQ + Uspatbq9ubm+vnbi+PFMYyMhlBDc2Nh4/ty59fX1tbX169evq7puO04gGBIAtu3sHxz8+Md/u7o1 + 23ekrRBKJRPJE8eH1yeWptdXbt+5KcMRqldiCdD1CvNkaX3n4c27n312c31rO188dvbCuUwmTVQM + UoBEDqdVR9iO7XlVP83ln+U4AokJxhQQSOwRKhDmUnoIKPgyi8spl1xiqqiK6jGKhFflYAIABYIJ + k0C5JEII17M9DwQHIMBARUQFt1J3sTCMwOWe47kqJ0AFSAJSCgFSIiwR4VI6ri0kIAwgcEtr9/kL + 4u7s38zPT/385z+N6KLWnglZAQIAnqwIvOfCZsk53Nse6e0MJMIgASQRggmBMQJCPIo5AimFb5Lw + RSXEheRCYkwQCMltKQQBbBqhdDqXy+UTyejS8vyDh18W25qK7S1G2HKQt7E69+Tzzz79+S8PS1LV + 1EO7xihSVT9QjxhTItHoxvbqxubW6NPRvGDphEagdLizOPV09Be/+HR+/hVhSUYRBscH0Pndi8Fg + zLAinMPExHhy7FFDMWnjikedAK2aCUUhCsJEAiAsVY0gzKUADh5RjXRj87Hjb80fPp/b2vjkFz9V + 5AF32gO6jjmule315flffXL985tfYqb29vacOjEcMHxbFQGmIUI9zoXkPkTbb3KopyUlAkC2y7ng + WGUKxdgvOMQCCPHPQwgQ9q1Uf/+LIKJiLSjR2szUVPLZ6FShwcTcxDxOnExEVWOJzs6ulkJ++dnS + V48e3LnbSkW1oTGFCLE91znYffHoyzu3705OTneevnxkcDCfb7IMBGDDG3PYPxAHhRCcC+wfOClm + KlVUSomvTSAiCBc+roZT4mGfQSuIn1D1P/2a7QgJlGBVwUi6QgDGLJnMFov7+XzT/NzWw4f3um+2 + bR20FeINIao7u+WF9bVHz1/UAOUawy2FlK6qjse5D/zDUgIX3PG4I5FETAlYwWQsxvDC/PT040cP + 40GrrZB1S/t7G8sLs1P37j18OTnruqApiqFT5Ad4iQoRvVhs7WifX1x/MDkx/uknn+gE8qmYhnhl + d/3h/Zs3Pvn5q+V1u+YB8jSGFIp9dZwFgg3ZfK7QqszsPht98uXNzyNU6WntDCpqADkmVHllb92R + 6450dCse0oOFRonkazd9/U19ozsoTNF1XdeN9c2Nubm5yakp3TRj4UitXFlZWhp/9tyfhPkmXlTv + owYMgH0yT30w8TolhREAxVjBmGAMr/kX/ooqOZeEoEw2PXKi/xeffTK/vvrg3leXrnwL8iABEId4 + InrqzPDYizuL5Y3nM+OffGJUtkulzXI+2ZQ0dU24YFdWq7sT6wsf3/x0c23n4vkryVgq29gAlPin + d0ooBgFSSMHRm2hP3SUsASMhxP379wllwXC4UChEYnFTkXMvn9y+effOl/c5jnTkMwO93cl4hBAQ + HPRAyArHJF5YWlp6MfYUA5gKIK/iVvZv3b7z2Re3dvYP1ZCHuMMQEADPdXY3NzeXVw43txOJlBJP + k3BMElJbm1969mxldQthlM01RKMBXWeASENjvq1tP5ttXFqau3GjmkvrvV3ZWLIgmcVBRc6Bc7jB + y7sCsViiMRhOMIMgDPFEY3dPX0Pj7UeT63fufHFyqJ3JSjKZrtXclbWNufHRT2/cmJuZDYYDiqYq + BCgGfzgpuMASIRBCcFKHviMQUkhACCGQgMBQmEKpjyIRsp4mQJgSqiHChOCeW/Gd1lIixPRCU/7t + c2/96Cc3F2enfv6zH+/zEm9pbFC4ySt+qH1vd/fR/Yd/+/HPt7d3Uy19R0eGc5m0P67HGDNGmB/C + dx3XsQUHAL63t7u0NLO7up1P5WNWTNMlR6XDysbiqr25tUkpzmQaLMuilALCbW1tXd3d1vXrk5OT + 7LMb6XRjIdMUC0YZoR7njuOWHXvrYNfFsru9I6YZBAiRAhAmhPiRxDc3hBDS/6J6XEpAjFJdVwmS + yMcUQr3NWggk/Fy954IQhGCfvA2+QRdJ4FzaNq+BUEEwCogCoWrACoYD8692FuZejI3OxHMRUD3F + 2yymlAgLxRKBzs7O+fnyy6mpe/fuKBpq7+3SwgHQGPIErrlQtquVKlapGQ5ls1mVUYQAUUIpJYwS + SjDGIKXgXBAu/AXcH+Gh12uqRK/nOBwkAGbxWDrf1NxcKLxa2xobe/rZp5/39vfk0ikVI16rHO6s + L89PfvHF7Znp2aaOYx1txWymQVMxSFsIYduO63pSSEawguvMnDrFgHMQEmNMCVIoVhlhBGME/DWz + oX5X+r5of9ACCCQwRUs3ZCzTXNguvXj+LBunTKa4Uw0GE6FQUqf+/4AAESEEd2zgb3YKv41bQbjq + E8qBu8C5BBDcQ5RCNNbb1981a4/OPnn65HE4goQ8HksaBlOF4wrXcz3Ptp1KtcYUtbunR1E1KQQH + jP2hok+ZAACJABMAVsdHSQGYhqPxru6uRDS48GLmixvXN3ZKoVjjyPBQOpE0oQquQEwRmPlaPUbE + sNhAd9fKdOfMiycvX47ffvz4gEM8aOmE6Apx3Ore/tb4+IuAGSi2tAYDoVwud+HixYmJyZWVlY8/ + /rhmO60d7YFgUID0XKdWrWxtrDuVskZpa3MhoGlMUYSUnHMfMyQBPM8jBL9eJxHyyQ9I+sBWhBDC + eGdnd2l52eZcICIQlq9zVWGTccEBgDG2f3CwvLIiAElCJUISMFM1wzCDIdNxxfbOzuzMjGUayUS8 + IZ1CGPtluch3qwouEcEY/FpVIBpH4HHBnVo9t4yAMA2wAcRpbe9ub98H/cnizOT484bSlbOqgQwz + 0NHR1dJSHJ3cuH/vTqHjSChhBOOWHlRDRCjOobu3sVHBZRlAVkM6TEJJHTADj4OQXPjODE8KR3Dg + AoAKYBSolSsUz7x19tWnjxdnJm988gsoDdfa8vGAiu1D7B46ku55ykZZrq2tDXUWk61NAACAOaKe + xEICAkF80PibzQb9/b73/zWaSQBMAFMAQajCmEIpXV1bfTkx0VxswZQAwqqmRSORUDDU0d1ZaM1r + z8efPX8av307nYg1N+VVRpFXk25l8vnT2zcejI2+tNTYkaOnis0tUdVg/u1Uz2H6gzqECEiQwvNc + V+ESVJWqTGFYA17X9zCFRBP0H4Uj44m5e0tzC9vXf/YkvNsc2MplYkjq4FDwAMoVubq28fNPv9xz + Nxpbo8VCe7MRBgocSQEYhLK9AfPTMB4Ag3AHg4uRA47EHBHBGIQM1pBQKAJFgVQjEAmIgMMBM8AK + CAIegoAJGyugqYBwORwV6UbI5gARIBSwB5EE5Fpke2dsZnFz4vnSrevNze00mcGmGdzZOpidefXo + zuby0lY01vvWuWhzC2iaP4XxH2LfRJNI3RaNfVsoYOoyBRSKkPQNiSBVf5j3RnpCIIALwLSqqlVG + Ne5RwYFi0HUIBgOaqm7vH6wuwcI8RGKgqoDKcLAKD+4/fPJ43K4ZvkGYEkCozsrhwhHclpxKDsJ7 + HXgkgClgxIUA1YBEClpb29ZnZ1YWdu/fAlYGngWE0F6lXHJqpMKef7458eWe61CCFZDSr131BwD+ + iiwRNKbgSLc1Nb4yN7NTrqRckIlkvDEFhgJIgh6AfCvE56x702v2s1VTdWNhNZ2EaAQwfj2YUcAK + BQNBw13ZX1uCmZfgNYGqAFTBPYT7D57cu7dQPig3YNdgoBIgsg5d4xgQAUaxgpBPqPCh4cJH8wDo + BuSa4NTpkdu3H09Pzd74NHxYpc2doFu+L4CD5OUDt1YWGMmGxlAqRTgHQl4HNuQ/OCuABHBCUaW1 + G46eClec+OhX87euT5UqK5i0xxtrhqFI6WIQTpnvbZHPPn0xtXorkKpkCgGZSgMFT4Dr2YRwTQNd + 9almgksB8jXtGYPEIKEGgoMg/j2uBaG5CKmUOTa7evfWfFtXm6qzXDZkMEVBgCXYVbtyuH9wuBqJ + 4ERKSyYCSDJVh1wT5HJJy9ienZwfe6Qk022JtGdomACpHNjjTxdmxmc6O/u7qBpJAeeAidANZldq + jrvreKZpQa4AoQAsLmZ2P332/MnE0wdbmUxj1xEwTMYIAAUJHheO8IT/HatnTfxUDLEx9gjCwEE4 + IBGsruw9Gx9PNjZakYiuI0YCkkOpBAsLsLP9itFaJEwjYeoPhxiFoBVUaaB6iGYndhda0nEdOAYS + clnECZoUIS7AIUABdADMvTfC93/p13+Tbv9zF3r9+CaERFIgjIAQhBEAbK+v37//4I/+6I929/dj + sdjxEyetYKhacyanZ54+e7G0sto/ODTQ39/Y0KAqGKQLujU4cvz24sHP7jz95V//5fKDe8/7BxXX + frWzPDo1qgb0YDAULMb2t0pY8kgoaOg6SAHCAar6MMpEc1M+EXw6em9jfur69RsjQ0PbWxvTz6aW + Z5cPtw+D0dS1S5dHjnTWIzPoNThaKqg+RKpK31uhxgPJ2KV3ru2U6b//4z/50Q//8uGXt7s6Owv5 + pkQ8pqpsZmZqenpqZWV5eHj40uV3orFESNUwJoZhDPYPXLty+e8+/vjmjesvx5/39vY05/OhYODG + zZtTr1YqEn33u9+LhMJU08CrgqbHM03JVCNGm1OTL//0+398+0YsYOHGpDXSVvzGO+d1ggELqWgA + IEEg6QJyJWIIGAMIBGIDw0dfvXh5d+nFX/7wTx8ujnV2DTx7NtXfd/Sffvs3OuINZiZ77uL5z8cf + vLr7yZ0vrq/NLna39PT1dFEidrbXnj0fm5mbcV23q+PIsWPH+vpbDQsIe2M0pwi4kJ6Uro83ACQA + KUBJMpVsbc9PLSw+fHhn6/8oFzs7mLTtw53f/e1fO9VXRAryBAghQYLr1FzHJr61ASGCiKJSqSqA + kJDS426lXAbhsfoWhePxxAcffbS5s/uDv/qbH/7wh/cePGrv6OztHyBU2d3bW11be/VqaXNzo6ur + 6+qVK4VCHkN9ekYpldxzHBcphCEVE0p8tYFQCdj1kONAtVKzqxWFAkOACRBMPXC4FARQQ2PjyPGR + 0bHxz54++7sf/+jmg3tHerqPHenXGS2XSxvrazPT0zvbOxQj5b//74KBQCQalR5PJpPvfe29e/fv + T05O3rp1a2ZuLpvL5XJ5T4itre2JlxPlSqWzN+85Qno4YFqnT51cHJu9Oz4+PT2x8Kd7t279qKur + IRan1OEPb365PL2wd4gamvpOnDp28e3ziYTli1ZANUIthFWEygqDcAgIBscBhFysYgIKSFRzqjW3 + ZKqGblBdZQj8hlKqmkEoVTyJKzXHth3CbYUI8vc6DXY4djnGAIyBaYCu+B8+BqBANceVNdvm3JGy + RghYFmgEMAEEiCJKGfOkFJhgSgR4dcs1UD1bPHYi2HV3/Ivni7e+uLHwcnSgs6W/u9O1K9XSwfpe + 9eXixtq+TaT7B//z/5A6f4oaDKQmpFoq27ZdEV5J8CoCn3arAOKAOGBBFQUTZjuO49SksBUCBDAG + NWDFenv7zp9f/dlPr9+8+dnMzMTg8KAesvaqB8+fPVLtjeZoNBVL0fXQ1rhXqx7WKhC0pAIsk8le + unz1F/sfj714/q//1389fO5yd0cGi8OHX15/+eQrt3RY3i2JeIwQqWtgYD/QRxTVzOZaotHGag0e + PX64VtWezY4eOPvp5tRbR7tjw91WmHncn+26CHsIXIJBZypIPZopvvf1bz2Zd5/+8vFPf/KjyRf3 + OjubOotFZMvd9e2p8WeLC89cd3/41Nlz588ODzYxxdfgMXjI9aSQkgvucde2QVUAExD+0ytTQDdV + w0SYiMOd8sGuXQPCoP5YJESd+/X6JGLbwBiompFv6WzIt6v69PT09M7f/HB16nlIZxZFTWH1H390 + LZUOFVuD71w8v1m9+cUXN/7Nv/nDn7Q0DfT3pBtStl1dnJ6ceTa6s7xImTI8fPT0yVOhAKozkYBQ + Vj+G+w2lAJgRBRMNXCJAeML1hCuEhwAwIIIUIHrVlrVqFdyy9EpIegQDgOLzzgEkZQpjKhfgei4I + R6FCxQBADCNaaC5evvzOT35yY2xq7A//9/8tkU20ZwtH2nvmX0zdfzq6fnBw6uLFrs73OQAQpgcs + xdBdJEvVMqE4YCohYEhWgGnRcGT4yJGptb0Ht+78+Z/+2eMv7w31d5d21tYXZycnnjc15XJNxVf7 + 04wRirlwgTMOggLCPb29ly7x0ZczMzOTOxur408eF3PpiMEmnj5cX53l7sHIydOBpcrnj2Z5rezY + Fc8DihGohp5ovHTt6xs8MP2Dn/74r/7y0Rc32wutA53dmagpK7tzk88nVzc3ba9j+NiFsyeaGqKa + xqSU6M2m+w8u3dBj8VgkGpmenblz967tOMeOHevv7Xs5Pj725OnoV19xxxVSqorCKCZ1viJg3+Lk + uw7eWIykBMBCEtcD13Fdu+p5NSk5YAAgoKhEYsAyHI20FPMNmcTk2vSL589XllYOi3ndAuGAorJc + Mf+t73zgmOqLf/fHL58/XRif//ivftYYzaQsI0ixU9pbs3fXqttrlZ1CrrWxIZ2IJ3SNYN+szLnH + PYIkAsldV6EEKwwIAc65EJQx0zAj0Ugu17SyuvI//Y//qqevP9eUT0SM+7evz0+ObR84R86fO3f+ + rdZCKmACRkCYUmzr7B4YejI+fePzzydfbZw5e7apISHcyp0vrs/NvNzeWGGqbug68hzkOkIqtVp1 + bmH+Fz/++JOf/LSxMZdqa29o6yCaujP7cuzWjeW5+YauYx9++H4hn0YIZM2zQsm+voFvfuOjv/3F + 7bt3b029+PJIf2tLe58VTpuhBK/sPbrz2ebynBUM/84/+icnT78VieSACy3a0NcfOPPW6a3anZnn + T/7wD//wRm/H4ODgxubm3Pz84tSLfDLc3NoR9LRVj1TLB3YVggooDDDFgMGT3HMdSkgdqUYopux1 + 1s0D7tb5hoQRxBTk48OxK7FAFGOkIE54zQOtXK4FKCu2tn7zo8DE5KufPX741//pT2/c++J4R+HS + yEBl/VW5dICBf/Xo4eTk7P5hpa2ta+Tk6TNvnYlmVADwXJsgV4JQVKrqTNWoL/cL7u1sb//k7/7u + r/7irwvpQntTW74lTVR3c2dxfGr70ZP1YLT76+9/2NbWapoWYFns7Di+tTty//7TmanPb9wYfTrW + 19nb3twajcY451tb24+fPlnd3oymk7/3u7975shwsDEDAICEEAIwJv5Ezh+vIQZYI4QJgTgHz+Oe + 4yDJ65gFigEpgFTKVEIoSIm4KwXHBOp2LtOgmo4IFm6NCk8loDEgoIJ0QeJouqGzp2tiffTh/c8O + amuZYubAOXQOlv7Vv/hHpwabw1HjytWrpRJ5Mf70R3/zV7fufJ5va8m1tkQbUgHd2FhYWpmen5mZ + KbS2nDr71nd/8zdZOOL7yz3Pq1SrlWoVIWQaBtU1LIFz4RewyTdH23oJsKiPtSQGYFYw2j8w+Nu/ + 81vf/7P/9PzZ8z/4g/9laHiou62YTceXF2YXpicWpia2tzZyue5v/9ZvHTt1Ih6mSAoAiTGmlCFM + ACRwDwm3nvry8+qaCo6OSRWBlNzhrg3Sq7sVX0c03syAfNEWAAARwwy2FNvi8a/2nzz5y7/4i5kX + d1pyoZ3N9TOnL5w7f7kxGXcrNccVCFNNUU1d1dlrlrcPN5fgOK7nuhgEcId7jhCgEAKYAcKDQ8Mz + G+wXt2bHnjyeiKVdLQAAIABJREFUnXvyxc2PO3sKTY1ZjSnbG5uLr5Ymp6Z0Xe/rH/jn/+JfZrM5 + QnDNtillmo+89avs3ijOdYFYAMFqIJTPF1qacxOzczOTE0BCubbshXfebcpmMNi8VgEc8LNFvhJC + JQz09JLq9tMnD0ZHH9+bmOkbHDre29vdXKDSW1iaH3vx9OHD++fPXfi93/09IWUymRwZGXl65tln + N258//vfv3vv3uDQ0KkzpwPBwNbmxoP795+NPbU09dqlS4loxNL1w8NSrWYLISghGCECoKlvWIsg + hCD+Ou5x23Fcz7Mdd29v/87dO+ubm+FYnKqaw4XtevFkqrO7e+RIP+fccb1Krfbo0aOa48biSaqq + QqJKrRaLJ1pa244dP766svLFzS/+7f/5b3t7u69dvfK19961DF0KrlAiBBdCMKZI7AfykCewzbEH + QAkzVRpQQa3nOAhCDJCSbmrpaN9pbsqubm/MTE2urW2Y2WQkFDV1duLkybnV8k8/e/Afv//vP7v9 + y6ETQ6lcKqZhZ2d9dXr8+fz2q23n5Nsffu9bVxtCRV2hwFRABDMFEMJYagoyLVBVH+YmAeFUU/NH + H33r+Vrtp/de/Mf/5/++99nPeztahvs6FXC90t7a1u74/Or6gR0JR5Tvfqctk9A0AphyzDwgHufc + qWHh4jpN6D/rLEMACHPHk8KjjGQyuUJzcygUfPz4werayuTMVK1mG1Zg4MjwmdOnY8VULF84dfbs + 5G75bz+/+4Mf/NmXdz8/e+ZMIh4Dx557+XJh6uXywo5CgsOnT370je+kE2kA8MAFQCBd4ThYMQgG + ISmSFkWYKoYrwLXBrhBS0WU1rEr/6VT1X10gAV//dnRdbXl1fXxnrfS3P3j4+IcPM9EwCwU8RtZ2 + 1yrVbds9sOVWoT+USuUMU/VrCRQLNF2XXHtyf39/du+zH2xosGIDtYGUXQ64Zlg43RA4OpT+zrd7 + meXf6wAA4GONKAAFhICAS1XGVDBMCARtTSshkuAC/Lw6xmAFoasPvf/B6T/+k08e33859XKppzdf + bEtns6mnTx9NTY2vLR2ErcLIkcTx05Bu9KdEBAN5gx6WwKXkCHmAMFBMVKAacNhznJ1aLSA5qBQ0 + tQ6+A9/lJQEkcBfKlcrB4Zz0UKUa99wcSKAUiA6F5ky+qfXV461Pfzq9vhTo6NIUZe1wvfL8/ouD + tbJwLJ0GfWgs4gBI9ec+mLoSDhCqqcpeIBDWvDrYFAAIUKQCCMi1wPsftk/8h83b41P/YWNltBjv + zAYSidCLqcn5lSViG8pBIIlyx44ft2furrrrtg2ODZ4HkoIEAAKqBs0FODYMn31WHp+dXtx41dmV + KBSjkSAQCdIDT0JjM+RbLOOJKJc2TdXr6wukG0DV/HcIQAVwoaVHH1zKvNzeuPmrX24sxM+c6oqE + RHX/cPT+o7XFpbUdRUUhHRGvArz2+n1TgGigaAxLLmvYKYHDgCuCMSBEYECSMkygMQ8XL+mLryJ3 + bk3+8b+bv3kz1dbZ0NyS1g3CeXVra2VhYergYKupELx8beCt8+2WJRnxfzxEEGCpSQ4CMQ4IY1B0 + SGbh4rvE8XIvxxc3VqvXf7b+9PGcYXmBgBUJh0ql8sFeZXe3VCofxvK1vmPdkXDQ34sQ85jqGqYL + CCpVAA4hE3RV93cXh4HDwZZbHG1LVMIQwv72ZUEuD++9n3G1/c9vb/zdD5cf3Cb5XLY51xILJigS + ExNP5mbG17eef+3DI5ff7Y1GND/+2NAAR4aKi/OHt26N/ujP9x7cepXPJ7IZU1erjx5+ubCwjqT2 + O/843d6bIxg8F6TABCkICCWgaUAYYARWDK59CJgdX/i/Nn7ygxf7eyv/LHE0lVUFAiyAQxVQVWGa + QoFSIBzqMjQFQVY9sVUpbyMeNzVgLkxPlP7iT76sOjQaL+Tz/eFgk1vT1zZqT58trG85haZ0V0cm + n9P9M6EZgLYiS0eLj7e3P/nxfGWZP2jSKmK6qZt0HgscO9GsmkCYDSAAFMGx6wKVQP+bdPtf+4Ux + 9llj6I2MyzlIAZRYlpXJZQeHhkZHR1dX1371yScIUy5kuVo1rOCF8+fPX3z76NFhXcMYAXAMTC22 + d12+jDak/sWDp+srr27s7FiEqlG9s6eve6DXAnP++dzMixlTVwiSGAAQAcw4UjBTY5FwU1vLsf7u + E32d08++mpl5ubW5WC5tV3dQkKaPD5/tPj18YuR4KmUgAOyvvhgDECmZlCClC1CFerFAEAj0dPd/ + wJmha09HR18tvbp/7+7E+DPLNAkl5XJZSpFMN3Z0dbe2dRCmcCFBSkZpd3cXQt+IxSL3vrw3Pv5i + 9NGDmZcThmHsHhwkE8liT//R4eFsLldP1AsARTvz1vmlSuind6Znpl6uLopggJw9NUQ62xHyUZEU + EyL8fC4RgDyQCpKAJahqoNjZ1TMy+GR9amJt5vGDm68WlkCY/Z0nDC0MhIHJ0pn0Bx9cizaHxp6+ + nHqx+OSrseVXywCO6xweHpaKzT1dXb1DwyeOHj0ZjoDHgQNgApT5ew9FIBDmAC4IH7BHAdFcPnfm + 3PGdw4PHk3OjTx4triylo4F8QwJjgpgCIAFRQzethgZDVUAIzj3KPYFAUowwRRgjQoLhSCxWUlVK + iawbIRA2TLOzs+vb3/lOqiHz1ejT5dX127dvT8/MUqY4nufYDmEsEY/39fa2tLRQQt74sXy7AQHi + CRBY+BPa+t8QRTdDjRkSDATJ6055IYEgwAhjQK7jGIbR1tH+7V/7TqhY/PSr0eml5adPn6wuzmmU + SClcx5FCJOPxzs6OTGNG0zQAQBiZppnLZX/913+tsbHxqyeji4uvHj96PL+wCAghjA3DOHHyxOm3 + jqYSDSo1qFCDMeXiO+dc07z9dOLpwvL01OT66qSuuYrEpa29eDg5eHRg+MSlIyMX05kwYwBuCYQN + DIhixeLpVJJGwqasm1CBEIawIoFyAVbAiCWCRoARJgU4HrjSFxsRAUQkZlYkElM9MxbUlHpeHgMI + iSWwQDhBQmFS59gCAMj6SYwSqlmWlU7HDJ1gJAgGkMA5eNLB2MWExBPJWCJVJqYVMFTVlwopmJF8 + c+i3vvebiQfPvrp/b3Vh/v79r2YnpySvYml72KiCkUgUuttbGtINjDEAAZJK0CjTk4mYFCGFcgRC + CkA+8196fuEIYWo0Fo9GgpbJGBESkOdhRbV6ensBdF03Hzx4ODM78+WXd7HGBEPZTHK4rf94d3Zz + ZZU8r60e7ugalRwEcAosk8levnrVO/DEvbHZrfLdL++8fE405hjM6+sfbM3mJsbGJvdqBAuCbArg + g/sVSeKxxqNHz1x7t3TzyezS0sxOdcOj/Ih6hNFBhBgIxrkbDIci8ZBqUkVBBIHHPYYomLGmQvj9 + 97+uRVufvHi+sbV8//HDqZcTxJa44knPbm/v7u5rGT59pmvoiKWAw0EAB0yA6lYgFE/ETStmmoZ4 + XYRRvySAQKpuRWOxWEbTNYYkMAr1GAQgEJID9wN4BEBlQDBgxCAUHzpx4Wv72p9/+nhpaf7OzlrQ + 1Ps7O3rzA1TVgChMJ2fPnERmPJ9Njo2Nrmxsbn52IxYNSuHsb6ynw6FTZ842dQ4cv3ylpVh8zUDC + frMuIAAPRH2+/bqahwtd1xOpuGKGKcO1GtdMIQBhqWCih0PhZDZl6RiDkD7iy7+PORdSEqoaRsCq + VlUFqVRgAA6IIr2hIfPu165ZwWj6buLRs6/mZ+a25leWJmaJK3XDODMwcOrcWx1dXYYVkAgLhBRT + 10Om4ZUBBAigGIHHQSLNClx46y2HGgqh01PTz54931xbMqhIRczLV692tHdUK9Vt91MzElaoYBQo + poBVkDKZTB4/Nvy97x7cv3tvcvzl2NjY0sx4zFIpOB1dPX297Y3ZJng8NTG/G7Y0lWLPcynmQDCo + Zs/A8HtgcS02+nJha21z/PnTzVevLAWgdsjtMtf0SDrT3lJoL7YolCKok8L//qMXEoADwZqqZbPZ + 733ve7l804MHD5aXl3/5q1+NfvXEqdUMXT9/4YLGlI21tcfPJgKWoTKMZZ0kSDAGyeutE6+lFx9g + EghEEolkjSuqQn08A/IrejAFzyaMJlKxkePDm1U2tVJdWVpZW91JtUeJ/8UD0d5Z/Dp9V03ExmYW + F1+uvZreWFxYWnUdAwkFOEtore3Fsx3n+7qHBvr7IuGwP/tBABhjAOx3JCFGsa9LcgESCKGqqiZT + KSsQPHnqdLlau3//wcbG+tLyMgHvcHc9EIpdONpz/v2vD545FTTAbyRkBFraO9+6cGlrv/xobGJ5 + ce5XPzsIWZqhEhDe4NBwOHT+/tg4t+JhQ9WxZAiYqmSz2ZaWlsZMrlSqrj8dffhygigMlw69/dLJ + U2cHzl09eeJoPK4RDIiqgK1czvjgg68FE5lH95LjT+/Nzy0sruwAM1UjpCJe299KhOLtXR2ZXMYK + 6kAQSArMjMSMq1cuCT1w06JLs9Njz8ZXVtccxw5HgqdOnzx9dACqpc8eTSBbiwQN7gLQNyFviQAI + wfVeFO4B8ac1KGiayWjEUBgIAbYNmAOV2CctCPAQ1QKhsKJFLM2kUgFQFB0kEEvtaLe+95vfinUU + bjx/Nr2xdv/el7sL07K0x+0yeE6tchhLJC9cOd535Fj34LFgKOAznAjBwIWUPBiyamUaDJp+WT0l + OJVKtbW3d3Z2bi5tPfzqq9FxQRTXk6WqY/b2Dw4Ov/vutfPZXNKnGICqtbS1/sZ3v9v+4vnDF8+e + jI6NjY0uTM+qqooQFlLa3Ms3NXUP9jcXCoFg8PV2JYUQdVqoAO4BoS5lgAADIoBoOBKLgxYKWoy8 + 1ha5BMkBcwnI0A0lnbJ0DYNEvl7JJVQroLJwNKwHQ0GFUllnbnIORNVaursvXiWz2/bY9Nbo47tz + S/FAItKciQipetIgitXa3vbeu0LT6MPHj5dWVmdnZueXl5Cm6IoCNVeVOJaIt3d0tLW1aboOANKT + iAtCaTAUSjekTdOUQuA6kFNQ8g/Q5fXffHSXz6fGABSIks3k3nn7osP53XuPXoxPzUwtLM8vhEyl + Vt4TtYrKtHfeudpx6tLQmTOJxqACUGcXIYwwtqxAPBq1DE17zSoVAupgJoQRxrFoJOmJgKlJ4XkO + YPbGAuy/HgKA63QjhAFRzQo2NxdHRkYW1r3n4y9ejk9srxHu1AYGjumarmuGrCpAWCAY0ixTYwQL + KQEJ6TNTEEgkpTR1LduYjgQtXaEEAwEMHIEntGT61Cnrn/+z0K1Hc9NzY68WFza3F01NVwh1ay4X + QlXVYkuxr7fPNAyCEcJAMAVAXNYfcoQEJCX2OQmA/I0ACAHFiERlT1f73OL8bmmGBBtSufamQnvQ + 0gFKhHhSOi4o9VOhBOSBpqp9rc2/909++5PRqbszqyub29dv3Hh6lxkUeYhLAmfPnT916nQ8kZBC + YMZSqdTVq1eisdiDR482N7eeP382tzCn6TohmHtua1trR0vLyLGReCIupbBdJxaPVxWVUQo+K+kN + 9Fy+CTgiIIQxRdP0UCgUCAYXF1/t7O0ruk4VzZPS47K5tTUQDA10d1LKAoFgIp5Y39ioPXqs6jpV + VIlQzXbzzc2Esb6+Pv9Y57qO4PWurNfeXiQlSOH3YyIOIIUATIkeDMaigWCIgfA7AAUAl5gKBJJA + IFpobj45Mnz/+Rx37MVXy/FwMGKGQDWOHh3hNJxq6rz/dGph7dXNL66rAS1IJKmVmFvzWKS12NrZ + 1p5paGRMBen5j2BM1SKxqONFApbqQy8A+zVaHhiBto7Ob3z0QSjbNjr2bHNt+cH9+wvTExQ8wh0u + wUVqPJk5dWKkOZ8jGEnbQRiIakUS6XBks0IUnWGK/In3PzTfvv7TG1OgBACJKZVIAPBoNDE4OPRr + v/5rX3z+YHll7dbNLzBhhZa2keNnKNMAEVD1weHhb2uWlSt8/uT5q8X5O3c/Z5RiV1T2DkyqdHf3 + Dw2cPnPyUm/PAAlQB0ABQt4UA0uQHDwPmMIQDYEE4EAJRMNZwwoH1TwVgPnrV6qAFuctFvkaNFv5 + 5olRsTe2Vpvan1/DHmOewqpCj8TzxSatsYW0HtV6TgQiUZ0jsAWYISUWj0dDNWmHdlbBk8LEOieG + R/SS7Up8qBoetrXdjOXVAEwgFOoRoHp5sRCo4sEhQA3TZsOAYAjCEVfVS0yxKVMxASHBdUCl0JiD + U2caK9Vv3r2z/2zs1eKEsT6jWoHy7p7BlN6j/Ym+/tYjR5SmZjCs+ruOxRucFNQ7uZED4AK1iEJU + C6IJFnM0K1SHN/udO54L0uGMEf9fIQxCETVfMD2GYzGiKPC6TQV6+9M7tTRSDiZm0b0bkwuTbjBU + ChAjoLV1DTXYSuqzB9OWBswv6Xrdq8hUHgyDo3FTP0AoTCiAAEAeSMGFQC4QgFACho7CB3untfvt + U+NzKzO4PAuqWsNam0E7CZYjw039TaBHYNFL24ebiQQELCAYPCGQxEgCJqBrEA9BNu1sVxxu4qZ2 + qyGjUeI/BwPDEG+EVIZFovTAlok4HD2aSDdA/QeE+sJR7IWLon/LhfEFb3updPuTWUYUBUCn3cP9 + 5xXT/PLxfDwYNAhgWdegPQ8Eg0AogAkJKTEqgCHAmAJUAWwJDpA4AhaMQWcPfO3r3Q3J7qmX69s7 + h6N3xMvH+7qmUoq5tDAtxJLNvR3ZbENE0wghJahDSRSQGqqHZjBGBgKOiKMYXqaonL2Y0PCHr6Zh + ZtaenJzcX7ZXJFIVFUAalpNKsZPHQ61HaO8Js7GB+ss506UVwsGw1DQXIcYoYETqbTe+V17lVrSW + yqi6ISgGBOB5QCUQA0ZOgLBC8eTZqfH13TVvfFmde+SqeJ8SLmRcVU90FLqbGnKRYAT5uyQG3YCh + IUrgaDSUffmstD5DDxasxSAyzUCpPNyaUboHGvr7o4k4IASUAgLiOjIcjIRCBsKAMAADLCHfAce2 + w4svvzkz4W0vv3r+la2pajIBgMAMsFjMAJUp1H/C8VUWUAywIqVQRJoGoQBeDQSHdHzwSC8ffbK6 + PIWXXtZMvcxddFg9VK3EyZHc8NlT3Z2gq1UClADDDAImnDleLK0Xb1/fm/xKbE8hLRwIxizkhIhU + pHBcOPRcl2JCCGP0vw7LLQCQ3//93///+zX8l3shhBAgH3frg+WF5wkhMCGKpgWtQCQSYYrqet7e + /kGlWhUgo9H48NGRK1evXbhwvimfrzebSw7AsWUlU5lMsa1mO9h2pO2EAoHWns4r77938fLlrtYO + 7EmN0ky+Yfj4sGoqCiIgkKw6G4e1lb29gZFj50+funDmNMN4e3vt8HCHEC8VzfV3Hbv2zjff/fD9 + TDGhaEABFHCR5CCc5fmZZ89G748ttA4cae492t3cqAIoggBg0wpk0unurk5VVQTnlUpZCuG4rm3b + pmU1F1vOX7hw7vz5nt5eShlIKUFijELBYCbTmG/K6opSrZQw8pkSqKXYeursuWvvf31gYDCbiCkY + wK0BeEBQLByhVmJ9zwaqqwyCpjoy1H+0ryffmMIEA6GIUIEQgIfAQ8gVUsFAQQAFZOo6wp5QRFXU + OHYJaO3FvuPD544O9KkKAAGk0qZ8qqnY0JjKVEueXZWCc84dTWWZTO7Kla+99+43zp270JAOUAUc + ty6VYOJrPgghjv1mSsTr+xsihqqGQyHEiAtov+IQqmTSyaH+vqNHBuLxCGBR2dndrMgaMvqGj7fn + 0+mIhXmNS4QwkYCkV/Nq5d2dLWyEmzv6823djVGTSYmlhwg1TLO5uaWrpwcT4rreYankuK7reYSQ + YCjU2tp64sSJt99+u7OzU9MovA7u1fcmgj0huJQYCJHIj9fWDlbtyrYDaqHnVKZtKJkM6AgYB4p8 + wUJw12VEoYFIU0sxkW5QQ0HAWHhu5fCQuy4hOBgMtrYWT548efXq1Y729kAgIIVAGGNCGGPFYkuh + 0Iwxdl2Pc64buqZr6XTDyZMnP/jgg0vvXIiEAwxhDBgQi6UaOjo6tUBAYmTXDoVwuOcRYIVs8eTx + M5evvX/p8rWOzgZNAYRACNvjNkGoXCpv71cVK9ZU7BweGDIZ6AQIQxJxgRwQZG1pR7o40RDvGW5v + aE643CHAGFKpTwc8PFzb2lEbGhu7u3uHB2KWpoBUgHsH+5XtXafmxQvtxf6hSDrlE9AJeFRykPxg + Y/PAJqAGB4+eLBYKIYv4+6sQVYCaZ3ulgzKWJJLOnDh7PpyKWRQwcABJrFBrR1cokVAIkR4XjqxV + S4LXdBWFY6nmYvfIyXPvXb3S2VoIWhr4/J5aZafEy1wNN+R7ujqaUvGIUe+7F+AKbrvVSq10uLV3 + kO3obu7pyxfyOgbiugpWgqFoNpuJRqOKQmvVKiCEFRKORa5du/z+1YsXzpxQqcpxlGiJE2cuZJt0 + prgEaiE9kEhlg4pJzbBH1LLDK6VdJOyhwd5rl65cvXxF10xP1bNtbZm2YiYaROBhz1G4xJqR1K1g + vPHAFh6hQMEMGR1d7adGjqaiEQ0zz8arK9sK1WPp2PDJoWRjDLijgARMsBrIZZqbCq2BoOWBW6qV + HNuhngirZrE5//bld9776P0jx0/G0xkByPNAAVfBEjDZ3Tw42K9mmwqdPV2tHW1UAYSBSyBIUlEF + p7y9snwIlhYrjJy+2JYNBRXAdZkfQEqOkMREAEIAfiScSQGYx0NmMNm0eWA7iGIpTF3v6+29cOZE + S7aBMgxAE+lsrqm5paUZMHaFqFRKBEuChKmpb506de3ylXc/+FZzV78Z9j2ZQEESwFgi4K/TSASE + cCjyMHjgis3VA7sCDdlcsbetsSVDFamCiz17f7984DJsRPr6B9ty6XTEINK/t7nHHeE40nWWXy2x + cKxjaDjT3JywDOr3qmpWYy6faUhH4pFKtYIZY0CkJ7LpxqHjx9796IORUycL+ZymMgTCrXkba5vc + E3osfOyt05HGpI4lcWpYCtCMhlQmHE+apuV5nscFkiIVjxwdHvyt731vZORkMtl4UPVimXympfVI + T0uUICRtEEhRLT0Sy+ULhqYLl0vPpUhYOu3p7rhy9crXP/ggnmiouchx4dSJE81NmZBlAAiMJBBi + BEOJZKapuVXTDJUQJPzk7KHr2IlkvNjVNXxs5Oy5s/293Rr1e+TQa9ObDxKTICVgjAgxTbO9oz0a + iwGAbdulcnl/fz8UDA4dGfrmR98Y6B+IR2Nlxzl++kyu0GwYjKJ6yhJA1JPXiPrQEy6qtl0qVTyX + m6FIdqB/IJ9LREKaEA4GBBhx18UEKYwBoUixgBmF5pZMKp5LhxEGkB44ZRYys/lC/9GReCodtqIq + 0U3FNFUlbFmN6VR7X8f5K+ff/8b777x9JZVo0FQNBPjwa4pfq0oYE0L8k4cUHEnwOF9aXtZ1vbWt + /dLlS+3tHeFIuFKplioV17Gz2czQ0aG3r7x39sLl1rYM8Q0yAiiCQDAUi4StYEgiZNt2rVquVUqM + oIHBgavvXjt7/mKp5oUSDbnm1r6e7riBNYrClqkxTVc0QlnFc7cP9z3hRQyjo9Dy0QffOHPpcr69 + wDRQXoewNSPU2JTNNDU3pGKS2xhEzeWOx23PUwguFgqnT506f/F8b29PJBokhILEICllaiLTEIyG + LUPHgEEgx3Usyxg80v+tjz648s7bjenUxl45mMw1tXX1dLRYDDTkM445IIkRQn6ckjuAPRBOqeou + b1UlCw70dLdlo+mQgkEC1gRWBAdwqk75cHljU09kcu09vb09GZOYvm8HKaBZxWIxk88RQ/ckF9Vq + rXSAhcQYEIjmQtPx0+c//PZvnD7/TmtnG5cYY1AIMCRA2qUDZ3lpKxRM5FsyA4PdwZBOKQpYum6o + ISvsecKpuqXKAZeuZqoNmZbLV7/xzqX3R4YbDY1h8AABeELX9ebW1nQua4XDlXKZIMI9btdsIYQV + CHR2dV24ePGdS5e6OjuDho7F3xs1EcZSIsHBcyVCDqUCgefWatVyeWt7PxQvtHQMd3T1J8OgIkAO + B1EBsA8OdrdqUBZqz8CxvuampliIggRuQ+VgbXW5ItRUvq17YKSYTygUQADiHmVYs4xYJFW2PZfL + mltTNKWp0Hzq+NG+nq5oJMyophlWNpXu7e2hikIZkxg5nlu1a27NDhhmS3PzmbfOvHXubF9/fygU + RICEx4XHtzY27JodiUf7h44UWotMVXx57k1TKwLAEl7rSp6vDgAwwCoAUzUtkYqnG9LJZIPCDNeR + 1UrJdaqmrmQbM4MDAx9++I0LV7+ezDZpBmgACgCRDri2Wy3vbm3axGobPJFt68vEKJVAuaDCBnCB + 1zyXzG0cKJF0W3NTb0dLLGgSjOuvQ0qCfB45qa/1CAHygIJlhCS2MAvUajXLpAGTJeLx4yOnBgeG + I8Gg5LXy4e761k6yqb2lczCTzQVVxKRLwfMZvl7N3dp3DyqQb2nr6ch3d+QoSCRq4JRAVSKJQn/f + ccOKUSY9XnO9arVcdWq2wlgqnTp6dOT8+fMnTpxIJJJ+BxfC9Z4K/BrlK6X0u1Z9KVcKiQgFQhll + tl3DGATWm9sHe4+cOT3SlzIRoxUggBBxMQWk+Ksm4kCES03c0tfXmCvQULxUqVZ2tw821j3bDoQC + HZ0d3/z2t46PHI9Go9wTCLCmG40NjdlsrrGxkVBSKpcWXy3u7+8zxtraWt95++13Ll7s/3/Ze7ce + S5Ikv+9nZu4RcU6evNatu6p7umd67jM7M9wl9qLd5V4orUSKlEBA1BfQgwDqA+jL6EWAAAECJT1I + IAFBT6IeRArgswCC4nKHu9Pd1VV5PZeIcHfTg3uczB4u93kF7EF1V1bmyTgR7m63v5n97Se/Mpyc + 5HF8uLvb7/YXL199/6c/Pb28XPWdHdFbbxXKKoqoiZRS3l9fP2y3w3qlasW9YS9qz58///gbH3/r + 00/E8+6tZPVLAAAgAElEQVTh/ub25uTkVM3qaL/KYXv17NmbN28+++yzEMI8TTc319///vd++IPv + f/zxx12MqiKCe3F3MyuOu0sp25t329ubjL757Eff+dHPLp+9OOk1CAKhJMpMjCp91g4Nz6+uPv3k + k1cvXl5sVlqmzeX5N7/x2Tc/+27oV2Pa3+/uDvPBx32gvHn54gc//rXf+8O/9Ru/9Xvf/ezVKgZj + pmRyvv3ii89vx8vX3/rez37jr/3kk1UkykHKzJxQC2eXH7359PU3Pr28vMjTuN9v61gUUTk9u/zs + 29/9zd/6rb/7t//Wtz/9xtDFNE1moWR/e30/Ey9ffPB7v/83Xj47iVIUh7DAkjSZO6ZOKHgWE1FK + SV03bE6vXr/+5LCfx2lC5OLi4rNvf/e3f+d3X795fb42PMfzzaff+OT1p5/qupvncZqmaZ7IPDu7 + +umPfvqHv/9Hf+c//ns/+9lPzl8oHQ4DEslakiBIV6f3BUMEJtKe7R3vH/Lrz15+8r2z736XQYlV + OVihu+uG1cuP+fR7XJzIVTg9kxercNVtTtbnZx+8efGTn736nd+9+t3fv/jV39x887vdUOv4Jkrm + buxuD+dXz+3Dy+HlxfkHzy6vXjx/8er04ur8+fOXL168/PDDZ9/85vqHP7DVGjOkSrxksVlkdLZz + uUX2+KvxwO01mfff/eGzb3774vVrMyHnlKZdF7uTNZeXvHnTXV6c5vkj8WEewziOl+cnP/7xd/6j + v/3mD/+m/fRXWZ9hbRhdK+9v8gsiLlIHbZrkkEa++Op+OF99+MmbH//sxbPLCpNBIs+H4UgxJjyM + envwDz5+9cMfXvz0ZyEEzFHn6ozzZ6w2/e1Df3f31vPd0OVXz57/+l/76e/8exff+bFdby9ef2Lf + /w5vPmDV12wet2/Ll/svT99svvmTj37lO+cr6NkhCfdcPE9dEOg4f8bVh5y+PMnTxUpimC0n+e73 + vvWjn5x/8tH53/kj/uB3WF9ynYbuav+7f/DRBy/pO8gJp47tSxPjnvc34+qqvPrs7Kd//eOffa/7 + 6GUrqSKShLt9eH83Xz67/OEPht/7/Y8+/aaenVaABSmQGS549ty61evYn0gph+007svQd7/6q9/6 + w7/Z/cZvst9fvPqAzz7j00/YnCJOGnn3juuHh2cfPv/29z/58Q9Yd/SmSCqMzgEUXVlgveL1h3zj + Yy4uNu5Xu+28vT/kJEH7s83Jtz57/Wt//ePf/4OTTz6z1UaEbUtAFYFOvO4pWg85D4XrrisvX6x/ + /AM+/Yiz07DfvdqsX6yGiy6cvXz2+jvf++i3fvvVf/i3Ln77D84++faw3nR9QQvbL+z9dJuH/INf + /e4Pvm8nK6JS6lgIESa9/0rKWLqr8Qe//tGzb3SXK3QkZIhcPOfZh7z5cBP8lZYryavDtuwe8jTN + b9588JNf+eB3f+/Nr/y10w8/Dl1fZ+0QAleXvH7Nq+ebXq/y4cJ8bRK7bvWtb735w//g5d/9e6tv + f4/TS1DM+OLf6J/8q4dPPvn4Bz9bffsHWI9Iyb5freJJx+UmRunXZ3p2uXvz0frlhQDvfjHfsz39 + 8Pw7P/nmZ685C6hDZj9xfXdgOPz417734qPVZU9fOO/lxbPX8/TqsF8/3Bd8Rem6gR/9yjf+8N+X + v/f32Vyg3b6jBExFyVyccbri9nrotB+iPv+g+8HPXnznR+sPXmOWc76exoPqOoR15Rz6c/r+/vK9 + xJ8Q8v/V65deDrn4PE14MdWui3jhWBlYyn5/eHi4f3jY7g8jomJWnGF1cnp2vjk7VxOHqIQyU3bk + acr6bvSb3ZRu9+X+cDqsw/mquzjpNsMwkd4/lN2YB05enjHI4Ep2duP9mD7fHTi9OF8Pg8n29v3D + 3Vcl7TwfQjo5Dc+vVh+EKzv0jD0r6H0iT0y3/8f//r/8w//hv/1v/sf/6z/5L/6rP/jP/8F/+jd+ + eAKnM7SIIOdSrm9u7rfbaZ6XIdyIWYxxdbJerVZ933cxaO0PnCcvNTlc7m5ub67fiaiLFtGi1m0u + Tq6e90O3gc4n8gEmZGaabvbDz+/0du6ljF0sV2erF+t41huHbcZstTkUStnFkFUmyqnJUHkuKZTt + V9e79zfTzd4P+OkQXq6HD8/XetIdnf2Hfbndbf3+poy7Di8wqkyi4ez0xXp9LkLssUfOd6jFE4oz + KpPUKR1FyYpFSvHx8OW725uRrXdF4jrK6RAv12HTFXTi5vrzaXhbzuzi6vnAVczB9xBcwzQnS9vg + 4/1XX7ybO7v8SC/OL5SYUseIGtlzKdOUHnb77f6wP4yOpOKllL7vRVVVX754sVqvFMbDQfDVMKi2 + 2u/cJturZrSAFA4/94d/9W+u9+XqR371jSGygiFhfpCYhSRkyUYKeEgpfzlON/vDvN/lcezMVEVF + VOVktbq8OO9iJ5DS3McOkXmaLYSU0u3d/cN2N04TonNKonp+fnGy2ZysrYvu+eAJzSrSIdzt9u/u + bm8f7jSqi5vrYP2mPzk5OVuv16pkr2M/ivgoMqXd9Z+9e7jNg69fvnl1tsqsCqLsx7vE3WZ1tvuq + HG6kqPcvNZx6pgTWgT4mGGfu79K7r34xrO6urvrLzQBStlcqq8OB97eHd7cPq8vwwSd5U5sWS8/U + scd33F1/tQ2f3+vmxbcuTkKATiqp3UG5K2O5fXtbDmWKq/7q1dytTvN0Ego+omF0rlMZd2l8GPOh + SHnwdNeFg/SX2S69f74ZwuWJrmLB9/iB6X4a9V/fy61cPL86vYqcSmVXBp3wkXHPw/3bm5uH4XS+ + eHG2udxA7zPjVGemzFPabg/397u5+CyeTYZBX665PLPD26/ebS8f8gcXL1cnl6hMiS9Wc4iy5ma8 + PvB+lIdiPt+W6eZqE65OL85OTsf73Rcpp7Pz+fTsVOmZ1qRVKaTMbu9j+cV2usk6kSfJ/dnqg4vz + IacTPOjJ7dvttJMSff1sbaehkxzyRJ4gQH+Y7Wa/+/L+/d30MGjYzHIyiVDWV5uTZyf0nYdVRh06 + n7t8IPnhId3dTNMsw9npxcu1G7MzzmnVM/DAfJ1/8fM/3p+91Q/O3nzw4ZpNJs57BGKlQtaEHTx7 + 0c4kJbpp29me6Xo/2Z/ep5vSl+Kqujk5/XATz0NFNzrCuni3ndIX7949HCYlRZnVR8t5068uVif9 + xasHGbaGGIPRkyNqRWo/rQdmpXDoOCgHiu4/T/dfFMIqvjyJLwckn7CV/MB+/28ewp/u4tnz1y8G + LgOWau/uDCPTyHTYffn2nXa8eD2vTs9EzkXDNHpBYyzTfLvffXV7e0jJUrHZuyJl1Z08v9LT9SpI + rx5IJHbXD+P9uCWffPhyXsWw3z438FwnUzzMfr+f7u53+ylpmYaQzlZ6dXEZbTjs5z/+8no+OZer + 569WvMBlvsYLYdhJd3dIh+00bud02GveRcbNOp5sTk5O1gW92+ab+2lzdrlZD+uuFowkSHhORfeJ + t9d3++2hJFdUSvI8DV2woZfYnV5enKxXikNRngQwhTpenBBqFd5hPOzHw26/v7+7SyUHtS7E9TCc + nWyC6Ljbf3F9t372vD+/Wq+kQgCRQpkoIxl0jUbI6IP7zcMuv33fbcezZ8/Ozk9YRXLamYoGxXNt + ND7s9u8O883k69OLs251GroOSAcf72UVPMhtHrclT/dlezPmvcfkJxo70TTktCrrF5vT1aXSASUz + jWMfYwyaplHwYGqmRyreNE37/WE8HEpxNducnhVku9u9v76ZpjmYxChDZ3F1Opw9kz5Sx3tkfC5D + EC1pv3149/79w8NDMFMpZrJer9ebjfX9+7vd1mPpTi+fDWshpH0sc97Ph7vdze3dXRoPhsZwSjjT + 8Oz0gvPLvI7bzCrnlRV8hm6SsC+M27v3X/5ZmfZJgod+do3um747G/rVSexXFjqEjhJIWsRnk7v5 + sLs/3L2/L5MHc7XUdWz6+OL8VObpT68P73JfNh98/CpsYJiZp532RnApqAfc8Ak9wK6k8C8+z7/Y + rj58df7BiZ/HW0iwnmStQkgjh9v76+sbPZ3WL/rTeBHZsKPsmIUJbJiLvN1N14exHHZaEnlUn6Jl + JVt3tjl/tdo8iz0ZXIhgHIT9tAu/+Pk9adNv9OrFxm1MeR8i87w/bKfDNqVDSWWPjm6jc3Z6/ulq + fbZekccSZBuHzvcjGRmGQ5pv9/ub27uSCrmWGyKqFsP67HS92fRdtFws5xgjlb3QBdoELdUsssv5 + gTSW+fDllzdbXsTzz4YzziOrgkwFuSfsmO5+vuv+5S3D80+/sZZXhk0zeY+PXL/98hAO8TJcvTg9 + wR0V1hRlZH8778v93m8e0s3hkGOMm83F2epiE9cdXaV8Hef5MD/sx4f9eLffFZPSyEpzb+F0c7o5 + Ox1Wq1grags44+39w939bjxsnl2cPL9qQ7+elv3VoaKNf/OA78DxoZLQIZ4Zx5z2e+7v03Y7lfQQ + dAo6d2pDCBdn55y9OEQdYQUxT3G81TyKpHL3/s/Gdbr4dL8ZzowzZe1YfqA8kO4om3/x+eG9PXt2 + tnm+sdM66l3rJHg6mYWRopRIiRjIHtnh8WHbvbvX9zfXQXddOATl/OzZ+dnzaEK6L/v3X93e7cJV + Of1wdWYb6PMY01Y0oZnMVzfhj7/Mq7MPzjacrv2km2O+5eELOsU+gKv7e252Nzf7t1lHQ9TF0BBi + Pwyr9Xq1WluMairNU65t/Ee2gaz1xFAqJrg0cLHb3t9vt9c7ncNzPTn54Jzz4sHfEkD7JHH2zlMQ + Z6WQ9uRburid+NNdud/u4zStco6CdhZX3enF2RB7ExOXUvCCWTiM4939/ZTymKbDNGb3YLZeDevV + cNIPJ6seIGUOh3dv394756/fyMnqSGYmxQ0nZ3EPlQ3ZnZxvbm7e31yrBYfsFMRFxUI3DOvN5mKz + kjwf7m7evv1SNbhIqfPdREWt64fVycnZ2ZkX3+62b7/8chj6s7PTs9ONqQpF3N2Le8GZ5pyKr/uo + 4910++X76/dl/WL16luyahlBgy5PzPdoocR32/Ll3d7Rq2fPV0Nc+Vge3g69Ejdp1s/fP7zf3s7B + s3pf5i7Ngxf6q+H8TdwMm54oaNrJfEDh/ubzW6459/NnHzynh4EbqwymueCh6LBNdr8/XF9fH8Yx + mBpFKWbWdf2wWp+fngbxgLchbyldXz/cjT7L8PyD81WHMQtFiKAt5Hsa8QJyLGdJ7lOh5BTHyd5+ + efew25tFRLvV6ur5i36QjUCa2N0mn6bevjps7+93u92EW++hK3bWnWxOzjcn5yLsFN8wCx3ENHbl + gHYNmQOEkhkfGIS84/M7phP8goszYqJP9AHEKTfjIez6021gf4d+QfwKSxyMUUnGyZrTU+IaOYUV + wKrQT+QtX+z4/IHRWRXWGUvMgkcSbbBfDJxsuLhgWM0mkUKZKZ5DzNgMU2ZfmAqfjlvu3rM9sDpl + dcJqIARUkOJBRJx5Yrvj9o67W0rGC23qzcDpKesTQocLGtDgQlKiHLcAF6kULUU8MJF3fP4lO2DN + 5oquAxgiEaxMBuSuDha73/Hzd5SO0zOePycIMVObS/d7Pr/m9kAplaCBlXGxZtMzGp/fMwauTnh+ + Sq+FSQFu+dOJmxXTMz6MrMp8nh6IsRaEMw++R2ZYcV34cuTuPX5LN9J1xBOkRzMvBzaBlPgyc2Oc + PmPV0RmdZnKR5L11ZWbc8vk7boTDis0ZbyJX1iqzC4zC7ZYvrxkzwwmXz+iHvBLrCl2BUrnH8Mz1 + ji9v2e3aLAxTusj5Gf3A+3eIsDljc4boLh3WgzI98O6BgxM3PL+igwguLmGHbGEobOZZxx0mTHve + vSNncmIcCUo0zIg9/cDmgjAg3SHaXomC5aQifWuJaamSPHM35huTtaZXOjNtubvl3VeY4oV5pO8Y + Thg2hB47JZ5xKHm1s1OBa97u+df3rF7z0Ut6JbinkuY0a7R16vM1u8/5MrP5Jn7FKawmbG7dIztn + PPD2Fxwe6IycyAl3VmuGFcOa1Ya4wqVx9Vb+2TxVKWd3R54pjivWsTnn7IrYMztTZrVid8P7z4nG + sGF9TrcCJdXpNXvGB7a3uDGc0a85VaKy/QU/P6SHVYiv+GjgdKLbkxJFub3nOtM9gzPOYJ0YRg57 + fvELdrtW51tdDI1sznj2ITlSLAtjpDNCmdg/cH/L/TUyYwXtWT9j9YxhgzEqN+Bw5nm93+cYpe+V + v/Svv4Ju/6JXyqW0cbNeu82sNnTW7jVousFLKY5odRccRVSN7ORCZwRP5AOewHaoxlXIqiOqlI5J + SbB2dIYC5kXn2Upf23GTozYFnaRlpK0Sl6fJvIh3lFhnPs4dU6SvCeE8sn//j//RP/yf/6f/7r// + x//87/+X//Uf/Gf/4I9+8xuDc5IJAp6obOHQWBsRF0WpHg9CSu7Fu6gCXmZKEbJQVJU6urLlJW12 + SRorO9gAkYkywYzPIOjFXuK+kYwC9IXIzLSDQL+eXNz3ZrMwSTkl9a1VTsEPcMg6o0m48LIqM1II + Nco393LrtlfdUE6PLKdQEKVQCjmjAVdSIhhqjRDewf0AB5VJa19ejkioPHqOzraeLSBLD37KWnYw + kudil9tuqEHCgJsfQAuac4k+Ypk0ZjnZ2WZWWUEsOfhUXdOSS3a30IkaIt5GZxczLYWUU7BQMz9p + nhViDPM0CoS+8wrYgs9oQc3R95SvyGEfP7rXHljBqqA+uc4ucwDcmJUshG6OITXzQai1GoU2lAs3 + FZxSkooC7qiFWgZXsjuoSSp4aSRQ5lklUSbc3INLVC2QKFNCk4RifRDIS1RWGvY8CzkQcStb8j5J + POj6oJ1Bnxkyasx5TH4XiFHOane8d/PEtoCwEu/7DLMzjYizHt4H2YHhgcMJZZ0gwVQIw6GLY2hE + CoGp8z1lj8+w2ZZV6YYAMreJBM7ObKsEJiihhH7UeBBZzWmodixoFj8EM3opaCEq+I58M+bB49Ws + SKEXoiSYSQd8Jq4PrO7VIgzQz3hGImITTMwzacbCHLs76YXYQcwl5CIkkSKABAioJmESUppWvo1y + YJoLr3LoJ8cD2E64GUq0MpAjOiRjUsxhHqOMWhEJrES7h4fa0kMeKLEUxkRxYofFZJpggkSJeEhp + KIj1LPwirow6i6e+VBZRww3XSTiYj+o9tknoCKXQQ8eEF6RVyHoKJZNBBlxIuOGBLCQnO10ond8z + 3zCPSV/edhcH4xTWmZDGqpHxkkVdw4R7kSB4JpbJZMR3aJ9sNUnvVH411hDTlrxvgyekQ2yfmZVe + a1H2AYQEyYvHvfX7gCqdEMiScl9LRwTX6t9Okb2yZ4b5lPkEoXTsO0Tz4AdNO8ySntzSO6xhKMiM + KxozbZ5AJhdiv9XhnjDACcQ6YFqB7GhCi2r05ojP6nOUyQh4hyszyYMbBII8kPdShjSfCswzpRB6 + YlckVLlJc1nFHGXGgY5s+8zYywF6uMBleoBMiKOGCZMcxOmN4OB7tODNIXUPTqhzZZa+y1zKrCou + 6mgq7i4qVuvCSipmipJLWXpVF9DWXUArI18pFayqNjfljIrW/mPQWlT2OHEI1EbRFLTSi6vXqUwj + 5cDs2BrtEUd3sM1uU77IbiFgdaB9Gk1FTcmVklIwipYDOUNEQ7HgRoY5EwIx7WRM4kZQN8sWs0kS + BCIHxhyLEtPsZtGENOegGkxKzoKrVBisOWAl59zQOnWvRRSqZtOcEI1Bi2e8uFgWzYBX8g7KTKx0 + b7k0Btg6wlmbZS/oVCSpZQUIxaMfOgpuFCXlpD5HwXSVjawUMN0pszLk3NeRKCHOIklF3Umj4UVD + QotaEKJjDpqgjgfumQOl91CylZEpZzPvA4RA8Vzy1tM0hAhS6O+93wfWQl/o5+w+E6WYk91ccUMK + eoAD3j/k8weni6wLQ7khOKXf5z50Fj3jB0RgfYAMETrZw0R2UkEMW6HdmAC6yJwyPnZdKT7lPDgr + r2QNIFar7A9w8LQuU6+l1d5nRvdZzUWLEikBj8hc2LtOsHFW2VHHE+oPFZEUlBAxzaLF6wFuDktx + ipOKF/Ggqu6aMl7UVExzccFESAmz2Wxy30sl6M8y6YtJwwyDM3ht9r6Heywd7PKa0wnOYJOJacZn + fEYydoL2B4PaYi/0jnpi3pOFuMJtKp6jZFva2Mma5l6EUsgQOsRSKa5STMTxXNQrz1g1BvIYA3ml + jy2ukoNUbNS9FlYDeFlYaB38gG9R8BPKAKAUHRGcPpd2+E0QmZpT4ZJDPAhVffVljumBMiEFyYRn + 97q6gQHWsHK0bEn3pAf0dM/5Qz+sFM10XtQ0V1pgiJKECVdywAMKvocd0jub2RsVgUkWimCeNc9z + sAmbEZtls0VytfhlCmUPM8wQcrnY5khoj9yHMeQH5hvUkRf4OYAxiWebDQlYybkUtxAQbXhm8QLB + pHiDY5YAxcVR8eq7P45qXLC6RD/DAWRiIyVwixTEZglIT4niBIEykbdQchj2YXCs95qJajpXa9t2 + dlWjUFLREIGUc50u6NbKzQRKzuoe6lyh2gE3zUm0rPoRZIkOpLiJqBfxItVTb+a2UDltRBCdc0FU + Q0OuxYmVfirXoauCaM7FEYttNkStwHWnlFqVjJdsqqEuU06lZFUrhZTdxKMmJDHP2HoOJ3VEaaXi + 6YrL/IBmdEDimLWo1HlWcZq6dG+hEFYQi9us7sEOzDHPKxHNKfv64LEYfSCAlok0kmekEC9HsXtF + occ7rgNFqt0pgvZunYtNhewghEa4D55xDyLNnUDQgFgp4koRciUEIglFCCzN9IsJOuZSEuSSDi7Z + gjpeSswl4B2iZhSnCAgZ+uRWJwzoYe7zbBn6QhCG3i1k2mw3A2eC1DEpPXQ5me/boIIaf7l6ljzl + UAtKlUNgDw69EycsYTGhu5K5t7NtIMBmZL1redo5kGixRnHmSFJKhW4dZg7CPjBLU5VWmCEreWEJ + sIpYOcG2ypoizLVjp6AjkmDOTInnJVUmU1IGwaxVDUvxIOA55zAXUqGAaJ251Ja6psraSxE5KHlx + ZRCCEJVYT3bLfhVKZrb2jJXfolMCydgrTl6RI5kCOydHTBEhKDGhM4AL20wOLRYTJzh9gMIkjMZB + GGClhLJl7pkCDhvujPeUyO6MfJozaoiB+Bwk9ZWj7dCxrb7PSJ8IgdlISnD6CZvAOCgPBpEgRCmB + rO6SXUqnLgKz89CxVQwuYLWHAoGiZV+jBiM7xcgclPc9pz2nYYY6NmKhtzkUkiOBIu1ca7VipQbO + qLrzVZrXQU7EKcIhUZTesIyV45G8K+5IVzzMcxDEnbQMs5LKu1OWgnGnOBKQ8GBkZaB0VYdVNZZz + ynkOnTpj8p1IVH+mhTQ1jdgabR3TCmCQIddOavLqYKtqmQK3zmFgZfQQmvOQUPocdISROTKfshd6 + OKncG1IIng3HpgOeWZq+mmKsgihaLQuqqLqXTLUk3iLoyv1V7616BmrkQkrEUDEOqtnJlXajDv7S + RlZYeWwd3OeYk5VCzjs7eehtC6eF80yjn404jJExsIfeOXG6jCemGQcLpIIqZqQMYF0db45IDqCU + 4rFVgFQAzfEKYxnIZMzG7ODeldLlRDCt/Pt/yV9/xXX7F728FCDGIFBKSWlWsToS2kvx4mqggqsK + pbi7B4vNk3haQOSVmEwR6QGKqdJDbhMMm+msYiFFVQQpiIpR+3NqnOYtogTLCTURU8SZS8nZpAuA + s3+4y9vb+9t3f/Inn797P8bYnZ9dnJ2sBFwo9bZcKE7JrYLfa6BRTU+bwt0CcWlTuWI4ZmEyAsGO + HqGpeauuoHYGIEINupBqqMLCY1UppVCIASLVBaerSlrUii8EBkLBUynkoiImlIwJGh+XOOfSWsXk + 0S5CEdXqMsYehFRIKauaPWkTKgWvXBBBIGCxghFeXLpoYnNBbNlKMySSE0HVAlW1PSkb8VLUKjrt + hGiY54wGhZYad1AVpwL8jclL6rRNBcwIIeSMO6b0XU3D+pySQOx7X85VSyhUS2U9YTDa8NjKpKhY + 9lQqSl3tfCsYX+75SV+AmXopeU6mUUzMOk8ziMZQmzZxSsnFUQuhZvlL9WKVIhAInYhlkOJSZmQO + OqS5OBAqkSOVTBaZsFgyqYipeNFACKHvCKnuSLVhEGOvvp4OY7S8xIBp8dLLQsYkiBIEE2v+m/cE + YWqTUKOimnMhaE171CiQomCoxKipZkQMMl7IXtQcjC4wk72YSg+xC4wVHMUCkeKUUrcVUCOVaTrE + uERQNbpqghAgBLW+3TRAWfpDpQ6+L0K0KNZDblIiGoKo4iNp9oLUq1W/LXTmiTTRrbTqlYRnkBw1 + mHaUaoqbYVYhdJ1QKPU01vkXKaAdEqoIuSwqprqZRajzf0Qghl7Kk1opoeZ6ci6uUaRGUYU8u7jG + aISCeykUCEYN13HIQtI2TSUQtIGA3SLE3ooCtLZLu9KtQlwNNQdf76a5S1DcpaAVO8QLQTHrFlco + qqhV7ZTrQwN1RG7VYAnRENqTlUqxp4op2acpa6C35hMCOeViLehxb8od1F08F1WhbwJXcgoq7kIx + NBg2LPBxVVNHV03q5VVREXKHxfpsCmJUdgZHRBtrq0AkmuQ2M104DpSXErQUkZJmC7IKHZ7xRCoE + KiolUArzNHcm0WiWSqUPrXZh8Vysir9SOkKilHRUedLOvGtOjhdhVgtHrMbRVDRoEMBLp+oLQOtO + UaGWL6m6p1LqMtRO2bLU/rTTe1TsIUbHi7uqOe65UIcKqTAnHGLodSndcvSxqM8fx5Q1iCDmQi17 + Xd6BVH/Z1b3mShTH2cNBSEIXtMcFj1RqOek60cJBKFFUQxGEybGA0ls/UbKXeU4qQYPEaEqtFLC6 + LF6yVAVQipppraXJuaaMxUQhmHnN8LXSy0ZgeXy4mlyr3BJmhtVsli2Cqy425yRiHaSCVvdDhKK4 + 0K1W8cwAACAASURBVHXB3GtjcTWNXmdj5WBRVfLoZoHalk1R8RA7wZOTU1bTZRI2i3Uq4LlgIKZC + hqkk7bq+6ZRSEA8xogFEJQ7LaavCLzFgDc9cHqxZNty7wEbJGc/upYhZqdnHYkVFMBHxUkLWKrbu + KhoIjmVSpsxI1CkVkBjdxSEjIkFD70jKS6tgxb0X98x6SC1Tb0HE4hK/qEOtnk0FETUNTXad2EEO + 03jouqEqnOMMveVcN+UsQsrFc7FeTYRg0zgHJYjqYqpzdihmKlLrnjIhBJZ07DEB4lYLycR0tWxM + ydW/C6SChBrLVupClaMXGrA1NXQpXqnfjveZ0Zy9tzr7uaJjBNNUnFwcLLSW/ZzdS9F2VJc7MBAV + 98rIRCkFVzEe33RckeZ5cqzOBRUtZBwvDT5bflS/1KcLG9SIHUWYD1UpeSYaHYtdbsYOiverLmuL + w4vnEDSXppfbgh6D7/aJ1S9rbsPytio7pJQMl2BVYgKNttdq0Z07OQNqso5MGW0UgYIawwmeWvoE + qLBsjURUS84plxBCw7eF5J5zMQteNeORXUse+SpVbIkcqtco3uwNITNP0DvaUVIpIITYRv4tTltk + ngQdMIewWOhcSvYi2uKS2liu1thuglpKXkoLYppOFhUeJzEhVflYJZ314lLJZES0+pbV+TyqOmk5 + vHpAajhzPJzeprNpw+0cVKQaneqF0SofVbAgAsWZc1Fpuqe4l+IhqJqZyTweQIiRLkDQkqQoqs3N + QUzjMis1dyZZJEMuBBFbbyh73PGkpl3QGXdPKSeJHSFaDmWsmGDdpIAVUoUJMaW2yogVh1RKlIBU + XMSlJDGJqsA0F1WtQl+Ka0kEwxQhz1lFROvgFISKtSDaTsmj0B1fVYkIQMoFwRYOei9u1RVtW13X + fM5FTANxwKyUe7csEIj1vFe8hpJQJ3hnXfHGi2Ja012V4TXhDkE0hgFkj8xIVlxrSkJO1UIak1nB + gvZiPkIwzPrlSbrJ2AkinGuGgkrzYhGQhBXRrlVigrA1ds6pM7SCmFxdMJSDthrONvBvKZqS9kVJ + dTukPhwERWuMlUsNxWosWA9eBsiKNkektBBZwRskX4TkTA7CIAvf9BJcgKKRIM0BVmXRrTM+IlDX + E1TpjbluUGnb6lV6Ir0yAU6Z23UwKFunE4uhxekJK3jmUJAORZmV2+JjkBU1WyKO5+wpdELpCgXR + GuP3vXf9XBoeIEIsnZgrkZIpZY4SorQKDRUzsznNaGfWhrUp94YLPSVAwJBAmg+UVR+xlv76Stgp + nbLCA0syqOKLfSQIc6H6u8bkPAhdsEEtwKiMgtdkb3FxkFqJrEg5eqM4VspoloIU6/JUCm6rPiRG + Zzb6opQUCEEEqYCKYg0nDLC4a8yQi6c5JQsr094kOiDZzTwgQhcYJ/ciXQRv9YEhoEaCDu16ONSj + zjpQFjvWvGANGTwVF5fB4ooiWWrmpOLWPiMY7uhqCBmdZ+qdW5u2sOAopep03DMyB0XFU5qqXhZE + 6ITOkIIXL4gFI9hjKEw9pZl5BKljpet61i5nnBJETSemPb30ZjMnB/CCGnSLQpIFc68Pq+A7t67v + QjVwZcwe5tgNXZU+KtUWFkwrwix7CWptkWqY7ULGK+mOOqEUdxecrgv656jFv4yvv4Ju/6JXCOHR + t1aNMS4Wz8WCPIaG1WtBlximeiomDfhDhVLpxKpWb84H2qoXn4D8C2kBKmqtyb/9VrOX1afsehM5 + 4FojSVVDMZjH+3/6T//Jz//l//P5n739R//r//bH/++fDcPLj16/ef3Bqhmf9mGKSEvMAU0o5Si9 + VNuj9dlFNNBskDRPf3HXWLgJF6aqZU1oCIcsvoUuN29B8bLAh8f3hzovwMLybgVCsJUQK+OY6uLI + WU2tELoVYtT6qvooNcxbMKd6c6asVvaUxEQgWICV1E76ZgoF60QDYqoSHi9Qf0fRuo8a5PijNk1A + TdqmevP1ew1PsF1pq2EhaAMmWqgl7Ri0ZX/ydd311arFX8dvWjgO+jSI1XPoanZx+TUVE2J7AlGi + YnZcv8ezVOERVe264weIHTVDc7WbLCznsJF5uyCVimLBlOqjOQh97JIsd9vAEeqRDqFVNlnoWKik + A0hrMmkfZBqHoRZy1oijoVRakdpcAcZKjYPSWl20Wnur2KBiVmP4VqhdYTvpcUOjSStkbvujmHba + EDbFzFRyixEgRKQWl2WjeLVSy8RVungaVlmZWGLLymIVeioS88T7EyHEmn4UESVEGviM4k4JWGOz + ql5eMHFrB3sJXEUCYahwqDhdwBUkaq3D1wDGUomzHOMnegciWii2lKmAEawVIWhNT9aKAVkStE15 + LOJWDLEQpZbgUrUevVG7CKxa9cdMTF2UCsthtbTen+i/BYvzRb6oz1hJ/utZlycKU8CCiRyzZaZo + c1gNIlLFq4B2tkTEFihxESmn6ZJ6+8diOCXoYGE+eiSgWOx7XVxqURZ6VhWJ0is5tIc0egtQrHrc + 9Twv14HWCtDQBmHJfKk1iRLneOx80WdNkdbvcdSo7cE7ulpkgEMfQmAxR9ZjHSa4i7ggwbCTPkhx + skjbAvEW7VhTa4vNAiidRZbBiYsGUSRYELwsFfUsMiohdkfT0L6QWkyKWVMXiouIiJR2UazSDC0P + yNOPE6iBZ905s8e3xXiEweTJn/bBJjwCAXUf+ora+lN9KM0EyBO8ShDDhaK1rqMGQMctkBDotUVf + GYMhPLkTDaInq1AF8CiGy49FljocsScioBZqSYs8PrDThqay2CqOcNuyRA2HdiUu00ka/C193+7Z + FG05xwXGAES06tAqmoYG7ZSCm6r2Qws18SeyKirSRy3LA5XlHLdniB3LpnZI7HtZljpYkIYO1uvJ + 0T0I9T+pbT/Sej6yLsGuEWKlMA5GFBEGpKjGvmpmUZdQzVlY9kAaOpKodSMOeOzjotFVpBdmFrQf + a3G4tZZVhLD0XjZb+XQX21EURWPAvRX/ICzco2rdsGrw37IrLo+H9/hFF6y6XQKodH1fa1LrmXfo + elGJMD3xGes1CfDoHYkRV2gyQlsuCFEaYesx6UWuoxd9WfHFSC9L1zJDj4Id+76mLJ94bpiIapPa + qofNVGo62p88ZH27ShRxQB91CTXffHxzdbTEW17siemiOq3e1nFBNQQXXcLlZcxWTet2kBHtlEZR + U3+xCCGiK3RQbS1e1hFKqGLy5DNlQW/r08ZKdaRP8kFHiRdlGIZanXf0alSI1U2u6eTQVQdBIS52 + V6hVebY8PkfxMVQUQWKMIbKUKUPNBleyYMX0a840zTE/JsKkrdpyOA0GY1gTRKuMajtpsny2g2MR + DarhyZUdFbPmrgui9cwe9Um7NykiLo/7r7os4lGClp/VHM4xQHi8yvF8sABBy1NaeNSHWlWXLz9t + wiEtN7f4Er/kWqvQPXF6gwWvgoyIEvs6PawOjRATWZnmRpVUxa5rqVgRwU0o0Ed6izA376sFhS4Q + JcauKhVHZdVT9JhfFNToBjzXO4wgRnNhNdKm8i5aHa/RXB8fhSyYLSbCEbEYEavlsbXYOobHbMRT + 0fvzXtL1tcxAARXt4pPArumEHKSELi7eXezCiREKnT8FFkJ9koQlx0wsPmbpOjw3WmXRdvg94AHv + MQSvImFgIYcTb2vjEkVXaIsRrVGCiwyyCKYdgS0IUmAm5CBdXrRlWA6iHkNXa7DysizL3/Vj65JL + p0jQmlQUkL5vZYZV4YvY4lE+nuQAtaOgXfHJWtYyDCGz4MZCJ0SOdrG9dayK5JjpWhSTN1YaKUgt + Qaw2/PGDxJCuka1WwRdprr8JSMGIizuhdc28g56hnS0TXdFF6ULtQa3MsuKha0SEpvq4jEzKKHUY + JAJFraNToI+I1iGbRUhKUQw0xlAfqQgBWaFCikC0hTBBY1yZExZc1Tkpbem0HgoJiy5QRGYlBm0Q + JHTCSjFtOiAs/nbziYXGQVGPwbLyRSmhBc0ueNRQ20cjoY7T7iw0pVlFrFZeMzw6RAJkmIQSQjRb + 6WJhl+NVYqxSL11XFUlrTg3NEW9uS921miwWSqAEOXZXQRWTKFjCx2peQ3NqC+bQV4y7ahEjyROK + DtXHWxJpKUkliId6RqKskRlGKEp2ZicotabuUWAEhyQ40rkRBx7tkCB00Alea/9BCREQBoMBhrCo + xCd/atzXaU0quIqycEP3vR0ni1VxWzy/+rFBn/gQLSOCK0klL80DtXKua5L0/5PXX0G3f9Hrl3yI + NhF+OYOPSJoc/3r8yo8uRf1GlSBZKlMbZ+nTq9f/N39NnqCgxyR5ezXI1GFsaqwlJlzxaXr4Z//3 + //nP/9k/+eJPt3/yJ3cvX/zsZ3/j13/8wx89u2w6vzjTTGcF8SPgtvgEjzf/eEePBuYIVdc3Pfnn + 8rCP33oMx1psZo+XOnpWT9awJjNZjC3Q+gV0SWEV3B7vQppqFK2lsk9OctuH0lBqb7fzSDfz+HQN + CzouLDRMCVGpfTdf82/0GN5b28KnT1K1tyDV5ZCnsF7DDuqtLE/9S0vNv/V1+9SvTz2U4+oC1BRV + OCLVT2GwltZtCPsjyH788/StDXI95hUeX21T5LhIv7QmgGutHHVcxCsfdC3CqDGhsyRFhTp/ZlkO + q7VX1TNSnqJTgInqIi6l4r5H4O+Ju9R86UqLWIO5FipLnTz05CyyYFJILSxv31/k4Gvvrf1cDUjU + 5YgbJCXXasPa/SF1EZehK49rVNHtZX0X73uxcrLYoDoiva1IqZZU6pztuuryGATLcnIcE6nFX4uK + aJfWJdq0o/Q+7p48bqBVTkq0FbkucSQIlSgZlVYR+mTPjyJGUbwWUjwKVvvERRk8OWqLdyjHKvSn + EED7bXmiFaCBZZJpPUyLQmm4piCIiDw+9vF69e1VVoo/nR1aV8aPGOHjwj6qvSVBsQytWY7bUas8 + wdDbZ4kuaS04VnygaPVOauHWkjtb1tmb69eKeK31sStP1SnlKLy/BIXI03/VRlpylaZWoe2K1FRP + +yO0gFaerNlR9dqj/DWbJW2zanhcP+y43otOqzf5+KMm9e07NY/fjq3+Ww/BL+MKv6Rlvn5GnCev + +v267OKPdyZff9+xsNDBzaU7ysTXRON4iUf4tTaupccE5RPxE9BWNF6DfMGEol87+HJ8Rr7+iXz9 + oD992Mffe6p1n/q0LG7E408reOG0CoN670805fKFH0X0eCuP97u8z6D15h1PP0WbQqi3+NgO/wgY + HG9ThdaA44HiS6hEOzdt2xCrGs6Oyn+5q3Y1OWZVqvFtIWJtKjzmwp5sb3CKfW1LW7k8VAqDijw2 + qVap/7PK9V8WL0Bh4ZavFZNPdvTPkUOtaZiKWdQnUmHBlpb8znFxlzLAr90mbWU5epkLuHmUCFWe + mKf6Mllcr0fFQhX5mnVbGJ+OZ0pbKhRJwqSEUmkgKvblTwWndloXPYb5PKqAp/sky2l8/NlTKf6a + q3g8A4+a94kqOa6Yfu3Sj6LwqGJ90f6tOO6J+hJoiVttmbea4n78qQgS0I5jifSCAD55tibij55x + u7fQjK0ft+9R6dWGtcVRbFvVMMPmtxkoLo/QY/vLWp3eE54JWX4Nqpl7pCeVxdj9W6v7+HpUY0++ + WGITN9Lib8VHresVl6m1sE3ocH3c9uaNyKOykKM5fvo5qByrh79+k3VzF+dGvh4d/Lue5Zeuf5SU + R+36eOR+WbXx77js14J10eNaevtXPTzVV3Rt8xOp3zJVPOB+tKqPR6ghq0eP2pczbcdjG4zsR2NS + HU9bPIaFO6KtkTaJZrmaF5F6zp4K0YKR1FJDfUyFIA0QWS7v4Etw80uSeTwyX9uTmjzyR4tVTV6C + 8OTsdxUXK4vma+tuBW3JjIqdLXhzfa5Eu6vjtWm5ZDtmu4uwwxzvKF3N0wQQipKwRAGiMGjdodo9 + vRASKQlGJBsWWSmERlDwuIb2KKf+aGmf6uiWw20aFKbCHs5qiurPPb1PzS5f/z7t6mXZbatzVSsM + +eg+C5BhhBEUTrSZmOMu1LvNbWipKJKVGbI3gNogY3kpH2nlWrJk5up6KckemQgV7x9PCigS0Q5T + Ih6QuX1iteTtd+oaZmMSDpAXfyKD1lpdU7qmE4owCyztuCCUFmV5bB27fryBelKDYAWcoMx0GYfY + fPB6fMSRCWZIypm71mJ8B2donkTzwWuRj3ojqShGJb0JFVymAiykqqo4Fn02ZaeLv9ws1ONGV3tw + NCQAFfF0JdKQ/QU+UYRZOIC2Wmp5Yiu+5nQ4FCpuo7Xjvxid0onLo/aVjI2wZ6Hd0OMv5qFFAA3h + cCMXCqgvUtBMijSNIU+9IgGPMCPpyebqo0VprzpVIMMsErCuHeYnfoWIIFHIzdlyOW5Jw2IUpKAZ + Uu3xCdj/x967dVtyHGdiX2Rm7b3P6T6NbgDdaNwBguANvEAULyNK5EiUbErjkda8eOZh1ppZ/gHz + 5P/iBz/ZXvbY4wd7rCXrPpTE+xWgAJIAQYIAQQCNOxro7nPO3lWZ4YeMyIysqn26AVEStbxjkeh9 + qrIyIyMjIyIjIyM9BjGznaZsUK9O0Yh2ojFI90uqbc+cm+8yZ7IMrqfSkel0/aWEnev2XcB1BraY + mq1FVayxaBwo8q9MUvGlViuVYWcvg+X4D2R9CSCBhuJXISDF4dXXXnnh0guX38DF2x/4zKd+/w/+ + 7e/f94G79kIAeqBLjDQMC1cswHZWmS5s7zip16N516qu7KmQvCNkNHNdYHCZcfm/4mqRFnJ0u/TN + iTo3KkvzqGQLyXgslXLAAKgJ0s5JkjJas/ypwSX6sqDddEptJDeqr/7Ow0HjMmqBjz7Zxk+zz8em + FnmVeOTGX5HqXl3e6KMbhmITnrA6gCG+5vNQx2y24LJK1tEWz1uN7YFn8ckm19rSyC57sGwpW21h + yeEIxB6calwYqe9SEB+PIyQevtQhUSmVblmvOwgT69KYoI4V6RM3qIxmQeGCzBLsJVClXprHEuxY + ZEYeM3k/WhDp8dYydZ1uIAsG+sPJoktTEaAZPzL/YY/oC1tzUwSyLTlyr6qFQknHliC7zNC1ihVr + 9WNuZt3MnGxR1KHLRrOyjRuXrw2QbUP8wtnjzxoiVArmHfm83VBPmpoK1SzTFmnUZu0TdHONyicg + Y/dqSY9EOTJDuUc1gRNGYCKCV75K1Da6RWoIqauRisK0JIE/pBtjbLRKblK7rv/xZW7KGeG8EMz4 + ZtbPA2edLYRiTIFh/D8MjTAEMjux8T6Uz6lavxNoH7P574QnUZGszVPzsXQgKB/KY03ToDXViU0k + 4TC5nqQJO6RkdhlRqcZFgEABlbINmVqM2bZ0I6Ad5xGaDfbt/LN/UBU8hVdZhZpdbCCf4mzRzTdl + olDOMicXB4Q+Vu5l9RSM+kkqY6vAbZmaG8qZ2e/r4MrUpMaOcYYB83aJN0Nts2eUHou3OxsB4nUT + U02TvFU5WL1OOvNIfei+cKAZHuECVlRzUaqz1WBiOJvtQyNCW8PEFVI2LYrDmjzK4tiwixSVaCBd + yYSJmk85FWSCz0s5M3DttNKO5R41W80jnTIR/BiJVnlgNscnUo9GX1MZ+KIgNFURJCdNeeXqV05p + w5MtRMvYuuk9Ng/0tQheK2jcyM5x9XkmgSt/M1vTqBzLuSE4WYLwuMBIwA7qD1qwcpEYIPmSSQBy + Ik1NYmlV83XVcdgiuif4GAazJLUCqu0fVerUohMgW+0cjKTJyUWqdEEN28vZFpxe2cBiinnJbgUG + c76rD0B1A2qndcPM8AkbyyBzKGth1kATSbZBkhmbIc0RZ6ZNzdAbaopcaqda3TGy8tJMVoIdZiOV + GxlOAOdTJjmDmZwtBRKRW7oGJVEF+r9IGDxCwQcEcGhGr3ycnaTVMD0GCJQZskyVrKRi1chGpGbB + nQDdhIvA4NE7lINgobhuXVErYsaMJA8ArhYbgyiReFT3yiSofiT9lzDA5HeRUZCao7HvMjKiPkzT + SdO45qvUNsV1y6oU9fNiwvaEY4eescycI8SnYgl7PQFamsjGZnIjk7PKVRfQOXhCPlQUQIOairXb + XhZxeaCT4pQYCS7HOEpaRl31wCzAy1qCu7Kzmku50l9B1gOUMxNaFxYNoF6TiUfkbeb6ZZHwrF0I + St6eEAnRiT86gTrNzqe0lRx4ZQt3sjIzs2zyNGltETp4FTECwCy9R94OaQ8iGuUvtkYCkpez/yIx + 6hE95AtKiLBw6AhRZivpaOdKnZezYpCrE7Qd1QWQEagqO0FOw0jhqZ7Kjhn1scpYnKqoWapQ3Sgh + k1RTS+Qdiw3Bk2SBSRIeZjRxkerjBpoADIjLFlVvaZD2jMHyTwJ2rtt3CtvMJEwNAxr/QVN2J2sF + Z2mqoYmTqphJTANigBbAATDA9XBHwAJYEhY3Hdz6H/7Df//f/ft/P6z3hvXBmdP3nr/nYFgi8bCi + zgPeY7HnRYjkuhtFOt+ZRkNAA8gq0lOrC+qxEhO2dJNsf039VIoQg1gXWFq8rCKpqj4Wa2Q08XJH + kkhhpJI6RQfP2Gb1p67dRvKlIYouBqqigqgfeQ0zxC1ZaFxZi9IYJsQ0D5u1UNmzrQ4m6Hqlum5F + y9f1yTYmngO7BJjDSQYvhnxQAh60khzjap5mDRMlFRmQr7UhBmWtzkUbzLTRDIAvy2x5ZcYgFIYr + n9WFmh1NZ8Yo7zCYODcQ4FncCllJZxeodf7l4gxjRTUrOot9jbPMW671Y1Vb1SCAuvGKVpFPuYxb + QrnNBcQIEgmA4pHg4nqGiYSqg6lUYMBJnDI7jPiqlC6e6/YtlThKB2swkwy2o1ayjdnHcmaLGI2K + O0Dyg8z5d2j8q8GTKlVGwlYsWqeTf/TK2ZEmfUp53MwiXQmvznfjAzZxxyXcpYZog9rxraNfjuYa + g5WrH3nURZLoMj1mQNANjGxF+dJc8U2R+dwyLdVJpA+EMNVObRcWJp1skcdIMPsgSn1nIkSzk590 + qP2IQ08ANv+FZRKypOS6z6+aAuZfqDfAqBYuAe5UGhCSO8Ie8u3PmUIUNd1bKRUIJYw3yaqGqrC1 + 49aOoeX0KRHYlJtXsvMSmdy0uP7NTYtKPuOYM/wyjgouY1cKsrUe9GMRCMko5iK/qFZf9samolMy + dep0Ii1ST26YwXX2Vz3m4riyC0OiCyUuyXYhF3DQsHxJw9NEpYnNwVScWGwQqCzDQF4GZH3RDCBK + UpfrxUnm9W4dijpWSm5XjyjBoVX9ZhRg3ZNNiaopIuDIuOlFGhrq5ANOZLLKjPmiDoc1rQxMO2uE + 6JhNGWZYRz7TacNGwTcSXnkmU804/rULSsM2LN3iq31RBa0j3jg69bPJ7JWmKquVhE+WItWDn087 + h3pcrIFt7NJIkBsEsxHPquKny16oME9jrStTw7ry3yGQVkMy2FZanVQdjd/TDOVNGUOdLSWBRnZx + UVnUfJOnfM73UwR9YVDSE0jl4BMBQS5kZWPZtEiTWYKouJWhD5znJCV4PdVkJWgVPUXomb3CsSk9 + 4u1WxowoUawSlcpqgqhmt4kCoR5W/Tq2qYkqY/mUkwCIm2zRyAs5yxKBWDJJZYnnuEjMWPkwB9QB + 2QsJDmLzYoBz1VwVcS0hm9m6cbIzHmQMR6fX5ehbKIHyosMo3/S0LH0jBMKqeHiV3D3IlxsVSJLY + olVudkS8IIiyPktA3+K8UCueyzHAVBXEAuIm60B57y2vjyjV9A/5zpJ8V4bTQB4ShDUOk+SSDsNl + yi4EeCyrogUh7YHyrQ6kmOTjYvkGvH0z27IJGuE8mAh5OyYknEZhK5QxzwbwQs/kNes71yyZnBwA + Gi9bCjsSadguA4xBTzmynG9g5NB6QqKydV23K3whBOUrb6VMkSllEPyIVsKkxZcgKQXUI0GuyhYG + sAQt9G2+A2wgUHbmStLugpKwVk7hxXJWMjciPO9ydgFgj3A6zxEAwAZuk/OQCLbRwS1JciAUusm+ + BSGINClTOwMvEBdZVrV2gvzSjGtJ/RKhkUyleJE0+tyN43Kc5jfyTkKS0VThpL1mcSIQi2zSQ7sJ + WICJS18YIE8mPGGiW36pYee6fRdw/eHdUoIm80Ae6QwnjZ+d6l2G8J0xHLCCOwaOgKvAqSyMnFvd + cfv97q67nL+pP/TE8Hs4jBhSCLLJMbi80Gc2XH8S0vUN2wfNeoFmKnCApKB1sjzWU2ZtUaoqPuMz + MKKaLKX3uSiDci65LJZNlDJDQqKkdtbtSm4XL9aXpQuxikhj3VlbCYTiJBl3fmwauTLWblxmhsrv + UlyQIkLdieu3bPvIqQQYzXMDUBiOr/MdAxQ9NgyfEBjBq+yEphlIuvnYZR2cNjnLb1IPqZNdxFGs + Gomuzp3VVHW1gEr0onjrgr/0Xi3QOhbZYdtONtM3D2E/AESSFoATCK76I2DFPZd0CPNKiho+10hk + NUWU8xniBawfSuVVdNTFRAIgV8aUgYiq9hzns+4NT1cJUlwD2RwoiAEV14qz8RxrD2u3qGzN1rWY + MF/jMrCHwOSPyQQiiy3UZpNFN1VPpFHaJnh/xJ1cq2aC7Q7JNgzV+/6Kq0Ddzq50u/0WlauaGEY1 + 9UbDX87gZ7bU7unVt2VlmRmCiSSXGGsA4LjxZiSYECmHsWjNLP01UZOkFDMDpTg664pWGVJUg4Ou + iloofNEMo3KF7C6YkSBdliTDXCp+px7C0Z80fmk1YVu0jFhl1mjlsFktEwAeQFGpoVEAlWI5yH1P + A06jqBWHPGmoMAJyMtmorttACGaT8QR5S5MOT/psilompFldWo6+gaw4Ium3neRsXJ2tUKW8YWNk + sDBbEVry2KFssmZwdS+ndVGO8QSxyW5aF+VaNoeX6HkigqSwNiHVE5JYKUmZ+nW/QN3ZRMmwhEOS + OcSiE/RUlNx7otfqmD6UI0dccJNGuP4dq2+HHWQBYXxyE66m9g+ePre7QlbdGfGlSBZJSU251KjC + mQAAIABJREFUEdnERnJ6tngapwedU1kDNsjI/1rtkkYNlaqsdE4oykVb0+2UylltMGCRn7mhKohV + nKusN65bDZmkhiKGrk5uDx7bTvJN0c5V6xFASO26VbG2cr9179bnk3ZyA3oOOyJo4Futm8fysVZh + 7bMTYDoNW9YzmxzyzhobacSGI7lxcpNT3Nq6KvdOe3dS/fpuYphOVAmNy58IdS5jPE4wysvqucy3 + DD1SI81KYiUrcgsvjWe+coGr5VACb52ug0pHZfDJKGmDf/5fTVnQUMRIKCvJzevSddUYlXIJhavr + h5xt1mKymL01qyxcQkeI2XULAFjWwZNKE7AGDaAlks+T2glpUjkDIV3IOUfFpsoEGIBjgOE6cAf2 + Gmeq/rXssMtRoZLfOQGubA+KD5R9TuNDmfbMekh8EEeqoFRct3n3K+p6EybJT9LOThV6qv8mGUXV + bb1mM9/XM4SLsomSFacx4DrwIrtuda++SH7HEklM4ADkdMCZLyNJaGQZX0clzToAivYCFsfqI2TD + Cyyp0JnAiIR8ih+EfAEdVEjmpdPgEEESKELcSFjoKdvcM48FEspx/WRlqQokR+3dAY1mUtetbuAy + wOipfIxF0Qa60V1mnxds4HV7LxPHTpIifUssec2Q04DwdrkoaCD0oGUjuRKAfL+CZwyECKwZMd8j + T2BgQViw3e1IlFPJi6XVtOhBAVgC+6RXzysCm5xfXYVZ7q6XuHXZDB6Uyh5YgvKVAIMwZE65oEJI + A17ysn6hbJ6zu+fp1lGttsTSMbAChdZpCley4cnDTPxAcieft+n8rD6jnMSe2yFSycslMB8+nyvS + 99VcqPr9nw7sXLf/QKDqqWhWlBV9dd0CE5twAkXVyTde5iRW8pDpeBN9wF5wxZmwCJJEZ4h9ol6z + Pk5DeWZOAbStk3qRynqNrPllDKnGHiNNkzdez5V+cJUYDI6SBqqaMvoV6+kYn41acQlLw0UYFNOz + yAtnGkxjDLZ32lLH7IqPwvQqAQEqETFbyvwdYGp4SlRNNeBMc84SbrQ2v54JO2p1zkiGcR6I4TUA + PsHHvDnMrOaOaL4k2RMBZqQBcHAuy3JdSM9yYGlXb9UZi2+GOhrqdiCNqxgTp7jUyqvKy7JtUDpH + qgn0MFXd7FPjlJq1oXluO2jXZca2NYmEmYnQHoVj8ZCgTIH6whzpyVm+6nmYYqfMjKja5Hl50TgU + JqTPZUk/VDYqRZ01q8rAUA1/ri1pncXalg+3jZf+FHlF1R1cqDHmhQmQKWrnY/HbynrAV3eJOmtq + UUsKrrVWDM0eSQGuH2QG0IQB9T2XiuUHw8zbWsBEvFkCkRxzM0nUCdlYlZYVB0Jhdi7DSABNelol + Xv0nZ44tIjhPHGdJYDpkpGujqooMyNw8vrXrusBzP6iSzRQ05CuH2e2OC5eVgyy38nxps7XIbJKr + HIi8nltkXcstyy08RKTe21SmnQxq0ZNbwUrXaXelTCvBBKzxQPX/pWyrpGH/KmPD5WIn00B7SlmY + zbCkisSJNsmzVYSPL10xWTN0vgrbFoRcHjgjTMrMBQAS160zPN2IaLOgzSNPAFE5iViWGi7CDbqO + B5JktEyCsU6jIjvJnGxWvV66nreEjFNcqVh0X94PIJPMZFaXmtEwQ7UFyMaqG+nTKjgQVAhbU2w8 + WaxYK2UMtxX5X/GdRVuHZQyKWbIYajmqH3ER5gCajEpNp/RZ21YytrURZmWek5GhihCV7ASzUcTS + 5WmCFy6bcNx+YPpldm2MnOLMxoxJYC2Ln4oSXGcuacjd5TlmKRhi7tUJYOYsmRlj67B6oOnZSQ2d + yLLzeBg2uA66J9ZxHazM6zH7zxXn+TJV29iSlUqcnTg+OxoT4BsLPHMRmU/Gjco5jSpK8jFLb5ZC + ZiozQOzU76fP8u9yW9loRoy6YubtDFLTR1b4533gbEIlzrc/sag+Arge64TsgoN0O3mdD4nOtZgV + QoTzssHDRXikmsyJQUkunDI9yBpg0EPl1WhECVtOndQkRCme9vzhIO84KG49aKO6IYEGcKdShIiW + 2WXJWNfOi4lar8nUfnnpmuRqcFXZZJ6QjrCukyAvRFosZJuNGUyuHJ5iSG5YF1hDZUo+dI0Hyf/k + IwjiICbxx3lVUpqpGTqQ5gp14cKiFFj7pN5QyEmvRPDggORRLVZmDCyGE5W1B1l9KZCz7nbCJpIt + PrHmwLeFS0CHoCQNsR7kktut1TBgliOhyRomZYCojAhcCZoWB+4EzEqaUevMG80l+IGo4kvG3FXX + RN4+LsHGmRmJgMjoCb1G3ZKm0WjneWo3awtlCMiBruwowaXcoWzZDqA1XASvqtu0bsYkHdSkMRak + z9fABuhAq4pApcca4jytccoAAYHkuHMEcgJi1gmSmnFX6pPtkRo5mq/MqXs9ofIx1wlF3tQpDSma + ujoinWGmYGvpvgt1+o8DO9ft3zdM7YqyVJYpW5fOhJGN2H45+xcBS+AAeQOQAMLeqX1QYqLiHsk7 + Ziklzww4ZldtVZkkJ7TbPi43DEy43B400l3iIuZKUhVdJc5ayyxzybpgT55GSVHzDPlY/NElbn+0 + G9YIuZne0uzPAlVZTAq2q6ey/fwLhJHhqQLOjkRriVP7uK6P3qGZzcZI3mYLO8Cz0aflDAiIawB1 + +UzjOAvydWVldVRtJvfWmS42wp6kZ4axzAAUX1X7zjxshspXU0r3+ooSACBZBGxjlLecm9u8inGS + 7Iznxvw2PdGaE5wrr1ib82rVVVu1WFAOIIrgQSlKZf0wM9DEauQBgCs7j2NZJdb5yMWmc5dl0EZe + RUKxBnQnVPezm50rTR1BpYelgibFgWhlNWAZmPUPYGLbofIm6R0702lb+cI4TKuZCvPJZGAziYoh + Or5TSImlf+UFQrYonJWhhcgy+mX/ziwOg7i2xhE7LCfydETbt8KtKY+lRvZCj71P+lUmX/lPlWlU + uFFKTSdU3TAjGNO80iV/ktFhK93foTiqtU7167RkXtx688iYayWaRkSSMeyE/FSjA7K9VGIHEuCp + CkUyx9ilwzMYzwO1fZq+5pbgteREjY1d6natryXL56o/2Q61JLStuyJlCTJBuqCrIsmBvb3yAawR + r86SgNA6pEbnHot5YZkn2cWg1E1FjtGY3OZnHh3yICT4qGaBQwIPklwEIgzyc3XdEsMnU68rqHKh + ZJMOTlFmcMwrqCLlbBnLD+Px5vbfWXYwpIEZUfPAzTwvXMZF5uVE+YGsq7R2K09VLymzR6bTHD8b + jbYdxn2n5pG8mO7sUD2EMGmx1GpD6keyuPWWOquJrZBW0StvRn1hjSycE102kWmLn3AgscYX2G0i + 5Q2XnSFdQ50SWT+5XwLASCHcALR6tlzK3r4DAV3dpzY6wahKZ3C7DnD7Y/zJbFzxDQNdl9/eYXlr + HakjQ780/5YZrfGFnM9wAF0eSk+Z6YqnMPs6IUemlRZGMhgdysiuW+j5d3PCx6ueZsjRoTJdGx4v + U3l7L2eft9O7jpnpMajE1qXJHAFgNq5cFSWyFhOdrNteBUsP7NftrroPnl0/AVjqxXEq+qmiw/CM + PSDVJBwSl0wFQ+uxAwEugYaEyBiyE1YrDXrLRRl9JxUiqhkArbIcxyn0KhI8kAQ85rzShbZd9dui + Rp0oLRa6eu3MOAT7SR5vzt1n2carL/Ot3eTHo59fM+CCoq3ByJrIrgqwcujFZq4gABtQ11qsIIR8 + Q2tZGRlJSoyQJJrHlYy2ZDhanSBrOf+CZel4BKkB0Tg/PYYFep+9pTkPLRKQND64uF+NtYoERL3i + qvK5K2q/OfmBgkP9UeWdXYeWEecatUoe1Gn5qBQ+jdSBi1O9rxsYUu0A8diC0LFMsQCrnXWhYqKm + RlDjWyiVnmseNiLZGkGZ1HvIzC9mUSiMCGy01QUQQPbDvOTWOwGzr5+h6Tu4sqvzGiGxB6wqe6Dg + z6hrF7v8d9BEZEVUmElcZJGs1qWE3F8SWC5t9uAAXgOSNFmEBmeHr6sDzqVjU5L+0sHOdfv3CtVq + s3+j8obZfCBvtwPJlhmpUox4a5lvK8o59RkM7xIjxgjvwSLMgOiQmIgRiKcMWv7gslM305Q8sN6V + Zp1Btc9V8aujZxjxG9teGpOfQdGErG6bR2QW0ARN/5nfMDTeXvxakxGYqa4GaxEZtOzwkaGJNWLM + 4xG0S4G/q0yYjIoxE0QucX09YRhDZNrmAJtCJR21/zUFCJCtWeui5RLyzHCMToggZCbFN0FUuVp1 + jVwnbdsST77SLpBatxUlO/dasm/TeFEXJG5UNP8issjpnWomDtNuAxfmMBuyAMov1tst3Tioh6UY + OeSNYj2/D9GRE9+SMn6NH1TTbnQkpRKszJqaTYqnAyqEbcjVrozNKwYSlyoNHioCypCTsCqX2kxW + qPrf0YyVHeBx+xZGs4MzDYQOuZMmrJvGHzMTgeVctlTm5oqOGtPQEIMZaeSrmE2mM0x1SwNOp8Zs + b3PeK6o25ShSSzKpsfh0lTWrHEsMyHFw0kgMUiTG/SKNeayTT9URl1mYWIoSzHI7czLqtQnK8jwa + lsoqAHKGHyvB3hGM+WBWtkq6QPOSDXsAOmvKlQW2jMGr9qGcfUs1MqV+5ad4bcd4guuJlCDjpjFa + xSRnJwJcbD4ZqSoYHsp/qoRg22uTTiC/rAcM5vFu0cx3MZb6SDOycOUZuVvc4tlQRzm4PkvFZVaW + 0+SSeLu2E7WqAQdyDAzqupXuc7Tj7mQzqh5fmOdPo0mLfdNCGzmlTO/s5+1PQjNQDQUahpzt60j2 + 2R9cUgSbASjd7XJyD91Lk0/1DKwNYqonNWbwaOT5PKNMB7jqnMlhLKBJ0NDy/VhTG3Oh0KxoVdmV + Ucam4ktCXfyWvhHXaZjUEi5JgJq+ah8EJ9VZVeOgRp1Xj0gyO0E6eVW2iqYourqhyJx7s3oabkCE + FiQLOL2Yrt1Ck58B5ohQIRCkPCab8RXRbbPRil4bk3aDsL3i7dN/y5cnN85GRdVhUdzJ4C5cn71Q + nGRBR57hopiDxejIksQoI0KJWyiTXWzgHMkqoTij0JOiuoudLLscNv8MGtNlm9wwzdYnpqGx7LNx + A3kehWxWpLb6fFWR3HHHdWWF6rplqOsWBDVcm/0hIgBrwrHO98BYNmbSWF4hIbiWmqWTURswPWJQ + ZPSMNevFVgCAkC/uK/vcqg2z0yqpx3OkMVGZRMKRi8AkUAQOcy/EJ1s4rMQaySOqZ88NpkzQgxvK + QWTGHx56MhyAJP8l38T2txWC8r3l+RR5MJKuiHFNfkGgGtodGWsillP/TcgHIVXHuhFkuWLfSMY6 + 9OVH77DJaV4BDwog1gOzSdfwvrB1wODRi5eWgvoQc5DQAiDZJ6n4MMCMlBDFVm6O31e3eKUV2cmR + 5IkQfGR0sCJQLsRz6uUnyUSc80gUc8Mz0IO6uqVI5fN8nDXkPAmKoVoApP1K0xUBFG8HyTZRE0fX + 0A6KoOxi9oIVdcCGsAEICLpvt1HXbQAWgAPljW8Y0VGiyCMQdC7rPEqqqJGAHjiD5KQ42T71Iwbl + PMJqkzTav4ifyklOOZMJ0IWMyzHYzAAT0gKuRCwx6Bh0BHhJNFo6hHZUf4lh57r9e4OpJWr+aw4m + sApmV1KwmVDTpJLaWVEtLwmAYyySTG8mJAZi4j4hpWHZeTBijJ5y3st86tPxBDdUZKhYVnNAkx/G + yFF5PYr1o9yRshzaZoJVYuREOwSr1Jr66vetIoWo8Wp9zjY2kbyNHzObwtZT14gZlLGby0JmzAmr + Nub6++5B6mU9l6r41dVmbpJM6fLnDeMzNW6t7BzXkuBj3kxu2DuN6GZ42xfpL54lyeuUzBKrdof1 + FwA9s5plcfby03iRTUhy+1m7tjY1GcyKEpLwxtZwJbXY9QlTuQzBPPHleKbSq9oPmav0V9IbnAOr + PVlHh8Xkz9qoGhZiPXC1RJphSHpuJds5JdJhOtrcdA2axoRNaWGfPAcb1HRkM1nKOj7lu3TtSdAm + xkHEXL7xZsRc2aiyXEWj17WO2a2scYXl74ZQTuYIGWvJytRY9mALVXkmQrqO4gwa0k2DhZUtrBsZ + iEBiBOvzNcTIiEQg8ni7y+AsaGjUbf5QcKrcwXqTcaGhSrZ291soQ4bALeG1mZJQYmS6shxmV4eC + 0SfqAyAziHVOvCPgyZ/U0KR9pwkTaPy4QNS7m8fBqnLkeTLa5SreLafDg06Z7AWaTr4TxK+VrtPP + ysfme7bK1nM7Q2r0hfgI6gkfrXG06AYjKb+Yq7a2IZxFs5EIeuWhxZCQcr7zREU4jMRpra2ZOnUm + NiyLkV+VR3VAeklAXT+DGK4Hou7NEABEcKw0kdXhkFPzF/2eZuo3jgczx+0sUy3WzNUb4nge/Tv3 + 11gA5UzsVmOWXwwkOS1bwiRFQDhGcLJGMiKRoEtcyPFb9jZQKclp3RJ5NYPVlM1vYKar7ONRlclk + smDV1J2ds0YxlZZr3L8DaQCdKwVKh9x4AGHGaqw/2Y6o1kHCVC3hyxeN9DA7eah6idWUrXabMtac + WxtVzLZ4b4HWYJOZRxpqPRu566bx+6oTafYcsUFn65txR6h9PXp43c9vHEbDe2LBVqQUwUOWjKRi + gWu9CYjgBCy4icPMRkUVd/q8OIVdeasiK4FTzmhZwucnIqY0msGjDSZkkZbJXu4IWCKavnLLdTih + fCFMtjN9FdT1u74Gq7JtyalSYiAlV04GDGST9ghzR8IauAYAWDKWwIq54gfVaWyWarMMqHt9ktLI + UpKRWKJuS1hAdpxDDTkne1fJ67SOdaOrGh9U/8dKtYZrB4NdqoLAAbRRZ5+T67Nadm21RmYu345J + m8Eli2tnBIcVlIKS9Ivn53LuamJN36wwiMlEIa/RSz+qW7blcqCOTjNCpFpUkIwkQ1Av90vicMxn + dgnkdXGWKxCpyeR0wnEOpYZdaVqdoDoGmjW4EnqCdgt2bhk/L5nOVJdqOZgV1WbxyAl8G/kSQQNo + ofVYW84ROsYqK2wdQ1m4eRtaNjJt1Rooj6k2mI/3OTV6e2AJ7nT8Fmopd+YkZVmJ50y7Oe/BoN2E + vnUqEc1cYEPSEvWeWmkKsLiq2cjD/Nw3BiJbY0qpp00rUdPoXGKq21lO11PM6IEj4AjogGXjBb1h + NfGPDjvX7d8TbN2QNsJc57ksqzyb1G91DpYFCwGcZMOEA9CV2Bau1SeGJ+eDBGL1oE1wBA4YHPfD + kAbyPqyWaNedczJ+BLU/7YetaVtFSZWK2lndlG7raeoVNS/xmygRirURkl0TDYwtM54BKidwpGoC + Fq14M2I//5haxHU1OyYFjyzykU6Y7xePuniiWGhJu7VA+W+9IkasNPk5gxLZCq4D20ygcTHr1Ovh + eixCPn4JIO+YEsHla2Ek2VwVtNSBGBgcBrnegYtFViS4LC3G+IuFm5JUpi6BsYbOll7NXzgKWUVp + sC6dvH1r6qKWLiWUTAsTzHqGbQ1sdbJV2zrRp3ymZfQ7a2G03iDDEEZWGDrOxo0aw0OPlRWWb+ca + KfG5/RzGpaswXpM6IrG0lU56367ZagGzSdvVJvOoNDd4pVkOnwOVCtV51wpgxUlNhHyNgyXOlGAT + +pmClTeaMcrM0LZfd+YndnMlGHM5dagydEQYNXZ1fT9JytjY1sYNreHOI3IZX7zLCJQJMu74lBwa + pKF/lanURGzBTIg6J3BjIzoL1xOqpDsoZp+tqru8Okh1kldQ68hoDAYAz1ipf7PZHNEueQAa2UHj + g9o3BFNdnMeLiwRFw9J1zKz5r/TNz/yIoSG54dDY2SIzGwt4xH1TBUH2p4m61eKFREWMNk7kqW3B + zV+TJlm4t2w55VfF9vd5DtkoMYcNaKN+kHwsMO90A5LMlimHocZQqThzXt4Qg1o6jQqmqeAfi/pR + xSicOXsGuR328VRn3Rei8Xtmq0IN3/iEhd7S3tTGGBKiK5kQ2znNiAw4eBMi2qA/281GimybsQZ3 + U2WkRuanchy72RltdYUluNZYLbhKL2lVENc9e7ZojMZGnlCRY47bLvGos+2HLRuMG2q+/YUBj/6o + yg9gsoFQmjS4OciR+UA2m4t/5t3h2Uh7S6Za17xFPfN58+Z6uLRTiuan9ug3Sywtmgg9MoiLQJDz + AFFdpXa6NOaBDrHdQJ0lJNu4cgslrbPZgmdIVtzJbn3Oz8GzWdK2CSSeJ3BDHbN3ZcQhXA+KhJI0 + VnsrU4Uk74FE1RRY1RpMRwECOqADTgGdLpQNYgRQBAYGMRZC8/yQhpxqIq8l5VC302Ma8nGXgByn + KZs0TJqXa5PrJKzqTJAeDy2qxbFFqlt8I1cY4CXotPHnR7HGpLZjAHImfbrXOx6SHmDQnjVLkG8A + qyytYriYPDSuBSDNC1F0DvQTYnSw/FGZJ0eDEmiA45m7udhUfwJQDlNlwDE5gAneYQ9gIIBDCcVh + kORaZADLgSB7I2kfyBlQOWEDsYRdcda17RdBvUgAsC/mgYCxRmbRbk5KNNPLyKNizJP+0NTMJRRX + kxaAoJxW/gQQQAT0+nfXTFBC9rcyBqZ9cmG7/N0w1uQWVhZo0gtP9TIwV/YyVJqvdGhK0oMSJJ5l + X6e9K5rBqYUQlBR7NaNIlW0LGQXv6kQhzruALKk28pYAZ7OMZgZxTAqN4s8nrkuGTKmnPczaA5Gr + FVHmaZtS/xetd//+YOe6fccw0mVzYz2yARom5KaENe656N36ffOMQElunyQCd9A1lu7eUTEnk5gm + x4QrhCWwh7hHcIEGq5S5Tm2aoG1lRmOV2m+3UKBKfD3eUczc8Xysdmt9kpNrE0ZBrfKHOKNIDKt6 + NxNAdU1Y0S9ay1p9plPjDqQiO9q+VzT1bb0FaFTNCXbttHD7xv5JcwW4HZ1RAZ41Sbc3+u6g4Cax + JgyXkzlRFtKpFzYkAOK69eBQ9uhRjYXsutVFYV4MFp0zIWQdgCziiyU243JT16350BScMF7SQ152 + gNtvSiOS0YkAqyFyfUmPQcp8bEZLv8+kY73yco5bWKNuWUvQqGjLB2Wzmq2j+QQ+lG9HtkgF0oiP + +tYErKHSSKz3hMmZ3DL3IGil0XaTksIi0A73yK0kHkK2dwdtmU1ZPMh6RlV0WSxVIuhcVqljcDb9 + ZtMbhrg12Va1DUzC4kL3Zp9ghLX+TG0ONYtwFaek1dtvbScKfV39lice8FbRjIlT2E863jAtA4Sk + Aeao9df36iAkfZXKnqXt3jsSUNeRaZWMk/j0Zms+gSPIU1kzmRlbixk6sQiubDsNciMK16E0My4C + XpPSXb8vpqXRBxYnzhq/PZqTy6TiD6DxLJrh0rygboZYZGaqEpVG7DBBfDThGa27IVfqVGiWVAyG + rWrBKk/Y9NnyKNt/GxGdCSFOqABIpI5WkQgbuDW4081FSpDoNmIQesrxpclnF5Wpd25qE0DbJScM + n1cmb2Te2Agaf87z3ttxG5A5xkaycRvEh5aWde4muJyCsI6tKN8hu24p31BtB4MBICFqlph543Ab + qtz+TTOFBQ9zMiyNubQeLK3f1CwxRiEXlEcnJ4qEGou80kJTfltHJnSpMNrjb/6oA8Dj5y0+PCm1 + DW6giBZTPqgHLPK0hKy/+2LEQW59yrojkTiY9tBmf353wNUAHD0a/9r+Oc882wYzVDSbtm0t3AxB + iRMzlY0Fac7WxaJNkHi0aTOp3P5VojSlaluOR9Or+kmNVZYKj8/s29kZ0Mz+Gb7lpsnZtM22vGvQ + I4A2cFfNuDqhX3MYPSCtQATKyZe87Td04xBg3RztgD1wx1nLkXaFAc7nvgfGwPCMTs4dEOB0mSxS + bplKsgTDIwlgdAkdI3IJCfTMdMw4IuzVOMFCmBxQ1Ii9kevW5F+pg0HAaVAv63dE0BpuCRo0ADAA + i2aXex4iKF/31JGuHYowZBAX41Z4Smd2S2X9EVAHPckKQo9OzvFg3hrsGIkwgDYgDyzyybC65DhB + K0rrEcikYA3S8gQP3qvdt/eE5j4yQMjbAl0CRQLvI/TwQ8SQmYJQNzCc1U6cP/cMn0pi90rqURK1 + YkcUq5kNLsbsKM+EsfKWcXnqzOcRiKDOUIY0JiOK9cgEDuZKb4m/pkrSgXEkSZPpFChUpqsIJmAD + HDGWpEktMm9k1y3LYQsnZ0x50hdPwqJiSeW9k/yeNMNSEsbJfWy0Gmo3qbBFJ35VGvR4QNYhC5Zt + YycB2KSZc0dyU9lQlxJR0yyo7S2EdUD2CQ1Ax1oetAGlfMiVpZgH+ybjGfW6UpxsSPzywc51ex2Y + mhNWtFLNR2Xe1QuNuK2D7Bzhetws2UYaRVm+pjL/e7nsbx5cri8y4IZAvcMx4BCXYEIgcgu4lHck + RuYXgcCa0kYkkWHfSeCj6dh4FdKI+2nP9DUVmtQai/iZ7DaXw1s5KTux1524oluJ3Xi+kxC61Sp1 + iWjsp9o1FrvwxH4xZHnFTNqZogON/pJVdu4ZWXrZuliJRUqHxqosK2Qb3pHKybVW3bM6zBun8jaT + YIIMAI1T5FGLGlHQxK9lQrik5ygIAA+a5yAbT5RTR7nsZbBnM0Ea6DEbuYJmFLb0Yfy4HaRR3+ae + bK2J2p/tN07z6PAkOKJV8/PVy4g2qtwUyIM2Do43hWY6IOiM26UZVEoNBJhGxkUbi2by9aiVRnrN + 8t52NOyITMZ9K7wz/SoN8XgYzE9uC49gRgbeGA4jfqMic+aHU6wknjKkVlA/2j6ssMfSVb6NiNwi + Ob7pqd24nm3I9Kw9XDwWllS61TJ61Y7T2ucYwT6m7TO5fdq4CzE+KjLT+Hja2dW75WZAM1DPRteO + 1/w3DGRoZlGZGxDRoDxF/N3CCbrCjG/LuFsYJbutCxH08DfPjcL1JpUpP6OirXEFEFJ1QUOSL9IA + yjEnvqzGwSAkJwmPzQEN+XKOFiR3rOhNKzaie0b4VpxmS5S3DWPRzDAoYjM43fiwi5GwhvpJAAAg + AElEQVRSMrFUvsnzWSJukgpKXZgpi6nH300bPVkUbpuqjaUzU1erxKV02biygWbvgPcLt5z4zXVv + zzpZQM43aqofP6JSjOqjd6bkbgAHHfF69CKvBTxYz4JwCZxXEcaa4olPsJ9muXbrm7EeKE/faYcm + H26t4922Qo0BSHakRHHOhrWO62gxqUMxIzT0n8Yim7EJWrHXDg1tf6XvZyceNbqdRq/aBq2OpgF0 + nH0fpJcdtShAAkspz9+8T2BdwIN6IYtd3YGXdZtFyq71uF3exRkYKBv0DCYaNH8uNCeAb8iteClq + csu1Ru0C6IE9qdVKIIYGS5ZHRHY9PrYcbNkOOd16nk2Uf2/KRak3AKzr3YFyglqCOTZEZleX8kPV + tc7gP8IvI1dStfpyzKtptx4JDpIQQ5zIjuptHGOYm/VJEgVIVo3A8ASikk9AGZAtc3EeCQ1nYO20 + D0DPiCw3f1Wnc8Ugf88AeaATRzNPRyo7c6ImhdB4UlvIzjY7BYRWJfVOUVX5n+yOTXUCycgpI+WU + tFKbBwXZjx+L2gj0jDUQQEFct2Naa9gpNnBL3eFnbc4pSUn8tqUL+X8S3zGI77icoTU9JlC9LRDm + xYgswpkDyoV72ABHddaXsF/5kEoqFYz6TQCn9tzYJPal8goZnZYfDqCoYY1eW895fm06kJKl9waP + df5jws51uxUsM5PVHDJ/B0IP2bklYCnJr4HkMThE7jtEyf6NLiGwXr3V930EuS4AixT7frNZLros + VxbKVikhMpwPzA4cPUVCD6yH4diHRGBQYvYpgRwCkO/GJMD5uhFCWDJuSlghLn1O48mcsCF4ho/M + m80QfBec8w4xJvDgnSdygJ4mKNyeHb7sZDVfbnAARjaThoolX2/+i0yR4BNcH5ESQkpdl4gi4mIY + AEJHuQ/XwF3eUSyuwI7gKIIPRYtzMTqH4NMAR/mYW0kB7gHGeoi09ExgpMAcssbVy7k4JeKYg3MS + kgMhJQxMoSMXej1WSRy5H9iBQkigGNEP6Do4AkdyziElpCHvHSZK0TnGnggVxoLyKbMOTOsjHob+ + 1KmFG23juQRskHwcHDsfOnCm1zCEDoTkwBgGJEJYAm5gSin5kGOKQHCUaOjZeyK34NjDg6nv+76j + Za6OOEUeQI44uDJcnIcrEg2cUsKS4Aa5ZSE6RJ9V+IZBOft/3PBwlHrXLZZYeTi9m0DSKHfAqggU + svtg5EAhH/SFJFG0cpbQyRVKoticVlMFaPsP9C3M6YuRkZvbMScZ61siuxFR//GSi13Zu0k14Ahy + nNacuXVE9XBUaaekaZbOKDL6FeXqOif1Frz0f2oye3ScJRAVeywnIaJSLddOB9BST7gQVGGWszFK + BQ84V64RzVbdiIZjihsbxHa0JaCexJnRelS/VmrVhX+tlUY160CQbYRVwF0HSLsm/KSY+XqsX+sX + oxch3/zsmipm6ICaRawhU2OkmlW/twQQQ64jOKcjpTzW2GoOPqBzBXF5aBt0QHBwksBK76H1YilS + vg3WEcoxJ4cAORc+NU18w/cAWa6pT/KV1oZG0jOiigeUzrVKkp++dpUcuKF2/cWjR+O/aOZ9Q/PS + qgM6BKGRBh7XpQYtQR4IhI7yos1Mgba66UNUum59NT8Zps9mV3yTTnOZJobw+S1n7vXN8ieDK6q6 + bdTrQbY6C62Qa7nfjp3IcitcXWFlfRnyv47gMxebjUsd9Ub0GAzLnC0jQnYWk8/s1go3kS9VVuRF + OCOP/ALkwCIfZYgDeJmlhDnjWu71FklrreSG3wtmVMmuEEArsC+z30zQhrDO1m3o2Ow2kCEEKruY + KeMsOZuhJsilcFKFkKo07WD+IRTFGsB1RpS3gmNO6GykkQ56ETWNZFQKNG3ZvjeTn0B614igqpkZ + pFhupF6Ebfib7Dg4Y2eZqaqdNCQrM6Z2EQCIQI1+p1oLIAIWKJd5FoxaPmxIJ+xE+ZUjEBWKlQO3 + cPkKKCp0G01fant649AIsqoUR50jy7T6qiv9aUwjW3WjFevj0ZsZpLc4yWmkVce9sD9vhBQ0/U2t + tjGcDoBAQaePt5PL2rBKqg4EUAL5xlBUe0cpWWp2KmAmkz3PTjP6BT9qSpabiV3+pvC3ESx5jJUv + m39CI8Frt6rcNQRrRLKlekmZDARgD3AE57PFrDgZG6xwENeDlaaVzNgENH4yaqxDkTxixYuCaRP6 + dqafzlu5OxZlKBQ0M8I7LMlaqmwIY4QVGTxQ29gC0nyn9BwpLrtoGn0FQlH+HZAzFRg2AFAXXWqI + SSICboTnZG7qo+LSqqoapbtj9qmZAQg+1GBn2M+MqDT1ZN6mHKibL2H2ZPtuZpTyVZ5cjJKc22nV + DkBwoo+zE9m8qV32oAXgs8jObFdv5bBrASsO6+Qr08QM/2ikSlsaF4qmaKjVV0J63f014rzwKKm7 + on7SEfYcvKQcoVG7hcQLAlMxY4SYnSibFmvpJY/EWaeu27pCaVJDXYfJR7WXR8a21FcTIcNZVIjy + o+InqbRUDlyOhUpttMsf6GsH6gAm+CASBsJfVNYEMFPgnwDsXLdboWxvEczNSSTXOBJ6wpFTvyYP + 3g0dBsSEYS/n72SHIWhu8gTPQEB0GAI2CcuUwECkrg8r8i7AOUi0OOUbjrMb1DnHANYBx4zIPuUc + 6YmHlDyVHOPF0wkgAou1k6MNXcLCAWDENXiR2EWXr3iSTJSOgZjdcERMlA9kOgb34AGuExIwJ+YF + INvuxGpxZDDESQAjBWxUxfYMDHADfPSUCJ4dUo+4Qdoj1g2ntAa9DTqV1/9Ju+UAhwF0BWlPAu8l + TWh0lJwbEpjhKXXmiEUk1yeX8tFHR04uY6fqawcGxAGuaN4cTdIBjgmRc1IfT5yYXUnMQ2VRkc2m + uEHskTYAI6QBHWMV8zBS3jhbp4E5LpHIoUu9bpUV9goRWIOXDt2QMMQcm4rkfEQPHLkhhgSwB3eA + HwiJXOSBaOOYAsFjAZRdxI4QQYOn6DAgeoCIBoce8IwwMNIActm2SuA1xyMiSuiG5CLAjhIicLxK + XUhLJAIYaY0A9im6NGDwSBxpmVPhEWWXQbAr53IMgTKl0FljoLF+HJUNi6q3yuomK1mjlWq1zqw/ + tXJjOuQa2eqGsf0xelB6UHFUg6NW31kTROV9u7QjZ8yGupEJtQoAEGXXbb5opdFcsM67ThxtxfCh + arpYJeMAUYpULcJigBjKNZ7fatyPjIAKleJ1FOTPusBDdWsrtVvXuD5wpbQhV0FkZFXU781C0Wl9 + k0y7M8ZEtlzrukiHq+JQ22D1B4332UtBy3x2Z3mm/Yqb8IM+q0vz7CgUHmpYtHgs4AsvtcQuLbi6 + +qisCV+eU+Y0eUNAvZfGUF+fZM4fjemob+IUHk0fMw292kJ1N1t5JUMwy16HdhTnqdkCTV7S9B9C + IZoDAjqdmjoOtd1VUTKqrSra2xoxE6H0rMFd/zAukevBpMioqdwvLrJPHsp854KyH38DTd5npJ2i + V+8vrjPEkdwbXmmpLGk9A827KosMZ+ly2cFzYUVFs37Vygn5XTwdpU7zhOBC6RgqNlZQUKWK9m+R + N2fscgRdJiA1rtvajiG1gHazuDCo6X5FwAMrHZDaU7K1WDExbsCICpqUab4tn5Etbsabitg3c4OK + M6RlC1KC5LgaMyMqcako/pFUsm6JBuWGH5ruu4IAN1PO/NSuCbORyhAHI4hq3LPhrlqxtNdslblm + UFz7EbR+k81RYyvVZ6yuW0K7JCaySkR2itv+1lciJ0O7fBYLwgHGC3w9EXFDQJNf1JgKZkt37ObK + 2zyO2va2kXvU3AjFCVNv7cL2vs29eRfVmHc8narUAck6V21HYfmHuuJ6sC4Ko4ZgPm9mpRtRojAG + TfYAGlHj1C6T12GMFUC+8X43zajrtnICGXYbDR5VC8PyHVnR44H9jL3s2+lscY0zNU+X2RzwVWqT + tKFtVoEINWMkTodkO7nwFFmfTnbqNRavsWuK+nTlb0IeQRTn0WiejcZjRNfxH6PnRUaSaV83vLZ8 + SPZzXoC4xAZrneUfVUiidvRgyHasVDw5g0/FD4XkhimUKz3EQJ1hzcJMDdkoG615siiKRdKMmzSu + W+oyL/gi931RGd5Xu5QaY6j2OrconAkt0FKGtA+t5JvS7qTxbRSzikNXXW1NfWpyj2su1kXTC0IA + VoQFoW4JT5BxGoEUymwmkBOjbrqtq70cu27rFg5Mt8YxT3bXa4TJqC/yO7SmjY50s0J2JPElFqUR + F2fXLZWFRss4HYxxCBCoy//UiBL4suOgw+zbRfMvNexctycB25hsTUPF8p8I9AnJgwE/bAasO9rg + eEASOZM5Jx89k+RH+Svv2bEbIiIBHsEvc3R+YFCKDCLnnBNnbASIXEJk9Ax46hgYwEMaOLnATnRa + cQV6DMM6uqtdOBXhmTtmOI8IvH0t7nfwcHpqnRaLzhHAiBHekycPUIzghAVhs0Y6xt4ZAEhpGHgg + 1zmipOmkQzMNKwoaqh7VncwE3+c0Jw5w+dRIxOYYfCosuiFP3biBPwatnNI7i4UAdIjgQ0QHp4d4 + E7L/GdwzRWBZt0aHhDAsVv4YcUDyEjlCGJiJ9KosB4CHDXeOsrQnwFNOtcTAZoADggdclz3pMcER + Fl3Z60WKcCki9eiPALDPeVyoN8wzxM2wjjzwqtsLS9pkH68HKGflTuTyvXML8nAJ616UGjmKwJA2 + vD4+0+2DZJsgq8o+xpQOPZP33rlFCHpJkQfIE6jrOkRCHEAEGryLIBcThgGbDbzDYsE+DCltYn/U + LfeQaLOBX4AdesQhHaGPp6kDLZF4ODp2y8D7wZHfAD142AwLLEh3MGkkSmwGmdHqdywVaazVrMqe + flR/VbG7peaq+KZVzMlmb9sdKyNrEbUNj7+xXqtRQ2T7GMYqgkY/8gp5VMvYrprBv6Ix9jtYNVir + PklPzSPY/KXYkh21mbEYN1veXNez1Zo2Y9448SNCQ4QZshmLQGqeW1HMWyUnF5rhhzp6EmgwQ99a + 1gzgiNjy03Bys55rvmrn5gnUaxyX+ftJP924yPjjGR5pn4658h1ZSTdWuGnVAdN5ZDBYtJ/dUBvT + UbiRV+8K5hmvpWcDW7h3ftCm3nka8Ut9uaWS0TvDqGYTbIL2zEA0LE4TudqKrCnXT78aL6K60d9A + kZmtYGpV2cycmQlemZDdj77bxvTbuOcGpNDo1XY+HGNb5cacopoo1snfbkQSM3QzK4qp7DJPJg9P + LNWOmn1G9ou2om2tzaqzUeEphg02U8ae71dL3MmrmalF1TkzxwkzmN84THtkmN+PSppX3Q21N3n9 + dxeC/2AwnhSZADRvjk74p5ZsHGD19aSaWTlG21/NoHcCS5/4eBajrdNirvzMvPJjI0rfjSWz+eeG + sB1P7zFVJp9VTPIrZ4tu+6pi65rzKJMP57C77qOC+Qj5GzRotd7qeywyS/De0sfrzz+ao8RMqfoP + 2RGeroAKbBmn/HiLATwqKz8lyHS6/gK8m/9qJHevv2CcVrAVbliozYjYbQ1NX41b8Sctsur4BGrX + 1ATQNl/fPHtvdQzO6KmTYNTHuSVpUw+N9eXW0RrbV6YEnWDUmTjuab3vaCb+I8POdXsS0JzB7YF8 + V13E0oE8AnFYuOXTP4/PPR0XpxYX34cLp+FBjJA27HwHv0ySaicACcSEIMf3CQysY59SHzqHMBAv + cnBrbi57igmesGBQgtsgpkid6xx1kr8naZg5AR6LjnqPhGseHdM68j6RoyX2gvcBDquEmJhjSnGI + Xed9Ph3HPCACYOfhHAPLfaCLCA4usuc+JXaHhM4tfHDebSD4TXRh9UqUGyYcezjADyW4wDMWPXCt + d2fXDquE4Jbgm4G9hC47fUP2RSesQEj7cPtwvieAQUPfEcMHD94DdcXRMQDewS0BHrDpsfFYyl6d + ByfEBN2y7CicIkICSSo8DBiOIvneBefBCUOP4MEOEVgPa0du1XWJENMQh34Z3NIzYoLr4FYcl/Ar + jeBFAvqBF7TcW3VInlPcxDhQ5C5QCA5gH13sQYwkLnDv4B1ikKRiwILdTd3+GXBA7zAQ93AebgHv + 3eDTsI6so7/eoE9Y7GGISBxWCxccgIg0JIpMiTGAYvDer+AcyPWb4RgU3PJ8cktirDxij0Twfhld + R4sgPvhNx9fOfv+7L17q3zj74MWDe265uKRVlxMm/xMyy3ewgx3sYAc72MEOdrCDHexgBzvYwQ7+ + icHOdXsdILsfAADwGBgxwiWsABfZp8N09Y30t4/++LuPvPzBjzx06o5bbgM5kOMl0oKjXzs6dojA + Jm5CWnegiL2BEYHkwA5MKdCQeOMoAhwjDbHbUBw8DzR0aYhxSMTsXXJ+QCByRAtHJum5k/8xJaLB + I0XuPfURw3rYXL56ut8sOgcPrLp+b39Bnh0iUw5eJQISMefQYpcYxBjIH4GPEAdwOEzhiJJzOYe2 + 92HfxVUTY29290h2fqpbOUWJUu6T5Jjo/BHSlTU2R3S4oT1mv9q4Lq420R0v+Ig3faT9ZSd+3uiw + XoD5uBvWXQgdGCEyewbILRAJKXHvqJOA9wFHfT8sI0JMGBLEC9meoQigwCkN4M6DOIEHAIzIFIaI + uEE6Xg+ba4NPWJBf+dUyJAwJfXTcM3taLCli2MB1oANOLg7oI96+hj6iS8PKHZ077RZ7AT5xPIqc + QIieHTYRa3abBXlKC3AHdvEwXuvpahrWAcMydAu36BCw8IT1gGUPEIjR5WMjy0RICKBEGNAPuHwV + VzfwC3RLdMswAHsBS58iYnL5ypHB0Sb4PQJAA7BO2DAO2C3fvop4CBxj6JEOhuWFyHAgDy+Z60OP + Z/82fufS8ze92D/0Wzff+gB5D8Q12Om9LzvYwQ52sIMd7GAHO9jBDnawgx3sYAc7+AXDznW7FUgy + fLNkFalO3MEhRXQJAaBhg+M3hmeeeuVLX/r2l7/+7K133hOHm3OOwgDnCNeu4GrCEfHxsHH9kR+O + VqFL2PRpERnJcfKHt55fhVXs+7eWXURabY756rV4mA6jH6IfwgDXpxV1WDgsQre/2Os0PQDLvYU5 + t0+PfG/jkPP/MHG/2Vx5G08/8+aVawf7YdGlw1tPL+66+9blPvlFCEGua4T4WQlyD1+MfOz4Kvq3 + cbx+K65ex/566ffO8h4xA0ss5J5J8d7KPbTEJlliCQdOGAZcO8bhBpuIBKxdP3TrkA6vuuPD7nBY + 7q03p/F2t1qfXq847g9vpcOBk79wSwCcA46XuHz8xvHmaL8bbgoHpxAWboNlGo72AgI4Dv0mwoO6 + ReBjHF/Bq4dHexfJBUoYIg8pdg4ED+9RfcpA39M6st/zPhFSgg/BLR1w7Qo214bN1auXXnhuzeu9 + s6fuec9di5XvMTB6hnfeR3Dk5PuIsIJzMWG9xuUr+Nnzh4fHby6wvvVgGW6/eRmCDz15BLjsNB1w + nHCVcUh8esErxH0MeO3Vy6+8efXVo2uHgXCwd3DTTWfPnjk4QCAcHyMO2N/L6SCANeBjCAh+bxi6 + a1dx5RAvvzm8euXwuF9fvOP8LedxdcBNp+D2AzsPyWIxEAaiXnzbIHDXp2U/4PlLuPby4XD5mIdN + d3G40J1enfN7WKQcfR1BwCvPuu98+8XjH7+8uPMDH71nDx1Ax2A/jU3fwQ52sIMd7GAHO9jBDnaw + gx3sYAc72MEvBHau25OBCVETnddnjhzgGMRAIMTj5WOPvnTp0rXTZ86970P3X7wod5rxgOMr+Ju/ + /NE3H/vZE8++MWC1SP0i9csQEvbXw+K479FdXh4c/t6//PQ/+9V777/zAm+Onn8ufO3LP3/0u68+ + e+lngx+wwDItwxAWqcOSF6fDhx5+30c/ft/dD7iDczjtsQg5oS6YGOQYe0Pce+21zXM/eePbX33y + +acvv3n1+PLh8dU+Up8WCaf94vTp0xduO3/ve+7/yMfves/73c0XEbFhcjk/b0RMSEvaRzzA5QvP + Pv78H379R3/1g5fiQfep37z7N373vffcfnqJ04sE9EBICBAPLgudalZ8jXJdBHz5r5/4yleeefbZ + TUrn9uiNRXp1v7t6vFpd67or5Ffr7lTcX667o3Bl3V3bLI/O3nLqd373Nz/00ffuH+D5p/DEX770 + R1//1kug5b33P/Sxi5//7QfuvhursEfoPaL3LrpF5BB7/Kf/5Sff+9rLV9NL/+LfPfyx37r1zMJ5 + Itf1QCBy2UMbNNFETMyUGB7cIe7DHbzyMh5/Cn/1Nz94/pmfXLv8Wr9eD0TULfYOHr/z3nMf/PDd + v/qpD9x5X+gW+Za5U351DlfwzI/wZ1977vGfXnnplecOjy/D9YshuI070526+8FTX/iDT9774MFN + 50GLnE7CM8hjgXjb26+7x7529Utf+dZLr11+/a1rb67X/aLj/b3lfnfr+bMP/8qHvvBbt4UBwwL7 + Ti/UAcCnuceVK923vn7pu9989Yc/em6N4ThtXr98Zbm46fSp82fPLT/za/d/5jN33XX33moPAHg4 + ipwibTztpyFcuYLvfPfKdx979LEnfnb5jUM62uwlt/SIB2s+O3zisx/+zK+8/xMPXZALXJZ4/4du + f+D19/7Z4z94+dnllTdw5hyWXXMZ0Q52sIMd7GAHO9jBDnawgx3sYAc72MEOfrGwc92eAExyw1gE + heqSZAJRzlXAwLDB5Vfx2COXrlyhO+659+JdOHMTPOCScwnDMZ59+vCbX3n9G9978+Zb3n/zqdXp + 4DtQRLdJ4Xg4ouWwPLO5evkmHm5yAKXlldfw5COrr/15ePp5OnP+1r0z+6fcWb9Z0jocpdeubF76 + +dOvX7rkPvJr5x94eP/9t9PCAXFATHA+Jr565J57bv3DJ155/Buvf+9L/bUX7+wOaHlLpP2r6JE2 + i7eu0gtXjp/EcP7ClRd/9uIf/Ju7br4A9olBCT4icrnvbA28FP72q3tf/YvlFx/du7pcH8b+3N10 + 9qaDW/czicydZAA06tYB4r0GAAcGJVx+Nbz4TPf8T/Yp3b3kc3x0U4ejN4Dnrl274vxZt7r37G0H + 6DaLNzbda/2Krl1ZvP7y8vgaOOCtF/DkN0/95R9ee8l3dM/Rs5deunDvfcvz/s4VGB4x39DlkwOv + 8cRj+NIf8+X+ysOfXX70c6cJGyDCbcAetMyXLTCDGCmBnPPZZR07DO7wLTz2nfgnX3z5K199Mx6t + Lpz74NkzN/Vpcfn1/keP/fyJ7x09+9RbnQthhdvvkU6CcOmZ9NWvv/Snf/zUC6+vmLqbb70P3L/1 + 5uKN59bHb+Lxv31rE1/6jX+x//An/KkzCAsASwfP6fCtN93ffgN/9cdv/82X3grL06cO7t47d7Nj + /9rL155+/Xnyb7/+4isr3BbcG/feG276+Jm94iftceX18Ohjr37xT5//1revvPYm3XrHLQe3LPeX + eO1leub1jnF45fIbx5uDz//2TXfejv0VfNoj7hlHTPT8c3jsEXzpS4ePPXn1uRf7s2fP33Zw+uzp + fQzr16+++fKrr1y4ePDgbaf6BxFyRoQl3vN+994X3/efv/z0C0+5nz+NCx8DFjeW0H0HO9jBDnaw + gx3sYAc72MEOdrCDHexgB+8Kdq7bk0GCM9le0ssO5u8rb+Hnz+LR77y4On/qAw995OAsQofgEBgg + eAb35zbX7thcO3/vR377A/fhwhnkvAaJwAG8BHdXHvrAwe0XIJlmI47furN/68IpuvOj7739trtw + bgU+xOYtPP/qe77+yI+/9VcvfP/pZ3/l0s2/u/fB+26/Yw8Iw/EQk/cH63V/6cX1n/zpY3/xxR8/ + /qVrB+uHP3j+kx//CN73KZy7H3se8SpefRY/eAxf++pLj37jlR98/9sf+MhND/3qgQscgQjeYAiA + hwMDV/Hqc/jWXw2XfnpvN3w4Dc/9+Mn42GPDww+D9wEClg4OoAiiEmpLkmvCuG4TeMAdFx785Mce + /MgDCIAfcPwmDt/GE6/gZ99/I6xOXbh5+fGP4PYD+DPgPRyCw/6Vu247s78E1ujfxvGrdx3GBwnn + X3/tzLcf+enHn3jr/HvP3HZ3SHDoOcbIe8T5ijR+Lx/e9dZxT/1dyw5AiFj38chTABEQnCMZWEIX + 4L3PaPLgf/LUtT/9o6f/1//j6Zju+Pxnv/Bv/zXe+x4cb/DkU/jf/9OHHnvy+1+59NJtFzdnLiwu + 3AMAA5A2+Po3fvrH/++PHvnOmw8+9Luf/PStn/okrlzBi0/ih9/A04/j2ee/+z//T9/rD07d++Ad + ewdClICwPtp//mf4j//bo9/9Cl+9dsdnfv3Tv/l5/LPP4s1DfPMR/NGffPCJpx759t+8+fLzX47h + J7/+W3d8/OEvLFl3DHq8+PTm//qP3/rKN66+dfW+j3/6d37tc/7Dv4Jz5/Ctr+Gv/xzf/M6bX/nS + 4y+88vqF23/r1AqnbsPCg1PHaTP0eORbR//j//DEk0/G5enT7//wF/7rL5z5xEO47za89jKefB7f + +wlO3wpKWB9huQcfAMadD+DBn19c0m3P/rB//NHuox9CgmuuD9/BDnawgx3sYAc72MEOdrCDHexg + BzvYwS8Udq7bk0HiCinnAkAOvA1gOBLavfYqnvkZrq3P3HfH3Q99+D7n0PfovPjX+jUWYbV/enn2 + Vv+J38BnPoE7L8Dp7WTwSB6RDu65F2EFcsCABMQ0hMX6trs3v/47eODDuHgWfgMc4+pV/N5zD/6X + L975X37wZ1/+xvPL9773Q/fio7fhwCPgDAa8+KT7i79+8ot//bNnLoWL73ngD379k595P+57EKub + 0Z1B6ICI4w/hg5/G5/7lxWd+fPGxJ9bnb48prQM2CUxwAQggAm+OcHwNL7yJH/7sldO3Xvjcw7d+ + 5+nXh/WL33/khZc/f9f9p3CwhKMBcEBXUyQQCInQEyIQhME8yOFjv4p77ke/QbrdDsIAACAASURB + VNwgRPgBb72Og8fx9UtHbv/m93wMv/evcc+tQAfucJyI/Znzt+PgHLq3EDqsTuPm7uCOD77nGcal + yz/+9jeeOX/xPR+67dy+B/wZon5ISA4+YdFhufThOKSEoQeHzuEguCWBGCGBEsMB3sMBkRAZwzEW + Gxy+jm987emnfvKT0+eG3/9Xn/7Nz+JjD2G1REw4uIjFOfz5X3zw//7PP/vGVx/bu3DPBz51Yf8M + Tge4Fe58YO93/pv3fe7377zt7v07bsfBARwjPoTf/iz+8P/E//OVKy9sNk+9ePi9H+LsLdhfwg/g + Y7z60/D4I2889ezPF7c98PmPf/i/+gLe9wGcOovThP2zuOdu9yd/9NBjjz798x89jdPhxz85883v + 4OMP4PabgDUuv4Qffd9/51sv75257SOfe/+/+m/9Pffg5pux7PAbn8P5W3DzxXNf/W544eVXn/rJ + +oF7lnffBmJwxNG1U8/8dPPY9y8999Kb733o4U/82i3//Ldx1924+QxWHW49wEfuxl0fAy1w9pS5 + gYzBC+ydwj0XL1699PqPHj9++8p9+2dXCwQP8v/Qs3IHO9jBDnawgx3sYAc72MEOdrCDHezg/xew + c91eF7LLNgJewkg5OyjhwWB65WU89XS6cnhw9ubb778fqxWQL/5i5EQBHB1o8KvN7ffjPR/F3Xdg + sQB7JJIqY4In8RAj5QDWQ/ijU+fevP+hex/8OO64Gd2AEJGO8dG3cHzt/2PvPp4jy7I8v3/PFe89 + V3BoRARCR4ZKERGpq7JUd1mLacGeMRsOSWvacMUNh0v+B9xwSy644IrDIc2GNkbradKKbF1V3aWy + RGalCpWZoQMRQEDD5RP3Hi7ckVnV7M7moms172MwJBLwhLtfB3Lxw3m/0/zg2eo7a/n1e3N3H3J+ + lk4rEoj73P+w+v63H398p/LLl7/02tVv/hZvPEd3lgDRIgmVECyrkedzLj/iyHvnjp/2xg6FCiI4 + i502+wY2trj1kCcH5YWL7Ze+Spjp3ny08eT+aO0eu8ssHsPHEtJf2nAmJVRCBYBFDQoGDMurLB5D + oSiwFQ3D9jM+HlMlz6R9bO6EPPcqZ46ggFBFIrgUC7aPGNThm3rmfBvo316688nu9Z+Pnl6day7R + zhDrIxpjcGIVFQmew+i2gcGKNiaPZLJ4TuXzF1MDGijG7GzywXtPN7e2j5w48rXf5PXXWehSVSDM + WpqL7A3sj96e31jvf/rp2ub28nKLYFHP8XNZ69hMd7mZzZAmxJLUkUUk59Fj3tkM9z8u729VnzwM + b4ys6eAqJGfrLu//7MGTrd3TL828+TvJi19lYQktaFmWFjm5Qu9JY7h27M6n90ajmfsP5n72PqsL + HJ0D4eFDbn8oj+7z6m8fe/WbK1e/RreJB6u0W8x0KODBZvrw3fLmradXL5++dhEMEiiG/PydrY9u + bPRzufzywtd/g7e+jksntb9kgcSwaACsYgNipz0hIaXd5ezq8RvXy42Hz7a2TjdX3Gwy7cKt1Wq1 + Wq1Wq9VqtVqtVqv9o6tXw3+xz9o8I1Sf799SDDiCUZ485cbt/d1eljRml1fozuIdVYUChsQxHua9 + wd6g2KksIaXM0Gwbvxbc7Si34akzgyh5YAgjDGJA8qj9aNeSmR6tp2WqVZOqgWkys8jli1y59moy + e3l7MPNwnXEOoWTEswfcfmf07tvb43zl8itX//C/5PnXmDkCGbaBT3AJhR2P0/s0BqbL6nn+4F/M + P3exlSQVFJM3oRKiU9NIuPeIH33A2LaPnu1ee403v7R84thi0Wvc/5iNDUrITVWKxs/KEqSEHAqh + lMlxfb6wbFSxU9kDSQrXDq4LLbRJ4cNOfn8kA22VVZMyKUOCeJKULMVROvasISjD4mBY7q+e4oUr + XL780v6Ou/1BfvNDtrfJI2pRRaicociHeTHy3qlSVYf3HyEQIzoJ4yUELSZlxhpJHFXO1jp3PjkI + KhdeXDl2ltYCISXtqu9AxtxRzl3ktdevdNtHhv2wvsFgTAWVZfVc4+JLrZWTJG3E05ohbUBCsJw4 + v37plXbhi6c9ebqdD0doiQtY2L7LOz++2y+qxeeWXvo6yRGC20+aez4dNZthocOV87x0cn7WrZTD + 5bW1xQ9u6LM9cgsJd+5w6wOq6ujZyxeffxPpECxicY4kY26Ra69y5tws0vjo+tqDe8+KHCoEqjE/ + +JuH129uNGYWrr7BxZfwKZ5bmGfRVWVK6WPByNgicWWajsXmFfQrYoP5BS6eWV5sdPJ+8Wit2u5r + 9Ysr6Wq1Wq1Wq9VqtVqtVqvVav+o6uj2C4gi+ll36+FGrihUEQK20vEuDx/u3V3f6h45trAy38xw + k2TQBhUAiSTGOZMKWVREcB5wijOkQgIppJbUShMyIhKx0rUyJzSqICGGqEFBDMaDxzVImrsu7Qdl + nKMKIjri+vvcu2UMM2++den1r7Gwim+j/rC3wIElSZynG0hUwGE8xiKaanRgBRGMI/WlLwZ8+oiP + 1nazY/PLpzl1mlevmFPLXfry4EZce8wYCrJKTAAMCKjh8BlFPBEkYsDmasfWB2dFMBZrFAIaQKxL + G9FKRYnBMLZUBuz0G9mM1EWsJfpmZfK9Icur/N7v2tX5xc1PB3/1rSdrawwihWA8iSmIWKPWuBix + FucAghLidPgXUAKSix0gYyx41LC5yaefMBo0FpaOXHz+dNYCwQlGxYChdIZuhzMnWp1m0u8d3Lr5 + uHdwGO3bhrOGiHckDivTgWtrMNIVmt43jHfioziwVIF8j611dncHs4vdxdWsPUsieDJPYhFiEFhd + 4Mgspuy3vI1FeefTO3s9RpFeye0no082Bqa9tLAyt7SIh8nrLAEbyAyzGbN+sVmt9J7ava3YH1MJ + /R5rD1h/PMyy7KXXzhw9TWtmUuwxV9EANxmhtTYKhVIGYkSw2BSxtNqcP0u3mfQODu49eLJ9UIa/ + taWuVqvVarVarVar1Wq1Wq32j6eObr/IYXRrUEM0k6KEyhAmsW6u4322d/rbw6K1vNKZJ01xkwVm + plADYBRvrDOpMY0QCNM+BK84wU8y3IibJoBBJoGmVkksWxpS1GFEzLSgATupli3FP1OzGwlxcu0/ + 5CNufjh8dCf1bv7Fa0cvXKXVxWa/kNv6iIlWjGVG8RGCIUyfaCqaToJKwTi1krO3zd21/bt7B3PP + HVk5zfIKl85xYqmT5Mm9G5uPHtAvGeFLJBCUCgIYoke9kqh6EKSCEQwxuVBaVDAGTITJWjTFuDRY + U0mlMoluc0N0kzMKhioxETEE6ypTDIpH3UXeeI0XnjvvR7Pv/ODj27eLJ7tUIERHYRUnCLYKUeO0 + 7SKCSokNSNTpAHUOw8iBUkZBDQc9NtYp8larPbd0tOsbiCCKBCTiZGyFdoMjyzRTOx4OHj96MhxG + hVABIgaRykphjRoOl4kJo77b28urKI12ozvf9hnqqAIH+xxsMxpqZ7bVXsRPqiFIHd4BMQCLHRZa + JDpuOZEyrD9Z7w0ZRvYr1vaGT/vY9nKzS7t1+CIrNuCU1NBNmU26rbAy3sp6u2YwJo/sH/D0MQfb + 0u50Lr0001kCQ1kwOGj1+2ZYhQogOKKhmsTdAVFRcYglyzh2lFZmRsPh2tOt3X4I9dRtrVar1Wq1 + Wq1Wq9VqtdqvTB3d/r2m87YYnYxrqgFUCEI0h1vI+pQVIWkms/NZi9TjLdYUSK4SEESxGGcSaxp5 + wXhMWaF4IYU04EpsEYgKkcn15xoYDxkNXJFb57MkSbxYY6Y9rSESzSM1m0W1iYySFGNAJR/xyc2D + pw+y1M+vnmT55LSaV2yO62F3MFtKH1Swk4dfQRmJCpo627IkgMGaQByw9oB7T7aeVeH4FY6epdNl + dYVjc8027Xsfrd/9dGtnSA9yNFAoYwjTgDu4WHkhwzqsxtAvQl+nhQxREBORCBGNqBLEBiNqohpg + ZBgbcqvTigMqSyBCYahsEcxm1hofO8I33nSXjh5/9PHuj39844NPnpagBMMYgzOgUlDlOUUJYESN + K5EiUkQqCEIxiW4L9opYRQiRIidUXXDii8mcqQa0nMzP5o5+6um2SWwMRdHvjYpcy4rRCAII3gRh + oKEH5XTCV9h4Orrz6ZN8MJ5d6J46S9oCoQr09hn3QdO0mdhmmGToFixW1KCK4j0zCS0XMkGqOOwP + Rnk+DGWvYj/YvpmhtWATnJmm+k4xk3oPoZ0y6+nokXDQHvfdMGdUcXDA9gZV3pqdmzv1HKT0x/QP + 2NtMNtfz9fXd/nAQqBxiiIejwxKJUUo1OMdMi4bTsiy2dnq9kdRTt7VarVar1Wq1Wq1Wq9Vqvzr1 + mrIvoKCKKpMqAGBanGAtBMj9cDcOR+PKheY8SXs644lEKIUCGpMEzwhitKoox5R9gviIj7SNhYgG + fAM1lCWJwVqShCyz3qXjIVXR0CZRCYFyxHCH936uP/neAwZy+Wj7tcu0MxgnOwfsjYqR4DPfadJ1 + eFBioLRk47yZ56YoxBgQYmRS8yoR06KRgvoYDcY6UgL9PX7+3sOn2w8bS8vPXWPhGIBznDvt3nj5 + xJ/+9Tvbm9v37y+eukQzlUhWxJ6NIdEIBoOIqDCJIsV3rXVKrpSCCpXgJ4dppjvgJuvDEglYOgZv + ESGCmax6Y1JSYYgmWhcTH9stXr7K9nV+fjN+cnPt2E+7r7/I8kySJTNAVDAhxSQZzhFBJ9vfMKpG + 1akxSiJ4QSw2GqqKvX02nlGU2MRnTSseDFgEjCg41DUccx0yH8KoHBzkobBWSP20CTlaY6y11kCF + Uvb97lPuf+p21otuO718yl84S5JRoWqkXzIMiI+dGdrtQcrMpGnCqSFYiBjISFtkqW26RlY2BwVx + aMPYjgv6YTBIyvac8U0SOQxtBQzEaUVzp8Fi225t5VXI+xVjw6hk2MeUrr9b3Pww/uzDZ1XvSbH3 + SMpexGCcdXZhsXX23NG3vnL11Dm8B6LF2GC9oo72LK6zV8Tes6393kFST93WarVarVar1Wq1Wq1W + q/3q1NHtP0DRqDLNEBWFSLSTVDGX4X4+Go8qp9kcvjG9jRCghAIaAhaFWBTju3f4aZO1T3EyjYQV + koRmi+cv0VjETO5Pp+ktarY3BztbZcOgBeWA/XUe3ubtH47W7oUzZ86+epZLJ2h4wrjZGzOoYmlp + NFwroS0kYClDKMrQuHd//HgtbG/0E9PwpBETRIIRZwcvPT936jguAbUmWiuQs7PFuz+/sTPYmz+x + dPwiM4vT4cqTq7x2LX37nWpzY/PDD8/MrSbLKRGJKiaKqpmG1xDjZG8YxibIZHA2CGqoED/JFs10 + B5yqGNHERAxtA0YjlKhHzd+KbsVGZ6ss4exprrzE8++tPNjc+Oi98vZ10st0ljt20iJB5RO8x9jP + x0Jj1BglIsZM+xjiYYFDEAZDdnaLEF2S2UbbGQ8gFgHMpAvCJoaZFomttCpHgypWWPDmsPwBK3Yy + 9lpBPOj5Dz/g01sm7/vzF2dfOJccP4q1lIyxjXGkUNRUWbNsZr2UmURJS8RAsEiFQILPyBJpmawx + bg6GjtxpSV7SD8O+L452mz4jEVzEmogUiIFk8nPY8iy0aLkqUg4CuaGIFCOk8rsb+x+8+8lO/jQO + 923Vb7vUxnbMk2dPd/B7R45bF3COE8+hiAEXIZBYWl1cZ7eUwfZufzhwqodr/Gq1Wq1Wq9VqtVqt + VqvVav/Y6uj2iyiqRJ1EtxGm/8yZ5KI5o/1qNBoVRl0HkxAiTkEDUkAySbUEjVW5t7/z7//4R3/y + RwPGuwvtzPu2arK/vzczm19+YfG/+ldfX/kqWQOKWJQmBATG4+ru3adusRzsajkcbzx+dvOd+Of/ + 1/3dp4uLR1/8vd98/StXmEtxEFQqGKsJCVkrTS0NJRVSUK12dovv/vXtv/yrx+/9+IavaKh3aTIM + ZfCm3Sn+63/1z9r/5ML8PM7hDSaQH/D0MT9/78a43T55IetO1p3lkcKsLHDlMktz8mjt6d/8zY0r + b11jEcDbhrNOAlTESDRUEY0AzqE2qTAGMdOehhxSBGOQyRI4NRqNBAzegGgBBWqmC+I+j26DSimM + rZlptHnhMr/zW2/+L3/0g09umO/8JUfmOLaAVlRRlVJMdZgqRkE1ajGuKqzayU+9j7iSXAkQradS + xuMoNs2artVNjEcBQayigWiojIPM40yuoapyNCB6WBkgCEajYAIErN3b5bvfHt66rold+Orrl65d + YLY5efJD6xrRUwkVuXFD53Ycq0lEKjBoJFicgsUkeKcNSVuxuV9kPmAiZcVQxyRRO86kJEISKzut + pLDT6LYgEbppaKfB2DiC0hOFWGGC31jbfVrsXnzt0iuv/9rVSyx1cCMGG3znT3n7/Y2ffu9WtzW0 + aXPlNM5JMoluKzBkLVx7T+34oJ/nIyaz0XV6W6vVarVarVar1Wq1Wq32q1BHt19EmKS20yvQJxGV + ncyCKkTKKlaxQqL1YKkgFQRrSAx+OjEaohKzzF186dy51XShPWonhbVOMXk+TLN8cckfmcy9GjDG + O7KMstTHj3a+993HH9zdbCfdONb+7mh3k6KK19688MpXXvjKb7BynFhCgiS4jLwcj/IqlMEJCRhi + ILc2tmbs5ZdOBLNw7tSSK8UVEkLz7Z99cufBfm9nc9jvIIggIIFY8GSde4+KEJdOHzt99bnn5ibd + C9bgac1w9BgnVk89ur9359bm4zucnSPtkDm3vcHjTw5uf7S2sT3KY6ImU8qoRZLFs5faX/+N80mW + GZyLhmiJELERE5HoiUbiYamwQkzQZHopvqCTcmGDUpShKAMILuPICd54w//wnQvv35If/NWN1649 + f/Q4swY1bQj5uCqKKgRnMCGYtYf597/74dauG+dd6xza07hXxN7quSPnXjxz/tSiFayXshoNh0mv + p+OxVC0iRJ0UQiuKVsQcLTomziR2VhQ9XBOnEbFAK5QUOU8e9X/yTv+dj27HxtkrL5z7ta8uXjhB + BiUI1ih2Mp1bUeRUpeez/FNQQzCpM6CUkUAWo1PwLkktGSSRJFhKY0rVQBDUFYqIJkRBA1gsY6VX + xmEgiDqPEaqK8Zio+elz85d+7fSLX1m9dJbjy7QsvkB7dGZoLq9s/9GTO7fXP/h59uv/0bHWvDYQ + Jg0hEQepzFvTyivGkVKJdW5bq9VqtVqtVqvVarVarfarUUe3fy+Zjl2qkc+jWwFDnDQboEQ1Kiom + iEENYfrfOIsX/LRmNSjErOGvvbz8tTc5d6LrDndJiWAMxpTHjuMmBbAGZ3EWjVSFL0btg51RLi0d + J5R+rhvPn+u8+ebF19+S0+cRjwTEQKouE0wsq2o0GpUjKDBZUCokNhrmwuWlhRWG1075EpszHrK9 + na09etjfD1otTQZiUUTRwOM1bn16MM67R7Plec/+Y5606EFWYkf0B3RaXUdrfaN4eIet46w0UeFg + h48/LL77Zxuf3N8bFi3juyrDvDpotIu3vnniza9iXMNPzi3yeXSrmJiIMnmzn311ktsqCJUQBZEC + KaPGgESQhNllLsKVi8ce3eHGuzc+/PDU6oXWC8eJIoovCaGKqhgIBZtP7Pe/vf7woTvYr0JIhBGa + lxxc+0rXJnJyCe9pNFNMmRd20JeynLQgEJHDXX4mVuQDtOh4mW83V6whKnq4xE4MqoyGbD/jnZ/0 + vveD9ftPnl2+9OrXvsHVF5mfm7y8BIxGrGAgBpOPtSgcvzi6alCHBiSQl1TB55EIjayRWTIhUxrq + TaVxWJYFBaSTd5pO+5lNgU9Gkd1xMahUraQZ1hICeQ6Ux092vvrrq5e/xPFlWh5bkVQkFd059nPe + /vHiw7Xho/v9g/1jfkbVHXZbKCbgddZKO4otoIj1mrJarVar1Wq1Wq1Wq9VqtV+VOrr9IjK5aF+m + xQcThjidugXrUuessXkkRjFqiYLBOVKinzbjhijENDXHT3LhMs+dxigRxOA8VhB8YhCNVDnSEMhz + 0lQurFz75384e/wy803iiIajOUN7jmaHrIG1OIu1WENVjWza7My2rPO9/YOt9XK4M5w71ogoRCVv + zTjfwK2QBbJAyPnTb51uGpOKWnEKMWInz87z6NHonfefPlorkvs73Z9v7K7RyfYadjsNiR+dKjYX + d7b2HO3M2Cf32b5EehGBUFAOFsv+c3FQSFgKZafSg1HxDIbFaDmUhHC40ipOy2FNwAREE4mYiFFE + D7Pdz0pUhcqgAmaMKV1ixfgAanENurO8fpV7H/LTW+ZnP32wdPHoc8fnKkHxESNijQGocsaDbLS/ + Otrp9ndOjAdplkqWCG6d8QxlWwNZxtwCzbY3NgnV5HUhxMlQ7SS2lFgy2EeLTitdXl44nXpUiQYS + jKBCWbC3w+0b/MWfPfr+D+4Es/Ta1/jdf8ryPF7JK8TFgMYSI1iLEZ+PKQqr/MLCL0sUKihz+iNG + hQzLKsBMZ6bpyaAJbZNloRrv98ajgyISbR7JzGSrmg1QkiTDkq3+aBDUpr49gzPESFWWxoWsmbdm + S9/yxiNgXTQODbG14BZWOHJkbme7reHZwT6tpRCaZroADbTClDOGprGmEopYrymr1Wq1Wq1Wq9Vq + tVqtVvtVqaPbf8Bk3FYFYRquWZyZrCmz+KZz3hEox2UIqTqCYLDQIPjDFlSJGkIYG4PzpBmWaS5p + Ps8nIRqCn9TrViEUocx8b2V19sRZFrvEMZkla+ATnANDWRENzgJY69ttnjt7ZO1juzMerz8ebG10 + jh2zMKcEJXpD4rEWFzABjShKkMlTC5ZKMAFTUuTce7h1+8FmkObm452Pvr+XtgbG93B7tjJueMv0 + 5g4O0r0BPVoff7D58MLS+HWSjPllXv4SS8vHdwdUhmAo48yomElbHD+Db2GSX2iGVTCIQQzGjIzF + WMzkiEUmKSGGCMEQDAomNIwm1ll8KKCCymATLj/Pl97gxzfTtWcP3n4vvHxprj/GuEoYGxtEbACX + cuos//EfvtnrkReUBd7ihMocWzjFsQukbVxG2iJo76A32Nw6VpSdyWNQAId6YDxi8xl5XmWzevSU + acwhCUCV90Nsu4SnT3j3Jwff+uP37n46PnLyyFvf/Nor36SzjPVIRFDvwmSPWjdjJsObZL9X7fbs + CFqW1IMQ7fSEBn36+wzH5UD7zITF461Gm8zRTVhOuvNVXm3tDPbjfpFkjdRi3PSH1aJZVbFXsBPV + zs23urOdhAY0EzqzXrzpj/PNnd6pMO/AQYJRDUUsXOrSJp12mkhOqVpC8BGCxXqAmGPGYxNDmjUk + RW09dVur1Wq1Wq1Wq9VqtVqt9qtSR7df5HDQViPTAgVRrLhpuuZJGmKt0YpiVFYhjUIAp0j0VNOY + UoyBGLX47DvawyleJYLRz0dN3WTgVCnKsO/YTlsnGl2ac1DiwFuMTgc8MREzVNKgGLGtJs9f8vc/ + YvP6+NNbG2eeb507b9O2MaSgnujFiEzHOaOgGohorLBETzBooByz/pRHT/a2B/HoiYuL3fFMdiBi + xmLH4kqrYlOfmaOdxfGW7D4Njz7ZenzX9Q/mMktnnrkOl16itARHZagiRYVNMMl0iNYImBICmiEY + wRg1ZmiNWhNFLFSIYBVErSsnEe0kng5eolcIpgyTzwvWcvwkr77CV+8u/8X1h+/dyN75iN6IJFMh + NzYai4JPOHKcpWWiIRpEMIpESqVKiClEsjYzc6gd7PXG6xtPxuOLn4XMUY0JhsBgyMY6o9EoPVos + r8asawElVjoaF0kcJdevb/3N33z87W9/dOL4669/+cLv/Sdu6TSSgmJKvAZDEKIK0qSbYSXZ3Rts + 7dMr6XjablipRlLFoQwG9A4YjcuRH2St0eKJVrND4ul6lvzMQsyf7MRBT/cL12lIgqRUYEGo7EGP + nWHYF9tcXm7PtpqWTJlpsrCEOtntDR4/3btSzBtwEQ+l2grFEg0ax4RcAk4xigoVGKciEnJkPDQh + JFnDZEj9/49arVar1Wq1Wq1Wq9VqtV+ZOnr5YpNUVVWIAopTjFgCAAbXQIzEIhTDoqwIUIJXzOSj + AGCMsU68xydYQ4yoncyVBqUUvBNrZFLgOrkuH+/VuBF2txKiRRzGAcSAN9iIGlI3UoZKqCpjaTRS + c/VFPv4ZP/rZ4Ad//UFjPrn4/JljZ2k2E0twRKPTylZ12IQkcV5cVeXGYRtEi1PGI27d2Hu2NZpZ + Ovk7v3/ut77EK2eJloOUgxQ1NAsaOZrz7/+Ef/1vh0+3H22tH+zuzCW+b9tJ2jJIVUGJRlIw9vAQ + ARhBCSUmYHLEiynEGDEDMWMjGBpIhQS0QkLAV7gcr9YrmAqiH+V5EUfC9KgRsgYXzvO7v3f2J0+v + X39SfPdHNHLas6l7VjofnceBGtTgWwxjUcggcZmQCXK4fAtrmFvi5Bma7dgb7jx5utYbXCyVVIiT + 2oRCbUm/x/o6/f5g1g1mFoeuXUZaJb1mpkW/+uR2/y/+/Cff+96a6sKXvvT67/xTjpxFGoTJErOI + txZyi+LIWnRSJJrtndH6drUzYH6WQnZLUWhDW3HDPsMDcg2FjBqdfGG13WyDJXUseRZC+qQv44E5 + KP0Q26BqxtJqivow5slT1g/GfZ8ur7abM9iSxDHbYvkIlcTdvf2Ha1vD0Vmt0BwsNsH6pFAOBjx5 + cj8fOIdtehJBhAqE3JGFMTIaSQhpmroGVurChFqtVqvVarVarVar1Wq1XxXzD9/kP2iiGEAEayar + pRSNk+HZ6JmZZ7a5khVz+4/Hg93JyGwVDq/3n7xVUqqWEgobMGAskRCJgkWdBtWoop9vQouCIsbg + TEgtXoIFBxaswQhiECkMwYBgjCRGnPWsHOfiS7x47Yxg3v/p3X/7r+/dfo/BQcNU7VA6LYmBCFGo + lCi+0uaAUJSFgrEUgcfP+M73P1jfeLq8INeucv4Sy6c4eoaTpzl7gjPHnZjCMQAAIABJREFUOX2K + k+c4cZYXn+eNq825hmw82fzZu1v7o7a6JIiLKkJIqTLGGWSQQgIpeFQIUCEBY7BZSLrGZlqUaZWn + qGUSssph2GsUDNaATYiOqhw1xc2ELAUHYjAePDMrXL1qXr14/qh0bvzg409vbz/e7vdDGmjKZI+W + TE/QmuARD55oFQlqYmEZeZibZfUUR1bbxYCP3zl49gnDLRRKpYxUZBtbXP+En7x/3TWzUydOHV/s + zNqWIxW6B/vNmx+O//d/8+E7P4wNf/5f/sv/9Ju/yenTNBIcaCBOVp6pKK1JUS8tjlzk6isvt1ja + vy0f/5jhLhUrVbUSma1w/RHf+xk/vL6Z4yULSyt65WW6c2Ag4cILvHCNxBb3bz78+MfPCDZqOg7t + qD5Gnu3y3e8d3Ltzt9vYfvMa50+TOoi0Whw9xtJSVg6qOx9uPv2Ugx3UECEvGQ/9s8c8elA+frLe + 7NrVEzPz86QJgKARO6zYGLAdEuObxxcXjqU0YdKjUJXF1uaz9977+Xe/852bN29tb+/EqFUVQzz8 + 6wf/35D3s2nz+Hd/vVar1Wq1Wq1Wq9VqtVrtP2z11O0XUUQRJhnsdFNThIgoIjGhM0e3udIoi+HT + MNwtA14ZB5qlMd6AJdpJdFuYULoKqxgIjJTEkog6NKiWGMtkT5gQIExKdaV0MvLkltlJK64VhICM + J7cCA85IiiKW7iIXrvCVnZfyvx48evzsW//HO7Pt46M9f2SFZkaWYjxlZFQx2GZ9uxgWScAHLUUT + I/RzHmzws/fv9XqcPq/nTtOdp0qwHutIDao4i7EIHF/l1Rf56P248WTzhz/VS68srh6jVGxMREhN + qVSRSnHTvl9UwX4W1YnDmLElSiJVTMvcBStxEmpGMIgKhmCNMao4T0iixnFS0Rr7TPGCBeNAkC4n + G3zpheef3Ln/w+/dO5BSi+6ARh6JFdGidvLalU6spenUGxUiGiqVwrjCmUa7xZHjnL945MHT3bVb + 3Pwh8wnlJRoNbKQccOO90ds/f3L7weM333jhhcscn6cpqYVY+Lt3Dn74ve0/+eMn4/7pF66cfvU1 + 2l021mGf0uFzGpGkwreZPxJ9u/BC2mDlEm9snLr+aLN3y777571jSYfzJslMVHoDnt3nL3907/rj + cmZ11czmZ8+1rlxhZhLdOs6/wJXX+fZ75uHtR+/+1eDSsZV8nnZK6ujvcusjvv3dBxtPnh5fXP7y + Nc6fInMYpdFg5Qhnzyze22k9unnw0dthObH2PKkjL9jf5/ZNPnzv6c5e742X5y88vzg7BylCUCrF + 90se7LFeeJO0Ti0trSY0dRLdhnw8vHfvzttv//jOnbvPv/DSa6+/MdOdjZO/cQjyeUPI9IPpr9f0 + E7/wh4tarVar1Wq1Wq1Wq9VqtdqhOrr9e01yW0XksOcWjUwz04i1pNiS1NhGOW/6ZRjGIsenA0ti + XRIVEykMwQZrNbOSCV4QiIxEjUjiDMZYQ9SYV6FJwE2+e9QYYqxG5WjHhjJhFoiKCMIYemAEK1hV + F0pQBHyTM5f5pmc0/hI/+OTWx5/8b//TT7717+YWlv2lF1aOnMjas8WznduPnr7/6XX/8MaJg95L + c81jzVZjsuts54C1LQZF1pmxJ476hS5ZB5pUgiqJYu0kW+s501le4rnjZLH/YG0jfFDce8KpEyQd + nBEJTou+ldIYI66tcLjizUyWvSEKJo/s5gzHpOJ8Pqr6MRYdVDAOmSR6zgRrlUpRgbTwXgfPduLm + uBMnXQx9Fckx1qTWmlcvsvXc6Ttv724N7IEa7OyoJB+SOKKhkrFQGUlMSEQnM7B4a70VTAjxwLiZ + +SXe+tqF3e3Z/Sfbf/w/r11/L7z2jdblK7NVHj+9dfe7f/HOg9sumz338pu8/horHQhUBcMhf/6n + N/7k/9w/2DqTyWubT3v/67/ZLuynlWz75liSgS27cdyyoXPk1Pbv/4tz518qFlur6pk5zQt7nPr2 + 5Y9vDr+7+dHGvfKNb6TXXnljfaP34Uf33v7exuN7zfnlN7/8pk+6XLzKyVO0GmDBsXycs5c5dWb+ + 7vrjt//vZwdPRldfu3Dm4pEstT/+fvGjv157eG9naSV59YX2C2c4Ok/mcQops7O8+caxR9sX7//g + +v/z795Zf7Dwla+vLi25vO8e3un/1Z/+7N6tbZ+2Xntr8c23SBuIOQhQVMHbuV7OrTUe9lRt4+Ty + 0lFHVpB4kNDv77/77s/+/M/+9P0PPrp+47ZPGpeff9F5q5GixPtpesvfzm0/e5v8YUTr9LZWq9Vq + tVqtVqvVarVa7TN1dPv3EgDzS1mSfHZNt2KwniTj+EnOnpu5de/DZ+vd7c3j2fEOJMq0LUEF48bG + DcXsF+WoLBsGHKkVJ5+PGtqoFWDtNLyKZsdnu0k2NqaczCzGybiqgLRQUeIkURZR66oYnQrW0l3i + nOefCMdPn3///c6tm3efPXuwuXuwezDTuWldOs6rrf3+2tbTZG7u1JVLCy+9unDpMmiluK2t6uGj + e0837ly98uLVa8e68/gUmYy1BkQxQlnlVRg1fKc7y5nzvHB1dvuG7u7ef/p0d2dnbqk9bXAQ60FE + LJGqIhJFKmPVTeoeiIgYSwRj88UFF8xQY4EeZVLIG40aZzDe2ggxMiqo2Eoa+dx8OtNOzKQ91lhB + NEYliDFnzvDaa3x8/9RPrj8OuxuN7sh5QsDaSWasguik7sIcHr0RjIDEWCE027x4lb3t5WGvcfPm + 2qMHj/t/mV+/4au83Hz2bHhQXrj8/LXLr37l1zl5BmMQgzg0YX9/fWt3TVxVxbs7+7u967uVWQ/S + FzdWM5KQmSr1ZAfj0dbG3OnnZvwsWtGa57nL/P4/a729KDc+3fv09v3BON7/5GBjs/d0fW/rGZef + /8rLV/yXvkZ0zB+lM4M300HVpMNzL/Kf/RcXvvOD4fVPPvzk1u2d/Y13329Dc+3h6GDHXbi88uab + p996a/bkSbxjPKLhsJbmLC9/iQNzKbb0w4/vfvDep7t7jSxTLdLRgQ564+dfWH3t2pe/9uusnqQM + eD+ytLxpSGQ8YO0RvZ7N2o3Tp+zCAs5Ozk+AGGJVVUVRjMfjcT4ui9I5a8zfEcYqyC99tk5sa7Va + rVar1Wq1Wq1Wq9X+DnV0+wWm6ejhv+ovvAeDCD7l9Fkuvch7t59srI+fPVlaWW6SoIeXgBvH4krr + 9NmFMjOdTjAGwJHKL528QR1M86u0yZFVd/7yTOfI8sxM6u1kUdrhnSvEJhClElOJCc5VgWEIDRHv + PXMZbyxy5jzPXz3y3e9w88bDp+uVatE7qMpq2Gw3uo3nZs+0Lz73/CtX+fo3aSxgRDVSlSMxw8Ul + /+JLq9deSTtdrAeDIeKMBARi0KpALVmH1dN8+RvHRzMnPtm8b6VXFnOTsxEB51GD+hCoAlEVUT+J + f+2kzTY6R5owP++vXDk+quaPHgneA0K0MQZAjDEGjUQmx2gvv7h66fkTK0e6UdGIITHGCMXkCOeP + 8OI1fnM4L7OjxfX91mxnaQVjsXYawCuCapxsbJv0EWtEJ49YEfWpnDzN618GOjPzy/ef7O8Nxvc+ + 3tMwNoZLly698cob3/gqq6u054iT+mOPNSwtZ2fONY4spiEvgkiQTNwqtgqaV3EsMXgxiTVLC1nm + Gom0vaUMpC2OnuK3/4D55Wbnewsff/psvD+8c3O918+Na1w6v/LN37j4xhtcvsI4oB6fIAohqhiT + cewcf3CMbOFa8/vlzY/vjIbF43vPhuOikXYuXDr51pfPf/3rratXMEKZU+YkgjWYFueehy7Nlcvm + W72HD/e3NraLfOTEdxqdi5dPv/HqG7/728wvkMww1rFjZOg448sRvV3WHgzLUXZkdfbEaboLiCMq + RjVN0mPHjl28eClEOX323OLiYtSoirGTHpC/+/er7ret1Wq1Wq1Wq9VqtVqtVvsColqnJ3+3zzYo + CVgQVQjopDDBox4IFTeu85d/wf/wP37rypvtf/6fX/vGr88uzGAjqWBLGDLYY3vMQcXMEu0uaYMq + jEVNYpPJJjMriFKVFKOQWFuN2d9iPIAG7UWSmZ53bWsFxSj28DGpgKnUjIzkMIo4xZVIOW7Gqkkg + VmxvMhwQKkZDRkNGIzodWk3SjCQla9LqkrSxDQwMD+jvsL9Lu83sLO0ZsKgdOolCSvRGCCGGamxN + 0yoh59ETdiryjJkFFlp0E0wVbSycCRhBGyGIynTKVQSjQw29GEZRZ106m5ds7nN3DTxHupxYpOlB + iCFgooqtoiomlFKMONhjMKI1Q6NNluEczuEMsYqi0UZHReiz3Wd7xF5BHjh+goUlEktUFT1IjTOa + SPTm8AWO1Vh8KTYoEmIzRG+E8YDeHpvPGA4pKooC52k0abXozNDtYi02wWcIhZLEirXH44OdzE82 + kkWiHhYBTIo2FA0kjqxNd5HmjPq0CrEnbmTiYizT/h77O/T3GfUpSowjzWh1ac+RdfANxIPBQxN1 + mhM92BAoK/b32d1jexcxhMjuAe0ZunO0mszO0m6hAQvO4AUiGgoxSa9gt8/uAeMB5ZjxACs0MxoZ + 3S7Li1iPZKjrKetRj8Sis7/F29/hv//vHobxyZd/bfDf/Let+dWxR01ZZWJBDnqD9Y1ne/u9xcXl + ufnFzkxHFTGYw1WI8rfff/YbFj9f6leP39ZqtVqtVqvVarVarVarHaqnbv9hv9DOCSLTQI5pP+fC + MqfOMbdYbG0++fDn3ZevvDzXxLnDwduU1hINwwiix1gMWJMaVSMaq4CKsVbAGdSLNbgm6VEE1FNZ + 1GUgGqeFsTFidDrzG6ML0aqzRhLBgLGgTlWwCVZIUzRiDXlOWVAWJAneYx1iEUs0iJv2jLZbtBOO + HSVGEIxFDSJRUCWoGtSKiPOpKDEQDUvHmE0JKYWSKChGojEGkUlbRIgYd1hQAGDFeksQjAg+YW6O + 5zLU0knwk9tEYjCCESfWxChRxFpLlk1ybiLEgBEmD0PEGDNdg2UbLLaZsYyVIuAT/GTkVjDiZXJq + oqoik9M0IIbpbPVkf5pptEhTOl2qQIgUBdaSpBiDdfhkOqcrIDgUK6wezY4tY4UYpvPRVYWC8YQA + ESdYwGE9OEHEWCOImBKXzs3RbaHHqHLKErEYh03Bow41GIOCQTUGEIwCqpSRmVlmZjlyFAwxMsxJ + m6QN+KwNgum9hwoUMZVYkzXcfMrsAqGgHEHEWbzDCNbg/fRnW5AcqQqxSj5if4+NZ48unj158VKr + 2cIyFjDWiArWdefmkiRbXi47M11jTYwo0xbm/7+/YbVarVar1Wq1Wq1Wq9VqtV9QR7dfRJXJli1h + cnn3Z5Ht4aiy0J3n+GlOnPb3Hqx98O6d7d9++dgSWYcYK8WJnU7I6mHBp4AXMTJpsK1iNIqdfMFn + BqAkBqwgDmdR62MkhGnAFXX6TRBU0ZhoEHV2kg1axLpUHRrRiJmUFFgajkYLEWIgRoJiE4zDGmKE + iDHEySM0WDN91maSahJVo2oIqtZYYywQAhUkDYwnP5w2xakziDgUolGdPtpJhAeoWJEEowYLUdRY + Q7NBNRlN/fzYZVKtizFCFYUQiQYml/wLIlgDSgh4hxgBYgUW8VhLKnhBFYkYM4lsk+mKNIk6yWxF + xNrJ6wCIqEglkgDiyFqESFB8BZPEPoLFOGAMTtRJNAKiWEM0h2H9dJscKhhPMFDhE7QiKtNYG+sk + E0RxVQxEay3WknkQqkhQAojBWEQ+3+SlkahiLCplpAzRWJs5S7M5DZrnGmBRgzXTQNQaRNGKKmCM + uslxHM56T7o6Uo93k81vv9RhoGiovAZnLQd7bG7uD0fPjp7gwiV8AuSCM8ZMG4pR51yKiBFVoh42 + 2P5Ck+3fldHK4S3qALdWq9VqtVqtVqvVarVa7ZfU0e0/4PPNZL/wEYBGxSDYhIUVvvZrF8Z/drD1 + dPjkAaeO02lTVkOjTWcdETEknkogUpU4O+2Q9d6Y6EWJJSJRfEQiPrFmej+qELGCWBSIGAsR1ene + MLFOjBMyRWMMCEZMDBoCMSBGjMMYygiC94hiwQlBh0F6YEWahqbRyfjpJFhEptOolaJVDEaMtcQQ + OWwuVVOpHeJSQ+ogcyQEj8rk4nc1RBScm84oTzNvFREDk3VslaoFmzjk/2Xv7Zp0yZHzsOdJoN7u + M7NLk8svSTRJkxQlizTFsCMkOhwOX8p39I+1L3XhC4cv7JAl2iHKJLXUmlaIa9kil9ydmdNvFTJ9 + ASSQqKq3T5+ZsxbDrIyZPt31gQISiczEg0TCwA33F+QEWTzqEzAUxV2NzClnANgKSOTcQm61eI2k + IN/NSqEwPWUkAwqUqHltrQKqrJVIpqpmlupZY6DBSORkhpeipZQNXJCeCd6Wlgt3XcH0F8APN/yQ + 208t/DkxMUXZQIIZskD4YvZkBtaGE7cniIKK3EBbbHeorU9PCfJEE5ZNyJQEChiQkA2JQDLIe8VX + BYRmwVOWRVK2zV5evlK+IG2ff/tz3WCKlKArSsHyhJcNG/7d5885IREw5FKeUZAXJCGYt7LdS1qV + yw23BRnQgmJIGQRUsa11VeBF8f52+5xPz9jwe7/3vX/6z/7oOz/z9Gu/jl/+FSw3CF4IqNHsRsBU + U0opLxQakMmakrieFMfXgFkefrnooosuuuiiiy666KKLLrrooosuugjy4Uf+GlNFklrsbYVL2+UR + TmjAZ9/GP/ydX/vVX/25bf3qD//3P/n+v6nwohg3W61G3RYFARGPglRsZYUVwmp8q0G3+3voHVyR + TU23rdRUBmbYVpiCAiYosSkUgPQoTsKkQplmJrQklpLmZAOcRKuACBJLlnviPbPkCm0qaBBpW+y9 + kZl4R3tH3EiKwKxsxcwgwiUvQgi2BH3CtkAFpmooI26zBR0jRlVKA3ihsK2Gfy6CnJCz50UlTLFt + gBlhIqW2wlrqAwihChhyxQTNYKukuyxfpfRe8D5hy7AMJJMEQsWKmIVUqo0tUEBNgEzPaiC0lCyn + NclXku5F76oqxJJ1yZaAjJwlC8UKrDjranYC28xUiJRAQSnvgR8lWWGFbE0QKUmoG8qLbO9F8FSP + gmssMjCZpLvIV8QqQAYzkamCQhakjUkli0iuaTSEEGBJuAn0jmR4lltG8rwVSKI1orZGF1NSSswJ + pgCw3JBvoGBdt6LvAa0hwEkkp3dJvqUbfvADfO97f/hnP/jeb/72T//tv4ef+fmK896IRZhJAVBU + QYhIKUXV+5xDonbDav/rRRdddNFFF1100UUXXXTRRRdddNFFM11Rt69R3fnu+9XVt8TTkb+GSz6/ + w2/+J/m3fuuX/+S7P/iLH/zbv/zLbxHfyWnRYuv2/vb8DtjK9pLTU0JmghZYUdNNEoxKChJYsL68 + iIgIwa3oXVWyfAukFazrtiBXpFL5slkRPpGp1q5CytZSHhjJnMhMVQVBiHADCGTW3KM0ggkJSERW + w7YhCZgcP2zHbIFIialGi1KgWkopwkUkibwDAJSELcESSLBsZkDK7ZAuem4Josfe0owVkwVUsBKL + AKnGiCqAFm1bsC2LEbSa1tVopiklUsxa8t+cWx4Bw0YpxEbCw5JJq22FKkwJwFKtiRGsfacKM2ZJ + tao0ETJLAtRgBS/38gIsvH1rSSQW4mnBAvkcClNYgRhalHSBaTIzLjXNRFH9y4QN+Daw1FBaYE3J + gLzddVsJS89PnsI4A7Kp3YWAbCgGUPgESZ6wYzMoRNMNwKKG7YU1g4QATwkb8PIetycs+dsEzIpZ + gVCERmyb0hSApGVJSIqXO0wgC1LGVr56efkLULJ8nvPnMAALZAHw/o4f/qUV/eJnf17+89/5pV/7 + e/jWf1C78h2RExIoZmbtcDYrpZBGyUDLbvGGkNsLw73ooosuuuiiiy666KKLLrrooosu2hPN7MNP + /bWkhl42BNMELxC0bAAmNWBZiY3YsJnl7/8J/u8/xeef4Wd/Hj/9c0h8L5awESIm28YVEOIZoG4g + LckqKLDFSiIIbsDLtn0Jan5aAIU+g++spKJ+NFZak6wFX2iRnJ6ArMqyQWTJSUoxkEKs64uI5SWX + spImkmAwgxmFdf//i+JHyi+gTwk/ZeVWIb+0eGoDa2GtQIsVVd5Tsgq8mtJMYJISTLaCrwQmWIi8 + 3Y24pYRthRLp5iG3AABCEwqspo+FmamRkms4MgzYWtIAWOXxargr6pFXFGQgq1G3ltyXRCkg72m5 + A18AXwI34HPot2B5nCaHVg0TGDbFhobBi1mGSeoYPSoQvsFeVFbDplDBM/GkhaYvpi+32w34FjRh + ndK0rhvSYpKIxrEfGX5k+IL4drKfsPXZcE/ZwBX6DM31XLR65BoWIBnSDxsL9H5/sdvyE5DPQIPe + gYJkm70oS8LzVmhlWdI7eLi0ru1IOrOWZrfVqtyZkJIorGYfFi61ldsGJqT0JfGl4r6WlyUtgs91 + exa8I1tu51Kw3vH97+OLL/HZ5/jJ7+Ddt/GiWJ6+fMKSQJqpGSk1mbMZVc0MKbWIW57DszbwfACQ + C8C96KKLLrrooosuuuiiiy666KKLLop0Rd0+pJGAs4ahRlipwpkt/hYJasTf/AX8/M9CgNRQMwET + ssBAptRwMCMIgQBC8cIU9egoyckWQwGkZUOAkRAxkgaQNVKVTFJPmSIpwlqUSMvlmgQUA4pQWSFJ + CiE0ev6Cm+CZMOBGLASW7Bvb6TGpLVtEj4oUYgOMNBVCn1pYruXELK3OSaQFI9PPEwtUT2tjDRYG + QKrv46/RtgniYKjUxqIdVwZDzQ8MgkJB8jPfKGBLDJCBBbjBbtBcK98PweLIciFoAbAAhDxs6LeK + pJpUDBQgcjvWizejwHKLx87hDC6tR6ixn1QmEGJRLEAikwmIDBYwoYKcAqizKAFSS6yJgHMSgM/e + 9AQqWk0ESBQSzmx1NlW+mcPQBIDECnFTAKVVrtZncgKSCjZABXJLt1TLb+Bza0tOSO/wy78CNRRt + Ep8JaZWpXUmXZ5IkqGYtaH03nPbEwMSLLrrooosuuuiiiy666KKLLrrooosGXVG3r1HFDtsefNwB + AAJLqCGigBFKKF4MTwZgczBRINCWw7S+BDUoGmjHull/hBy2rAf9Yv0vA7lt8m/PrMAdWB3jqz9l + xr4UKEAJ5aRWTj8yrMF8KyzVI8UcB62vS/tcrU4FGcWAF2ADNiAD32pfEEC0VclSb03NShBq1Zp2 + iL8MlbTU7tDC5z3VMAAktFjb9mpLJIACrP7fDfoZSkjizPFfODOtRdj2+jAGgFaSWqwCC7CEu7Hv + agj2iFYeWX1lA74CXoB3wGet6QSw1VZg90U6bo5wvRVYwBXt1LoqPxWLXqB7URoViL9gPi/O0Vtw + A94Dq1+WJnVYYk08GUI7e631Ioq0RYbYq28HYS38jNW96KKLLrrooosuuuiiiy666KKLLroIuKJu + XydOaN0B466Bty208E7cLIPWr8j0JGtKUuWAPCtWpUABtV2pRfj3AYDFf2mIYINrG44psTKgzdAt + QlEO3XX01pbxYmwmdTw/hU0aUID7AD/r1yDggJjNsxP4u+ZxtRWfbvviPSJTYTrKovjDHU6WEJY5 + 7annhLZWViywG1Qws/CMGEJyZxqlphr4XPMsBEbVWNw7sHlAb4I+H1DXDN4AAjf0c+IAD9bVmpV4 + IJ4GKOcK9Fe6tCQPyy2svczclgf6pyOCGgFl68JiaNJUO7RG+zoM2z5UwFTjrwPPRreFhn493BaB + /VfU7UUXXXTRRRdddNFFF1100UUXXXTRCV3Q7avEGbBr/9oOxa2YLKCE7LCtfk5X34AOFA/kTLC6 + 3V0dQavxvKGAEX/KgMzN8bYId4xABgXYQgAvHJM9AGR7OLqmYSiAghnisCA7B3pVtWeNgCGe/2UY + AaYEOHBb8/awI6dsEb6daxF67th0PO2sc1I9VlQcyPao2thj839HsJC90NOztGzC7wMrJr4ABpaz + 0dTR+YpKR7izMqTXONUHdrh9+EMcY8Uos3JJONDb9uAd1BYe7jHUDLwJnSLA7SHLnFNnvIlB1V8D + t418uHDbiy666KKLLrrooosuuuiiiy666KITuqDbt5BvjW+kfWO7A20ZqKkMCkDW/fWYgFMHPzv6 + 6YdoIVyBBNy2h9nu0FkJIO98cwRdCnBzELaMOpMtKUEMxjyh2hYB30Eiriehtht4m0I70ao/7cof + EGFrkvlOff+bsNQPgwuhvMXDkNt2/IbeWisFLK2SFcW2A4rd/iutkxpbZB/TDAClYZ80L7BXdnXU + s2cFKGCNaO4hz7UgBVZQejKNED681uZYCJS21pXaQ5cBgGIc8PZMFZ7ujaufrokUEiR8VN6D7wED + FyDDnnoiC+9xj3eGAcu4WwOux5+9cVWKlO0wtVE37lD1KFCHIPW5zAnFHl1x0UUXXXTRRRdddNFF + F1100UUXXXSR0wXdvkKOBbYzyiQAiyGI0eDb3u/AVwCAz9gRuohsWYRuPecAMa7YnP0AOAt3rM84 + bmvz86NMgASzl6+j2gCYYTeckwE1SWsNrS3AErbSw3fZO3QbkNqOQXpELebI3/ZtC3VsT9cIzpHt + t2PZe5CvFSbw7MPjpKwpz4A48Fqx11adZYJuvVDW5rRPC1hjoglufl1aiDELePe6JUc5rUXdsifG + vcHE46lj8uJb/a/jzwBsyIMBQmQjyCZ0gUuO27bWOHQLA7fGWoPnrq1y+ATcGpqMXWCx95W5ILH2 + T8jbO+Kg78ALsRmeiXcdQR4cPEVdPwKJ5RV5e9FFF1100UUXXXTRRRdddNFFF110pAu6fZ0sJl11 + SLHHhAJoAbhsx2eZjbfE+n7zkwjEDlV1SJT7myOQMUaKDsD4tYqPrfzZE+DG6Ndw9Nnu+YEzWkhc + QK9qj/3UxpXQNNufvGUAoRzonkiALFvw8PjD/PCtViEeTmCLZKE1PxzKAAAgAElEQVRFp3kSNnBz + VFQa8r5DgQc6aSEAtoAdql4AwnqIdOgsy4c8D11axDlf2bV5aloD1FDoKZG9Ov31ej1NVWsf3EZH + NDh+B9vTI2qT50DIjcEVGh0Qazhj7RWy+PwGrMQCqHdaDwA/66JXQm6xf9pcji709qKLLrrooosu + uuiiiy666KKLLroo0gXdvk7WoNkWFioO3W7g0oFLWo2CXIAnVtC2YbgRQu0wFwa6134P4GZ8q0VS + dojUQqaD0kJB3wJ6GT1vbKDDN+d7tXodqfRQX2RgGWgbQ2ZfafCw19Dapy2N9tiEslpNDxyrYRJg + UxkHfx1g4qm2PcvE4GUJ4a6PmzoSCMQPdATWEdueSRYE6yFjeS6Qjg5re0s9NpZP4BNwB+5s+PRm + 40yw9roN9HYkRhhAuBjwApDI4AIosYUOco6ZAAZm4MnvOmbN2s4eR+wiwR2HXhEm7QHSodqjpvha + 2GvE8S+66KKLLrrooosuuuiiiy666KKLLop0QbevkAXwrCKOBGEoAOgHT7UHATADt7bpvqGrKQK4 + YfM/I4RpHYCLONqgGBBrIS2Bzvd7FKRYrNUO7gzfH7vmxyc6ZpwAsBXotSUcuq1vOX5dC255bbX+ + WoNsYdJ+7cXPiXYNR9BOEJHNgeqVvvH/rM6I3LMWL3xWfOQJMTOoh7oqkNrNKAVSOaATutreS94F + IZdFx715C+kgNiJhBE7XmFjzOudR8iikAC+AAYkTakxPnishoe08qBnz7W5EAWB4shGT25ugbaXA + GxVY1yuvEXonJyj3Y+n12NyLLrrooosuuuiiiy666KKLLrroor/mdEG3H6I9AFoxWRg24jY9ZhlM + 9QwrQg3FYNYSKXSc0kKkZC+Yjt4C6P+u/UirAfwiVfwubLGPCJ86biuGAGVGYvxEmdGz/mgGngka + bqyJbgfamjB2zXvgrcfSGjZDYUtMQPZjy86YGhHRgVYbgORoYxrv0tohYDEON2bgDbitwqzFrgob + Ayee76vCejSZeQ8JIFYf5sy/9odM3ELHPTkqcwCW/Y8KvCY/Z0ys5dnoDdMeizy/KN412VtrAePe + 5Z+NFJMU17hgELR5XWG0q7e6dWwB6PmOlymzcGTh489/kK6Q24suuuiiiy666KKLLrrooosuuuii + U7qg21eI4T8EgLIGlm6gQ7djnz3BDAH44vviA1Y7DuiS+b0QZNpArDvwAtzqzvc5CNLBUHYAt3Tc + 0HZxpHPYZK9lQE3HT39WgBvwbBACZi0Q00ureVRtD5sShrVCtw2q7jGz+yDbUSODGmTKutAoAToO + bQOA4lj24lfEoe0AebNUJigykD31rqekNa/HDk61BPb8rRNTyGL18K4YRdtQcvqhcx6O2gF0yuBN + 65AV2GA32NKZ0AB9ST2Y2uNwlZCpmpbAZ0BgtxCX3XMmNMmxEQK8awwb5svKRms5fAdvMYG/A7Rd + DYUQ4F1Ycng71nqE7S+Q9qKLLrrooosuuuiiiy666KKLLrrorXRBt2+gCUM0h7oKsIF54HwduxvI + mgBqLbKSDl7W7e0ROpUJggSAF+C9o6iHbesRQ2RH3PrxX3t0zABAreU53RwDzY7fVSTarGGJ0rK1 + Gsy80F53ADzIDA0shs0G0sfx7YjeWrymaIHJQkpkByDjKyNjwOp19rbvMsZyBe6GZHhSLwWOZXta + VptjjQUmAw2Ho/MNkd4MXxIFeAKfQc9E0ZimAbGNHV/IiuoasBk29sdsygdcvw+CTJUbHmyrZ134 + NLJl1KYzgz3OekLfd2gsexcwgQKGhA9Q4A4AeDcCeWHAi2EjNsAM74in9t3QSV+LrhDbiy666KKL + Lrrooosuuuiiiy666KK30qeCbndoztdGZ+a4wQkQlR10RChayGr/asQ1PxFCxF3IrRiWGlU6RWEe + 4CyCbGlMPSx1tCLFg7WshbLS0beaLTexQYQP9sL3OFZKgAMHCBtqYg7D1fjcCu+mkCVA4SgikCqI + iF3U8aMASlakU+ipfwmyp2Tt7zuzetymOgzZcgWc8XB8pQLKkBYj227U7AHJkVZgzrzawN0IChOQ + 0go0mXJBOFcp/bywjpVWlJnOut7tZjBDqRgxRzx17cHNUAwlVZzeztNH0GAkWxCxegkegDuYMAfT + dow71MaFoAZi147weOEBwdMCSwxWoLtD0wyr4j2hHgcuFd3fj0DADJsC0oS1Z0Q2A0zJgffHEGIY + ipqRIlQ1ALLLD/HNKfKZkxLZwccDr4bn+WjwuMGqZFINIOkXAaoZSXpM9f9f0OhXmvLhW/7EqRL+ + gIGYl05axHswBv0EP/oHzGAC0lzoDrXzgrq6mG7F9syvmstBTwXTryDE7/fq1mwndYhOi0AADKZQ + UxVJ9Y8k4koeAE2rZMXVLkP80L7ij+jfswxOlnuYSxBGFFhdZEroY8a8k3nefzMj31SB0DfHlywU + ecyc09fEGGSs67S62pf6utaoWNeEMKuqQyFtCc5gCgNE/r33zkyvs1aD2BHnchgUqsVex8z/agsM + dhByM1UzgtLWObvgB1tnZspqx2aN/Whcu1QBYYtTeGKokeI63ff8KKwd/epa/wO829FHdvBeH54V + a2cN/EBNZg9j121TPw19c1bM4ZkDnx8rpp2TEB88uba3xv30heMg3QdHzK+fVOHBjXbBzBhEq29a + mv52M6SoUQZdJ5zqGZ5etde4vRPsk9cfN80b0h1Ew6gYWyPMGwLAYNKkang94yHpFqwVeNpNw0If + GvOJqPusHlex99dsOveCQMvrFWWmxxoceyPqhlNLMTHZglCEZzodHz5+9BvRnh3xgBLCwJMxEwTx + IFePTOTu5dOHd75Sm9NE48udI9ReMg1FsW9HfL0iH02GEKliamaahAaoWhKJX62ehwCzYtlVaPI8 + w1emtoXrOveDwRSU2kcHgRtsq4yr5Yp5gA+TgmogLE0G7UydDDXY/+s3ZKiIN3Aw0tkrR3PwFnpg + ryYpaDFXZxZqeGWjP0y7b9CdDwJsQIcfPBNHgRYCEG5qJszVUytmphSacJ6pwqfuXtsA3HR5ZuS9 + 19T6c3MjfdO2jGr5v/VzgjirjF1pQApCiwD7YJYs65bAddekq2deTe8QoPkXGQLezCgymz3TUki2 + yXuVWZHOJAJQc7a5l+98Meshbt98/Nu0MzwMw1dNaquRx/lNF79xlX7s9Emg26gmPkivGwwbfkNT + wiuwAQv8VCX/mBmUKIZbn/xJzWNwEsT6tYmA9aoYASzAZwYAmZgjQ6et5AQy3dNzSR46NHKCIJD7 + cAJAPNWQWAedcldYrZg4+LwSbIrmEQxmnmSAQDIsYRyVBBIJJQFCQWHLt9qyqOrBbZY4hgETYU7Y + BEZk4CaVORJq6+wUdoXiY3z3DAEomECP/NUFEJBKrDXlqgElQzIE94bsZqL02bXDkbNzITCo1d8o + rvXLaNKkRkncquzVnMVBHXcHuJStSE7CHLljLZksC8yK5XrdMzeMXpPeejpGP9Bmd4JGB5vnM25K + VMNjTVOW+lMqm2NuDAKCDWqwdggbsBXeN1tyutHc31LivpavkgqWdzWDcz1brSLlUepU8XK/yy1L + klA7bgrddMnSFGLldLUmpjCu62YpPd3Stq6E5aenMx3ydYfwzntJKGwHwDWzEoStw/QNoAOUrGJk + Zc2ESV6LIeWFgFUcimUrTCmlfG4bOou+npPzuFmdPlTqWz48vIMg9adlj1uhXAt3EQL0u1ymcGVX + dwtFtaQs1dg2gNMA5HryYWpaXWG3OoKM2KCKsiCn+hDnlM7eowr1ZZWQTVpgPtXrVtprbwYtUIHQ + c20bsaEQTH1qG9ycgmIwgbCjva31UEJhm21buT/JE5TlrnK7salUg9EKKLmrBRsnPWaJbBtO1Kmp + bQr0zbI2DYKvR9EfNrAuiGWgA92esLwQL7C72s3wDEkC0GAbFGBSpsKKisbENofqTc7x/upwfAkl + rEnN1Na+SSIN6zVKKL5ToZlFde8v1yMiTYEn4GZDmi3BlTJRYEaYctuQEm4JNEO5wwjePh3K8WiE + vl05dMvBKZs8OqNG/qUx+dk/VjfBLLDASWjc3+FWjKUYjW1p18e8qt231UTIlFlz2KsBtDTqb8W0 + kAIRUPoYsNDc4P7Un35TWm1ml0uBAiZDWlVNJQmTIAmAFfYCLMCTKQ2WZA/XnIrljs5T+wfGeSVt + rv2+cB8H1Ufi8Wa0b/MrfX14J+JDz7mCnEabrz/Vwjk98ygTkw8xG8vGQFxh621z+38ibn7JDfMG + qJ/FulsJK35WrQzRHVXTw/O7L/TaGGGVYKSkpvddt9Z1aFiNckiVoatC3elnW8vZO3N2YPq+fdg9 + Mmm18yFscwtaKez+oDVvs+N6CkibjACqUFU1SEpGqFrOqMcIi8FtoVpRpWFJVW+39sRRh9Zi85Nq + gzs7dcNb6NEgmcYpCnCDDSu8U+PsagwG3ls6NaNLpR+VHI1Ek5OYakyGpUAfH0PEK/zQnQEfD+oV + DlLa1nF1QOCfSOt3pbwArKeo9JaRBVybqaouSTDLYPePAof3xg84VNZ0L48zRta/Ussv7VcDNEN4 + 0AhGLX25GkxIaXTfiU/5NajrPfPDUrRo2V7e3W5q9nJfn56ecgKsAAYu2uFU83R8TNMB1LQhh6GX + 3VWolAM7zbe0dn1XhS0Z89b7yDmoMHoPmUETlCBMbIOtACFPG9K92JJKnZQDCUjtSOpzZhWggDsj + ng25vjwL+AnzH3SBHR4E3iTfdvLLKCCC2gYrMAOXivRJ1ctdSkj1VfSl3rKtMcT9bmvcX4EX4Bma + qhmzCg0YdNsyzZjfl1WZPuMtG7AW21a7JVtEmJuSEBRYQakKggA0NRlvBZaqGZqe7C6j1FFqVTNL + dygBJDMUgwoSLUXNo9WH7PNtbUiAb9s1wAzPQWgBbN4D7K6324fVxZe1hrsu6V9oIuERCgIkKEoB + gCRGAWBmNXxJhWEpw9btnoQiCSCK6d1keUJ2zavgZjBYhiWUaFDMdNtSFsgDBPKhZNmZfFr3WBJQ + Z131ax6md3Dl2uqIGFOYCSrMG/epHPYfG31z6PboQ3Y6bf0jg1ZF08Zf7Z/VN3RLxQK6yagpCxRL + 9dFT8yU3V52fighYVSUGADQ87ycM9EEz/N6mpf2YrPqwP9EE4z1RgOfqnVhDFTdiATKbb3R3PyO7 + 7qw73G9j1jTcvzivDNWvFQQ80rZ1uttWr2ERrAkElwZ1jWBMVh/Gz9YKTmj37cickQyo9W8VEB0K + wdmXWmOJNs2WbmS8LRWCrFFafSadkFGIO4p06Bai0g90Y7Ls4T1VhRZogaWuwQHbUNSblSqjscEM + 9hTDf7Vlfnhic5TjvLGO7EQYKbeUieq/qJoayESfqgqRKNaUJfrk6OD2g7URLkbBT/TPKlAVdzvj + LCZ+SPXvtR6O5wpOYSku0SuwodQwWQF0QzGm9JxEpDZhLaZfcVlvCeSCkqE35FSI1avICEALlqdb + lcStbNkKZDFJTEiSJY6RTcu2CkEh0nJbbkUAIDF5lPORvpbz23VEMKW9/iOKwxnscI612VsSx6Gs + hgSSkJxbvHrZMgsk55yUJ1O1U0cl3P6m9NGF7fi611y7+68wPM5njs5eG6PSPTCwumX+TRsZWQCM + +WD7V7tv0xw4gLnrDrZML7lpyYQNWrDm6mcXP7iRkznygupDQWdZ8+RcHtxbb3KhigJAfMVeYRuU + tUlw0bKuYmrWF6S+cOvLuEYolERaxKCS8u12Iwndir1IIrGITJsqrHFKp7HDxmOb3N65P52rb5ON + /c6MB/76nuI7NniKHvjjbTAYlbVnFLhDXsSyUtTz8BBIAois5UsUWZZ3fWji4FKcNKp394SlmzsG + +zQ4AQ7AME/szVB0DWcCuK8PAAX2Ais1h0+FuBQALPVFADHmhoRwwbaBilsyUD0l/SehqFNe674P + iYEN6DY+be7tt/Vv+kTx2Bk17ZIAi7PewBphZGGrkBBMIm20F0BNTSs2lpdbEfq0HwJjXUwd3zKp + bpcpIL0/3SAa+qK4uRiaC8I46tSb1WqwAlCQqYW/q9YJ1gbWJWBjzTR/xnp7Q18eOR+Emq2Gdfy5 + ELoAD63oU/95DjEHNkQ9hDH96Jd76cHnmLRgdS/6rMdCXq/QUkPjf3cl0ZX9GHX7moSu6nzZy5FN + 1QTgw7Am/T+68cWdYQzRneyS14aI60AHG2gt9IlhRYWIz9MAUy1qCSqpqnprdspQ7iib5Owzz6nd + Ns8Vw9ct6Cq4/cGe4ZE/7b3e7+MOW/CKduM7XGgDCjaDKlJCquvqNTJBpGAjVjHKGLyJSRLVhHfo + iiJIArE+6oJCcaAJ6aSJb7I/R2XVe8DLMNcwuUO3PvyrwtiIGzoqmQDcgQVYbJRaXJ53X61omjXl + 1sV41w/jo2qA1ViH6bHQ4PGwhdM+3siPD1NXyqmCOaWt+yIBkALcvfdHcFObkI7hjWklxsYmyaDc + fARo+AlvWw0HlOFmWKuFe9d13VSbYETolmbUgs3c5yJSW7/mGC0fVK2vPOMM13XdVmaVJAl5SVme + RGAGSYlkY6QZmKs3kAFgg97BBUAFl8JnPFOfxf0luvt6kIM2W/deKLC1QtmrC1yQIvU4fgC4b7gL + 3tWpXHkPBXK2nJAJiOGFY8p3MMqDEQpssLWe+9Lj2BR5KPHji8G2vsLhEP6At0l2MAPN5M3Fm1VA + lm3hq3VN9Sd9K6S2TvGhO5bfbXOvfCy3CwCswFdAgrVEf1VVCJGTABupsqQVWWsnKCVlCDdYKVtm + hk/ZV2iGNVMUEh6aYEMxUFo+zIDPtnGn6ipcLHo5m6LOfcOiEWvTTFtAQMeru9daAKupIEtDuGrz + u7JJwaVX2AbCIFs7eKdBM34bXfi7QFbsbAFgCt0qclKWRGQRCFh76gWwqmqB5ZYIA7btvma9SXpu + PQZsNaaSAjXdtq/KiiXduEgLm2DK4fCno0A90Jy2/6UJWFdYDe63tQ7nAH6gmxYOFiVDg25TK6ZP + 3k6+/nH0KTT/K/RjzXV7piHa9fO52NktnT3R+FCFem1+vXbfN2f8rmIffMSFp3lPBMgR8FFrGuIn + WOeGm9/q5q5HB/YxWWZTMYy4f3xs8dqL9Jia9h9xEbtSn/9M8uo+ZkVR3csMoQPdcQpuSnWVIlxo + rnQADvQWdohD6pwZ/1j7P9wyQHvYrFc4NFvmshQosNBqQGs0RXhu9F3NxcB+hYPJe2rOOmsda5oK + tP3P8AhOJUaCBYb35s/7lqzueD80ozUiUaaV4c4crVZoejwOKN/tHxfhhaRkYZ8LbpQeUUJYiqZE + p+Jq+5FqSKQq3Sqbb1pVGwsXY+MeCJ8zqYEUrxcOMvGNKYyGnSu6u+u/TfInQmhRUzAZoAYrWxZF + DRQL2zGw58yZigtu8Ndowslbh09ieGbz0zEExOIML744h9HsvyXdvQ6P8Ciirci9hxhF8bxJXavv + VL3/q3NvWhtktntjV4lz7yB6AHOL1eV3PFe/LTFdtp1Ud7rr71exFshmazbmlPsAPUAZU+v2l/YV + 392x0BdvFK8zEf0YivWfGz1C26u6FluBAlp13YeDNJQtHrHivIrn3T0+f/b+mQ6YGKu7rw2HLwQy + uUXVFrfdNjtQ1YoYIKlH21BBQB5ZkK9Hc4d/+LlHN6fuqsSJFYi27zBira0cjmK6+Fn47+TLNR6N + QngER2nrIiCig+RqqotY3xYyq5KphzGWiKZKtJHRNEexmroDBFRhWlriDjNoMSaeOar7kR6IJwy3 + wyPRA7AZj6OFCtMHl+9q9x5/MMDtvAI4dNCxcnHNZXJHECpz5gUxFkj3ufc+DqdfejMADEtz0prD + IEU3bB2QD7dsmD3ABZrT/UPtDd0M+vuTMatlcBz8q3NphrhOMO6cCf2udRaadKLZP6iX4/s4YCH1 + Qj9euO5JNaAUKEHpHmawXrUI6f56K35eNtjZu50ovmJ6Dsrj9ba1J3tfR2oGHW10cH4zqv23m7Yd + v0/E8axXP6ZR35j2pqvPYKwxpJquPaBoCP6PX0AX2N2w2b0YtF3npx063Feg4kA0zM/MrcDjBz5I + rzzeNlea1lj6rgNEUNnDlAxsbqOzypnjw+CkM8fDZ4MV863eS5HldV7W+igwvtW5rWTXKz17j27Y + DNK7NGwdaWM8aK5Yh9ZZDBVojNcj5w8W66iFwiceadM301nH2/THEHOL963Hw415qKtfi+XMWuD4 + AYDV4TCTpJW/usHMY2dVi0WTq6D2OqAhq5X6th5GueD0Vdvzy2yvsSfVqrE947b2iUlo7WR32t9D + BurXRx3atdCXE++4u2LOYte0JGBm9L0eVqAJZJ/2d0ujWM1aXh4DwI6I1MVhFACKLLDNcfkzsr18 + xjunv4drzQ98XMbxBRDde/wU9MZvf136JNDtZBdere/eiJwVtbOjPfvBiUMY/wye4Cdk2N4XPX59 + XCbC88eGDLsZLnLnxB74wkO4NwFpg+RY1EcqVgIjM0ldniHgrrG7htXj80gUH98+eQ05fftLey+Y + u5qFP2fT09jWUG/rfjpHwRJiQzqDYkzIvomTh4wzdIotrDh0xCsciw8YDKpk3TCdmNqsT9VUS7GW + EjUxTYUeTOZZbV6vwlHS6cyof8ixPTUbb38uZ3SXolUk1eVwuyuWYiIj8c6+xs5uAlZ0K+u7JZMJ + JqooBqiJahbW6FuK5Lz4XkSUYqVmrKjFaE16+KClX4N4+Ln7d98kAvv1BKHAbNs2S0lFRNW0AhYs + YPG4IHGW1HXd4HjMY+HrtSa8ZbGkMOOOBnx4DhjNH8/aPDDdpvq+P/ECdw+1b5jfmjMbH2t6VMJ7 + 9fgYJD6q+r065fESvNnjK/Ck2wh+eZvOcl7kcda0oAbBbrM0GW3QrGgJCZObc5FT4P2X95vg9u4J + BCgJSxt5tleEBAA5K63r34lZ8xNvFLLu942iP2g5DkprsviMl0PRhrLe1yUpxEysGOuGxeTFLSkh + LUOOH35xvnH2cBCJ2LjHRZ7I1PjbFagAC9gWsdgCObcW/UAiwwz3dVMpibclSxKQKEVTSs1efXKa + k2qeSMaDDnXTOaK6zd+3fbBBuzqwcIcS9+zaC2u4a9CiQmHdmyeMSW/NtFgp25puN5wYLPdzqis+ + efjxW6ecmR+tY98At1AD/VOobULU9d37tiLlnL529vWo7secqteM8UKbKtRQ/qibjS01/q5geita + 6ZzvY7LM9WqMuh1PhmHSg7E6PDMK98e8zzFyyrXJo4+QEU3m34hnrTaBMOPuoZPoFnLv8e6LmXkS + VQ9bAaeCsfsKsX9slw9SEhN7YnJTKKqPTElLT+Lhn4b32iR/H1Jpk8LZuwnTJYar45EeGlI1v5Yq + 3KSkLB5uoShFX9bVyOUmOUOQfEBWR6Lu5gJRN4plD42sfW47mHQ3SuGlnOxMbTzoncTYrPoifd1i + dCOSz+d1lNeeSGyhG2ZTpsTUtw/6sMnzQIiljNRkB5u5V2sPMkUK5oeCwTlkWvlENMbsgIxGN416 + syM2exHvA3wq7+RLVWlIaFUfWYH8Zvz6qaDUqgYnKnCYsbAPc+AV4KDezFkouW7nNENZ7yCUCUhl + 7F3dcUbaDHevNx457h/Zv+cOQGuKqkoRLri14H7AgGLYimUNj96G1LEArY00fwXuvSKDCaYt6mun + HneNeNCUV4TjY0CGrqAN5MgafVguPpb9iGUn6tH/Cp2XgCfYMm4AbRpTFCtWtW2xIlCY2iZUyA0E + NMFKqACtwQ8FpnGvjAF1362EncdxEPJoh9pvzdwfrte3ju0XzzHZFN7IBGx96tnqxMajqtvb3p29 + IwE7qdtEBBNywsj827byirTkbmZ6v98XYcqSJOfbgpJrZHHZ9H15efr8mSBUQZFleWa6txOUsK4b + UJa8WDGzIkue23tky8mdziYGqZBxv1mQeZbH6XcelafMpvavNH1z6JaPFdwpHTTIvrSoaej29aEz + x+ObH1GZ1+mhkjoR+iZBDPfPhoa3yh/bB7bE8VwtY4cpZ3iUj2v3ccQ4J/LfsjfI//PjHAywAgVS + Ut+YKlOLDsXvfnPFxzgPCE1uajbs7dgtrw8PYBjefQWGukacKe3rN/60fc35tgk30Y6k2cm1EEYh + YWR62y6TlmAtzJ2Oj+xFKkp/YJpf4vTM1C4rpSV1IFC2TcxYk3lCFBS5CZ9qrOWBN9P3CUhbNa6f + YwXMKKQliY9WA+TdTkISaCTTJ1aYXZ0HsfCReRLsyOk1nxwHWKOm80lJ0nID18ptBVCPLLTSwnIB + tDHkO8F40gWfoH173KzuYayzr5gDDt5cNViKJ3uMd1WhgjQQmobPdrgm7qo268nEzQcXdxLLvhfP + 2x1F/5wXr3oSvdjo2JC73u2JMFqklAQt7L3ZGJfj57wUBWs6MrYs35O+Fe4q6FrZxbrrx1ivyhkR + 8N27z3K3tmpqKnIuIfuJ36jD3nQ+ZujrAmeuVsfewGq7bHpg385ZX9sQ8sdnDRAQSFqegK0GwEhC + m0W17W3zKvfM4Nd8hdek6dELr7/D2NjZpiyA9V0jgNadBma6bVITtTKnLFggfWNaYVKY2Bstydsp + jqb9XGb30AMaOn2HpU3P+E+L5nF84+iSSdy37c/aiGCdyxYgk6DY7SZtU6dHKvfnxijffYuHn50O + O3paBQpYnZ0kTGptAOSMZAJkGCF5WZLW02z4ASY+puObhhj+RvjSiQEVvd1rdAI9R6Wr3l5sKOZM + mq0NZYbCEDsl2Ebto5gtt0S90nNjxQ8inrVjvnhf0zy1A0naN9qesr5kmEYW7DA1lZGRsS+9c4rf + PTL2FSsRmsXp6rGQA7hmpjWnaeNcUbQdUx6LahoTLMQ5XvQWjKFr+vfQRHn3zRNNv68thw/iZY13 + Yh+3h8R3tU+9ARHclgypadWLATWij71aVurWEkMS5FAT68j6WwUAACAASURBVG5+Z2Yb16MCfaLA + 8dJovAW10CxOtCPubnFEy0fesvTgDVjVJtJQxaAp2iHGtdRWQvKq9Nr033toStDxR863f2ebdK6O + 4vXHZX4DmrR8S1GHpsknkdfGmZ5zZaqOc+A1k7TTK7PCiCLI0QlhPc/vcyoyHObBb2IRj8Zkutk2 + bphPSFRgInWmkQyApbbNfF9qwxksbH4MH/zYCsY/XynBABNxj71u9d/u2RR5gdyYFwNKg+6ygUQ9 + TlPRU71FswDzdbR6dUXb8AR45OpUzckyfFQb3/5Ol59gl4M02+5BFzDun9rX3X+bNU+jDNx26Bax + EgJJSMuSZZOa5YOSCatpNEQo4+SJVrSvITU91rKwGFC1EAHpnfdo9E96Q/zn9Jw3ebek2NRmn5FN + Pcbkm2bmAWECprrpdyTqHXWp5XAqKn7TAK1zeAK2lZX1TCFK9xIowuWpAghtztNjV4SLZKFP9ut2 + yZ6B3LAsGUwAKXmnvN5AUfec3CCqckt7Y7kvpq9OBRtCcd7Ya6jHXw36VAkTPthOe/D760XRNZFM + HAa6pcc0zvdD/lMQj7+daDyL5nAMgZlstqZ9GE9z5nkyJYfFZEzN3V35GOJQIWzOqBdTBb941kkF + U/Wo235Xg4n5QTR2UurjK27vjzT8QiMg9FyijbXeYokhaQSthXJyKqL/E/Zgeg1OVsUPz7iitF1x + Zy+6ktJQNuuhLKb1IG05Zs87p+h57FjLVvlg3LCrtgThORcJdkirHa9ca6+s3zSF2t2M+QbeqlRY + 4OtcYrMliWN/hJoBjt52q9rd8VJQ9WoLR26Ff8pAhco/BKjNfz35xEiHVm/2bmqyTlIo7roaxbeL + CBSd8y1wSXvX7PdefwIyAFOErPtp1tP02vQ0x6/W8NlxgHRvscG0Ooc2tqJG6LYV0SZf9eAvtOcx + 2ccw1++h37sJ7PH36SrjvxPt9f9QnVN51rNxmVtljpea+1XrJIbY8QHFSJzlkQDb7DEUNiCIuHAR + 6sPuBaiqLnKjYdu2JPUkNoJpzGImjR6jXSYO7eKcH4jYByXPQo+GBg3XOu5UlS4Tc7nH7CmnH7aG + 146tXA1b6DkT3Cjudd0OmJhuHOjNo+3Rg5zkF30PYz0FKAOUsfJRjwEp8BxbJISSqGKqq0rNeyzS + Urn/WMJuj9OVDzxdyc3r4HvcMD+NiTkR2/xMU4fjA1UPTOHsPjo5d28oldDkKVatf3nMxWYECru/ + cMKBaBPjwOLaDDQETH2VgoBIgdVNfKnumwEebpvrLLL54qEq/cEp2Vd17zwPrA9D39cSYO8QbOwT + oHnj0oAIQ3Mn72f8zv2dQ1V3KvcgrvtHho2cHtpblnFhKEvj8ZlZjLpqP/YAT2p7rMvDhaT+xu6B + tsGaFUEnCSih9UAGQ8X7M2sGXjHYZgREWjyDBS+4pv6YkuAfmlD5cBJ39ZrGo88MJpvVJ0G+c06c + w56LpN5PpGQazWClJaRuXGiOPQyAqtneUe0aQjB11o6H6u7IkSyCv+Pa1HFVnmPa911Ec2QG9/xp + z6fDfHvXCZyvf0BOsBOV8e9ZYL/153/cUbdDswZHq1e0gHWHgj9u3cWwWZG/UskeGNT1/fgCD2yV + vctyGGFe287CXQ8+GCyv1O+UajoewNQsiYCmtAIk9pa3VLatIRwXB8hwsKxHvfnI7pxqJ+IoMPFV + ARSqgELEtB7VhdRyPUxuWh0gxefhnhC2GZA+Z+1q/9Z8ec5V4tRXx3Z0dT1k6LTVbyWeMNXLtb1W + 2enO6f5BNZ0Yj6rwYE8tuUHV7C3PZK5hsiIDkYUAqcCkLiuGaKNedh/RNb9Q30HLCl2yO8PTKJmX + KAa3+9rkcelufp6AB1V7eykTd3ojQke1X+uERQUaHTUC7v/Nn5v6R9ryFwGY9eQ7gEAJqp9a1jOC + 1hiM1iHCnFJFAiiAFlNTaUyBtYBg3Qol8RsoSw65nIYfmikhgjN69naqDJg6POiHb0o/FiMw6BMm + TNjR0Wra47vtmd2OJQB+zkTCnFkSzYL60kGXtE/MsHkjfRyAO48ifna/nlEplGNDrszRMTQT1jcn + t/UXRyZrG8eMY6rQI+F8cAd7I5NqmlffMA0qknSYlnUnuK/Pj3lIh24PmXM7SXDE9+p7WPiQ/7w9 + 5C6kdheCo7hdA9LUlvg7fXUlDusDxN45FYZ+6NFh+o4TttFiM9UiaZytQbOtbKUUGHh7lgdK6pD5 + ZlexwW0e2Bd+N1dY1ls+CgjF0cGY7DuWBWAVMSvY7iv0PSznJSMnNKyPEQXzHkIL87QMUyHITa2U + Qko7AoZhSgoA2EoRUhIMWiNBtwIDlvwwrv7jKew9rQa029Kzp0OQT+xxq3mzElOSVExporpBN9Gy + suBp0T4km22bl4aP09Jv3q5WMbiqmG5on+R3h6i1ybRC8gGa7ZLlt0JBzd07frl95eBp07VWF9Jp + 7HzIQBjizCAqt0lyJ6hWuvLn+C8kiW6P9Z0KM6s8EjJMIM2jQNlUxKTz5TTg3QdQ+ILH/AYFtK7l + /v7++WepFH3//v1nz+9yTkmWVsQ8n8Xu65zvfV0Q8KC4bIR5+O1gSXbZ1eczMYYWGFjT1Gcn36qC + Y4UD5OgTJYXRVM6mw+fUdfGsJYMSP27DwCQo/R3s7s6fNQXVIAWLxbCuFm5YSKYF9wIaskBgVu4v + X3z1lG7y9CS3XE/5PeXMx9Oj2d+5UXr0WnOU3CbaDNL7Q/TJP3fPOHPPvNsByYfJBklh1TKmJuwC + b6ZFy6pWjJKW5xo/xL50uZstBpNs49IDmhWDHzUjzfSxr1ECUoAVZtgUzHWUb2ZUW9I+ZUIXs6Na + P4jO7vGmmSsbE/rsCU2r7m1Hvac9pO7MkBiniVz1kroinwOcZgPov9VSMya+9mdGON9wgAK4xgaV + qUBmfdnvqrjgSL2A/WOCwrbLIY7YodBnikP71La6vxf0zlkncjTIj35km7S3JguxGrUgFc1iSZgT + KpCyqm1KgDlLPS3Hj1zokVmJTH1pa25aqMeh/jz5vapm7lgX8uzUSlejNuu9grVsKVFEmEiqabmX + bTM1YRKrqqlBtwoQRXVDEclRCYazIbrWnO6DmJYoLF5HsBThLqZbPGRcm/p61tVRoH3gcTr8o5W8 + OX+ia8nZuvmtybrtpOZEfz8M/jiNC/nGxFghwqe7w8d3ZW0t6VMV8H1XlZgdBbubACZPOEZeYPdw + 47yrN2lKnV1Y92UTkTNHTfQpiTAt27al202swDYYtOjdUspVMYrPXPrHE2BgCgv6sX7HqckrlZ6H + u509fHzbsG1FhCImidgINai+lPWe8vLE3LsdCSig9iNBDfBDpyrqlGaTsQAYAO9wU8+rbuGXozo6 + 6Pi30/nDB59mF3Vqo6pxtbU967mAwkLU6NCelLZdKu1k4k2hGfV4PWCtbr9ssIxi9VgcoCrzYCbc + 64lVDl9UAGAKnxOv4k6tYRypTIwYo9Gu6OHXTuiQQkv2H2CvFJ6cuOjQraU+m5vNB0OT4l0DIAIR + 0GDFgJxzbsdXGFRNy7auVkiTZXmSJddaqEI2RRYmJJGXshYzyQkv61pMc6r5Jcw2IlvRH331/vnd + Z7dxQnSo4ZuR0yixQbzZOfPA9+aB7fXloFIfudt/ZejHd0xZ5H5UCDj72Z8P5qElWat90DPjRe+B + fTUwaJpHK/Zfh/ZT2v7HSb/GMdBqdPA8Q944Y0+VZRgzqYO7Grc/0OcAsxF9UztO5qkGsAE+zWht + AgK5ZsRWFbH+cvHcUTvoNhRt3pzjfsVTnb9nT53fj+OQvPDdlEZiVwOAnwQ/u3fBBZm+zhPv6twT + CyJnCM5ltGoGazNSUtKc0BZcJGVSQatzlNj6/hBPrvl3pks9uG9YVrptc3s3F1Tld7LC9bfGuVKM + xkTWRJ+lQLikp1tKG5YVY/vijiFeuEGLlk2Ekm5V6Sc/JdzcXTczaiEJkZRSzdGQU6riLmmEO57O + xj6SXGQqZ6SzRU7QN29XQArZSyBq5HRll4EqiWQGQT+uU5Kngz+T1Vadc2l/S0N3r9Ttpspe5eFa + t1tTsf7pekt7WG7QuNZW7/1gLu0FHxScX9BWgX3+uz4NM1+J9ZC5NoGdR7GFt0bXE3BvbPdlB9g5 + 5H2EBw0bAN+R287vqGEJ5ns0/Za1AKvABzhvG3vDtKUPusGHyQ2qP7td8sMlmrhJliyLJGZJ+vz8 + JFm6XAF+SsWwMpGnfVd3cEtO6VVp2otRszI647PxcfUDcfH40xqDtDHCuXdVMUWRl6+YiRQU77xZ + Y+eqvUkJnL8S+orHJ3nSczjc9Z5sWc/IzWWhxeTUCA5WM9ktFsxWMfvs3Q3ISKJoSwSfQq1V2h3n + eCz4zZ8K8NZeCOr4GJEZ0zODz7af0LqzJIhpTptqIekLhN2LUF0EIBVS90W3otkCkc4F6qQtc+W6 + wA6Irp5Wv4COszleSBTgBUVsM6ZbPYcZpKQT4bCz31+r4MiKoJ2NgYFT7W3CvKuCVXc9/CHS44Gb + N3hqzlwb7hyL/mv32qqOTCHCF2GM1CtiaBp/ZnC13pu0lAnLxAUCsIR1TplwTPdv0tKL37yl3Rqf + jlNO7n2vZvhnr0EfUn+op0eqJRSAsFSA1QAgU6Qe/a0boCKLyFJX63zligDH2UdtCCY74GSHT/P0 + 6qjYgXaS7l08JhVmrOF7Ncn2wtT6sWwQkLokkkuBEFkNZtgMGa5V7FgRa6J4MFLBqLuQ7+rc3tDB + qoOj3qV+F0XufT0pmVc7do7qhQLbZA4m3kXrNm8rm4YpR20J7PY8TXWyZqmn62/UX2+lPSMOzGLD + o8xRoCYibWBB2RqeX6nVPJDiGNu90uN2SEhqUXdx//v0VvxjdAl1WrX/ZqSmpltKKQmXzEQTX1MV + MvnRor4fPm4kl7oyG73S4FG8fe01KCh237Z951E7TY3GW04malAKIALNWHKW2yKegnd4pFW2tDqa + hs2wsUaDhkO0fOOIROxv+Kg40UoPHMweifaN+uhYeLQ6w8BhQLHhkSBW4WeY7xpGgniPvg+fZBP+ + BMn9egG2oivWBSt4m1oYwtUIjOUJ1PmNO/ejpyeWeqqdoNNa/0XrFiYlO8vHDtBGkbHR4CEJDgSf + pL5KQOlqd+duS/tl4LdRvwNAMSMp0iZPptgKxG4Jidk0wRaK1O2nrEuASVBMEyFISaQuiy6S6/y5 + 1pKAKZPcnt8hxbDxr0kcNe+rpeL2plquk5HXGSKAR+RLXG39mBp83YnZN6MfH3QbKQpP/zmNWX9s + +s0AbSiJ7FZO/WUPnkIX7E9jBjrtTM6hzlO148galeoXbL7QjqcYfjRG8Fr8Uveti18chrn+EiP1 + 394ytnXpVGefdVmqx8QAKk21RNn00FybYvtOWDHoFcu317DoG8kiU6ruoNUAtyn6Dmg+hE2um/Ni + 5gmBBvfPBcTubT9mUwfCJ7s2N9IM6nv/28wqZnOjUCGAmqmr+7nxD6garwku3gkhWrq5JlSC3ZZK + YGTHm4PS6cYfanWu2oaabTVbf9FcpK3kIviu3KspBRS6gQLTl00Lb0tCZt0lbpR6qHx1I7QGLyog + xSgAtGxiieouxicin0gMZ0e83zsvB3EgMu2CjYea/idUtq2o5uWGdIMlNaDtot9PeAzg5FKcfRV4 + vf/P1ONOVYxH3FEJnTOLqXvZJzbGfMl4vjmLkn/Z/CtjkDJWaCSOAED0bWrH3Ly7pp0M1Jk907iv + hbfEKUGgu4btlqCzwocBx62JRTqhYmPQt9vTDLxdHy7XxE52SLQNySwZCXpXJCy322AVatCaudrv + +xrbgHY4vgnaa7TTSh8mGzPtnYS2xBkdc9FdN/ujk/qffL+pIwkzs0IDrGywQuT6TJXImkKyW7SP + acDjx493upQcImhGp84aqO1nbwJfhqtUxb89UxRoiUgKbWvJ1Jggov9f5Ms69Rp5vH0YfX1vz+4Z + cxPf0du9lTegH87RSmTUH5WTwZNp8uzD0TMEsG2yUjFuaioHy36odMeAHvK1r+bbqJrLs6slw7Y1 + INGa14OW30j1DmiStP/uqIid3zlY5/j0XPtAY09XVO7OqW672wP7dx/U0Q6XDy/W+LvcoOpmw3T0 + JfxAbcLGRGhXlNtZCDxTQP/cYXFo/wym5cc+VB4M0ljwcfwOlT2z5rz59YFqOqq0q3s25v6bgbny + BQIgtZUFq7q6OVpTi5mm/MWhrg9kdXf5dCAbgk2Pblh/3vMam6+bYlPYVoRpeKTwRtkGoyWxmu1d + 6np9yfUgHLKusMx93Z3lbqGiBozWPEC3+9bY/pIN1dKXIwZjml0PifKmoXSi+y16d63k4iu+wZka + D9m4u69ZGAg2+O5ZpA6DadyKhvLs4W9Awz6N6Yv0r7l/0uHUsB43VrB03hIxSj7lQqj9Ud9W5VBg + FTFsicRsUnHWB0rUmWFQaMik8SkYZb65GxAzFAULxKCbippzpxsIDjQOMTsfugDG+r6iUKcLRwfj + kQ7oQ7h5QLRi+kIRCCHZlJYq7OX6qRWY0KY3tZeLn69LcN+5AMBcx4CMiQLO+ry1Y2fg6G34JnT0 + Q7DzIKu4+B5Zl2vdbXs+0f5DjTThj0c4+DuGDm0KsWFboU/1tWQIexjG6K+7ATrsTSC7aS01VWvY + 7MvG1aoAQrx7UNfHOAmGi8YJ3PDMDI0/dSqxdye1xa+c6DGdXOqoY61f2cUV9MLrpdKGCgk1VW4F + WirOmmCQXGOwVsOqbd+EJMBTJgqEVFhBqv1Kq5s7RXC/K5a8pLoJj4y9Vfv04zRn8JcUlO6UNCVj + fVxM2n9oqrqtxDWATMP/g2Th57Fen9IE7OjTQbdvqmRv5ysNHnfc+0tdHcYEt/O+py7yh/Nkvi4d + /L9ap0edNMboeduqiunJGIkYYNoMYFgtmsthS6QNAWRswTw4sW9ouA8LK+Ad5gdIeDXaGoiPdGuh + wsEm1H2FxzWeduFoPA61HG+GgLIH5iRowup9huwovSjf9dYvB1W/zzRYlwGiJxo32YUas/eF1y+8 + MhpMUsxDZ0tRqArJttZg2LZisCXHiRHDsD71Q6dfOJo6STYtOL0Wah5+2fG+572qDp7Qd2oaaEjA + Vtbt/hcv6/KTny++nlZrmI71rNxMBK1s25//xZdYPv/WuydZRExbptg6HZBcgzvXbdvUEvF0S9Dt + /V11+cwW3k5Omn47PXrV/CyxYPZsfqA6CoyX2r81EMzxx6188ec/fCnf/s7PY1kM2FZIPwaZqYK1 + AXpIDv10zyMqjjgGXvHqThUJT661WFdEBy9yAS3P/fFb9IgDHq7Pf7HLYUL0CgZpRWXMM+z146sf + rGdaLHovxQz3gMkhbmGx9O0tLVVmwG3xIFOlIOIa4xFzzyvtd1CyDfl9JFiAr7nnV4fQq7/Y3v3R + j76Um3y2fAZYUehqBJCiLg/uo7Upj/UY5w8q9o9zEuzxXzZjLuZpancyGxzvxs69Aq2/JQqeM8pX + 9/Xly+2Jt7R0OVDdsFlGalbpAzpgr+x2n7Loc52KehCoEzq++CBilg1QKKrv32N5QsrIqGt02F62 + vNzgm8hgSB8C3t9MjwJfYsc0weW+j8ejPAiak3d6A20lcmA2SoRNhnUupw7MDuWMjWhbQSmaBElA + EVhBuZeVK6Us75ZcyynWs8tZbBPcCHKSxB2LkIBkj9oPK8r3d+RnpASrQHJOYMZd7y/vvzCTzz5P + 6XSXrMXqzLeG5B3qg+7RHepyWsP5gZ3zcrIo2D/TveOpXzBdMkdMyhwZSl+eqEmACJjrc5nwFYtt + om9+mM7AcdaFo60p9ZkYvl1Dn70chLdt50YGz24sogW3CPt/9q0+57QaaCFTV814oBvKmpfPkdrq + ywoKsqTEerBNnRerZrHcejYpFhCpuhwGwFLYzgocu3J376F02MGm1wpzGsfV+Fp1VkrRTGQhEmFq + BDOBgvV+X/Wen7fb7ZnIAGml3E0ya+J1oXBEybher+2o7ZLa/j4iuwF4bHxs/u+k4WPKNq0AZdRk + cUOIu20a5cTpAaNqeE3Pn9iCvRjG1MLtAbOKf9kMDDW83yMeh8LsM71vSvvqSlfR7a6jSNYX4sfQ + GM5QM+sH2D0M6PBL763ufwQd4h1RfeSWYtlj6Orzk0t01ooq2tRvwqLJWkuSGg5ftnVdFZqSIile + 7i+S77ebtXNG67miwzez4O4c6BTfP1TCYm9ER6gZytMiKtwt0tDZ7f5S9Iund+8gTwb5wRdf5nfp + 9tnCoNkBKKRAtAWZ6pAxsqNXvVLmYlGH8evTrN6Orliq8/lIjD/O5XwsYKG0buC633tSyfEru65q + O8lm4DS+JC0Agor1iy/e05bPRHATJjwDL1ABoApTpKUtKidwgUj1YLgA5uccQCboTDxvXtOEvkrs + o9JjV2a12evecjRLVB6z03WQq+GVvRq3183l0ZMAx3aa6WlrTRA3NCjbhnXL9YKW7f2LCGS5QbBu + +HIFCSbkDCxMgrWVV+fQ1e6zWCmKLGn98kd3Pj39xLdjlr0DDZ9vV+0HEmzhZxQtA7DLLN8fCAqN + eFhyLP+D9Ngz/dT0iaDbyLfzMW7hITu804nA5Kr0zTa7DmaT7ipdvgeUTct9KrYdBMsbMjqI8Rc7 + NK+/1p4z95arRLmxNcCaRzQG9eTsUGEbkA2isYFuMBhwuVfELNwpsLVXQtmrMT3qf6qguwtjVhXo + QbD5WbcNT6FuYIztiJ7bCeeBXepAoodH9QeJsDIdYSbC7+x8l4DMcHp8qMfpZ6gjgZ6oThVCYSKs + vH//53/27/7Vd//4j7/73S2l//p3f/fzn/op34x9woypoVPz0PHZc9dnrsuBuPP8501BhEF1Exbo + hvWrP/onv/dPv/f/fPfP1//iH/3ub/z6T3v00SPjYK0/vvziT//si//l979rTz/xCz/3M3/nV37x + W7cc85cTgCkMOWdCxLavvvjhH/3B7//3/+P//JN/61d+6e/+9j/47V95o2o8kD3+s4+tOonxJuxE + HJMjXs2+81uMwu2uX/zgf/2f/od/8i++951f/PVf+61/8Hd//T8UCXhnSKzTTQu7IzVYGL97LlGh + Vnst0gfGGX5Tm5XmC6NF5tvZhq0f5MJ/tOxTbfsfyWZZGN6GFaCdLd68kJPEvL12s7WYbu/Vm03n + 7/W/3fEm4NMn7HLN7omTyWvS4DELSPOKvateh554aEP/d6g0WPDcjMD3vvu9f/7P/sX3//T7v/S3 + f+kf/le/c3u+3XDLmTRootF0UvshqQ4QcNMDl3Bo5UP7e0p2KC5e30Xdvl5O5MFp75b/619/91/9 + afn+Xzx952/8x7/ys9/5xZ95rkC6WT1Qjof93ecCshsVe84YHhv/KhUzIsfd3Z3xejTUWjki8nyD + ASgwe7++/8Ef/OH/+d/9t//4N37zP/uNv//3f/FXfxmEzR34DYjnHRFD1qwHOuH0o8EPGd00/xri + H20AhQeXhs2H4VTkSd2AHnuldSEiSQ0B05eX/+OPfv+P/+B/++f/8k/+y3/03/zi3/lP9VmeMnzF + MHT82QCYrLhN15rIss94g89BiOD2BCSYYVu3lBuC8Gd/+m/+5ff/7b/+wQ+/8wu/+B/9rb/xN3/m + O0+HZeiotePFc3ZPdbPO4gOX2vL41AbzN0L3cJRogb3jSwR1Z+KiSRo8rHEAN5u863mkW9PnaFGp + QB+lQ1cR/y9xb9ZrWXaciX0Rsdbe59x7cx6qcqqqrHliDZxZpChKraaotixbMtoPbgN+aMAGjIZh + ox/8YsB+sN8MuH+BDaHRkJqSWgNHDc2iyKaKRdbMIquyhpzHyjnvcM7Ze0WEH2Ltc8/NylITFkFv + Jop3OPecvdcQK+KLL77w4JzfBss64LUFXVxMoC2oG1dO0cJraL5/t54vH7FJfdPpsfnwLj47feiL + 25wDzH1mB2BwhfboppNpefGV77/13ulzH1wdbdtx4Mh9zz7z5L0H9u/eNnaYaYGjFYgbUEDJkDrA + gQRKEI4xq0VyW6rBFm+Dtvzgwyck3fYLv31XLNx/zKknJ5CgabMQ4Ao1cwUzmd64fOHYm699/6XX + H/745w49/NQ9hw6sJBqRSmYSAomBQFs4kMN6k6GyrQAMyvOTcGFr+u0PtWWhb52ZLX98B1uOeqgL + zbVHN09qWxw/X/hHm69cHOOPsva4bS3d6ezxrQ/ivvWp5k8x+B7D2V0N44cAg3/ARVuKCoc7981f + EeY7DJszM7/fekt2h5kaXkcL1VF+258u3MbglfhmNcNgiHgrBnrbW9HCv2G/WoW9tlr52x78577I + QeZKhDYngfpssnHp0t9+7z9c7NptR5782Cc+d+8uDNkmwC1aEOvWLbl1xWz1GQi3v+T2m91SoAUs + stjvMOaL3yWhJAwr166tvnXszJ997ZuH77v305/9zGOPPrJjlOM1ziiVG0HzJlRbPn/hLDSC1mrH + YCUMh+CWub39AXzzv/QRPtDmX/5/cG4W19iHrvlYLezsTZNXj4fh2zltup6JC2jK1res/8eYdTcu + XTh/8syJ6xvb7ntk230PHN0Bg4gRzECU0uJdOEMbmCM5QvCQGAQUwAlJqhYUHLyw9SpBxDdNvw81 + oLebmLqbBmvK84rPLWWKHxq+hQGqJtpvf+fBCA3jSb45isNwVVW4heOX5maCKm+XImVHxCwQgnaT + 1Wtvvf3Oa6+9dfGDW7/xld858uDj490t5rlrhznUlJl8czokXIS11VsfXL3yw+/94FYnH3/uH919 + z/17dtzxCf++NfXRv/Nqmu5gyT/yD257jW8Z2J/rTT5iN93Jyv5Cr1+OYML8uu0h3dzWN9Zv3bx1 + 69bqxrTre8t5tH1px+7tu1e2baclGKGfH8xbtEHiXYZ9Pgy5E1Pd1b/IOx5O5wV4Mw5r5qEnHbqi + s750pZgZgJRT0+Qmp+EIDVVJghPW1yfFCklh6V1nx1MB5AAAIABJREFU1qdGGu7FpqNEOZOkpn5I + ONTBfrDeTdf66UTJNDWyPGrQtCBA4TCL3ojuxn9/gW3s3DJDd3M69c5myrvTEmhUP642NKqapai4 + rhbM3DZcp+Qp9dsaXZ5DGQqYA+bOgypxHM0fruEHDWQkok1HB3PfYuGlDoMTGQFmyo6KkUU7zuiN + QYs1bpsPWAmpi4ugVkU46gqpE+tu7s402DGvRj5WmsPm1FxYnJhV3lHNWBaEed0dqGGpk2q5duXq + yz/60Ys//CHGo8988Yvtzh1pkMhYnIotSy2eJYo33RdP/Xo7tOi1fcjIbBmDYMLYZguQ8K9o8eym + uHF3I+3RTd968/Xv/Oidn5xbP/joZx44umcsm87I5om05Y4NbrPp+oXz557/2+9hae8zjz10cP+e + 5bxCJI7NuCwejSUTE7nMppP3j/3sT//kj+95/BOfpaVPPH3Ub1sCFGCvRyLd3evtfpj3PLw73Iko + HmgIyELO3/BhaUY4oJuVv8NYzg1JVEIbwKrTtRvHXv/xX/7NDw8+/mnbec+DDx1OjOQ+eJ1xh/X0 + GhxScPwUPs+6V+LKlljuNpOGYX/edvnWl9nmvdZ3+AjFnertLD69DXn0+Alv4vmbgcydTq66N4Tm + d7P4KgvBDZkf1z48sdOmVB7N5VF96H/C4vahxD7V5e+LP9py0eCN1G2jrk6buLZjk/WyKYGx6ffN + t88c52GDm9MWRcbBiNBcNAlwd6KtGSSvfu7wwCGOEjdmZ06f+e6/f/70qTOf/uJnnvrUM5QkJ5AA + VhndQ+BnMmdFGEBWWAfRUOc71t7f0cm50w+9borKUx7udyum7ptWY/j+o65qHbdsG5sj3NWB883N + Ws6fP/nSq5defevW05/CyjOPHtlzsCpLUJX1Gj7RCQSLXcMDGo8hKbvl5u7A/IzPHvah37akFhk0 + NJjY+jQEsJkRDYso3s19YbDmN8gVziBuMrSArSfvJqs3jr311h989Y9//cv9eOfuu48cSQ3X9EKY + r8WbdXd35jtVON7xWrjXxQcO0VjQ0CrKF9biginZAiDfbsY3f+pwmqt0EKGYyxZzFRumGlSqAc+8 + SA2bTPiYpy0fYwOXhhhwsqIn3nv3P3z3O9/5wct33/v4nsOP5XbJYD1mOvVzJy/fuDKF05F7Du7f + v0OaeFMjkM99jDjRtj6LAiWYZzEM5jAbiokAGFhSqCT4MKEAoBcvnH751TdfOnbiiU99bpzzvl07 + W75tkwNDVfyC34lhmdPmLW2a8EVvaLhDhZiBUDfQgij41tmoX9Igxe0AM9V82PyP5jPuoWJVT2m1 + Wu64afo3jV7AsuqbMDnR0PDADOhma9cvXrt0+uKtye4j9y/vP7xzeZTCJdwcTqo1uA6QhazecFtU + qW3mNdp1dxoW2OZmIhpafC0szi0b2jdt8PC2w28WdsJcm+QOyjz1kzBQyIa/39wiRJW16op+evnc + 6dd+euzb3/nBy2++d+bSleUdux752NNtIzvGefdKw/Es4Qe6BtfVB5i2frTXrnx1HbjPO1nW5ycA + UINpScKDV2h1LzMDrB4FqHyn1FmcRrywDBVeQI07EJR2jzZdAwfT7daNaz95/ZWv/ts/+nLJn9p2 + 4OCBA25KXEgIxGUBP5uPcp2j6nV38B7OIHESXWyJi1jFcLiZ8VwbhTZ/u2W+aCiUh9/2z9yjrG6B + lzPMa6V5Dm7DUD/jA4Iot03rVsStNlzmsOjEg1O0WSq9sJVjw0n8mTEAsDtBYeQkznDcunbz1MlT + G5P15V0rRx89KjkJZGH9+VDPPjgq8+1RPdvN9qZb7PrWY8vMQUSDDSZA3RhOtNkbb/O3m2JNw9Dc + boD9Q8lgH07M4TuiiP9o09Ec1oNjoFwbzOC6eRoP5aTz+GSuQnL7tUgopM1bqbpzw9eA81Dquen8 + zyfInTZdLh9WDRnInIQ59qlpf/P61b/97ndO3KR7Pj478ODHDu9aMRA7TVdvnj134catNRmtHLzn + /nZleZSHhuCoAxvR3+apXR2bwUlYMKvugDkxoo2BmQEhE0pwKm5220FPDnenBdqje62P13LqzJnv + vfDiv/vaN5977rMPPfKwlW66PpveuL4+mdLKrtGevdKgAaRSnxYdGwdpxO/Xr6/emNrU0vY9O3Yu + YTS3gzSIqFAklp1qSUQM8pBo3ZwuEMjdokhz60xicHPdzZnrBLqZA7f5Nu6bS3KeL/St7+YgN2d4 + PWl8MHRbvbz4W3WXhbq3hQNR4ZstMQcisgBJu8mFc6dffunvXjp+8f5Pbzy8tPueHbsMAk8wwqLA + vROgN69d2VhbnfS8Y9+RdmUpCxJIwtibwoQ45KUJ8GSmk8nlK9dmyDzetnP3jnHUM9dyYcPQu9KG + 0MbdeXP3eYhIAQ6ORe9qhAXPboAlLRRBBpNKdWQXBsgUbkg8oM1anObeZmxMxVxWYTiCCRa1OA4M + isEeZcQsCUIoXelmqzeu/eiHL/zoxz/Zseuudvu+B/YfDt8vBBXM3cyZ2eHmId+DaDYz3Vg/dfL4 + 89/56xuztPeeR5b2HNq5vZUBmdkqMzjf5ptb3h08RHhu83u+zc1dMBZmm4fv3IwskCznAw83558H + Naxh1H/sZb+M65cE3Xpdu1vOL4d3fXfyxImXXnr5lVdfPXXq7I2bq3t27X3i0Sc//5kvPPX0M7sO + 7beMiSI7aj5ky1EwP93nE8G3+YH/4Nuul7mzKfnCeVQK3JHbuAEDbq2uXb52/eq167NuRoRdu3bt + 27tn797dNIRvMA0v4/zpUx/cWJshacrr3cbVW1d379u50hB1a3ft3rl796Edu5aA8BCgBjZPYugn + q+v92Su3Lt2YdFPbs/vAwbvv3bcv7kX7bro0zoBq703KhMW1eYcnKxurN6+cOXXm6lq/XZaP3H3k + 8I59o3aEosUUbASOImsnGHxmk8n69Y0LF1Y/uDyVpeVdR3bvvm8vkg0pV5h612sSSizEcIXjtlrR + 8J5ctXNHyu1wyBuII5QNOxMTa+alzDgxknRdn4hTkwFY79NuKoklpZSkHgWuEAHI1DlRGC8wmAcM + yNGXXoTjgDFTN09J+lJUrW1ad1c1cxdOieAOc3NXSUwguPVdz0TSMECmZTrt2vGIU1VIAYU7GOPu + OSUr5dTx4yffez/v3HHz5s3dfZ/bdnFCHPBQA5n/xFxLSTnBvZSSckbA1hIOgZKkeRhjIFMwVzc0 + bH48bLymFFXt27YJJaqqKrllSRCYmcTKTEqH2cblS+dOvH/87VPrN25N1QYBjXBybbOHXPxp3DFK + V2aTixcv/sXXv5l2HSLtPvfJZ+7etcIixeE0tDCmQDDdnMSdXG9eu/zmG69N07b7nro8p83Y3KMj + FC3u3uQch5yZMTMv2PcBoo1FZKaaUjKHmUsiAAYdshCDzp7PndsCKqAEB4zcuBgo2rGCI82i5olI + TMvG6qVT7/7szTd0xz3XNkq8I6PARZ1DpdHU2NU5QUgBNjSEOfWGAAeVUuDIuRl8+eEUuf3UWDCT + W90bM5eQFCKD9TABmvljVQ+uvrGZ2iDmMLg/pJHogQMkGMjI9eMqoX9r3WGsNVeoe8rxPh5+BG/q + CkF7WIecscC6jT7uBtO5SIl5bQHk3ncdSxZiNRMPr3eT0T8XIdkMxTe3FwCCwsPLYRTXjdk0N00O + /Q64qcEhQ227K9SRUvVMK9OcaHABEoFh0KIy9983cUKQQUt1KPvS5Zx4qCslj/iqqmcU1aJ9kxMT + A26lv371yvF33z1x/NS9jxx1sA2HlBWoGJgL3F2tlFFqqrqVmmo/ow6jTCBTS058++b9iOtDRyAB + 5qZFU0oYqucq1zUaY/CCH20GmrvOHzpBtqwVpQF3clUvxkkgALm6F0tqSLX5R3f9xsXXXn/tX//R + S94c/djRw3DAZmBPbe4HWN9hcCdi02JqKefNmIBDJnuToWcwN8ssmwFBvIvBiYjDejsz01ab5eYg + J5C5xb6LRiDu3neFRXIeLLKTq6k4pYWOogDAxcWI2L3iWSgQn6xev3jp4omzF05funHl5tps1rXN + KKUhm2QmIgM+QKqqqk3T/DyOoA/e8JA8QNXqA+DedX3OiWSrh1RBRCZEDbUDIBmKATQUy+qgzIsN + 3R1FoQQmSPJuNmOW3MxDwwLv+kLmmQTsKB2EwS0AVZgh52rsN+/BHCBlBpM5XCGERJSEr13+4Mzx + 90++9+75c+dXVzf27l9y+GSyev3yrf/n9//ND55/xYr/t//dP//t//S3du8Zgw0oWEhLB9IFVyHE + GWfE6tiYGhGazI3AtPfZTLIMYgAa+u5ho7K0QIkRunz13KuvvfTVbzz/u+2Ojz36qN0hWTJYg/qN + u1mACMwS86hqBBIZ6g0ooFALCYf4N5tpLrO8NPTmUnMGCdxqLLVFgp4cDjWomru2bWYAaixD8Dtn + 0Zk7OQ1O43RWJKUkA3YKuCrzYNOKFnTejArIAwvXaDSKYrZ28/JLLzz/rT/9g7954Se/99/8iy/8 + 1j/99Cce4hr8U+l7cZGGK6pFcJsJdURkbnAwN0Dj4K54SiQMNSIyoR7WEzNX1a9mLlVTj/+5Sz+s + czU395SY5obLYWaD0jkIbm5aiojQcMi4G8xp01uonkId1OGIqWFhnehgJ0xe/Lvv/y//+/95ad3W + fbkZjze6KztOHT/9zk+fPbqP7hojt5LGPXgKiDfZIJzqERLd1mwGUzgrhFOGmWthpLhdDadUAKDv + dbKxvrK8lJvNZmL9bJbb1sGzrk/tiEXmSMfmFagZI1Im8YfwArGhF0/tLx7wEYhgDtdbN64eO/bO + Q6dOP7i6MW5JHNAppHHkMizsRc0gLeYsMVvwAp3ACZSdpTjckcNquzkMTG5e+j6ndtGnBSiQOFkw + dEURihMDUmQA3KjrjUXCktXjyIuQEzG0MjS1KOAkShI6UbTIcJ5vG0T3teFDzcwcmbkUc/fcZAK7 + k1rtVKE6CDQ6q/VuSplYHV0PSRAYYdYXcx9LguGN11/7V//Xvzp+8sTTn372f/0//rede3e3TYZp + beoOFFOYpUHtLxZ53Jmqqencs93q1MLMiCpu2XUdEbWjBgM2Pet7grVNBZZVIQARVHtKCrKiJuQM + ivRQ/WyDsdU4wJQ5DeCG8vzu3M0cxMXRF8+tEEGtCsxBASsCk8QwhSlUIYzEQz7LzCK5VGE4DEu3 + fuV1u3pwbmJlRkTtrmoi1YqWUgBvchN3papzBJWJQXA1MAV+rVq0aNM2Fl3PONWGZKbsRlZOnTj+ + zlWXA0+sTroeKGoN/P333v393//9l15+dc/B+/75f/8/3v/wE3fv3z5a6LZYtJhpzmkRsnR43/fM + JBLiDNXPjqttWyJ2977viKhpGhCZ+rTrMZJ5a4a41IpSSfPCxkplKHC89dax77/w4x7pyNH7H3/i + 8aVRc+69t372yovvnzi1/6Gnn3zuH+89uFMkSgKre6MwCXTCFdbpbPraKy+8efzS1al87ku/+cxD + B1OGsCMxKtbtIqRq5pYyuVsQzpiZiUNHiEGqSsTEVIoSeaooTEx21Wd1hxbV0jdNI8IASt+5e9O2 + gwFgN3MHRUECansUIpRgQ3Ht56OgbtZnQW5yACamyikPLp6buZpLEoQSobDUUR1c1WJqPQsT5bjP + onC1Jgvg/az74NLZH//4u19/4ae/Nt6548FnykO7DABGNafoUFdmEBHM3nz1pXd+9vqFa9Nf+cp/ + +cCTz25bCa9La7yjggwABdCi2nfXLl341rf+arXwnsP3f/FXf7XZtZw4aOlW3EjE3IuSGpyRE0ox + IU9pkNdzQ1EjZxZzKmpdr7nJMeo1AosT3E1VIfVYgVGFFMPrMGiHbjrdvtwgJbh2symEmnbJ68nr + WoxIk9SIN9iBQupgNXICMywwB/fE0d5NIWnb9u2PPvLwoQN3ldmPfvLGa0cefur+Jw971VjHOIOI + yKrRM7eumxpbblLmbFouX7pw8sS7G9gG4qLeFeQMM3M3ZiZa2GvVEkZESmamqjnnOk2qsVzBczkS + gpOqujBYiKBqDI/YISwMEXF8a3AMgodO3ayjLJwX+lncHo87ADM32zRTW2PzX/b1S4JuKXSY3Ptq + EPPFSxfefvvtF1544d133z179sy58xdu3Lw1m/bj0dLJ94//9NXXn/3Ep5967rlnvvCFtJzbBMPA + 3b8dvfV6JILnuYefJ8L9ea4o8UsAUe1jUdMZRGCCObrZB1euHXv/5PN/+/2zFy/dXFvfmM5Ui4js + 3r2rbdLK8tJXvvwbTz72yIG79hEcXbdx7cZffesbP37j2OXVWc+5527mU2kx8j6Vyfa2WVrZu33H + 3Z/57JeefOZTh+7dB4I7oZ/Nbl1/+93T//cf/OmpS7eyjB84+uivfenLX/jCs0tLYE4pZxATOKX0 + czy+Xzp/9tUfPv9nX3/+erc9rTxw5MGj/8nv/Pqzn3qkYbALjFB05t20KS2Yvb95+cKf/OGfv/qz + c+evaFrZ8cXf/Mw/+b3f2LGrFWLzAhJmaVLDlMMZm6fcuhkAbRoBITRWiZ3m7vOA1RFIiIuW3iyl + FAOemAUszp4aVe0nE3YS4rbJxGTkpe8SCyihxkjEUvmz0XchQJ7JbGZW2qatkbw5gzCArpX2xWBI + aGaWHiJgYifuupmQpJRzEjegL+DELG3bmJsrSFjdaSFLDIBSalLyotp13PVuwTRGcWeqOvM8JN7N + YKquxkQiUvpCBBlC8XmCl0Da9yBKORUt5iYi065zt/FoKagnTDBzc4iQiBAPkBJATG7uPSiYx5su + JbEIMqNhttJ33fp6N52h2FyuxMUsknG16bqEq6HRubibTW6trq5uTPK4TIuBmYWDXVIdtgXK2IDo + FfGSE6UsJDmCclawe50iBROr2/rGRtPklBIzhxsHzG098aBvxUQQ9tiqJNNZR1JSqkAkAa4WSbt6 + BeroCtW162s31rtJwfL23cs7cx5HnpSZyRwwy6TLUhqhaV/WivTACIAXEBm4hCqbsFgx9hJiSAMq + O6wxdkBE3KAxQ0RJspm7R43lhy8azpCKx3K4qw64wnukIObEryCAOrrirl1mQhJ26q0UCHjuM/UM + hdTOhO6ugWMhMIIOhcCNEZm5MDmgqgKHGIhNvTAhMrNVg2E4wwiwgtnkzLXVS12zPGoOrmBPWyAS + t1wcZGBzlxpzC9cGT8xMPmCH7sWMUorNW9RiT9Ncj6IozEkSIMQWKQUQ5aYhlkAy2Ik4sj+9WyKS + 8HCtgAkUIsXReSYGQV14JGDmgXTrBoKb9X3X5AYkqYo9U0qJmFV9Op00uck5CVGxomaSmCWCNeq1 + L31pZZRZMvP66tpkfUqUmHMcJSwwIQMKjBwpNX1foCUjAyxJhBqFOJjnBvPnvNzdIpPtjoi+aV4R + 4GZgcpCZ8dAAtBS4IQUEFoj2ZhqtXqZQhyQMeIuoWdGuSQ0REUuQhSG2SSzXsHQ6mdy6tXqrX5uq + ZUkNAFABkZkZJ8ANhT2OcK8ZmlgmTqpKAAkB6L0vRZvcEJiYzczUSC2lDKdIhYVfFdueKFBTL6UQ + MbMIM2DmqqrMUo2xuRlEMgu5u2mpXaklsmYoBlVnAwt5hMgwDviz7+DrSNMMJaKp0oZJ7ywpZQLM + +9JHwnDWdXAn5pxzWLPpdCoiTTSv23qVUsJzDU/R4eZuBtPwSpAibiFOOQci66UQy5yCQyB4rXaX + oQVAPUgYquiBIhaDHsktJoKkSqg0p9Q0qeKd6sbMDKSU2GokD2F4scKeMm3S7aLoToe+l1IjDU4g + kKBXgCyBsnCGa+fkZE6zHk02ozLtNs6ePfvOO+9nydeu3ZhNO7MxTJ1KUBQrGwOxSIcmyKBimBlI + OAlIMJ1uZNc2tQNCpyD32jwazGDPUAUKpHT96vrG6tr6xsbMisLvvOM2vU+qDWS3aEZw3TUwAyJ1 + Pajeqw14cZLMDcBQhQMpxWkc/Oaaa1KD6pB5RmIQ1f0BMNitFA4fQgsgkEgiUETURMhNinuJXCa0 + h5UmMVB8unHz2pWrs55WdrS79+1uV9yBAgM4R7OR7uTxt86dPnbr5rVz5y5dudp53c1rpfPEY3GO + 4o1a4eVG3kGIu5k70Io7esAyacgxChKIUMhmtZWfi6KJ5E9IVqD0IIlsf5ySPBgCW6CLOUAsRHBX + sxKZ+DScMgZTBwUFbOtkodZyQtLAEK+lZcOE9bOzZ06eeO/YufOXDjz68ec++etf/JUvtNTvWspH + 9m27Z982dDc3rveXZs1N2bm8vLJzKa2kVruOhZMIaSH08Bn6HrKkMpr2SIRGApUXMAKBLAZJkCSj + 8djMrDdO5N3M3XJOVnoDNzmDRd03egWnSEiGjm478KIIKG7oZ5kd67c+WLt5vctpx8G7dyZ2iMf6 + gQFsJgQhc0Ov1lmw0A06g5ZZkpm0DOS6UpWIyL30PSUmpszC7rAe5rCi3gYkpwah8JOTQwGSlEBQ + q1SKUAJhCjCZ+q5zS1lyrOvZdNo0RixaOhEhGqWUu4JevWmjTYEKm6F3JRizCREYMjS2LAWlK8m4 + DSugBljPgA68P+bw88Fc9ZuZxV20IPxMVQ0/2WGqDoUkYUbldzGQNukkkptkDMN0de3i+Qvvv/fe + hUsX9184cPL02ftH7badYwkGt2lfOk8kkoi2CJ2GoSAmBmMwyQRocdUiNfCZF8oh5crC0dITXHJO + KRuhlgBRcAiGgKuao9ANQLGaofUCl56TQUAgIirFKFrBz72dQG2JnUiIPFc8bFGtmknIzPuOKCTC + MwCo1zvwgFsQBJy571vUvFiTUs2Jc5wGKoncvOtmuR2BkwirqhElEZEKf3dd52ZNzkPBEML6c8RE + QUl2IqDvC1KKEMDdyBRaYIWsuPaq1BuUcl0KXX/t2pX333377KnjM6RLH3xw4OgjYOgwU0IQIQ4N + aAS1wgEnqppvBDJzNzNXEZZERNLNOpbU5JRSMtOum+WUiVNqxJl6oBjE0Dgg4dUFu3JgELuhTCe3 + JqdOnz578fKRow8dPHRkPB4Lu5XJrWvnf/D9v87HTp+d5C//9n++shdCxC5m3qmmFNCwAtpNb169 + dOEH3/vLF986Tzvve/RTX5IcmG2ExvNcAQ2quKTmqpoizwxnIi060z6lTOHwC7uTqg1VSMF/8lnf + NymJSGWGmsFdZAuhHDVzbR4kLZC7E5gIwbyqoKvDikrKEswZ7QeSDbl7r4UkM1OiWiWhDqZIjJiq + kkcSgkUE7Oq9KzvECan6cMnUhNV0bTK52ZdOwYOGz8jREwC2iCGLeplsXL9y8f2fvfqDV9+z8d0b + +eAnP3GXgBwGNbgABmdV9OyS0tVLF3/2xut//e1vy459T/J4VnSIAaP+Rbqi1Z1jwND1xhz0DuWI + pIjhg3gxC4NzQKiK0iMxREJlvZg7CwPqRg6e08ZMUYrnTI1A2hHgNitFp5KIxEw7l9Yj9pJEbhZp + RZ9TUdXBRMkGajCHEnNAsUFgyHnv7l2HDty1d/eOMyePnzx54trN50bLWGJIQrAhRIYyAJbcNEaZ + wA53Uy+dlem0pOs3b/bmnOCAMA0UavdaWhyfXg1h3/duW4RCOaIsimPItXQpoF+R2KsMlEjxFGMJ + zLaGBtWAGPquKLkQOLcOlF5BJMTOiPLJ+B8TzUu13Res+f+v1y+LdevzLwLFwgcfXH755Ve+9rWv + 3bhxY9u2bbt27tq9e487NtYnNz648p233jl58tQHk42d99576IH7V6SeCwNjPN5yXggb/xgL1LNf + CHrrUfjJQ4EYIqmlEAEz+nLt6vVXXn79b777/T/60z+7cPmqgle2b89NFmbA19duCaPJsrI0OrB/ + Lwhe+rWb13/8wx9+8zt/d+7WRo/cbmv2Ht49K2s0WaXpWnerFMNovO3Mmcs31/sv5C9v3zXe3gCm + k42bp06e+MY3vnn23HUeb3/8sYuHjzz46c88OzawIFF2L0QQlgEv+vserJtNzp0+8e//+vlLa8nG + 9919z+EHHjn4+NNH26YdznNSU7OOWLSbXL1w7i+/8fXvv3b6g42llX13HXjobreSqBEYeTE3Jkkp + D8SGAXEKV7puyPiFsniVuHWKpUE+YG5KakWFiEmIEhEr4JZSLk6lm7BDkkjKYIJqUQMlEA/1O4hs + EQ1UA3OwQM3UykhG7h4SAgONKvIw4vXFmkVihokHyQgL/k1G4DwW5dKcJPWm5tUjRE1FKswiF8SE + MpuRWmIWEWI2oKgmZmY2VWIZ0j5wczOj8DStWmOA3E3dJJgBBA/oFGLmBhISNaihMScHu4HYrZiZ + SMNCcI7CyZr7N4cVdxA1NcIEGZDiFYmE1NVLcbVkFppKJm5cPTOZF2sSwdzYDQzTvus6AxdKBQTe + LDoPcJ4jpcw8QPYOKwk6ypJzJklBUzUbNE3DYjK7Q1XdUtXAMDOPn1twE2KpmSkRCYt5LC0qBUQu + YIMyvHbiGzY11ec2mKOzd4+9fezE+fUejz718QfHR9Iog4iGLDnBG/Yxa2J0vU2VS30jC87mUBcH + EMzMhCs24FSrIJ2c3AEm9sh1R8ZChmKrO9irLbjt/Ee16tQUsFrfZebExJAapVsxzcKR1/bibiaV + 9Op937UJleLl5E4wcGVyx1zVZeFqXt3WyNTWKaeh8DCGQJ0KQKYCh/araxsvvfLO25e7ew7elR85 + uOfgSsRA1SkIaCOgFzNmchrSxTbUijrMfci9untxT8xcB4xgrmTzG2CDKcxBiXPN27nJ5oeqGVLg + bxRge7SGJUNR1STZA9Y348EBclSyiburqZtSQhgZB4mIAUWtL5bEABA5uZsbYqRIHGxeVAuLZaHM + Yn3RYsRps0sig5gUpoAwC3JnvRUDB4QjSUTnihzz9bFo2D8K8Df3qPfzyHBkYpKIE6wmqwysVlM4 + AYiEY4JA16w66LU83iPZVGulvZZ2BXHV3JVtjrxiAAAgAElEQVRIwBwEwyjgBwWsFwbe1GYVvOMW + JFGrC8peleZiLXo9vcMKlBLuGxRm1Wqbe28qMAEzyNxgRmb1hm2zPIpC5Cgmxd3Ug1RFBDU3Kw43 + BwwiaajMCzsXDC0ICXFlH1RSjBOMiqMQe0BApUOZAh24S1SIyUhmyMWFWAhm7qZBKKCBpIkoIBCR + IFXN3cHFryPUcSKppStU+WW1cKxKFEW2FnHXURsUxSag8LZC4aH2b/EhzxPYoMHZasgPrVXz9YEJ + ICRiRqcwK4ARB7ZP0YWRDCCHqXsxz+A6b8EF4zCPTPNq56IwQY5QRI21tCmNEpMhS2JpekXOLgnt + KO/bt+/ee48utcu7d+0duCGxWGqtabx3Jci4w9RJzEkNnAMG9d6mcEIaYT6DNRIfoip1FIANokAX + hcJOySl9RK5kq18VE2buNQ1ca/LrHhhwmijjgCu5gJAT4KnitpA4LuNFIbZt5mShkVKVm8iJedCz + ApjY3CkEEiwgvTAS5FEbw0hSAUp3uBm5kRvMod3arRtvvvn6O+cvrxw48sDTn9h1eMUMbHBGUSBx + k9NSmw8f2LeOnXv27EntKHohkJmrSdq0YLXeKZxxB0IXATB3BSgNNwzE3deK8nCsuRIyJKJzK8RU + JXDNTZWFmMmBYu5OMrC0UtXcQVHNxEwCrok1j8VBzqCqplUnjUO4xx2qWzrv8NznsHLh/NmL58/M + Ohx96JEvfeV3/qv/4ldGQNP3NLmWUoe1y5fPX/vR8evnyvb7H3rksaOHRrtyMW6iqZ31wAw+hSlk + yRidRrYV0M65JivVoepkJIy2bUrXmRYmmBYCkISKOVESMSIzFK3+ASOgW4MYXOEEEjc3U5Bevnju + lbfPnbja3fXgM6OnHts9onFlbteMqAhniQoAMpdhsWpgKtEBOYjpXh3R+rcGiNcKwPB5rAZbw3FB + TMi9GoOSSHDh3aEGQvCjE0CmpkXhcAlCnGopnjh4iu5G1ALkiuKUmggOzKkAMyCRN27sMA6uGRVH + b5goWvfWqTbdI7MouYCjMq292lsCmXs41kUV8zLkYSvDzayyByhIrpES9+jpJxJV4OZa+lGTjxw+ + tGPn9iNHjpjHKWSiBhA4JqVh4nlKusK/Q/WpRPHRgNHWuorAFAYbAgyokzushGypcHJQGVqcModo + R4FUyX7i5JC56F1stUDI5nGhm8HNo1ckao0ZQYgpeG4Sip4LolVVmYHITcEOTuBcirpZLVOhwSnw + +GrYfAa3EHmIBzO4upu5qKE3Z4MwmFihITsXYF/F+0JWqN6HuzncIQKrUiTM5M7F5vMdfrKTO9y5 + Sm5Tb6ScLcouzNomH9i/d/bAfTsO3tOMRpLbzdbCgckFQc/nKyT0OlhE6g+90lcdxgwm6XuFwhOY + 2V1VTc1ZJCVEyFB5zY7KmK2qQo7QinDFdO3SxYtnzp69trrxzOeeOHjk3iZnIWszEncn33/r6okr + N5u7nv7sr9+7dzsDcHZzU0OKeVSgbKzfOHP6vddfe/Gn79+879n9xpIzJJ4cNaoNeCuKcurajOdF + LQmP0WciQu1IEKFAaEHUKihzVfWciSlRMlM3IzjTQMcdkNtQjnAzr7yhgY60cIVDkpuGSeFdlM0y + zzPrzuz1jS1ET9Kg2EUe5ibemAYrFofGoIgDBTsJO/MM6EEApTl4BMpRlEOEwJdNNcE2bl5+8/Wf + Lh18cXT4k09//K42ssRe6npwjixthly6eOmN13/y6iuvHXr0Y48DFPi4F7iCEljUjaO+j6BAKZHT + crdBNY0MZERSBdKo1md4TUgSQg/KDW4NEVzdBVEjjYEp5UYQInAGjNSoqDctg828ONrIHwslol77 + IK2hZg5QGBKHsjmEIfOJ9KF6U0RWlo4cOnDfPQfffOfMiRPHL17auOvQ0tISOMGLwUW4ahhxNXQS + /gG5EQpD3crGxoZ61EqhohsDLjoXNqjulVVK+DyM9SGzVi93NScGODET3MmrHLSRVIQocgWxmFWD + xwtTI4aklNnUUAoRiFOl9XmtQ4v8tA/e1kKN7B2jsl/S9QuFbj8SLiUzdVdJknOTUybCZDJdW1vb + uXPnJz/5ya985SsPPPjgtpVtpe/fefvYt7/+rT/8139w+uT745dfuv/ZT4z37N9794oDqiAPv23u + lAxI0JCgub226B9w+fBEw4c5EKIHJVRRuvXVN3/yxle/+m//4pt/tTrtKDV79uz7+Cc/uX//PjN7 + 5eUfz6YTK91rr772+CMPPffZT8GMCEJYX721trYehU13Hzz0G//k14qv9zeu3Dh3+p03Xj9/Yba2 + uvq1r/3F8dMf9D7++Kefe+y+FeSk/XQyWc9tg9yYYzKdOWg0HgriiGazLolJam9/DJrPTQ1YiHDk + yJEHH3xw967xjc5uTG6dPXPy8pUr65PZcjOek1JGTSaUhLJx8/qls2cvnr9wa31Cy/vvPvrAoXvv + 3bN79whdgjFTP5sxODft5hq2SAGhycTB1MJcSMeqYiwTnEzVSoFTbnKi5GzFe4e3SFTUp9YRpZUl + ySmlbeKFrIfOIhueJBm4FJS+G7eJEuJ8jQfvelegHVO7NAYyAf2s94LRqAVqrbRIoiQAJpPZdLqx + Y/uOpnpVAEBEo1EbGFw3nTI4jZYAhllfCucMwqzvOadokj6ZTVl1ZbwE1dlkcv3qVe/L8ng8Go0k + JVRPsY6C16kAMTgnpGSqfd/nnB1e+iI5q/lkOm3bNuXEQg2nOIVSThEHteOxGvqiQkbwGmPXMF/M + MZl2OSVumUJ3LgGD1estZOzNybIrXEkL3AiS85gF0x4rueSANEzhEqRmJ7gTcUJWeN8kyW3Due3B + s15LVDnyEGorEobsJtecKVmBzlx7LaUrZVoqNihCpZjDcxY4WHhlZaUmeLsuMhMiXNN65ASY22w2 + E5GmyXCokSlSGiOxoZt6l5SE2wEmhykIHXMH6WGsXf/tr3/jT7/1nQ3L/+Jf/s8PP/4AE6E24wIQ + VRmus8lkba2dzYJk4ACcwluUYXcVtY2uRyPIVNmwZlAL628I9ignYeNKHBOptT53sv1bDgYC3MyL + kjoLkFI4j532xolEREAMboUxNlN3OJxEMkXCHXDttGQWZp9MZyyNZM7CPtedS7XTNA8IExMnkaHa + DUlkKNBydTNQp9o7FZ2u5ILpxrmzF7/6R3/83Z+e/9XPf+bItq88euQxWDEyZ2ZGGnq7lVK8zJqU + 3GpmB33Ppch4hJRTzvPqOh60oPoCc5eGJCcIV3tv6E177ok5UROYtFDd2g70RHDMq7xkXpxLvt7N + 1tfWd+3Y2UiTG5mtd6QmuaEGxlCwqjLReNwCCi9g6fuixu143PWuxssrK0JR/eUpQSg5uOtms6JL + S6OcRk1iNjLtrZ+1KbVNS9yooQDiGmFd78aUE9iBnNuWI11iQIqRNsBUBUMq++c53ghBRiTzUpx8 + ED5RJUBEQgBbEsGhBniUXs2d3KFhtXnpC5GyCHHQACpAWpT70iemtmnhBi0wgBjk6tabk7UpSoOF + AGtybtsWKRe16XQ2mUyXxoachJM6zKwVGYCpwNR0Y22tbRpZWkop9aXMptM8boXzuE0KM2g4ZJJS + EqqMkoESDArmcVG1JDmlLJzmg1dKMevaUdt1pSvdeLwszHCaTKYiaFpJWUpn09m0aUcgOCMLJRY2 + lFmZmnaJJDVipV9fW2oEWQD3fla6zsA9uDfv+74kMHPbNuGHtqMRADfr+j6llFJaWlqKJ1atjIwa + rBLlnOdnEFDTXgHpA1UMsvQ9uTVNDsqGpFy6mfV9MxoFLGFFiSU0zlRdzShJPBE3aDg2hzrUXSk0 + fAml7wFOowaGvuikm+ZRI00mUIGpgZlIwCDrppJcpO2LWhJhuGE6BXUbO5ZSSBhBC1hE0s31Tptm + RwvJ8I7X1ycETwRXpJSaps0ZDIxTPnT4wD/7r//Zl7/UL7XLDz183759o9ptfsjWq9XKmEwgctOi + pTewp3HTzvUZfWV5RDPFZILUIAEUjgchgIlO+8nGUgISAdY20o5akHAapdxi7jrVFTnHPbZcZtr3 + ymzMiVjM3Kwa9rmkShQMZCEZ+kXCzLqOc64rNmoYSlWxmE42Rombdlw3s5d+Vjw11Ih6jASllAKz + QaYhy+KAM9O8c40ayJATmKTOunaz6eq16xf+/C/+8Ovffe2Jz37ld9sHHjx8rxWIgAjrkyJN2ray + 93d++/eee+LB9651ex791OjIkeKggjG2j1siizCPiMgNs8naciuQZbgjjwACkpsBTEORvGuU9Gbi + 4HTXtDoNup8IPvWAFw4eqw8ZCI1QZTZzwGR5cNAGP6cis3AmRB01A/WtbdCQ8lp4MO1BjBx867lJ + ZUbi1Vs3Vm9dJ8Lhe48euOd+q0GHplFGt45bVy+eOfWHf/jtly/qf/Z7/3Tb+EsHdx1sR01stSYx + zKGKXLVBcoMEQM0HpBVhooT6Uq1u0zRWrJ9u5KhL6zpKOXOKDQXm8TgPTwhOaCxSHzMnRZuS5IYy + ppOfvvHav/mjv3zx7fOf/Ee/u2/78tJ9d49XUjUfLHDJSWTQQHRnA4gEORFSw3kG9AWNgAiSak+k + USvK6IGiXUKpQjoSRRxgAkvtJEAkWtgJKd5BAMZ02gOlaQQiqjadTttmlFIDoJt1pl3TNKFvlJoE + mKvOpsws4Z4TAKbep4mmSVaIMlRMKfK7gKlPQNMmLUXWpSvIhkyZxLMUUBWBsELTad82Y0mAkbk6 + +pAkdYgkiTQvM7Ekcys6M0ctVRkKsxyNsQy6Xr68Y9tzz31uz97d065b2b/v4aee4iZ5303Xbo5G + DUbjNrU9sZq7KgxElKJ1nEPV4jBdvFJikWbOKuvVAiHPOQVekfLQLA5JgdKjkahZQt/NvKylhigD + iYQaR4YgEIgqzyTZKTuKuocYkcCpijUDFG06GDGuJGB0M4DQNiBADd1kstwmTqAsVXCH8qS4l5Iy + ETu8RnU0D8MJZkjCKTUMBwrcrPRESE3TK4zb0dI4cqhMmNfjTyYTVVteWmqaZnBLELitmwEIWLYm + U1mEWIgKo4QbS0JSWwaGoFJRm3Sld+4AmnbjJE997Il/+T/9DzeuX+9He/YefXK8Z5mjLx4B5qX0 + WYjAVnkZsFAzMGZmNzJ3YZIk7lS0A1y4HY/GZlB1hxKjadu+WCnGuXbbS0KZCQXWz6JfwgJ8b7Ci + azffP/bW6TNnZ8qPfezZe+472o4y03Tn9uXDB/eNWr528fKxd45dvnxl457t25YAQJhGTSYCzEx7 + 5nJr9eY77x774PLlZrT89FMf27t3tzCsA5cZkkByTWIKqJ6GlKRxiaYyRVWFJUlKKYPY3Uqxvi8i + qWlGNTsgrAYSXloaV75nSC64VQm2KjMaeWFnIo6EGzj0qmL7ddMezJzqPJHkoZwGIbYFElN34tw0 + fVEthQim5pIlzwk5UZkEUBSXGDgTR5IDqigFSUFAk5JbbzpV6x1wSrFWF1r01H42SSQvLx+978ih + g3u7Gd59551Dx97vy+c9A2jAAIIhZymhJRD89Jmzb/zk7Zur06f27H/ooQeXllq4WT+FqaaRpSbl + NN/0zMixJxCdjQoC1mAOl6AogpDAhERYaskL+t6UizMyE3kBNBzLTlEMKYETkggcKO7qSCxNzqPt + wBrQs2SbZ3jAAtlUYXNAO6BDzrVCc6GPT0RTiQZPQ9J99x5+6slHXnzl9ZMn3j956uSOfY9juW7R + cNQiH2SsagXEzB75VWif2ZrMTZNJOM5nG/Lv8wrjmoIFVNXMoug2psjc3FxkHnZDhFsZRWKbAJSO + vANnkdZTfTcLtyxSWaZeeoaN2pFJE33/RDgFFWwYkuD+qhGG6m1zqFpK4aEseoM091WG739RMORH + Xr8g6PY/dp9BoBtGA2Z21113Pffc5x997LG77777kYcf3r59+6jNcG8T37x85fzxEy/++NVLly7+ + +OVX7n/64/fevdJEQVkdGRuCl7giu14RXN46qP+gxxpmQM1SiLLX8EHR9Zc/uPT97/3t22+/vba+ + rpDP/8pzv/6bv/XQI4+urCxpKZ///HPXr17ZWLuZGPv37wfc+067mfY9TDOnMS2RtPfcc9+Xv/Kb + 7TLydH125dJbr7zywo/eeP67P1rbWHv33ff/5N/9+fbdhx679wnMpkLaNtKXsE0060tfVBXFEHFU + SklY/56nWRyWlNLuXTsfe/Th69OzNz6Y6dSPH3//rWPvbX/24w1xY7E0DJgR+vOnjv/01VcnaxsK + luVtT3zy0/c9+FBmJvRRWtkkhhHKZj4iNCRDPMRrxERwU5sRK0BMzXTaqepo1HISDpkuBwv3tmFi + LcYsDMmtsBP66FNIgBd3IydQCsIsCEIjQy/WA+KF4Ikyp1R3ncHN+3CZkghKFHRZZA6LAwLJeSRj + Fi49vPPExNmRQ+2QwdzkXLNRpiBnVBldSVJMDWg45aaRUiImaFPetrSUiLrpbH1tveu63LaDRhJS + zadXbcnYF0xsxIiiqSBeAW1bFXWLFlgvZJRaiq6XVtsBSQqaZQlmi5v1sw1KY3DaJPoBgIF6mANc + WKzWhWX3Dmawwl7YARd4IkLOKD7riiWjgXxeSTNEpG5JFdBQjinuyplyS5JUtevdpTGJjkxUPa2a + QXBypTKzfgo34iQJ4nP0luf6Cu4wq/FYThmEKJBU1WDasjAR0qA9StEyVkCAcWMoTEkkCQi9qZEZ + SegHkAIFnKXN0431y5c+uNnLrdWJ1jJ6caBUn8q89Ak6atLK8nLTLjlQHK0TsTDDFbOibWbilJsU + VfAATAubgYmYQ+Cv6wugTW6JyB2zTpMICP3MUuLBcf2w+apkUA6YP+gWBFc1J0k5qnQJNY4C06wo + 4G3TEMjN+q7PKQt727bRliQ1LfFwHBoc3pfCgsTs7jwkQodQGmqu2ufMCRwUeY++1EzuaKSBdSgd + ua+urV+5cu38pcura+uwgkFwMAbNFE6WiFLKEKolwsHLrqa2zAq4aVJsjtIbMXFiAYz6opXiEb2m + EyRLYZsbN4fNvcbeTZlzCH8rMOfBGZQNOY+2LUNE4WTWJCFOEKijOEhAldVcYD28A1KShP+Xtzf9 + jSxLz/ze95xzl9gZ3NdM5kIymWQuzD0rMyuruqq71NXdkmbs0cgGDHhkzBjzH/iD4S/+FwwYFoSB + N0AatSy1ulVd1V17riST+76vEWTse9y4y1n84QazWq1lDFgafkgmkokgGffec97zvs/zewjxhFKA + hDbVsQB+9DZFpAqQMkNr2nwEAgjP4Z6jhKdRwigDdQaxVBxBIjCCVJxta4QyUAq4B0qCZIpSX1yj + Nykw8NsFw9/74UvLQDWrrCYqQoJS3HMRkVJfw940ZBMCqEBILpVijEpPKCUp83vs6mwgoOQZQuFs + zaGMmpQ2RQ/f7Yw+yIA2Pd/o35Qom9ofqYAwpumGpoNqAOccKFKNUFD+eECB69o6YUBIwDQQCQjh + cU8iMo1yIRQFAhQAfcU78cEYSEAAeEK6LhoGaE0/pS+b9xtliET4dHoGjFEhKecuQUJ0zbddEQK6 + bgJ4UkkClFFKdEaJnyzcHLYDAmWEICoCAgCQmIYORILkwBsgXIKgGSZQQwKRTVUUgr9eKeWDgIAQ + xpjnebZtBwIBSgggEkKklJ7nEeJjCcBxHF9wpGkaIkqFXIAAIH4Mkd9uon6WhN+SUYDAmA+85L4e + lRLkgkvONd3w3WdNySE2Q+CE5Eo5FJX2lhSKSDRNyuZrUEpNQ6fUd2z7dypKAE8A5Z7JCKAHIClF + AU3hi6YBBUNxF6kAxsDnkRHQA7qloOZCWAPGiGEYru1w29YIuK7repxSXQIHcBmwwYuDA51RjUA4 + 3MyEE8pTxKHEAL/PxvxfEQAUEqAUEZl/Xc76rFxJjyCCpgPSpnkYFFfCUQCEMEY13QAUIBrQKEvh + EYKAxOXSdvnZevKPdm8VIPjcQ4p+SA1pEufOwmubjweCUtJFiYSw5tcIfcvt9RzpCaEZmhJSSE4J + IvXpckq5DnddTdMlpRxAqKbTQ2+CTRRwDkh81ZXyVfVnolQkb5s7nlCerlOQXAkHlFOr5wvFsmVR + 14sr/9mjFSQR3WBAADAYa++NMS/mKtXd2QghAaAMGEdsyiRcIABAGAHQCVINBHDHYboBSKTHgQKj + b0OqASigJD6WvbmOvb2HCSjhKukgnsm2AZAgI352rJQgm1GlAIyhVJT7ZnxEygiglEoSZGcBh+Ks + //td8L2/kwhPItGJBhptGkB9n4DruLpvb1CqXi07thUIQijaQsxwxYU4AvNXNqpAea5VrVarhQoU + 647NmwSMpsTdcxE8UB4gA0Q4C2ADBKRN2aDLhUJKGVDaRMoIIVApTWMgOYB/yEEghCKFs16hByAl + GAQ4B+SezpT/7nmuIzSfEoPKc2qVSi6Xr9QaEhDf6rVIs6qQUijJsalTZM2dRAgQUmgeUMZ+A3gi + uU+QIRJQISKe9fior+j/7kmQUiEipYTSgJTCdjxCkBLKKGo6gtIIIZxzpVDXTKUU9xxEpFQxygj1 + 3XIOEAHIkaDO6FvQlgAA4AQlAQ9BnvUbzqa2TAJ6AA0A7j8XOgGKQH1YtHQUNBAZYpCgoWsBguDz + RZAQRSSg4lxISRgN+M+Q6wLTQdcVZQqaZgGFUoDygAAiSgXCn48gAsXWtpbx8atcSgiEDZ0JAEKp + bupAFSjOPZC6QQkhTSBVcydsai7Pbku/KPZtRoSc7TKqac9qjveV31ESoDzg0gPCkflX1a97fBwr + MD97ACUQf/fBs4JBCgAQhKE/lFXguyDf0tKFD0wAooBqvs0KAKkGSgFXQBEoAUPXKAGQHDwHiAKq + S0B/zqkIoN/Zb1oo0XEUMKb5KE7feCZdBBea/BhUSgHR/BDqt6Xr2xtQ13V/7/NJWtz1GKU+77Lp + x3q7Hzd7uEoRqhQIBC4AlaL+DyOlEpwiIlKuCAcGAJquAwozHLoweM7tbKuSqBcKSQRXAvHpiAR8 + IgDAmcoGAQElyLdRbORtcXzWGvK3IVQgpY8J8Ycm9K3TwC8KEX3wGQUiJEguJW2q0SRIbpWLy4vz + mUxWD3V2D1yIxdsIAkgeiZjnz/VeGxs58U6LhcL+/uFoX1drf4j565h/3PZZc0Tlc9nnL56nc5nY + uRu3bt9sbQlLAUr4FTDzR/O242bSqdPT00qlqGtaZ2d7V1dna2ucUqRICRJf6siYz2KCfL5QKpVr + tXq5XDGNQFdPd09fXyAY9IT09YyUEEoV+Kx1PNs5lQIllJBIabOhJ7loZlcSBagxqkiTO+U7nHxN + DEgJ3AWiAWNIKEGiAAllSNBPTJOEAjS1zKRJQBYgBIBvCVQChJSIhPgeJN8sSJpLi3u2gjXRM756 + F6Rq5nQJqZAiJYOD/VdGLvT20nK1cnBwfHwsWS8xTKaAo//geA5Qqqhq2M7Ozt7Gxl4gGLs8NHL1 + 6tVAQCNEEIpACBAmAB0PCrn0afIwl8swLdje2dvZ0RWPhMKGRgkA+lkjEqTkXCmKtqNyuezp6Um9 + XNaRdLW3t3S1RdpjRGcEOACHRq1iqXzFtVzqQ6spxSCjXdGooTOkASAgKQCgcF23kS3UVFWESLCn + sz0QID60gzRzH/3kHGE3LC9dRlu1dPUYoMFZ8uFZ2oPvviHQ1dVxZfiCqZN0Orm2vj40dlXFQTaD + 0c4OY35RRIhq+qMIQcWIoigoBd3UuVQ2hwD6iCf0JzIAvm8G/YXB96s1XWs+wwehCVRRAEp5ngcI + yAwJzVqdEgDuKpdLQxe0uVpQHzuOqADY2aEIUCoAF0AIMKUwwPOXZqWYP3YSEkCptwBeQqim4Znl + 8+82Pb+rFv3K45+1ffufi3Xrr1j+gwkgpezo6GxpaTEMQ9M0SqnnuVJIRqGjLT46fOn+nYmd7d2D + cmlja7NQLHvCp8M0dcxv36Oz5rc6Gwz8w+qI/x8fCkApKYW/+anmUuzauWz6zfTU6UmSUgpEu3lz + 4g/+9R92dLURAoIDJeDZdq1SPEkcdrbFAEBKKaUAJSgApZSBrjGzvbPz1u1bkbgWA0Ub1WsXL5iB + +KvX814DyuXKy5dT733wu7Y9pFGXkmaslu+bASQSUJwZOhCQUeaHNpDfiPL4revw3TtDaby1beLm + ze3j6lEmKYTc3dtbXt++Nn4rqIHmbzjSQ2IT4CeJw9XlFcf2JOo0ELpy8+a5CxcQgPhqQlBIVKNm + FQulUsGyXUtKIUER1DQt0NHR1RIPB8O+U1EKyRG4FOjY3s5OIp/LRqJhQgiVhHDSEW8PdQdFWAjg + xPZKu1ks8IamGZ3tWntHa5Qw8Lu4CoCphpevNE5ylaojCHjgVaiyGNEEJy3RzksjI0QDSkECeNwT + ymNANKpRJMBltVQsVzKFcrnuAGihnr6Blng0aJrQHF5Kwhgy5fPbERTxueISQUjpepbj1B2nWK3Y + 3AWdUUOLhMLtba06pRoh4LoAaGhaOBBkiJ7ruo7jeh4htJjP1yo17rrRUDAajkRCIYNp9Kz1dvak + ICAQpI7nNhy70WggRdu2S8Ws5A2dQSjSaobb9WAr05m/5zWjwIADUdy26/VqoVgNhNsjLV2+6Ops + UZEALresUqNe9Qwz0hIMg+5zAREABG0CxomUBBA0AKm45B4oCsBAcs+l+apTbeRdUVPEjmqqvzUi + hAdIuEQBVCEDQpVSXEhA32ntg2d8ooVUSHxHA1EclSIISCgCuB7YlUq5kHNdlxISiUTC4bBpGoQQ + IIRQZMxve/nVoeKce9xzbLvRsOr1upCCUhoMREPhznAogAx8/4kvmPPRjb5S1GchAQplV52qsEqe + Va1yLjwPM7ni4VGyzQpQ6hJqBkIthu63fxQFxQiahk4p8QAqdaiU8rVazeUCKDXMQGtbWzAUotpv + ZhafTZ4RpVQud/O5QrVaE1wBIKHM0El2yDcAACAASURBVI14vC0UDHlcEkJ+a9V6+zJvJ3oguHCc + eqWqGDNCYUWIlNzz7Hyu4DgOpUw3zWAkEm1rcRVBJVnTcCOV5xAUhGjAqO15DcuqVi3LsoXrMsRw + MBAIR6hpaIEAUiI81w8NLJVKnHOisUhLC1ImpWRS+icRLmXdbhTKVaqbZjAcDDE7W6qmkplMqWHb + IFSpUjs9TaUPDxhjrtnOw93BCCUSpFAIglFAn82PZzc+JUAoCFFvNFKVat1zleeg4oamh6IdsdYu + QoESkFwAomqISqamPIAIhnujZ25j/xwiQXpg1Qu2m2+4ektrZ6RVwVmUCQFVrWUbJQgzjOoBLWi5 + dr1SsfJVsFWQBIxInIRiJGwEfcWbIkpJlC7wuidUvQGuMnQzqhtBqSCbazSqadfKC+GGIrFIrDUY + aUHdZMSfYSkCSknPp0wSkM2SBsF2vHI5hcqGYNRoaZdnFWRzp5ceKAkuLVQx7yodvK54iDUVJP/w + lFSdfVJne6AQnue5rmM3GvV6rV6rua5nGEY03mFG4poZbkpUfei/4n66Ti6bdx2vo73DNEwFUKvX + y5VStV71BI9EWmItcaabTDMp9ccWoIArwWnTWqmEzYu2U6g17LoUDomGzLZYtaVVEqRIKEgpiaKU + Uk0DSfy0bKBAgCoQCpRCEEL4BBDUDRCCO3a5Um14boN7xXoVDT0SjYbCkUAgcMbMbZYU4Li1Wt0u + V2qeU7cqUrm6gdFoLGCGTTPiK2KUBMXAjwur1eqO4ylFIuEWXQsgENt2isVMuZLTGA0FIpFgzDBD + JGCgDj4JggIgJX6Pw5cdEkMHYQMXIDwKghIk1Jf8EKnAdl27YhdLpVq9LqWMx+PxeDwaiTDGXNf1 + PM8wfPw6+K1bn29rWVa5XC6VSkII0zRbW1ujkYgZinCFfsbdWx0SniFopFSopE9+a3IxPCEEtx23 + UCxVqjVCGWUa03XdDJnBuBEyNA1Q+QRWjhQoIZVKxXEVgBaOtFLKHNut1y2rXmlYNV2jRsAMRcJm + MMQMUwF4finHCHDPbdRLdt3FoMb0cJApCY7jpFNHyi5LQrRgMBCN6rFOahoI4FhgaqAR0HTdhxAz + BOEJzqXfZ5DgCfAAdKXA86AJiQdQSkjkFBiAlILaNlhWqV4rWFYFQRq6ForG9Ug3CzH/fIZKoBQU + GGgUzqK8K9VarmpnypYiWoxgu6F3hk3QPeU6QnClAAjxJHhCSPWbj9bfV1EqAAAppOs4Ddup1axa + vaEUaJoZCAaDoXAgGDKD/liDEJAgOREClFYt1TxXmYGwbjIAdCw3nT6pVoq6qTONGYFAvDUOqPvI + Fsd1G5Wiy4XUIxiMawFdRxBKNcOhfaOoFMrl5WrdkUCNYDDSwoxmh8i3OwrBQXqgoV2rZLOpVDph + 2zVAUrO8VKZ2eAwmZKJ6UTe8ULgN6Vlym5IElUABIBA03wXAbagWcwxqwXCABgwgwF2RPc1WyrbV + sDvaO2KxFsNkOkUkQkjHshq1er1aaQhBNRqMRVvDEdMwz4bgUmhUIXKQHJgGSgLnDYfXrEq5WrIc + CxB00whHosFAi2GEKAWCTbIOQSRIheI+e8e/IlJJX/onhac4F67XqFuNer1ady3bMwLRcEtrMBrX + /YkJAZ/9Cp7DG8VyNnFykqxVS4xCvVbLZNKHQc3WHBXw2kISSsXi6UkykbAbDamMYrF4cpI8bg3o + wMNBM2jqQQTDX34pgJLSg4ol89WcqOW5Y6FmaIGQHggFQ5FgOOAPLRWAlIIoCZRUcyXPdQOmGQhH + BKpirVGoNSwPiBkJRuOxANF0Px2Kg9bUUirBXccBtyYq6VI+a1k1LmSt4WSy+aQJriEVZZHWdhYM + 6UoJzpXg5MzKIQGqtYYsZNL5gkPDEGxraWnHUIjpfjgVSqEI+t53Sf1JmeDgyIKdSzXMYt3TwAkZ + KhwwwqFYOBSiFEExj9ugfDOqf74moFAJgghMZ55rc+5IxS2rpiSGglHGNADPcUq1WrFWTzWcUCDY + G44FgjFEBpQ0XfYKJIJULrVtt1YrmQEt1EYcaJScdL5QtOxOI3ChvxM09rYlyj1heR406lWrruo1 + DkpnLBgMaZEWDISVxiggCC4l96o1Xq8KIXlLK2tp1c6KZSIlJ5IDeADEqteSVa60QJuptYUNEAKk + QCWV9AMvfDoBAUYAXQAuJXoet123VqnVK7WGbRNEXdcDgWA0Gg0EAjo23UFCKKUkUioE5PMFx7ER + sb293TC0ZvKQAvQln3Y1X3YqnqHMtra2YHNyrMBfEYE79bpXtOxCxfa4gTQSDsVaI5FIwFdaSCUV + JRSRIqAUUqL0wbCgJHDPt3BJIQqlaqHaKDdcqgd1Xdd0Ix5viQbQ0Jkv/FNOo1GrVFUAQh00Egxr + RJ6hU/wOouvw5EkeWTASjoTDBqP+TIlLz3K527AdypgeaiOG3nChXK5Y1aJn16QU8ZaWWDQaDocZ + 0xD9qQb1z97FasVzXMZYJBzWdb1hWVa9Xq/XrXodCTFNM9bWwcJRpYMAEAqlUkRJEFwJTgkhlEmk + HAj4ciKJIAUIhzt1jxmEIFcgfAcSEYiIBDnnju1YdbdSsRqNkkI3EKThUEskHDf08N8KlMGmcZ97 + sl7jlWqhXMkL6TDdDEXjwVDMDAXJWfKefLuF+++XVN+x7DynUsyurSxVa3ZL/7V4R3cgTBBAKYGG + 3tPVcePG+EpKJvdLu9ubyYsDw72X5dtQAS4BBVAFjpNKpabezFoOH+npGbt6JRoFkECBAwgQvOG4 + iXwxcXy8u7t9cLBfyOcMQ+vv6z1/bqCvr3tgoL+7qwsBlZBCCFBQrlZTp+mNza1EIpnLFcqVSjAQ + 6unrHxoZ6R3ob2ttjYZDAdMA8HkL4AulmoWZz+WQXArOuVWr18uVas1qSAWGaQZDkUgsZgTD7CzN + XDVlIpI4TimXVswIt7QT3fSEsBr1UqXiWDXJnXAobMbaArEW5Q9kFRBQKCUID4jyrSuWbVertmV5 + tsOpC1Et2BlppcRTkhP0U5NRKiJ8PLCC77pxvn9FAaA0OtoGL54fGrr0ZlecJJPbWzut4YG4qZ15 + lyRwFwSVyi3mCocHR8cnhe6+gcGLQ+fOd1AAAgKoAgX1unVaLCVS2cP9tb3t1dPThGZEe/sunhu4 + cL6va6Cro7+7zQgi+EE0Hi1WndOCnUolD4/2Dvd3y9kcUzA4MNB3ebDn0rnOge6+lhCjvFHJHx4X + ZlcOihYoKZQSjJEWnZ3vaO1rbYl29sd6BjHULMwrxezmXua0wiLdTiQ6qhmgIWkmpzSbpoo7jUy6 + PL+RaUD8SeQBiwJjCpRCBIUg/LBVhaAg2hIdPNcTi5qpYm5tfe179Z8IpUsFzSGr/34iAaAUqfTl + PkoRpTQKBDiAoJRIpawGVGslbhU91wXEcDgciYSDwZAvaZJCkDNimJKSc16vVW3bdhzbsiwpJaFU + 17RIJBKOdyqNCQQKQKUH1cJpvipC3djS2xJuRrO9vbw+7gNsu5TNVTHimG2hINNQAbiABLiyXLfW + cCvlSrVWJYimaYbCwVA4FAwEDIP9rcPab6wCf9+p7J+xefvP17r9W8WulEJKRWkz7FYp0DVd1w1G + qVJKcKkxjRAJICiyaCTY2d6qa8Rx7VK5wpUi9DdfsXk0Vd81ItV3TeF/6r4tNO/ss7/i2Tf3vEq5 + fHh4UCwWkRjBUCgYDpuBIGXACGgMEMDQzUiop6s9rlEFANTQqakbGiOguOtZqiGljkAM0/AdXppp + nD937uLgYMA0XS4FZUKo01QmkTgZv2wQlJ7nKKWAMWKYwXDYNAOUNcmiCsBn/wnPNaj2n2r4EzCD + Pb3n33n48MXUyvL6ng3q+Ph4bee4IYjQQQBQTxDqGuAREOVC4ejwtGFLrgjT2MDguY6uFgqgNVNP + BW/Ye7vJl88Xfv7Xv9rb31EgkCBjgYAZ+Xf/7t9/8P13zwd7kCifyKlQVsuVrc3En/zJ//3lF58T + SgClLrUAmh9/8PEHf/C98SejLrgn+8k//p//l8SbPcs03vnJj9/53Z+8/86YAoWUowRAmUocf/Fi + +v/66S9WdpM6dQkva6rKkIWCbe+9+9H/8D/+T+HWqCLgCXA8V6FnmiGqKCgARlaWlz/97K8+++KL + XMnu6Lv4b//7f//o8YOLgwNSScaIESIAAIRI6RGCKP0AWupLNay6tXd0uLy2/mLq9dFpkhj69Vs3 + 79y+fe/O7VgkovlyIAVKSsE5CKkREgmFQKlkIvHVF19Ovn6dPDy6ef3G/Tt3b9+aONffHzpznfh2 + KsoAFHApXO7tHxxMv5k2TOPk9HR68rnbKEeC7Nzg8NjNxyPj9y5eHg4EgBDwPPA8i6EVDGjp9PHW + 5sbaxt7gpWtjNx52dLaTM6YqogSvkckmFjYy6wel4fHbQ8N9A70xBtTXtDOUFAEVSq6kB8oEk9Bg + gABXYHtu1d5Llibn1xbXZg6T29G4cf3yud/94N2IBkzXFaGWK6uWzYXUNA00xhFcAM5Bx2aN2ERO + gqIgDQohg+kak0o1HCglc1tzrz//9JNUKhUMBe/euXv79q2RKyNdXV1KSc65pjE/nYxSwhjlHPP5 + 3OrKytz8/PLSUt2qh0Oh0as37tx6b+LWu5EYwSBwqpivBpQKKWMMGAD3FHIXTZVMHm4s7i5Or66t + rEohND08v7AM6HR1hQl14q1djx497ehoA0oxEFBAGpbdqJa5VbEdyJ2k5198++rbL8u51IWBrlsP + nly7+07P+cvRMKtbnKIKBTVCECRI11MMLcfL5gvzcwvz8wtLi8tCqo72ztu37967e394ZCQcNpvN + 97/92J5BGZv/bNWr2cTRzPQbFoqPXL/T3X/OqpU31pZ//ld/eXx8GI2Exq9dv3Hv0f13n1JDIwCu + AIOCRlTQUEhckNL2VDKd393Zm5qa2drcLqQT0aB2c2z4+t3HYxP3Y0aUSbAsiynXsZ0vPv+8WCzG + 2rre/eCjjp4OnTLkDigXqFavWUsbO19987yzp2doeOTdO2NLS4ub018t7mUODo+A01Kl9npy0j1a + EFIEeoa6Ru7cfXg3ZgAhKJ0mOgukkEpxpZQQhq+Js2pHx8dTK6vPXk+eJg5AuA/u3Z+4/Xj85sNw + JB42wdA0AJFOp55/9sKt89aL7U9/8j6Y5KxNgRRdAC95uDW7dbiRzF++ef/RxO02UycKQHogxO7G + 4suVhc6hSx1Dl3q6Ok4Oj9cW5xdfT5/s7HeEYmO3H125/bjvykRfgIAA5sPmiHTsciqdX1o7tLkx + cedxe2ewWhevXryYmfxif2uWc/v6xJ3777x7feJerL0fNajXIah7ug7M1AydEpSeY3OP+/2CVDq1 + NPVFtZRqHbg88fiDQKydvSX+QHPCUSmWXi/sbKfLURPef+dWX2csGAz95r3xG3fKb4jL4KwIlaph + WaVSMZNJr62urq6srK+vESRdXV1Do9fHbz64MHKtrz+KAEJx7toaIwCyXK29npzOpQuP3nkyMNCv + lJqdX3zx6tuFpdl6o37n7r13n75/8fJIW1tf8CyMVQE6jm2CoBoFj5+c5mbWdl5OLyQOj13LGRu9 + 9Oje4EffGzf1WDAYAVQutxzXBgWABlBGqXY2fVUEFAESCJjKE9J1CGXScSrV6nEyubi6Mru4uHN8 + GIhFhodHHr7zaGR09FxHr2yGDwJ43G3YVr0+s7Q0tTA/PTtpNUpd3fEnj59cG791+fJoX++ApgGc + KY08z83lsqur6/l8+fatez3dA4yaGxsbL15+9WbmJSUwfGnk9vXbgxeHOs9faOmKCd98yiVlyBD1 + t5J8QJAIiGDoAV3TCHi2BcLzOc7JVGZ1ZeXLL784SSYBYXx8/MMPP7x//76maQHTbPZtfRWR9IG6 + KplMzs/PT05OHh0dAUBnZ+fY2NiNGzeuT9zRAgF/r/clR2eHxzPHmwJUynNswbkZCjTqtXQ6s39w + sLK6trG5lclmdcPs6u65efve0NWJgUujkSgGCOiaTqifSeoszs0lU3lFzXsPHnd0dtvc+/rZs5mp + V1ury10drZcuD92+e2fsxvWuvn4PgGqgayZAHex6Kl2dWdkjgbae7t7z5y/Ydftkf/3ll7/cW5vP + lksXhoZv3r177cHTzsFhaoAWBAIgFCCXoUAwFDBBAmPUBwr5oj4Caml5cX+1pjg+eXJv+GorEGDU + l6kqLrxqhR8dnSwtzcwvTK6tLjJGBvp6Ju4+uH73/eHrV2kzMA8N6tt9PUAEKR3H2djYml5cezmz + WChVu4L63dGhD9+5O3rlHEZbQBHX48A0zdCZrv+jVbd6+wjW69VE4mRtbWN+YXFpacX1RFd3z+jo + +OjVq1eujF4cPgcEJBAEwRiC5NK2J1+/zBfqN2/f7+k7J5HtbG9Nvvh6bWmmUi2fv3jxxq1bj959 + j2gGo0ABXdfNpk+ev3gpzHj/yI3xiTvBKGFApSdQCVQCKHHtRiabm51frNled//g6PWb7Z1RKQH9 + WBquDE1jiIByf2dnZvbF2ur88VFKKrGyMe/96k+zJ5f7YnpnENu6em7deRCNG4rXq6nd4+VvZxKl + wXc+jo52mBEAAJeLSj4z+ewb9JzRqyO953ok4YeJ7C9++dXa+qEQcPvOnbt37ty5fR0RkbuOVd1a + 35hfWJh6s1izVGv7wNP3vj8xMXF+MCoFOLYnvEpL1CQUQCe+xLhSdo4Sqfml2VeTL7f3tjVDu3j5 + 4vUbEyPD1y9cGG2NtwQCQOl3u6LgXAnwIWGISHwsn5Ke69RKpcxpanV5ZXV5ZWvnsNbwOnsGhkbH + bz94dOHyle7uIKVAKDDNKKRP1xamJp99vrM6l0wmrCpMv/wq4xjZa9dMsAe7Yk8nhtdeza2++tXz + hc3Ecc0V8c3517+uHR9Mxk3TuDx2Y3js5qWBbiaQei5QDVy3Vqltbuwsz02tLLxJn56EW1ovDo8+ + evf9kdExMxjwAykQgBCKwhO2vba6ksvmIuHw1fFrrqIv38w/ez2TLlbPDV19//s/vHVtSAgwGBjM + BLBAcADU9WC5aif395Zeffn6qy92d3ZsN7Czd/BXP//5XIveachgNPbwve/1XbjcYijXdaUUBgPl + ca9hOw4k9483Zp9/9dWXVVu09ww8efL+9fGJc/0Xw0EkOlKlAQJDAcARBbieXa4dn+Rm1k8ml48W + V7cYOgO9rY8f3B8bu3VxcCQaNTQNKDMRpVJcCFspqTiTnOqa7mfuapqulFurlF++fFYqWdfGbg2e + u0SIu7q6Njn1fGFpL5Wxrl5/8OCdd27dvd3e2aoFAgJsCRSVoigLxdT29vbu7m5fX+/1u1eqXun1 + 4ptf/HLasttGx3/wB7/3o742ZgYBiSJM445KnWbn59YX5jaWljZA6W1tPVfHhu4+GB8dv9De0Uap + 5nk8nUkvL20fH2d6erqGRvrNYBfT0UfjC86Zz5D13K2tw89erUTbe26NXGibuAqenUweLy0t5Yvl + eP/FBx/8gJrAuST1MjMVmFHdMEvlxuH+4Zup6fmZuf39fUQcHBy8du3ao8ePzw0MxONxSlEIxTnX + dY0QqNcbr16/Okme6Lr20Ue/09/f47fC0NdqCl7MnLxZ2DzKi2D75cePn7SEgOq+AkyAU81l0mt7 + x3Or26/eLJdrIhjunLh5+9G9WzfGRmNRXSFyAUgIAqWUeI7LFUdUxEeP+4MTzkvl6uTUzPPJ2Zdv + 5lo7e8+dPz88PHL//v3hCwN6kKGUinuu3ZiemtxOWa0Xrl+68c7l3qZ7HEEq5SkhCvnas2+fOVzv + 6+2duHGzvT2i6wgAtls7TaVm5+cjsZZrt59E28LHp+XXL569mfzm5HhXcu/JkycP7t+fmJgIhUKI + aNWtQDCgM03TtKXFpcODg4H+gdErV1rbWpPJ5OrKytLS0vLSUjAYHBoa+vB3fjQwci3SZjIKFFFx + BI8DlwigMcaYpgjjTbA+gOTVfPZoY3F3exNaL9z/+BzVgAEoz/8vRElVLpUSx+nVla3JyZn1jUUJ + 1vi1oTu3H14bv9XfdyEej/uEmaYkkAgpRbVqbW8dzc5Nv558li+kzFDk7v1H127eHhm9Em+LGzoT + UoAnlVBIJEVFCNEZIUBQARBUVj2bPt3b2aLapfOXh0KxqP/UIAAQSoOR0StX+xZS7vL87vri6bUr + xLzMFWi+REW4IG1Qdj6XOTw43T0qXRwZvjJ6rb+7jWhgCAATwGrUq9Vkvv6//Z9/+tmnv6xVK7pG + dZ0RVI2G5bl2KGj+0R/9t3/0b/7INA1D13Rdq9Xqz589/+lP/2JpablYKjOmxVvbBJeFUhkZu//w + nf/uj/7N9evjwWCAc65R4s+Nvzu9oH9ixlKxmEgkl1dWlldW9/YPG47T29s3PHLlxsSti0NXuvp6 + /d6/n4ytXM8tV6YmJxUzh69eb+nortSsze3d58+f72yuV4q5e3fv3rj/5Ob9x+F4hFKwGraJwkAP + pQsIoJgQJHVaWN/YnJmd39recUv1G8Nj33/03vhYm+vYoIiuaUg0oYgLoCkwFLAmlZwCECQUBYDn + gq7Cba3Xb01spNcq2dTq4sLQYGSgs4eh6at/gChAVzWKp0c7mWzexdDA5evxjh5f1obggXTAFRvr + h59+M/eLT399kthEVW2Jhbk0q/UvpKTDgwPvPXrw3/zrf3H5cg80KmCyetXd2sr98f/+/7x4+XW1 + mg+F9CBl4HjK8zAa7Bm68JM/+Je/972H51vM3OnBq+dz/+v/8ZeHGQdAIEjGMEJkSLrXLgzcfPz9 + n/xX/7ZnpJ9qwEy9USsuLc7N7ZT7r7rj10aDOkj0hcaACMgQgDpWbXN99ZO/eW1h29j4WCQYoRoF + JMoPBRCgA1JCAQUYLBYLdHXGDneqm5vr+ULBcbtNn2ChzgyOvvgciJLguh5RILkwNIrKE9xxPYdq + rFazX3/zzcrs68TRMaX07r27Dx88uHHjBiWEc+7Ytq7rpmkAgOt59Vo1nU6tra7Ozc2tra3ajh1v + id+5c/v27dtXb4b0aMwXWDjVSmpz5fOXcyI+2DH64L3HYwEKVNEzF5SPW+F25uSXn35RJG3hwRvv + vXuH6Qy4AuW5DfskVXozv7wwv7C5tcko6+ntHh4eunHjxuXLl3t7O/7hwhD/GbqP/+DHP1Xr9j/x + EysFv1Hv+uIGSihFACGFlIqxppkLKaISUjgIIhgMdPV0B8JhBWC5oNG3UWTyTHX7t74B/FNLbtUZ + gYESJEjgrRtPSSBoaCxgmoQi5xw5z+Xyh8fHemA4FGpO1CkApaBRE4TjuY7mh+x4LkiJzQARimfD + UwUSpNACgVgsGgmH64267XEhbccVzZAWxaXkZxYYwbn0uOACwH+uJNepItjEmv2d3+O33hYEwoxw + 7Pz58x0t4QiCUG42m90+TB2lqtHeSMhPziBSqWoxmzk+OEgk05an2rt6B65f6+rr8dsIQnDPqVeK + mV9/9vmbqfX11eO11b18OaNAECSEmBTNn/3s556w//C//i+MAGG6SzX1689/9fLFwv5uen5+M5XJ + uK4NSmpKM8F88fWLhllj3dBxtZVQmU+nU/vH9WCwWKjWbe4BEOA6cM9zP/3ZX02/mJ1bP1jYOMwW + GiDrGqvrypEO6FpWuNSTOPHw4dWJiaHRC5qhK5BCilK5lNhO/urTT5aWpza3FjZ39moueBjI5Qt1 + y+IgfXMQKN+MoVDzwwV9EzW41Woqmf7kl7+cXVw8SWdy5VLdtSUjp7ns7s7OSTLx9MmTsYuXAAl4 + ruc0L7RVr6+vrR9NTy3OL+xsbycTiVq5ks9kt9Y3Zqanf/zxx9fGx7t7OkEBIjLGhJSVSvnk9PTF + q5dziwtb29uEkrpVz6aODcp16m1u78+vHPScW7hw6cq77z69Oj4UDgDTNJ0QQJ5MHkxNPX81OX/r + XiPeeSEWb/cDzBUAKg7SLhbScwvznz1fe9/VAlGtqzfG/BGicEF6KCVRjBHND7ym4KPzVH5r6/XC + 9rdTKyu7yaPT3UI1ZYRwfy16uL50oav10GuxHI+2BTUz6GPjCYG3vYXmM0vomctIUlQ6AZ1iuVTc + 2tz88uvZpVdf7y+9SR7u5wsFgpBMJGZnZyYmJn7/X/x+d3d3OBRCH/ioEEDt7e0tLS1NTU/tbO+c + nCQrlQoXnDF2cpJbXzuZnt7+wcc/vjjWEYhpHrgU5NtQNumDGgkAd7a211++nJx+Pn94kLHqoqHp + K6sb6cxhMKgYdS8PXRm7eqM13gaowPU8IQmATmB/a/Uv/ry4vjy3tbKQ2FxQ1fTpJtvbP5hd27n+ + 6AdPHz+MmtTUCPF9YkgII9t7+zOLS19/8yyZPM3lcpVKTSk8SaQODo6np2Zu3Lz5+7/3+93d3YEg + eeuka35GUAoBfU2jlNytlXPffv25Fu2BQMfzN6vHexsbK7M7m8vlYp5RdXSwtbK9P7d++M77v3Pl + cqfBwOUCpa0zDqKRzdZfzqxOzq9vrG+mTtPVSlXZxSBzy6mt+ZWN85OL957++Mn96yGmMeGU6uXt + zZWtrS2lRUmw9cbdp0MDIUIBvDpvqLm55c+fvfnVF1/98EcfX7g0ZNv2yvLSq6+/XDkuprIURPtp + 4uRl5fDUrCIhbZdTV7zA0NUbwQ7d9GeezcwHOGNmAm/UKunUL/7m51OrazupTK5SsWsl4VjVcmlz + J3F17eD7H/346vA5RFSelzpJffPVN9WCNXjz4tUH10K9EYMGm7lsoAC8k+PtVy+nXq4cPpaxkfPD + sc42RgBQQKO8tTr72Se/nPjwo2C5obi9Mje9t7mS2dt3C4UWSrf3E51Lu9cf5378k486YhAywLFt + g3LPrSWO97795ttKg7Z1np+cXlld319bmTs5WqwUdhF4Jp/f3NkfnV999PTjG3dvUw0AmSctHSUh + iqGivpFIgRBQKpa2tteXF1+1p6cAOwAAIABJREFU9A5BpG30xr1ALAoAQgKTEqgCu36wn/zZX/3i + uCJHLnS+c+e6kEL6aWBn98ZZV1/B3/1TiHqt+u033ywuLuzt7qZOT4vFQsOyKKWnyeTy6s7L6dVr + E4++/zvfu3ChqyWmEwaEQsNppNKnMzOzR/upjo6B1dWtw6Ojufnpnf31dPaISy9byG7tbg+PXHv/ + vY8fvnNXeEAIMKqYxqRrO7nU9OTk87mtyaX9g5NctZQVrpU4XNpdb5mb7KpU8keHLhiU6tBEiQsK + 2EyTU6DId4gphQSRILcbS/OLL6Ym17e2do8OE+l0Q3qKsY2trZW1tRsTE4/uPrh5daw73gYe//qr + L1dnF/aPEsfZ7Ek+ly2kXK+aLyRLpdLk5OzVKzd+8IOPL10abo2HHVtR5nHBPe6urq4sL68bWmB1 + ZeskmV1eXt7aWU4kdwjI/c2d7eW1K1ev33nvw7vfe48ZQBGUlMClIpQSqnyHtu/99ndUVJSAztCq + VTY3Nv6ikjraWtpcXzvY36tWSoh4enqaSCRWVlY++uijrq4uwzDAp+IohYi7OzuTU1MLCwu7u7up + VIpzrpQ6ODjY3Nx8M/3m8ujrpx9+NDR6LRombw0USgIqRQmeuTVQY8xz7eP9vWfPnk9NTWazuVKl + Wq3Va3VLCLmxvr62sX1hdGX05v37Dx5e7mtvD1NABKGEbe9vbc2vb9c9kLoJkjx/8epgdz99tJ8/ + SURNfWt9fW156cn77998+Pj86DgJUKaEJlzuNjLp088++zTUOjB0eXhna3dvd39jYfJ4e7WaPbY8 + L5lO7x4fz2zsf+8n/+rCtYmuiH84BkTiKwEpAQSUUrkKNPQAuAK+sbE+9fyAKX14eHB4tNUPE1PI + bc9eWdqYm9lfWlo7Ot5OZ45LpazOSLGY2T06fj67NjT+8KMf/ujyQDyq6wgShAeeDZzv7R18/Wpy + dnVjZedw5zhluyLORGJjPrm90N/XwQg/SZ2kMyXgwpOCC/EPl5FNDbBTr09NTS0uLm1sbCWSJ5lM + rlSuSoWpVHZ//2h6+s31Gzff+/C94bHhWGtMCo8hB96wa/XF+ZmNnUTV5pH4Vr5Sn3r5/Hh7uXC6 + Zzfq21sb6xvrC0sr737w0Z2Hj4OGJqSsV8szU69O66p3Nxnr7I0E+sMaKEQlm6SPUqm0tLj42aef + eoo+eu+D85eGAaJvrTaEIEMiHa9Wzk6+fvmrX31yfLSXzuZqDWLzxJvFb9KHK+3MHOrqG785OjRy + PdxiCFnPZg7nZr/9dDbx/Y5rF7sfdkV8Y2necXNzM1N2yZYeX11dTGWP55a3VjYTyawlFT06za5v + H6xvrd+fGIkE1ezM5Nz8/Mrq6u5Bqu4Zemhvaz9zd3X74cOH9++ORUwNiCbdBqAgSiiFa6t7z5/P + rq1vHZ8e54u5fDknlczk0tu7uxcGV8fH7j58+PTypXPhyHdnCULJd6cY5cdIiVKxMP361frS0s7W + 9mnipFqq1Bqe5cqjRGp1Y2d6fmni3juPH78zemUkFgOpIJVKLywufvHF524tVyuCEnC4vZauk/3N + VcbI9Wtjg+cHvplZnnv+JpErlSsoJD/dsTGzkQoTPRA5ypQKIhTv7jbMsCFcp1B8M7/0cnJma2s7 + nUqWiwXHdcVpanv/aG1re2z8xq27D27fvtPd2eLL7VERodTC/PzmxkZXZ1cmky1Z7mdfPV/fPSpa + XmTjoHvg8pVLl4NRJH4UqdMA8EDXgZDT09O5qddfffKLw5W5SgU8SZMnp6+92hrU46QRa21v6eoN + xtvjPTEAUEJIAQTU0f7ef/gPib21ycOtNwe7m0KIaGT1eHdndvTWrTtPPvjgBy0xRgl4Njd1jzEH + pLO+uf5meurN3OrOcSmZd+sNl4KdS+8mjvb7e98MX77xox/++OKl3kAIhOQAHqFSSYFUY6BTAkqA + a3u6gY5tJU+OXk8+y2frrbGu3e1EMrm7ujx7lNhNpgrpfPUkl9k72p6cmXr87vce3B9radF0GkCk + gCKbP5pfmJx6/eba+I3W7vBf//ovX8x+s7KRcWVbxY4MX+yJ3h7tCEVAiY21tfmFqampmcODVDpV + qpQbAFoyeXpwtLW6MXvj1uiPfvxxb+8AY6am68eJwy++fKaU/PHvftjV+1FED/rrE6UMlAte42R7 + 9/nzmb/5bGrs5oP+1giAAorlUmFu9s3O/tG5KxOjt5+GW40wJUwjQByvVFjaPfnq9fzC3Hzi8LiY + y1uWRRlLZzKbm5szMzMjIyPj4+N37txpbW3VNM0Hd1Qqlenp6dXVVdMwrly5Eo+3BAIB32CBqEDx + Uj41NzM9t1VoO5cfGhoz+1pDJgBAI5852p795tmL+Y2D7eNctuzYngakeJw43d/Z2Lt38wcfvtfe + 2cp0XQIooZTglJKzxAB/1iuzR/sLy2svJ9+sbe0enmZzFatQrp+cnC4tr5ycnj59eOfpw3tBTXHX + zeUyU69fv95In7/pss6rA72tCoCBoqAApeda6czp82cvKjUYGR4eHb6KCrjDmaasWml/d+NXv/qk + u68/2nFu4bNXC+snW+tLqcS2VUmDFOVyeWN9Y25u7gc/+MHw8LBhGEpKz/MoIdtbW5OTkxcHL5wk + k4zRudm5vb29xHEinU4bhr6zs7O+vX/3vY/uv//R+cE2XffZUxr4KYd+KDAyP35DASgpq5XS7vbG + y2ffYGei/+aT2MDFYAAYI0o61WojlUp98/W3U1MLJ8lcIVep1rMKrGots7mx29f78vGj7z158qS/ + v48xTUhb01WpXDzcT33+6xery7vJ5EE2dyKVbYaj2UJlbXv/wcMHj548PD84YBBCGRIlpeRKvcWR + NQPWquVSNnVSKtZDF8K9A4N6sAnwB4IgCRiB4csjlwa3o8biycHW8dFB2YKgAWEEBgBEAiIIPNzZ + 294+tBzov3jt0qUrREldEQ09QNepZOdXdn/6N1/Pru5Foi0ffvj9rs6OSDhACDTq1Vwus725oWtG + pVwJBDoJoZVK9Zef/PLzL75YXVm9du3auXODnZ1dmm4oBXXb2drZjcbjlVq9XrfcaNTQGeceKkER + kCKAAu5trK4cHx16nre1vbO9vXOUSNTrlu24tuMmj4/XVpZfT07euvfw/jtPx25MBMPU56RKCbVK + dfLVq7qrcqW6GWk5Spy8ej19eHRYyKbsajmfza5sH04tbTz94KPrVy7FA5rmQ10kgrQLp6XX8+uv + ZleXlpePEkfZXJY0vELyNLm9M9CnB81qLpPlXEmJ/vj7DITuX4zvOEdKKkSMtLfdvHvr2Xx2+6iy + trjw8P6VxkgPRcZ8ua9GQNRr+cTa4kwuVwy39o/fftrVd04I0KmHwKVdX13Z+PUXM7/+elHTg++/ + //7VK73hsC5VuNagpycFq5w7OUnV6xYoAUx5hdTc4umf/Xxyde2go6P7v/xXv98SM8NM0xWUsvmj + cv64UrS4rNXr2MLAsxr1cqFQGL/x6P333kWUiJJU8/sLb2onBy+ffdtz5c54qGfkHGUguFsrlwqJ + k7TWXQYGEgGAIMEzuh8AKuXZ1VIheXxsMxf8LLqzJphAEAoYUkoYgAcMQiHW19OmH9ezuXQ2l6nX + O+NxoqSEt5pK3xEMiEg1yohSBJRrW7pGmMJSufizv/5ZLrG3szyXPtwpFouE4NHR8fra+qPHj+7d + vTc0dEnXDVDKth2CMDn5+qsvv0ylTnPZTD6fLxQLjm0jQjJ5/GZ6qufi19//vT+8NH69NwTgNLKJ + vdcvvslr3QN5HLoy1hsFU0f/l5QKUHiV5MHq1ItPf/4z0nd9ODDg+AFJTj29v/Pszdq3b1Z3D08y + 2Uy1WjUN8/DwaGlpeWFh8cGD+++++7SntzscCnwHofytxtp/ro9/ktbt/5d+qfqNYUyTFeMTVcA3 + kfrhi4Ijom1V89k09+xoNHbx0sVwNAoAnidl81Z6q7r9LR3u2br8T9e9lWc4IUIIKAJSfPfyjIRD + wa7OjqNUvlKsK9fd2dt9+fKV7Yr+/r54PBrQgVBohtRJqQTXCAUphOcSkAQJURQJBUTOhTQU+GZB + UJSSgGkSYnHBQbgAlGm6n8vZ/NZSAReO63lc+O+fkiA8oVE/6JL9ow6/t1+hYAQ7Ozt7Olo6o9Co + QLVSOTzNbx9nBqKRnjYAQEAh7XLiYOv48DBbrNkQ7O8/N3H3drzD8O8bKWQum11fnv/zP/vT6amN + ahW62s+PXBoxAn4zUOOcrq+ux1vDP/m9HyLVXN5ouPlPPv2bP/+zT/I5ty3eNzg4qJsaQdA9XXeY + VWxMT05f/2jMvMxaAoaGoCu0JSHIJGhcAUNhOeV0Iv3n//FPX3z+KtOAaP9I78iloO7GtJqpCrzB + k8eF7a2t1a2dp7t7P6xbvee6ozEdgQnOE4nE82cv/+SP/0Soqm4KH42PhAqluBQSJD2jc4MARRTi + md4aAaTMZbMzM29++tO/2Njebu/u7urr6471eaBOc5nDo6PYcnT86qi6cAERgAvBOQVEpUqF4osX + zxePjzbXNyKhcEuspS0WL+UL01PTC3NzAdMMBYOdHR0+W5RQ0mg0Do+OXrx88R9/+tO9g/1AKCiV + MgJmb39vLEjBq5xmKmtr628Wj8KRKS5IOBq/MtSuGxoDAmDn86mtrbWZmclIvP9OochF84pLBQQF + SLdaLezsbb+amukbujV2Y5g3aSMKuG/ulghIkPkSeQQBkkO9sTj75pNPvv31i3lhxM0obW1rB82r + Vmtff/31eixQCg7KhqMbAc0M+koA5bNNEYgvsgLlB4D6ViGKwFChErlM5v/l7T2D7ErPO7/nTSfe + nPt2TgAmYDAJnEwNJ3CGQw7TklyJKtEiWeulJVO2yltle6vscrnsDy7vbsmiVqKWIimtKIrikJPz + DIYzg5waqQE0OgCNbnTu233jSW/yh3MbM1Jpta7ySvcDgA/o7ntPn/O+z/s8////t3X+vAjDq+dP + ivpapZC1bafVas7Pz1+8eHF2drZcLj/44IPu6LAGFMddtdrtiYmJV155+dixY0qpTDbb19dHGeGc + 1zbbJ0+cPn501nLz2L1nfF9FgTYVdPdjFYuaEYACxX2/HfgdjABpJZWMIiEVCAHNZodRHvihVlpL + BUgIITVghCD0WpfPnpyc0KurK2EYptO5VEKjrfmJ40cm5tYXPKunt+/O8X7HjYPnpeQ8iMTJU6de + eu3NV197vVyulEuVkeFRAOx5/urq+qVLU5OTl0qF8gMPPjA0VI2j2j6+/n8sbxZA8dBrXjx/JmQ3 + zPz4xOT02sKUV1/OpVi1kudh8/q1y5evr384MWdkhsqlUjkHSoFSHBBXrfXZ6fmXXn75yMTM5mat + t6c3X+zJWnlD1hurM2cnTh4+e20zTFdLudtGijYBpDlovrK0MHujbmb6td3bX9nHiIaoWdtoHj50 + 8IMPT89evU6Zmc5mhZRRGIgwAKl03O0PeRCGEQoJpYKLiIuICyEN9VHAEkDMIsaAKd1utS5dmnzu + b3527uo1ks0P7Rrv6ynIoF2vbV2/Pt8J6T2feFjDgNZKSbG9tXXh3IX6eiswebPVZtxi5KZAWYGO + amsLly5eOHnqavmWX2u3I1WI8x+lDlrL16+cPHFE5sry2lp9a3Xp6hXp1zMGLfYUUXP72tUrp+dq + szWaL5Yf3L/HrlhacJNIKbyt2urk5NnF1WB47K4z56YnL88zwh1Ge6sVraPltY2ZqwtnLsxIlK4M + 7O2pGgQzKQRQhUERrBnFBBMpAUkQSoRh59Klc3hxPT90S7FvvJJOAYBScfS1gnb92tWrB977IDTL + hZRJKMMYSaUIwR+LX9uZan+0rsfyW62kaDUbR48cPnr0yPrammkYqVSyWhnGGDcbjWuL6+enbly5 + usksZloPpdJ9lCAAFYmg3tiemZ2bPDvb1zu2vLw0OzdXb2wQgxdLBY3kxuba7LW5s+cvmVZ+zy37 + GQPTAIKRyVin1VmYn3rl1V8eOHplbiXMVYbS6TQBJmT70sXFiaMHU0ljUxcBE0RUNypKU9AYdtgC + eCdUBcU52kIsLd04ePDDX7z44vL6OjZYIpctFno6PNzc2j5x6uTG1paOxGC1t5zNR1F07OixD99+ + b3Vz00imrHR6fHyXBt8PttfX186euXjh3JRhOJbpppK7BReApNYKQC8sLBw/fqyQrzTq3tzsYrPR + 0DjI5wtYi+2tzUNz1+avLUg7PbDvE9mSY8ZpBIojpfFOJpEGhFBMSVKgBQbJMGytr545E5090a6v + L/qdZtJ1bMsIg3BhYeHatWsXL17M5/P79+/v7e0lhGitOee+552emPjZz/56ZmaWENLT05NOp0Hr + Zqu1sbExPz//3sHDwBzmZvfsGaC463ZRNwf9CHXZH4xqKa9dvXr40MH333/fdd1UOpvLZoqFQsfz + trbr586dvXRt6eL8qpvJ5t3bC04+xhKDEJtr61emrixsbnNmeV74ztsH8plsxmADfX281VhfWp66 + dKler9dD8XShkiqXLaa0ElpFjfr2iRPHWXJhbWUdI7K4cGN9YSbFVC6bTRNc97yJ06eOT87oROFR + N1/cNxAzpzAmUkrFxY4lA6QEQiUCjoDNX58/f+68SZ2trS2QoEBhrbgM1tc2jxw+/NqrR+bmFmyH + 5AuJUmmcUsQj//qNlXMzyx+cuJQplNLW/nRvFhQBHYIIWpvrJ08d/8lf/dW1lU1f0US+kkyZtmw1 + m7Ujh99XMvK9VjKVXocsxNB0reAfeGkNAL7nHTt67MiRI/PXFzEmbiI1MjKCMet4wWZt69KlqavX + 5juRb2cSVjbBlAQsQPqR15y/Onvy5IUOR8CczaY/P3PJAS/lWmmXbTZqx48sHT99hmOjPLRroLfC + CMYg11ZunJ1bm1lrP/jY032VspVhFDCK6fJa1TY3JyYmjh49mswWH/jkpz6+gSCAOBdOSe61W/V6 + rdloSKl5BCFXkECa8pBH9Y7uOMA5VipOGoy2t9fmZi6emVi67Yn1Unun2NadKGzOzkytz9coho3t + 5bXNxYW1bWRmU+kMFzA9Mzt3dX7q0pmttYcrOfO9A2+vrq21O51EIomlVffCA79679rCQm1re2S4 + Lz2YtpjD/VAorkRQq20dPXrkuZ+/uLJac9POwHB/uVoMeFhv1hcXF5dubM1ML9l2NpVKuIkc6n5I + RDDpnmJ0PD5BClSjvnX86JGJEyduLCxa1MhncoVSNZRoq95Z3qyduXhlbmEljMJisZhI5rRSERdS + CNQdf8QAbqUiv17fZobR8nkgoRPKSGnHTeJWB2REQVIAokDyoNXu1JpeoEEhCARcvjLz1ptvvv76 + G2EYZrOZSqVkO27DC5c3tk+cODl79fq1xaVEMunYd2SSNmAUh9jPTE8fP3asUqnMzs41Q3XpylUw + Eslk2vMDzw8jLhBioAGUBB4BVYAQKOl3vEa97rVbWgKjGAINGjQgKRUX3A9DPwgiKdFO4LyW0Kpv + Xzp/fv76nNdaAVlPZPJMR2Fr6/Cv3rk4Obu83tl9653MrDo2RFyaOALVam5vHD95/PmXXz9/aQ6x + TLY4ODY6jFHgtzdv3FiYnlo8dXwql6mY9n0jo2WpBMYCAwBWSGO8E4MiuKQEh1FQr29MXbmwcG1z + qH/P1bmV69emO526bdNSqWQk7Fpz9cixtaPHT3U8yOcS+/aOWq6LQYGOGs2Va9cuTUwc8z0vVUy8 + +vJb19cXEtl+hpMayc3aCpeDQlGvUT986Nibb7957OjxVCqbzRZGx4Y0YN+Palu1Dz6YnpmbzWYr + Dz7kDA4OuYmE57emZ85fvTZX7c88/Mn7HSdJDKoBGKGgAIL21OXzJ0+eOHPmQqX/FsFVfHjqtJvT + Vy5PXp4OSdLzI0uYiCIwGYTbC9dXX3/9vRfe/HB2erqUL5YKhb6+PsZYrVZbXlk5f/5CtXpq//79 + ruvu3XtHuZyPKTqe789MT5+ZOGPb1sbGRhiGpmnFBmSEFIDstGqz01MTp5d6WolG0xPlHAIArdZW + b0ycPvriC8+tNqQ28z39uxFLeRHeWF+7MjPtmOq+T+zLFTMEm1IrpaRWyjBYl+MXG0mVnJ66/OYb + r/3ihVecdDZT6r39ttsCAW3P29jYmJ6eHqjkH9p/F1CipGg1GlemLp88Nd8y+27/NY9DDmuQSGFQ + CCnO/e2t2uTkxdqWBIUEVwhAckGJ8DuN5aWFMxMnsjfmcz3jL7998uoN36Qq4SYzCSpFND8/Pzc7 + e+bMRD6fL5VK5VI5iiIhBKJ0aWnp7Jmzq8srly5d0krNzs5KIQgh5XIpCIKV5ZUzFy5fr3VClnoq + 8VSyzCxMgTLABLSWUkoFgOlHrA7Qfqezunxj6uJ52AjX19eM4iDYhCLMI395afHQ4SMvvPDCmTOX + 8tmecrm/b6Cgkbe5tTg/f+30qQtbtQ6l9PHHH89kMkoLZpCN9bXjx4/99Kc/XV1qFEuZYimfypjU + cq/fWLu+sJjN526/41ategkhlCCskeIfK9i01hoQ6FazXttYDTwoJtxCpcewb27uGBAGalX6h0YG + +ssZd3NtcWHx2kqNlwrMNoHGMamAQKGrV+bmZhc1SQyM7Rse2Y0ENwjCKATlrawvnDx94ufP/bxn + aO/jjz36jd/6zb7eHtehlACPxPra6sEPP8hlU0opSmjEo6WlpVdeeWXy4sVEIvX5Zz//8MOP9Pb1 + B2GIEMGMHT81sV7bMg2mlOZCOhbjWispAUMMpgAppi5fPn70iB/4c3NXV9fWCGWFYrFSqXAhNjdr + K6vLE2fPzi8stX3R0z9kOQXYyW/3Pe/i5OTyZqPhCw5kdX1z9uq867qFfEGnEuurq3NLm0fOXKZO + ppRJFsb6CUaABGgE26252WsvPP/yoZOXV9Zu5AqZZCqRSFMAee7sqVPHl3eNZddXW1JgpVDMJ/2o + BYd2slwBQOmYAOZks7fs3dtTnpiZXbhy8cLK8qMt73bLxV37FgUI/dbG4sUzJ2rbjVTpttvufrDc + U9VKIBKBDtut7aNHDh05fGZxsf65L3/12Wfu/+TDt2LgCqW5Mq7P1y+fPXVh4gRjBoACAy/fuHb8 + +NlXXn29MnjX40889t3vftt1kAWYIdxe3zi3eP1X584Uq1VMMWBNIcIgCcEPPvTI7/+r/4YR0AB8 + Y/PU26+898ufvnVi6vTpCaN6+0jfsI2kliHngReEgQDE4gMxxgCAQQvQUiEikZZKRGHgRSykOzQe + vVNq6hgbHScTY3AsWu3JJ9y1xc3W5lat47VxLqVBww7RJh72xhpATEjsq5Q8ZESHoT8zc+XIpdWN + 63MuUSYmuVxeCD49PTM9Pb2wsGgwY6C/33FsKUQYBVLy8+fPvfDi8zyKEgk3l82ODA9xHjWbzfX1 + tanLF1vvfEizfSQ70LMrQ5EWXmNl4dpkY3mZ9H56aTXFijljJ5RDg5Zy4drcxPHDJ48d7X+wNAyU + IxASoq3NYwfff/7V9987fjFd6M1k0v19A5ZltVrNjfWNxcUbtc0treHRRx8dHBwwzb+/d/tP9vr/ + 37r9/9gmjZtg8T6EurwOABRHwxGtlCAIkMGA+2try2fPnK5v15Jj1V27dqVSSQpgOvhjAa76b/3V + 7fPr//KBCfojz3L3eHHznExpMpkYGOifWVhZ3W4LoY4dO35pZn5s9zuPferRxx9/bPfYiOV2+y6E + EkItAAlIIwSMUoMxElEFWHDp+Z7hMgQUKAk3WvXtulJSx3I7TE3LNg1ThW2MwLypn9SgtCaUmlY3 + +JxQgv7+z/CfemEwHZqHXaMDe4bzW9O1lpQbdf/y3OLeanVXzsZIAwgZNpeuz25ubIQACoyeat/e + vbfbdrd3wAxzbnbu58/9fG5uNvAji6a+/htff+zxT46MDfpBwDkSgvybf/MHjBHLsmzbXF5devu9 + l86dO7tdjzBGu3fvefzJx5757NPJpGsEDLXhxZ88/97MO4C1QJFGDANgUCqSSoKMqbiAZq/OvPPy + 27PTU77PbTP12c9+fv9TX7577xDyFm216RrO//G//7t33jjcCsWJkyeVad61f9/47r500kAYTp+e + OHzocLWn+tgT9/cP5v/o+9+/Mr8OgFw3YVkWdDXgmAAAA0Sw1lxqQTUGTGQnuHb12oEDB1qN5gMP + PPA7v/d7+UrZTiUVRY1Ou9Nu+36nWu0VQhoAQIllGoxRLeXqyspLL740fv8nvvOd7zzy0EP5bM73 + vNPHT77y0kuHDh469OHB/mr11ltuSSaThGDBxXa9fvTo0X//x3+8vLpy+x13fPPb32KGkS8Wxoer + RLWBN72Q/Oz5D948cGby8tyHBw8n09mBvi+5pgYQinuUasum8aQ55vl2b18dR37yiHtccI0wMSxq + WAQA3QxHVhKU0lJppbvMK5BBu7kxffWD9w4cPXxMKfsLX/zik888WhksMEs1V+bff/WXZ498cHr2 + GviJiCsuFGCCMJYK/BA0BYfdHLUA7DxMGGlQIgrV6upKpzW5trLym89++vH77hwbGqCULixcf+31 + 1w9++OHy8vJf/uVfMkYLxXw6lSIUdzrehfMX3j1w4OChQ/l8/jOfefqZZ57JZbNxy3thYf35X7z/ + Nz97+7nnXvDQ5m/2P5vNmRQzUBgQAAVGse/5mPhmgjzxxKMP3vWwvy3/7f/1J794+yiB1Le+/S++ + 9MUnbVMSEpimXSgUQEHohabjGk6Cczh7+mSQ3eodGv/a179x21333lbABb56+b2/+ZPn3vlgtn52 + au7IyTODxWQ1nQWQgofr6xvTc1d/+fwLp86eHx4Z+W9/97uPPvopSphU0Gl7a2sbP/rRj48cPvqn + /+EHhLKBgc8j1NUf3rxWHzPDa62EkgHS/MqV6aXm8yxR3H/HXZ978ju3jpXTSRb523/4ve+9/P7l + q9NXL1yau2XXWDpRdSky1sdzAAAgAElEQVSxMANoX5099+EHJw+8955b2PXs5//ZV7/y1Wq5nLU8 + W9WuXTr0xuGrP/7l0ZMTl8tZJ/WlX7tlINlTKX72mae2tzYOT7z41ju/Iuld9925y8kGvLk+Oz1z + 7NiR9Y32I488un///ePjYy74X//13/jCw/surbT+lz977ejlxu47b/29Lz/yhXv6gzCIEr2QG0oX + baQgisA1MEEaJJdRGCmQiLq2vXRj8fixo61WY9++O5748lfuuv/+ajGDZRT6weqGP7/UGBoeZgyE + FBRjgolWGgE2DDOdTluWg3fiYgEkiEDythKcUsu0c5aTxrGNS0VIBwQHUdB56613ouxAtZx99pln + H7r39jtHel3hLV068/J753/29sWJsxf/4HvfT/9Pv9NbvMN1bNAdgMgwEKV4cWHhD//wDwvlkX37 + 7v/aVz473Gs4Rn27tvrSawdeffNX1xZXT56a6Bs58eSTD7sFYISB8hHSjBJGCQCSEgwCPT099+6/ + +9DBV65vNicnL9zz4BNjA4OMxiWSAuCNzbWlpRu1Wn3wjn3ju/bkcnnT/MhnstO3/Tvd248Gc0Lw + IPClEnffdee999yze9euXC5HCRGCb6xvXJ5b/uMf/nzm+uZrr781Ol4ZHM4mTEODAJCGybSGa/ML + P/7xf8zlcrt37/4X//W3xm/pTeVYbWvtzXfefePNtxYW18+dO3fy1Ln99+5LJYEgogFWV5aOHz90 + 9uwJ3zc+sf/hr/3Wv7xr30DCCVrbCxdOnnztl88tzN/Y2N6CwPHD5k5gAo2rD7zj+ZcglVQUM1By + c3Pz9ddeP3DgwNLSjQceeeRTn37yvkcewZbZ4eHaxubBQwfXNzd93w/DKAqjyA8opffec8+9DzxQ + HR5OFYvMxoCCjdrihfMX//zHP52emj9w4MDoyO6RkV22wwglShOMkZBiZWXlpZdedJ1cX+/ot775 + rT23DmXzDlLRGy+8/JM/+/GNhfmzFy7suTx7m3VHKguUYdCEEK2BK9AADDAFikFSkFoppUQkgs78 + 1OT2ilMupD/3zGce++SDA70VrPjs7OwPf/jDycnJpaWll156yXGcoaGhmAUYBsHRY8cOHDhw9uy5 + e+6554knnnjyySe11oQQQsjU5ak33nj9B3/xk1+9/76RzFV6vpZMUIsBBqCkS3uKj6NIA4AWQrTb + 7bGxsaHBwSef/HQynQaEDcOMhFhaWv7Lnz33wanJ85OT1xdvNMYGdCmDtASEDGYYlHY67anp6fn1 + rd27b/nGt755/72f2NNXzVJyY+bKe++//8Mf//DcxETIrNG77t2VSpZNEzHKKKUUiSianpycm5kr + 5IqPfvJTv/+db+4ZqqRNXWs2X3v3nTcOvHfo7NSrr73G8n337BtgEAeAY9MwKaGhBISAMmZQoEAB + kALZbre3trcdU7Q7HR4BczBg1Go2z5w5ffjwoenp2T179j7z2Sce/dT9jsMAhNdpXplb+NlL777y + 7rG33n47a+H+/OMGI0ApEDh37tSRwwenpq70DO156LGn/vk3vg2YmFENd1Zf/tmPzpyeiBznxvL6 + fKcDtGDapmmZ6D9TmWuMkWWZ99x992c/9+ytt+4tFkuG5WjAtVpjZnbu+3/6H6ampl986aXb791X + HR0oOyYoDjLSItBK1rZqHx48hKzk0Pht3/29//7ePb0jRRuBfP7VN37x8munL145d+HC0PETuaef + 7E8n+3qru8ZGZte99e3tG0vL65uDKbvHZYAwBaXA95eWls5MTHRa7V233PHQgw/n8wWlgQvAXX44 + gFbUNCq91W9/85ufe+bTK6s3/vQHf/rK0amROx948te/8+wjv+ZyldbIcGgqzzACrQgPJVLYMkBI + T0GbgoUBooh2WlHgB/PzM+u1FWTQfffe9bv/6n8rVnqp4bTane99748OHHjvwtmFpfnL6aRrGOzZ + L3zxU596LF+qbDe9iXOTf/TH319bnTt1TF97+sFC+q5S3qF2AWmv01j54Fe/evfdA/Pz849+6tOf + fOzh+x66j1lUg+oE3qHDR9999+jbbx3ateuO3mp5YCBHd6xlXQxN/AAAIIAoCgLfR6AfuP++wa9+ + 9c69+7KpDFA74NBohZdm5//P//vfXb02/8brbz7yyMOlcs5E+rbbbts1VPrqF5569Zc/OfjOKyvn + tz/zxX9+z9O/te/Ou5gKkiYaSJM9v/NfbT2599TU/B/98oNzm/rJz372849+4p5dfSHnJFU2c9WC + A5ZW9Y3rb77ws4MHjzcj+MKXv/7Yrz1w1949WslQolrLe+3Nd97/8Mjbb789MDiUcKxP3LMv7r4p + paIw3NzYqG1uKkC9Q7u+9rWv3X3/J/PV4c12WOwZcF1GSCze0GBboAUIwYUcHx/bXf3GV596+INX + f/5nrxzbnKnfft8Dv/Nb/+z2/lzZiCSm6VKPmc5q8LUGQrBpwLEjh3VqPZ3PfuVrv/7AA3eO9eVF + feXCsff+/ff//EYtmL9+Y3rueiZbdJMskTCZCr2NlcMH33v33XcvzMzdcvf+Jx//3EMPPm5aJsFR + FDWuzV577ZUP33z10EsvvUaY6Bv4PDMwRlhBiAEDQpIDxUAIOI4lVYgR5PIZQvTMzPz3v//9dLJ6 + +217fvd3vjsyMpAomBFqv/j6L1566fD5c0sTZyZ7KqW+nj7HyhEcyGhbo23DjLhoHzz4/uTMVHmg + /9u//ZUnn/1yBIZkbsoU+aKxVb964czUm298cPH8xlDfPb/x9S898NDd2XxCqqjR3F5YWP/zHz9/ + 8sSVH//gZYMWe3tGHMfp6UsNjNrr2+FGbXnq0nw+O7QTl4KAR36rPj8/t1XbSibTu/fcPjg4DAAg + hO93trdqSknDMG07oTRIqQmB5vLCoUPH/uNf/EVLWvvv/cS3f/u3x0ZHc9ksIaS2tTV5YfKv/uon + 09MzR44c7evrTyQSpVI+lvhTSrXWAJpQmkgkXdelFCm1U7trAZIrybVShDDHTVEKSoPg4cLitYuT + 56anlx975pnHP/f13XvvZ042lEarWW/Ubhgo6O3vtWwbYis4w4gCRhqEBB5B7GQVfPLC+YuTk0Hg + f/f3/4dHHv9MrtLncdXu+I1GUwpRyScd2wYsCUGOZRKMlNYaMU0sANAIFCgJAiuhlFBKCS5AY4qZ + 5BIjYJaleYNHPoAgFJ07NzWz8P+kSrc++tjnvvT5p3oKFpXNjfXVv/7pT48cPjw3N3fkyJFypfLp + J55klCqElFSc883Njevz867rDg8NfeHzn9+//xNjY6OgYXJy8sMPP3zhtTenrkwHv3i+d2AwZ+5O + 5AwABEoLITjnSmlMGeyIKxEgrZXgkZKcIMUYJfFUHNT2Vu30qVN/9L3vtdreXXfe+Z1/+d8NDown + 0wQRv9len7p09U/++EeTkxfr9frAwOCdd96ZSjsY8+WV5VOnTrVb7fvvv/9b3/7G4HDFdrAfSZ9D + O1S+3+nv6zcIu1nKE4Lj3CPU7Z0DAARep91sKAWWabuJFGEflf6gMAADh/WUSsO9xfULszduzF+c + mSb2bVkGJnCIQdNhNHdldn5+xXCKA2N3jYzdkrRMA3VAeCqoX7xyfmr6cjKVeuqpp7/0xS9UKlXL + InHsg1KQTCSffvppgxHTNJhBr12dO3Xy1JUrV3qr1S9/+Sv3339fpaeCMLIsS2uQAHvvuCPkUilh + GcxkFAAYMxAlgHZc0QYzDYox8DC4b//+8V277rr7btOyNSCE8fZ2/dyFC//2D763cH3hg/c/eOKp + zxUrBWZ0b3YpldfxFhcWOwLVO+Hte/f9z//6X48Mj+TTLhLhX/3kL19//+j5K9cnL1y8ZXhgpFoy + bYyoBpArywtnTk+8/6uDvkzu3//gN775GyNj/VlmeptbZw8fPXzw1SuXj9bWARyqgcTy4JsPGAAG + TUCqGNwcg1Cpm6wOpAv5HJWzC7PTSzdubG63026KSTCVAiwh8rytlSuTZxqNfLXaVx3uyxXBYAjp + MPRaK0sLBw6802wan3z0sc985nN791YZ1hhUoDSPoFrN9OSfeOT+/SlTg/DAVFOXJy9eOO97wRNP + PP3FL346mWSMAhUAIU+k03sze7Pjoyrl9jIfoIE1ByWEEG0/aDR1MokwAte1P/3Ep8TK7PGppUuX + pgq3zj/1yWHAmGJNMLiJpJ1IwY66T+/ELCvOGUhGUNK1k8kEIS4ltAsE1DsSRhKfTBFICSCpgQqF + TCLhyPWg3W75gQ+Q6iqzZJeCywWnBgINkkuiNQJwbTsKg9npjZn6c5mhux977LEvP/NkfzFLCd3a + qv3oRz96/bVXT548efvtt+29/fbx8THLMrRmG41tx3H237v//vvv2zU+1tNTYQYD0L7nnTx54q23 + 3nr53aOnz54rjN794J777Ux6uLdyy67RSxMr61v16zdWh/KOTCQo1rHCGmO8trx0ffaK4tDb2ze6 + 5zZsQLPlrU5P/eJv/vrCzFpf7/Dv/4//6+233+a6LgC02q3r16//2Q9+cOny5YXFhUK+kMvlTDP1 + DxeI/9ivfyTV7d/tImKMtMZKSYRigDLSOvYJdiGSMUIQEABGkkftVl0rYVtmOpMGhCTEdkXQSqKP + cmc//tP+UVS3CHURvfHEuqu6Rd2C2GAsl826josQlkoGzXbANTbMW2+5xfO8iHOpDYJuhhVB/LUY + gZI7SQeAAZBS3UUcECYYIwDOuVRyp2uDEcKYUgRaKQkAQAhQqnQckR8H5APumql25Aj/2ZdGAAQw + ySStYpIwDaC1UKQVokjF4HQBOgLhh14ziiIBIICZtpvOdCN4AACUDnxvq1bzPU8KME2STqZ6KtWh + sVGQKgh0qxXatq1kIIUAMEMeraystNpNIQCBTqZSvX1947t2JRI28wl4UMwWMUKGxTRVQoYEdiCH + mmgcLyXQ8Vvr6yu+19EASEOuUBwYHh0fy1CRcOU6ZnaxkGeMiU4QeV6r1Wq2WhGPEBgYE9/3ms0W + AlwoFKo9FYSQkEIqJVUs7scY6y7dFnU7Clrr2NBCCJZSNBsN3/MMwxgYGChWe5x0UmFoBP72Vm1l + eYkZDBMcK8K1VqCUVioCsb22Ng5Q7ekZHRktFgpeu7OyuOQmElKI2lat3W4TjHHsGMYo4lG9UV9e + WZFaZbKZgYEBIWWxXBobGcXQQrzhhSyfnyTU4AFvtrxW25c7CyymQChgEluENCDUTbnd+a0DSEAi + 1rZJwEIDBxDxQ4OBEkwIxugjKxUCBVoiLf1Os91qCsYKheL47t3VoSLQqMZUpdKDEGq12mDmgdBI + CCGVUhohoBRkfMTC+CN/b/fm06ClksB5CGHgeV42lxsdGd09PhKv/pVy2TCMTsdbWlryfJ9RFiMm + tVaAoNNub9VquVwul8uNjAxns1lKSBAEGDvFQokSa6vWbLU8w7RxV0YDwBUgDAYwg2JMASIzlTJd + O1uyivm8wQzEcTaXHxrKJV24eTIUHChnEBAJmAsIolZItsLAzxRKPSN9Y2VI+UanmEq5pgJUD1St + 2eGCg+IgOSUIEcKFrDca7VY7lysUCsWBgQHTYFpDux0Zhp1wk1HINzdrnY4X01f+nlVo5w8luYw8 + glXgh43lWrqcdhOZvXvvGO1L2LaK2mYmZRICEMpGW7R9ETNbQQuIGqG32Wlv1+uNZMUulfvGxm6p + lKwUCZGkxCsPLAQI083tcHVtCwBRhgB0sZDNZhIY43aHeyFC2IyiuoqaSIeddjOKdDqTdxNJwwQs + SKpSTjGvibdcNwHMT6bS1Wq1d2wUlIqMYpsZMVuxq1VUGmlNKGGaYEQQoDAIGvV64Hllxx4aGhwY + 7K/k0kRHWCM72WoGi4ZhAAKMuzkcggvBhZQSPioV4xmxBCyJDpUSGqhUlpBECgAmAUlQPgEfIdVs + NEG1G45TKveNju0aHipS2WatxXwuSQgJfVXb6gShAADUNR5zIQKpuB8ELW81nRtMZ/K9fQPDw07a + aTYb6VNnLzm2JbjY3m5ubNSF+Gj9UEpKwaMw0EozChgDY4absDFRQejX6w0hFABIABZ34xSEvtfp + eFJox0mnUjmMCcJyB23xd/u2egeQ2500akUJsW2bUera1sjI8OjISCKXjSv0ZMLtRNQ0LD/g9UZT + aY0JCpVPMUJYx/7CiMt6o20YNsbG0PDorl2DySzObLm5ibTS0vO9RqvVaLaluplxAGHkN5qbrXZD + qVwqlR/sH9u1ayhptet1tXnjOiN26KPQ58AwoQoh3WUDq4/Kx791u2OslNzY2KhvbyspM5lMf1/f + +NiopoYPIp3LXZmZqTdbfhCA1oRQRhlosG17oL9/eGw8Xc4iClL7iSQO/DCbzSitmo1mFEUxyRYh + pLTyPI9zLoRcW1sv5g02yKrV3tHRsUIp4bW2ivlcyrVXVjc7XtAOVYRAxwoNpQFUXOADEK6AxcJb + hFFM5FEcOo0WipKumcoVR8Z2D/eVsYqUUsVikTHWaDRqtVqz2eScM8biN9Rptxv1eqvVIoTkcrmh + oSFCSDzhbjQaqXQaY9JoNFvttml1qR6xWBa6HESEcBdViQmxLctxbK2tSk+5VKoQwwDCVBQRQlLp + lEao7XtBFCmlYn40KA2cK86jKJK+3xEbrf7BTC43ODy8a3jQQeBIMX3lCkNkq9msb9elUnKHuadF + xKMQIc15xEMOCiilg4OD4+P9xIRMvd53+XImnQaENjY2t7bqSncjeACBUgq03iFBag0gQWKQFAgm + CBNiWTYhRHf7j5LzyPc7rVaz0+nYltXTUx4dG7UsAoq3WvV6O7Btm4fByupKvd6kBEH3jMKjqO15 + 7XbLMwy7WKwMD48aJhhRjm+RdNpijFBsYlQXXAAILjiXXP9ni0itEUKJRKKvt3d0dLRUqmDGtIZM + poMJTSaSURTValsR5wohteM7pFiDEkEQdDqSOkhoVK5WBwcGKhUbKCoVspQgKYUXBC3P5xoIIZZB + bctACPwgDCKhNJAdHBMgBJRyztfXN5RSlmW5rksIhVhse9OwEWsOCElVSokEQzhKpmzDsNxEoadn + 19iI5UhISFAYFAKFADSl2MSISAFKBxp1EBQEACjbNlOWYUoZbGx4ZjqnSKpUGRwZ6XdttrW9Vc4Z + Ngs7zdbaWtho8VK5J5HpHxi9s1xJN+qt2uZa0lJrUT1sryneVkpLBAgQ0YYQstVuNJv1jtexHbun + p2d8fAxTpJDyw+Da9YVkMuH7weZmbbve6IrVAKQSBCuktYiAIEAUADSh2DAopSSdSPT29IwMD5np + DBAbBG42g2YgEm5S8LWt7Xq74wkJrk1tKwEJnE6QarWaSqU03k4WqsXh24aGHAccV4Mp64nebFGU + VmvbpmUrk9nFweLIbQO39CvRjZ22AAhvEm+zvXGjvr3tKydTHe4bHu8dHgHNtSLpRieXywGCeqMe + RZGUUiqNkUYIYYQMxrTW29sdDVDuk9Vq7+DgUKFv2Gn4ZsJhFKQABgAYAaAY+sqolbQTzIWMo/r7 + enO5rGH5uXxhdHx8rC+XtzggIhDjpFvQaq1EBO2wAWrbSbjFct/Q7r1DPUnSdIPlS/mktVxrt9r+ + ylqt5YcKWIyup8rnXrPRrNfagTacQk91164xgyJCZBDWO62OYZgdL6zX254XEkK1jjSSOG7oYYQx + 8AgQBmoCQRQhUDKKu5Rr6+sUZQ3m9veODg+OpcogyXbvoJtME6l4s+Fv1zwRmiAAGQajWqN6wGuY + RJ7f8ZdWy/27i7nx8ZG9nhaejojYJoYncR1h0dyOGjWrlC+WioPDI4OZvAHgNToSU5lKZUSQXF9W + 7QbWCjAGNwmpXKCIr1SgpBEFVHLAFJRWGGlKIQw7nU4nCLlpJWzbAQDAwCixLFMKIaUyTEQIaA3A + Ix55ntdeX19Hbtm2nWq1d3hoKJ/PaQ3ZbLbTbtu2LaXseJ1WqxkEgY7dZAghQFJKzoXgAkDv0Cm6 + By0AhZFAWiilpAAptFSgNRCMAr/Tbm2HASQSbm/vwPDwKLMhAuBRYnmBeI0VSnFcN988zErOsZaI + YEAaBA86Ha/T5lHICMnncr291VJfNdIQcQhDdWNx0SISIQCtYvcn0kprJBQSmu7YE1DMGyYYEGik + EWisNerGAhBAmgCIKPSkjNptqPvL2eq+UqV3ZHR3bxGZEJUKuThEKPCDzY3NrVpNa40w7l4bgPii + RWFYKhZL5fLg4MDI8AhQGkXh/Pw8xqSx3VzfqEkJKIaCdVlZCCOMMAFEhOryFxHGGIGIAikiBtq2 + zPiAIjXHoJXiW1ubYSQppf0DA8PDI4kUYBp2/KTfkYwx3/MajaYQMi64hJKdTrtWq4Vh4LqJwcHB + keGqk6ABVz5H69utG0s3KI29fnHPA2FEgMSLso6lpqC0lEIKjjQQSqlh3vR/ggYAAmCAFBaj+aRp + oDAMO9teO4zTYoQAFYIEkEoEPIqwJinFMoTYDGmAEFCEqWx621uNmpAyncqWimXbJjEEBRBQSomT + MAzSZbCDVlKFQej7PmNGqVxKJJMYkyiMmMHiBEHLosyiCEy8UxaRLj4VgVSgBGDgUaiEyKRT5VKh + r9ozPDjAHBcwBkwym7XNzY2E4yyvbzcajXanE3GgBnAFWkgAMA1TcLG6siYQNU17aGh4ZGS0lEsa + IMulkmGYEPJmu9PpdKAr1lWguBB+EPjNegfb2WQiO9A/MDY2nLOsVjq5dnU2kbA7bRkEgBOMYAMh + 1t2VISSAAQyAnbMqKMBYKxVhbDhOMmG7DHGv7bU9LxQCQBMAiSAKAUsb86jTkDKnWVISAAIEgCKp + FBc8aLWaQmRdJ5nLFRPJBIYWAoUxogwIAcME6qaxEAABSF7f3mg0G4yZhWJPsViMTzsIxxhnsE1S + TJgeAAMJSmAVYaQppZjEyDHAGBilkHBTjskIbrc7LS9SALEnTGsplYqkDiOQRuy17UJCSGzj0Qpp + TRDSSrXbbR5lbAoYoVjFheMaGAhgAkqB4rZFGENSijAKOecautETWnXT6whmADzue2EUfyuhpQh8 + aK2v2T2Bm0iMjIwMlAqE4HQ6nclkAWHP84Ig5ELEBAhMCCEEYUwZ7e/vGx8f6+mpIIwAdOj7S0uL + iYQbcr5Vb9bbngIAjFwTZ5MuIbQdqUbHFzIudJXuVkQq9DtBu6EE2K6bzBaBAhfSbzdra8uBH+Qc + p1wu9/cPpNMmQtBuS4ywaZqdtud5HhcC/yfiEv4pX/9E7yBefaWQ+uYYUSkpuwkACMW7CgINIIQX + hK2ODwg7jpvPpikCFfMpdJxagz6mg705a99p3/6Xfdsx/qb7z65wsbs8IWRaVl9fX6FQsC2zG2ig + Zeh7mxvr81evTl2+vLiw2vYiKVWcJL4z4RBSBlJFCmJbHiKYohgZq7TUIKTyw0jEJ2NGKMOMEmAM + a6S4jHcewAQQFhqE7Dbmdjp//8BF+DsdXQKagNLZdKKYc4iKrVjQanp+FPE4GlJxFfleoxEGXIGl + wTFtN5l0DQIEAAEP/Xan0+50vJCD5RjV/kE7kQJMQAJQTAmSIqqU8z2VEmgpReh12hsbG34QMQMy + uXypp69YqiBMVIx0pJDJpnurPYVc1qZMCQ5aItAYYUAEIQMDSBB+u7lV24y4YASyxXIml3ecBAAw + amDTAIzKpWJPKY+1IhgrQPVmM4w4ACJAcrl8X39/X39fOpnEWvEwEJEQCiKhRLy+xBum2rlgCMUO + NAAEhGENUeALHoKShGCM8c00IoQRIZhQQgkBREAjLbWUUmtFAaVz2WyhmMpk4+kuw5BwWMohpkGC + IAoiUIhpiM0FutOoe80GUrJcrlR6+51kGgiRSnXNfAQTDNlUIp9OIIyiKGr7YciljGMBECZIYyVi + sF/chY77+/EMDUBgFNdTKFKaSy0VdD8jjtu+cbZW1w6CY8dRGIKQjLJMPp/MZm3HJQRrpQnGpVIh + n8u6rgOWiTARUu2kN4LBgN5Ma4qdMFJ1F4BuQgogTCCVHhoeLhQKruuYBkGgDUYqpWI66VKk/E6H + C6UwkwgpJTUPRNCRgiNipDJ5J5HChAJCCBFKmW3ZuVymp1LESEkpEaIamIpd2UJoKQABtRg2jZ3e + PAJKKAaktZCScy0EqB0+KgJgDIhpAEJcaM6BEJxJpcrlipNIYhZv8ZFlQiGXtgxTCNRpB4LLLvmB + YAzK67Sl1KadKJV7CTUi3k1RxAgxgh3Hcl0btArDwA9E/JuKR8B/z6OrhBI+AYkw1sRMZiv5UjVX + KFJGQXKQYSZpp1MpQLTtcc+LSBy/IDkEbb9Z67SbGNN0rlIqV23bohi0ksAj2zELhUIikZRCbdeb + QoFGGAAcmxUzyUqpYBhGEKlmq+11Op3W9tbWJhfSdJL5YoUyUytAgIBiIEhq2V3QAUmtABSYBmMs + huhSABYzFbUCrYExahqmaQICzqMo6PAwIJgkUxmEmVJaSUkwMk3DcWxEsIadnGKtlVCKS8mlUFLo + 2OYbXyUFWDPEsdZakUiySACPSzEkQXOsI4wAMAU3Vyz35Qtl23alkKCFadJCPu06BiYag+ZcBhyU + 0oAQAsVFKKTUiBh2olzp6alWDcPAGAHByYRbKuQrxaLJmNfxNmv1MNrpJmvQUkuuRCSVUpQBwkAJ + OCazGFVS1RudMNJSg4r9SwQBUl673m42NeBkKpfO5BUg9dGd8JGBKX6O/vadokFpzGgqlapWq5VK + JZVKEoJBSlAKMDIMZtuWZVoUkSgUmBBCKZdca4GgC95F2LDdVK5YKlXKqXTaMA2EkcFYwrZTjkW0 + DPyg2fGincYraBUEwVa9HnKw3USlp9dxE5hgDYIQlHQT1XLVtRIYE2AEGxgRovRObnzXXRWvsLGt + V4PinEfb9UYotJNI5Qt5N+HEHWoCxDStXC6XLxTS6YxlmQajtmUUCvl8IW/ZNjNYTGeSSmJKCoV8 + NpNzLVdEUmsUm0X6avQAACAASURBVL00CK3CIGgLKQAzwGYmW+jrG3Acl1IKAFEUOhbr7yk6BuGc + t7xQ3GyVAsQyIwIKaaVUXJIgIFRqkFLFRYNt25VqNZvNmZYVP+yuZQ71VwtpV0vR8YKmx70gklIg + LbSSzVbHCwSmhuMmTMsE0JRgihEoyQh2HSubSXMhPT/CBG7GiGsJWsbFfTxk1oCQYRjFYimfL7iu + IwTXWgGN9T6KIp10bds2FcKBkFwqIBgwBiVU5CseaKmAGJDKp3P5VCZl2YwQDEpblp1Np8rFnG1g + KSI/DCMZg9WUEkIKiTDBzDRtJ5vLZzJpyzLj9hplpFTMlQsZ1zLCMOr4QRiC2rlzhRQagJmgERI6 + dk0pAE0AM0Jtw3RtmzEWjxC1kjzwW41GxCPDMnPFoptMIUK6I0pAjFDbZIaB282tTrv90YRfRmHo + BVEoAaVz5UyuqLvjb4Iw5HLZwYH+seGhcr5gmyYIEfKICyE/WoQ/pmv/mC6AEJLLZvOFQiqVZjGX + FkArYIym08lMOuVYppRSaaQAi26XHQxKlQYuQSOayeXLcYw7BhACtHIsI52wGcGci07IucYSEMI4 + lUxapilC7rU7nAtG44VAghaAZMijrUYTm7aTTBFCMAIM8XoMN4VmXUU/whiB5AHSESYYkCm1HacL + aww3by2kMaUGAiokaC004hpAC6BgOHaSGRYiWGKSKVTThX6FDIwQxdxEQSlr9uRNk0pMqZEoFHt3 + JXJ92EgrDRTrpKVKGebaABBxwYXqUt25UIHvdVoNKYTtuIl01kmkKDPjmoFgyCST2XTGtqxGo1nb + 2lY7K6BUUoPSWknBoVtha4ax67qVSiVfKDqJxE6kJMR7lMVoLpNybVtJ6QdBJBWJReAAoDVj1KBY + KZCIRtiKB0xUcVABYAFUUs01gggZIbLi/0AoogAMwAQJUVO0a0FrC2Ps5krJYtVIpOMfHU+MHDfh + OC7GVEgVcS54vHcpjMFiFGPa4cASyVypp1gqWyYDrQhCjAKhILvJMhg06i6ezKAx0xYBJcAYIYRQ + w7Qsp3tIwVjtRNURjEDrSAElOJlKVXp6srm8aSeU0gyjrGsO9JQd2+4EfGlts+UF8agdFEfcDzuN + MBKa2k6mZLkpQhGjQBGmGFOMDEpMRiXnggulQajuXCueLGICQoAQANDNNheRT0EbDAgzs6WecnXQ + crMY21orBFE2QyrltGVQHvBmww9CxOMjD0EEtUTURFgz03ISuXyumkqWMY4j16VpCII8LepR0OaC + YFrKZIdtO00JAuAAnJnCdkk2nU0nCiIini+9ACRAIkFzOYQ0cO6HEQkjiHU1SkkAjRHqdDodzxMS + mOkw09oR7tCkY4OUgkvGABMAQCB4p9Vot1oKcCJdyBZKzLRQfHcpZRCScp1KMZ9JOhig1Qmavghl + rP8TGEkQCiKtuBJCCa1EnKeOAJQEpCgIpKRWWijM5c7RjxIeRWHoEwKUMkqNOKYPEJgm2LZtWpbS + INXHEgsBpNzRAGEESoShH4YBgHZcGyFQSmoNBIFtQDqJHdum3c60VlJGYQBaIhwD7HBcmeDu90IY + KVCAEQXNpER6Z8IHBqZYCh7GoGLbTfb2DVR6euLLwxjNpJPVcqGUS1GsG83W5nY7kkhqBAgQ0hgB + QYhi7LhuJpfP5YuGaQshQelUwq2Wc0nXQAgCLiKl5UeVEcIYE0wwivfqnbKJEISRkkILHj/18ekE + JFc8kFxgzNxEJpXOGYYZz8AUYEQMw7JSKdd1GMEQRlHAldIghQg9r9VqKqUJZcwwNSCMkWValFKC + KSUUxawntbOrYHxTzoW6ulvFRcR5CACUEoOZN1sbMTMDEAMJjmn05JIJqnnobTeaUfd3KkFzkBwi + LiKpsWGmioqlFMR0Ex8gAqw6nVar3WKGRU2XGhY1unpb0MAoGCb5SPelpYq8IPAkIGK7TjJDCQMp + RRRp1d294y+kOAZ8gdagbp5b4uOPkrZlFkuFsbHxnp6q4yaVBEAYKAOCKQHLwNlMwrFtqZDn8zCK + 70xQSmBQlmkgQkOuCpW+at9AMplmjGIMmKFMLpVNp/9f2t7rSa7szBP7feeca9JnVmaW9yhUAYWC + Rxu0YzuabrLZ3bQzI45mdzUTMbuhmBfpQX/GakMPq9HTSqHQaFe7EzPkcIc75NA3yfbd6IYHqlCF + 8iZ95r33mE8Pt0BSZhWKHe4NREUAD4XMe8895/t+7gNE3In73YiETGdfw9l40O/3Bo5loVir1UeC + IBQCRPB8VSjnq/VaLqd8BUFSSkUk3HEqpnEw1tm0C06PQBAZJxJ4kF61XBwpBQpRv9tpdwdpqw0i + OANnyBmrteeHYaGiAesg4QC2Oo76fWeM73m5fNEPQkFgWIYVIF/h17w5KQVBSKJW4yiOomq1lssV + Uln98RNWxzWfOv6AFk4TtCBWni+9rFQpeAFBgLMEUsqzDMMpROvIaTib6CTSRrtH1bdDqlmDJyFA + bOGMc1Yb0+8PtLEEQRBMjwITjg8zkeYOBL5SSlrnojhJdJL+V78JDhaQ0iMWSFsoQQSYJIGzSsEP + w1JlqDI0FAahICJ2nhTlQq5SLinlaYdYW+PYAUJQNhMOFfO1aqVYLAaZDEn1KEUX2cDPeJKtiaKk + nzgLgJ3vq1q9Gvq+jpPD/YM40UhD+tjBOaPjXq/f7cdCIpvL5wtCAUnU7zabJo5L+cLY2LiUyrpj + XEoqGYRhqVzJ5nJpvpkx9neoEP1Pu/7hqlv6rZ//r1daw6ca0XTKlgDAVjttSDwqm4gBCavWHh5+ + dHPjo1tbKsiOjU5eXl4KS34mzSpkMFLRtmBAwAlY61jBBxQsHEGJ48X9O7nSUWLHKnEoiOAYAgAg + uVwf+9wrr2weNO9vbKxtbEU6RmwGDfeTv/v2uz//YT6Xf/GF57/y5htnz54pl/MWihAbTgb6gLyO + UjGZxOqEDHJhUYENHA8G65t799Z3Gp0kdp6XDfPV/PB4plrNg7WyWY9DyRImgnVQvvUDJ0CER0AU + CxBDOAITRHpTYXEM3ElAHm+tBCE8IAszOHnm7Nb9C+p7a77WZnf/xo9+uH5m+ODC5YpEVqO7H918 + f3Vrs5ug4mfHRkan58crFYUc4Jud3Z2bt65//Pb7Nzt9XHn82T/41p8+++xL41OViBESlM+loviz + f/YtobheCVqdowd37/7iZ+/sbHZqw2Ofe+Wbr73+B48/cUl6kgD4gMTLbz6/8sX5ymzGYdDgvcQN + etR3uQIrCRfAonfU3Pjk5q/eenu7HU0uX/jCl77x7HOfWZpUAeAMNHmeFK9/8XM56/77P/9XD1rR + zlHjk1t3TyxNT48OA3j6xefPnX8yIJul3tqNd3ICUiiNcOACbZUFZIrWegQBCGcAS0qxJOfB6M5R + c+32zYx0YyPV0ZGazAQpBOH7YX2kXinnsp4HTtugUErfsrPCVYZHn/36N5987fXLly8V8nnfIRO4 + K6eGV1dKH7xTXt9P7u2LraabDpHzLJLO7fd/ufnBOyqKnn3mhedf/dqJlYvdxlGQzt9mCQ6DQH35 + hasZbVavv7e9efftjz7cbLxeKuYzmQDQStsgGWQYxCaGSxQSAWGRERZsYPQxUi4QOQtGTiALCWJI + m4g4IW2k76R41LHKo93me798f2t9pzw08vLvfevM1WcK9TwIIYn8SH3ksy+Gurnvv/+Xb91n3fcl + +woS1loppADgbLoQCRCJNon0fSEtSwthABXmZs5d/Gd/8sfPnj05MVomQJKZGC2//soL2/c+ba7f + ube5+2Br/85BNDXmV0nrvXtvfecvHt6/Nzq19MpX/9Hlp57Mlesk4EBSBWOjI1cuLW3cX/7u976/ + vnbzzu31hVMn8qE0CSvPJw+QcLACEsjAMTSBLXTHJH1rfKECz4dUx8LGR7uzAQOatQFnS5ee+9Lv + /+G3zpw9Uy3DB5CVo+dOvxwVfnTj/1jdOdq5frfX6GmMeAqw8dH6zb//7l9tb+9OzK/843/63yyf + W/HTXA7DvrSzU/WnHj+3vXnvZz9/6/7ajdX1u4unTnkEx1D02yUEBAMMj9knsI1UqIbnpr7+x3/0 + 6mfO5mslJboAfFl99uqzt/YyH336gwebqw827sMtqJTygrz1/ifv/uL9TKF65dmXXv7c54YKyKVg + p8zmxpaXzw2euHj9p79avfXJ7p21F+vj5yaHirVh98y5U9eff/Lf/fjWRx++9Zd/Sd/83Jn92+v/ + w7/8VztHYv7yc1/48psTE1MeQ7ADMSSgBBPDOnaOlETggdmyEyTTPZ4cVEqPpPQ+sYWzJu62jw73 + N2Gjcqk6MXXaD4cluVAS2FQKhaVTJ7MljwmaI4KWgjPS0/AkhAM7gsCjyEPjkCQZdqFUIGVlaFVg + FCAcjIPneyTZQsrw3NVn//S/+v0rZ6bqdd9XFoktTq985cuLP/3V7Z3N95rrD7bWb63vnRKj3lAo + BaSgwFCO8uNTc8uvfvm117/4xYmRTMYbgIn87NUrl5NW/8711d2tjbc/fO+Voy9PjBazvgfjC5OV + rhSIsiSXWOeTGCogOz11bvrU7bWbN27u3N/sX+ogPwQCYPuI2w9Xb63dvW0TOzOzsLS84uVETCSd + DY9HWsIaS1KQkL99/rKzziLV3IXZ3Ne+8U0iFPM55XsAwVlAZAv5hfn5c6dOrd9rrN691zhoRlZk + vTx0Wwx6IRhC2SAf1oa/8OZrX33zlbkTk5mcIiR5VXzu3BPqsHt453882N358O7Nx8xLwxChjV0y + uL+694Of3tlt+09euPTNb31tbmFYKdJW5TMjT1x4fGlo/F+4f7H9k/c3GqbPnpYe+4AGGIoQwD5S + B0rJBhzbzv7GxtrbH9/Y6tLiyeXPvPTZM8snPQKDQqhqvvriC5+/9EQP/e70cB1uQApf/cbrxnAm + V8jkssdqZ3CQy0zPzC2fOLP6/tb6g91WcxAzlILCwJeNXCaB8IyoVqvTn/3C1771ja9OT4/kCz5J + rlRLly+t2MPn79+9fbDz8P3331t+7AlTQSSQYQ8kACHhJJxCRCDAAqoTi1YiEi+PXP30+bP/7Z/9 + 6aWVxdGhonBGEc8Ml/7wyy/qrWsP7t2+de/hja2k2YcvB0r2++3Oz3/xzo37B+X69Euff+WJJx/P + hJ5LYpc4Ien0wszWhdMnF+ZWdxqf3lp9sNGaHi0N5ZAGbIhUdk5pWcJwFISFU6dWZubmjEuy+Zz0 + QjCBLSmMVzOfefL89Y2dTx42Hh4091o9JhBJcCxMIyd7YeAjM3Ll2dffePXZN177XDlDQlh4Kj80 + fvrM6Tff+OzffPcvN9v7v/zV28WZM8vVHAxJ5YVhQXOYsB0ZHv6TP/knLz/39PT8bOIGRPBKmeee + vrCz9uGP3nrr9mF7Z+dwe7OXH895AcgZIaX1VAxoIayUDPjwPEhy2tccJM4NYgMb+YCEik1/e//n + f/v9/Z39mcVTX/rm15cvnoEXWjgwByp3/tTK0+fvX3v3vQcbd9fvXN/Z74wMFXJSmMi89+5H126s + Ilt9/KUvXn3+s7lCOoEzrNQmX/vKH3z+xaOsM//Tv/zz9c677X0mEZLwE81WQkn69WwFSvv7FNUA + 5YqlV197zTH7fhBmMiQYbIQUuVAOD+WfvHL2YOvBT957r9PptXt2KJMBR5Cel8knIuirXJgrf/FL + X3z9y69dXlmsZQlSg8zFc+cP9nY/vX77YG/vkxt3m307cFkZFi8//syHd5vX77x1+90P1xfmLp+Z + YgJIw7Yah9v3ttdvHzRPnX1sculMbbjmp23sow7KEVj6kghOgBOQzHkycAmbmHzqS+4zsgrOHvNB + SoBEbJzWEBCQMic5Q0Co4KmkRaY50E2Xy1TKX/7Gt176/Ktz8/O5PKCSUq3y1ONn97Zu3L7/MChk + Fy898af/9M8un12qVOARwjwvzZZeeuHyQa9/fz++vtWabXZGRnLCwPaPOnsP3/nZjw6Puucff+rF + L715+tL5BKQ1S7ZZKa6cXnp4d/vblcravfvXb9yILIwHDxDKAxIieKkMhgG25PRQeej1N78hpB/6 + QRhmIGSK4GczNDdZffLS8uHh7sbufqvdag2SciUEpC8CKA82ge6QhuTIQyIQOkBDSBaAgvRlJiek + ErCCE8WJn4reAMtg4kG7c3/94Sc3b+dKU0++9LnHn3xycnIYpGGdYxlm8889/+L61uHP3v7o/Q+u + zU1OPX7+vACABLbvDZqR5r7IXX7u6y+99rmnn3u+lPWdJ7O5jFNQdKxIBwNOgbMQAdLCXxBCyRj0 + es0kiQGS0juecA6Wipgg4EJPBVISkK/WV5557o/+0R9dPLcwXqICWSkwNTbxxptfu9X4zq9We5/e + u/9c81Ci5hMQ9w82t378/R9vbh5MzJx54xv/+PzZFT72AVHohWcWF5+4tHbz/Y/vr928fWd+c79V + rpeywrOmE6Z7EyHMHIOJzlrJtiCkHETOIT8y9fzX//Brr39panY4VMfigcdWLsUvD3361v7G6s61 + D9/bPNwuzZ8IYAMkZYFSWEx0NihNnLlw/o3f+73Hrj6WyyMLZVEItM3Zw+3bq9/5N9/ZbXZGLz73 + +h9/6/T58XxWwSUQQagKU2N4/Mru9trBD37y89vr793YOXN2aHxqfPLxE2d/JN7ZuLf9i/eunbr4 + dEUCDhnF3DcHh61Pr987bPbGps+PzcwWq0UIA06c1lK70JCfGi4UFANWffCr93/8k7cjl/38S29+ + 5euvnVg+kwngTKKEzAZqZWb8v/6jb2Z183/7mx//6sObM5eaF2NTkoOMbCl0ihDVpCh0CPYjT6WF + KDGIHdiGJkEcM6T1c5QpOAnHAOPosHW431UKQ7Xh6kidPBiGZUiBkbHycK0QKCkJIj2mBEBQQYZs + AhtDAkop39ve3W532tVatT5cL5WKgpC2NsZgfGzYJ/coMjvpdprM2gsFBWw9k24yij0iC4DjgYkS + KXLMQWI9I2SioBATDXwaeMqTXrUwVJk6ceYrX/3GE888Xa/CYxBMMUNf/MxFOrz1ydu/WL238d71 + /de6qlZBoJhtBBd7JvEZTz/z3Ctf+dqV514cqQwpARg9Xs8/fWn6pWeWWj+9s9puf3hrdXFqeKoy + KgTSMBUixyYyg7YPeMedvU/kBX4oAZckca8nrMuS8IXduP7RR2+/1zhKXnnzG9/85tcnp6YzGWhG + YqT1qvWxwauvPO96Wx/ffPDRp9eGJk8NDecFU9Q+3N/ezObyQ2OT5fqoI5do7XtBzheTtaFqecjP + wcHJdJNyqTSVWTAJYjgmKZXuDFqNziEJ5IKw4GeEBqVxdyk8TgLZcGp64slzy2/95K3D7Z1r71+7 + 9NhnkrIP5yAForjXOFzf3Y7gTl0+N7owma8CSJD0kMSu39+4/fBgr3vq3PMTixfyNUESbGNiEIJH + eMcj1MN19tbef++9n/WhSieuLF58Lpf3BZus8kCUEFtrPSnTqdPHximCMUSApwiegHUw+unnnr7y + xONShgxfqmxQKEICbAGdK2VPzdefuLR42E52e+qow/3IVKECD04aYfp60IHyhkYXv/T7/+QLLzy7 + dPJU1oOQA+06V589v7PX/tn3P2zc3l2/vR5bHkiRg4T071y/+86770fWXnzqydfeeG16aqoYKKW8 + wlD13NVnCiU/6uy++9O/v9VNFFlC7BAKQCEj4KJBL2SlMhmQARhCKlFUCMjYMydnN5eGP7z2q4dr + t+7evXvp7IQlgC3C3NHa4JN7zZ2Wqy1OnLt0olpF4KXmQnm4c3jrk1uHu4fzFy89+/zT1XqGAB1r + X+HRvGFAgDywTiiKbLt3/86DZrN19tIz9am6nwNJOIZhVjJl4hCknJ2LQQZSM5G2StucO3aDG2tj + 6YV+aTRfH9+PVEIJCCCXZeezg9UkkA2hAIljptYBgi1YSxhFbKxLIP1cWSqZsgfit93nDjAMSPhh + qVAOw7xzzcNmt9sdHLuUBawHSMjjY0IRZOIgFCzYWAO4fAGTy2ff+NofvPbS08OjuZyAcjpX9p6/ + sri3/cT//u3vre42rq8+nDu1HBI8IYsZ/wvPPX71sYvZUlVmipqlJCJi3zMrC3O35sfqubDf6jQb + kQEc+Wpk7PHnnhv/xcbm6vq1n/z9SxdmkpN1JchDInWv227cuL/z8UNTmFyamJydLKMErD24e+Oj + T9vN5OxTF778B793cvlUvpxxAhaQAYbHRz7/6hfa/fbf/u2///TmjYWlhdrwRfqPoLf/H2Do7/D6 + nYCcKbf2mz+/LYz9tWtLEDwpiS3rCFZLYl8SrOZ4YPpdWOu0O2j2/8OP3v7F+7e1qlx44qUnnnxm + tFIY8l3m0TqDIOvALBkkYCUSZgZ5EIETniIXwKoUG/6HfyuAmMmxs6y1sRYQPkQACkEByEc2NzE1 + /fLLL/yX/8U3Xv38Z06fnMp5mgeNzsHm9vrde7c+/eH3/+5/+Vf/87f/+rsff3KnqxFBWGHhD1g2 + ACthMjLQA337+t07dx98ev3OL9/+8N/+1Xe/94OfdmNYeEPDtc+9+vzi8miYEYASOiOMklbAOTA7 + IbXwLB3L2SScOM7lJZdWk+l3YPNILCR//bg5paHhQWVL9eH6xEilgCGBYqNxeP2T7e21LR0dIOkk + prM/2Lyzf3RoIYeHZ5fHxmerORSAEE0MNrbuvb3x4E6rC+eP1OfOrjz9Un6qwnk4H44cpM7k3MhM + qT5VRqC7je3t9fWdh3tRH+WhyctXX5w+dT5Xkg6wFoZhnc6MhXPzU2UvO8SZjBXSQz/s90XsBAkE + iNHa3D+8v76zGbUM1PTCmac+Mz4+VVaQMQTIyQyY5mcnr5yZPTFVzWa9Vq9/58HDVrvPEBpcqlUn + FqemT85WKrkMkpxgScpQaFWeRUYAqYOI0bOmnbjIgFh4xgrualhVyRVmxkYCYbY3Vn/0ox/s7G1r + dgmYAY+8fJgJJIEZBohYayc9T4ZesV69cPWpuZXzpVpRSSEdQMlQhU9N8PxUmWVmPy4d9L2ehrM9 + O2g+vP5hZ+1OPROeWT47c/qSClCsDRWLZYIE+3ABtK1VwivzxSeXx7OB3TjYv7W+ddSJHUI45TvK + ORNYKAEEXiQQA0ZA2z5sAnBAlOqFEyIwBYC0BDCETmSipTGCrRApQS3Z67eiTz+83jnqlqsjZx9/ + sjQ1adMYJmcEnF8pnD1z8srFFekTYHzJbGI4rYhFuuYIsA6OQUIoX0iApCPphEceamOTi2fOP3b1 + seGRsqcAOCltNiPqw8UT40Mz1ZzQ8V6ju9q0PQFiS62HGx+/1W82hkbn5pefqE0sQAjDsExEMhOG + 1Yo/PiJ8edBu7j58uN8f+ABIEiRALrKDBImDAELYApyCSXyRBJ5QyrOstDmmIh/xsTYVxGdkkM8F + w5NLcytPn794ZqKKmoAHA7JyrDZ1cmE4Xyz3td1r2YGNEUAqxN3O9v07194XpMZnz5w6d7VcG2IB + bUBwgeLA57GR4sRo0Zr2wcHm9v5GZAeWkB4YBjAOwDFuC8uKOSDnS6oMDy2cW168fGHsRIk8sPRB + HlQwPz07NzOL0O/HvV6vKRgSDnGMg9bB2nZjv12pjQ/PnBgey4YKsH0YrRGacCxfrs9N1EezcdI9 + 3D1q7Q6UowykXJkZfe3Fp5fmRtvtnb/7/t/+9be/+4Mf/vLW3c3x+VMXnvrM/KnZYlF66SQ6ciCr + 4YhScww4PfAlgSj1dXmAl36d1FrlrHXGsCWJfCEYqRY84TbWt378k/cerLcHfYAVjAuULJcLKrU+ + k3WUwBlhnOdIMIw1CXTa1XrpM7M20FpY51gk8DTBKGhPQBC0cbEREJNTs2fOXr54aaVeLwXKJ3gQ + OQT1bKV6dWXqsRkW7btH+xt7rdh5oWOPLEkZWpELhqbPP/nC8tlz05OZfAZKIZXYT9bq50/MTg4V + nYl3Dvc7cZwYOCOhfenyHleEywGWxRFwGPpROZ+7MLc8NXzCtOj2Wmtt81ADQAzXQ6+xce/G7tbG + 5PjExMRsuZrXBA0BIQkpUc4yjY53jFSvm3LikCBpbUrj+9X68FCtrsIMp1E/KRnjqXKxUC0US56P + SCexjQ0RvIBkjq3vDCBUYWjq9Jn5lVNTC+NhQQkhBMKMLEyXRs4OT4x5oXC2aaKOcgYQiKNOc3e3 + s7Zlg/Lp8bnl8ZnRbMGB2FlPoRwUaiOzU5dPz52YnYb0rJd1fmDU8eA4gpYcgeO0o5TEQBy3d3Z2 + traPOi4/Nrl4dmx6ulzOKmLlyHfwgaF8YXR4dHp6Jhco6B5MrzhcGpocDktZlgyb6gZJesr3wmJQ + KlKR+8ImIqEUMe5JOpDUZiGRmRibe+zk8mNLS/ND5ZxUQsMIKapD+fmpWiUnyUa9bkdbGCAhaCEs + PHdsSLDkBuAuWMMS/BIyFS1zQwvL5x57YmXl9ES9lPHgCwas9OzsVOnidH6yVmr3zHpLHfYs2KG/ + e7jz8P7qRsT5heXLMycWK7UywSjhhHBENsiIkVrh5MIsQxw2B81W3ybGAySzYn6kGzGwCThtOXyV + KxZqtcpI3c9m4KWNAoGM9MxELVvMh3DctzRgmaS6OGnhR8ocmTiByy9fePmxx54dLZVLvpVk2YLC + YHhs5NLFxZGaiAaN1dX1vYNUikxwYCc1h/nhuaWVy48/efXE/LSf9ZEJe0IYEwVFdWoyf3ZhspTL + dDrxxsZW1LHKAmAWpAUNgESQFuKR8YOUQaA51CyNs8QDDwk4jqL+7uHWzbs21rWJidGFE7lqwQAW + gigIZC6rclOV0vJYpYT+oH2ws7/XHJheP240Ottb+52YK1Mnx5cu1CZrx3lIUgq/UB1fGDuxUJoZ + fmxp9MyJCYQhRGBZkKD0JWOX7mXpMDrxm59SVWq1Sq2aK+aVryAZZOFiIp31aagQlLNKwSWJjbRg + SJAPFokjX0rSigAAIABJREFULTOiUK9Ozi2vLJ8/PV8rZYTvQ/ggb7haXZwaq+VDWNfqJZFTmiAy + pam5xfGRiVBj88ath/dWe1G6jGNnGvdXr93ZXDPCr526OLl0xvPTApAFc9oeptUghAcKAB9MAbvA + xrBxXw8Gkm06muXY4eg8igRFTFaTsASiUCKnAB8sue9sYlUoSpO16eWTZ6+cWDqVK/pC+YCAxOxU + fXF2KPAwMjl+4vyVpYsr1VHP96FICzWo5pPJsXxYKjQ5fNhxrcgIwIOJmnu7a7faB9u+H0yeWCyO + zaqipwGWgRIKQCGXGa9Uxmv1qNff2t7dOuh2dOp9JgPr2Mq0TXQuHfXoB2F1ZCI3NCYzFU0hyAcJ + SEDqnGfrRb+SEYqsI2ihDJAAMaRzLAWHpJWDx7HkmI9tShIyAHlgOTDOsCNYBaM4VoAHo+AEWFu9 + vbd3d+1BN47L9frC0mJ1uOYHfspdC6mkH+aLQ8VKPZsrr65trj/YpHQupYkRtTOm5wd5MXJq9PKr + Jy4+VxoqBQFlpMt5CFIeV6aYT1rv50AZsHecHidZKk3Cgtg6NoaJAPJSkTUBsAnrhIwhYHphcfnx + q+cfPzNSD/IEyQkE5UvlkyeXysOjxs+0kyQx6YacIOp3Dg/X7z0AB1NzK5NzK8WhIEUmmCEclXK5 + mdHKyZmSco2Dw+0HO/vNCAaepJxIISFiEgxyjpmIfSDDHGpTKBRWnnh27vFnhheHZRHkQwgBiJFC + 7eT49MLoWNVXUb+z2z7YM0hggCRrYp89oFSduHzlmVdOnl2pjucVwSfkHHKkkDiztX/jvff7nGQX + xmYfO10aLoEFIoWkLGw949enJ0bmZxTJhzutu/cP13tsRoaGz42fnC8Wu4eDDz69+7CpewaQINhm + q3X//sbubjPMlVcuXqmOjskMTDpxnZ1vONBM2sQJEoskMWh3tx5s7uw0w/LE+OKVqaXzKhuQL6Rk + wMJqLxDnFqbOztTLudyD7eatfbsfmUQOgF3J7TB2pX421FlrVA80SPnN1EsZD3wdFQIPQvZZdkz6 + UoOtq5RrtaFxZ3D77v13P/5wv9XQzpBwibNCIpPmmQLCHasBjptb8cj4DJaerI/Us/nMUePw+vVP + 7967m2hOE/2IEIYIfQFrYRIpUR0q+T4ltj+w3Qg9l7afxoMRSExGIh/mFWWBkhOZRFCs4GQC7vsi + Cv3AcqE6euWZz7y5vHxuuJaOBGRJFm6wOF68MJMfK6Lf15tHsqnRdRhAG4oFTF6JWrF49tyFy08/ + VxwZEn4KGUr4ZrSsL62MTExW2LkHe439VscSUkUkM0sBT7AH7aW5voBmyZCe8nwpPSEVSDIrpxH3 + DlZvb9x7IKg4OXv55NLFIFTMWjsYKTSJIF86uTg5VnODaP/2/XsbB11LEBDl0KtXykxibXP3F++8 + 12h3ADhrFSOrUMyCgRiJAzMcbDpFRAhIAjGsQQLobtxu9RrGQhFlhB+mQiIYhtNphaRUpVJanBob + L2SSZuv2J7eODtqxBqSCpLjTuX7nzkGrFZTzS5fOFkaHkJaUPMCg39lvtHZaJlazJ8/nR+ZYwgFK + dpWKgBjWHAfnHRuhB/2Dm2trNzO1kXB6RZV9ADCpL4wtWChIGOESckyPDGAkAJLMDKuBBIqz1XJl + crw4Ol6sTfiFik6dvvDhCJQU/HiynisU8okLByZjrCBAgT1EHmJPuDBfKk8szF94aubkcjaEkhDC + khfVxrKTI7WqymV7yvWcYdEHEue43d/Z2NnZ3vezubETs/OLJ/PZbECCIFgGudrI7MLi5cuXZyer + 5LRJus4NxDH0LAWUr6SUBm4AYSAdSDJyAkO+yM1PjCxNF0q+2d+6f//e/V4fzqWObHl/4/DD2/s9 + mx2ZnFw6PZnLQwHEDpq7R52D7QOXuHKpND4x6mcgCL7wJTzB5B6p6pgAyVonh/uNTrPnB+GplVOl + evkYuCdYQRou5sRoEzCKBspawAjPkqcMB4nJGQPB8BEDNm5213a7G/tNv1jMVnIkAdjAGs/qQAnl + pzJIsEG6GA0DnD7+BGyk8mSQZxGmTmxnOY1VfGSXJaTaQMjAC6QKwCrWMDY1LLMTzihoCT4mGglM + TiDN0BGSBFGhVDy9cmHh9LmxyZzyICRDJsJP5mr+zHhV+X7HyWbiYvINAAIpDvNqpF7J5/PC9xwJ + C9JOsLGlYnaqFAyFEsYlWhog8bNUrU2cmJ8ZH6m5ZOfGtZ2HD/bifgIGYooOD7cfbu73D3hk7NQz + YxMzFR++Nntrd+7duANHo6MTcwsLuVJeeOB0wSqo0B+fnhgeGyElNrY2tna2/x8urF9Da6n4/D+7 + JPd3kHX7H/+M/1dUmkh4khNrtVEeIAVJiSQxSWKMUZ5qdwa37j747n/4ycc314anT73w+Teefupq + 3lcCOgUA0jHOJrUkMgQ5CcvkOQIEmCAJEgkg6JhU+IdegtJkB8fOMQkpFJBGEDHIQpDIqaeuPjk/ + P31qae6HP/rxr955p9HstLtRb6CNtbdvXF+9t7bxcKcT6+rETLkoQgUv41j0HEPAhcpvHrb+/vs/ + zlV9GfWPNh58/2/+5uObDxyymaHa4pmlN7726uKpCSEdrIILyEjBdDxwioQR0v3aOYlHvn46LtYf + jQd0AIFThfnxP3FKnACel/HzxWKtOjGW63fl0aDd3Ix2dzc2untBJUu9wdFOZ2+j1el5slibO31h + cmo27yMDkOsg3t15cO1gb5vJD/JjpdG5+mzFZRAL+BJacwCD0MFG6TLoNfcO9/Z63cTaIFcYPrF0 + tjDkG0AwHCOBc64f+k5JRmThhG/IC0USur6LLBGxB4P2XqO7czDoA6GnRiaml1eyefISWAaysAjh + NPKZiVp+erRybafVSMz61k6702fAOoYQTDCw5LRycUgshTTkW5FlCuj4plnDkXHacUjwAc85E0dx + mAnGR0afevxKq7F17+7Nv/iL//WL1py6dFHm8rlKqeQ/cjGmKe6JM8Yq3/MCL1sszS6eLo9Vjn+/ + c6AIQTQ55CbHK+pWp8vlrvEi67Tp235r5/6daGerlq1PjE+XRgoJkFUIIZgBVnAe9ACUTJVwZXny + 3Y2jtX7/1oOHK/P1heE6rPCZssy+gRIgXyUCFlDExkaABqD4eJSyJQLSePe0snNGWiOcE2zTyR8O + Al7Uje/dXO13ovJCZXx+wStDp62vsTAJlBkdrp48MRP6MiYnyTkTwwXCOyZwJB3jTZCklLIEGLIg + RxJSDo9PTs+dGK/BZzgNqDSVj0F2olqYGS4Fkhud/kYzWRFgbbi929m8m/Troco1I9rYaRweDEj3 + PAhfSMV6d/tev7tOfJhE7YODVjQgVI6nbFtysUuEFAK+ckqwB2dg+r4wgSeUU9Yh0bAWisAi1fEb + EIM5kH6xUA2nl0Zml+s15IA8gymysCaTLdaDSjY3ZJqqF8OQJmJIF/X6R5s76/fl0BkZlvebURxF + Hkcct3LKBCJmlzQbO+z6zg26/UajdRCbOEQmlUeAQRZKPXqJHQQ7CecrqtaH5s8sVSbzngcNWCjB + Ek5US9VatYZs4MgYExMDbBEn/YNG/7CTDEwmU2wO9IONVs8dFKLdLmSsMjrIDg4OWQ/y1FaI291e + IxEaXmCY6vUXrtZ+8OG9+z/99L13P0z2bmZ0QyN74bFnrjz1TKkEP31iQOrJsYIfRd+kmQipApJS + B4BkKKQkLyCILRtnDSEjxejI8Nkzpz54+9211Qf/+l//dTvJiXOTS6N+OQuXC1SAOH2AcEzGOQPr + JAQxLFsD7WAJ3nFOl2PPWjLMTJplyltYEp4USZJYbX3pDU/Pzcwu1IaO/QoMQRQCHjg6tzD6YDZ8 + 6x20G7tHnVj4YOOxhVIhy2ymUjp35enxyYkwDeEmAAqJRhiOV8pjlaK/PehG/a6OEwMnSFglXehx + HsZntpD9473Z91dOLC9MRT98p3n33vbde+uXlqtWxjCRax1urN5vHR2dPv3MxORUJgfNkGnGIdtj + 3yyJYy+04zRoheRxYp5xWjAJCMswxhgdt5oNo2MpCOzYWRcF/WZLaRMKBUfaCYaQSkoBaQ2D/EJl + 7vRKdXxEZgmwacEuRLaossMqMyT9tudZX0Ze+iSTfqt5eNQ/6gWL8yvDkyeCrCdVDARwPiCBCErM + TwzPTE7g+q6ToZVKCwQCIAN20sXspPB9hgQzWA/ae3v7u93Y5kenJk+cyhSKJAHmVFcqHxGzgfDI + RjADOIYSCZGx3Gv2TD/RSLSMI/S5K452m64Hz/rMKknfLAwEmuw6TMLLjk7OXxwZP5HPp75I1lZ7 + 0oY+lQt+IaRAE9GxR9kAGpCAggTSiKQYTqcdmQxLMleBCMZPnl4+d75cKoTSEhsQwzpwjAyfHM7M + Toy8u9vZ63tHnYSGJQZHR/vbB4ctK+qV4almp7/2YDWEyxKFjpls4vTh/mY2EzCoH7teL7JGi9TP + zPK4znHWQQshwekpxHDQMP0o6vd0NHDKJaE9ov7OwfZGt9MEsxWeFb4BAghIhq+FaZskAefnTl6Z + PzEGaImYkdEOnkKhWJifHasURJL09vcPm53kOIXIWm1g4ZfqMyeWzkxNzxQLoQaTF8TOUhwVvGiq + Gp4/NffBhh4M9MP1rXhmVBQL6d1OwDFDE1lB6cqWILLwLYLjyEckBB+s+/3BfqO7d2TzgZVi8+iA + pROsA2czhsPEIep193ZLwhRF4uLuQeNoaGRMIW4etVqtHmRmdGaxMDLtZWAc/PSkhy/9AriLJFkY + KyzOjAU32gzFLI89eQznWKZDD45r2vTVYwbb9I2ydtDvRYOBSWKXaHLWJvpobzPpNz0BZ9k4CQDk + wVI/tkYGXqk+PDU7Oj42XMkL4eA4jQQTmUy9mCtng92esiwT9qyACPO14fHJkfGRfKGx8XBz7cFh + oz00kguEdknz9p0P7j18gHxpfPnSxIklTk2yxx+V6NHx7gABBVg4CGt8NoJsZOJEgh4x/R5BkQb6 + hJgFGyENE8MXHCpAwML1jInJz4bVqeGZU7Xx6WLl0QllGSYeKmdG6xnfx9jU+NTScq4G5QEMQgIa + BLKfz7IIfIvMQURdndrXdNw53H+4xvFAZqSR3v3tffaEr5zPg5zp5mxXmaR9sJ8LfGdMu9PZPjhQ + Q/msly50K9k+Mh+7XweIWJYDi2RgBr2e09oz3QwPZNzePmi0Drdd0lbSkSAnlE0BMiZy7EkKhfMA + BS2g3a9baxXAKetEPzHGOQGhyCnWCrGAlfAsSWPt3uHhxta2JSk8LzFm4+HD5JBD3cwo0iI7YH+/ + OTg8akkV7h8cHR02jlEPmyDuZFwcZov58mJ9+en63CgLwGgI4cG3x+c/MxyDiBXgg8RxL+IcYKW0 + nkdSkrMcJxoI0pSqY4GfM1YnbIwgTJ04Obu8MlRBCHjsYGIIQiZbqshMoSwybSOkgxMMQCPq9Zqt + 5kGXSmNBtrq91w5cPuO6AUfCDISJQsmdxm5GxYq6/UFn+6BVmcZQHjkZEMtjlQgZZmKWkqAAzxjf + uFKxcubK1crcIoUwgJOQabUosrVc+eT45P7d/h7MQevwIOlbENj4JhEGRPn65NmLT75QHR1RwhIs + GfhWgoG+doet/Yf78fhYN+S9uPdgq+3iPZcg8eqxqpIYdFpHUhxIr9+Otnc6uxGbyXzp5MjsmanF + u0et2/fXV7e35+emKxkAdv/g8PqNe81WXJuYeOzJp8r1LEtoWCWsBHxHgSGhXZIADnEc6aOjxt5h + f2BL1anSyHy2ojSlm5qANtAJXIJCMFcvDNeGbt9LNlruYBCNIgYawsFLTI6DyATs5OAR1R0AgEMc + KZ1kPUVCDiB72loSRHDWzUyfOLV09oc/e/fDa58m+X+vivn5ucnKUEGRj0xVeUqk/JODEL8JTyOS + JAQMg53yvaXTp27cuXfz7urPfv4zCgqscoXKcKFQyuW81ChtrZFOK0nVatnzRGIGse0nHDEeFXUg + GEOCcmEWCJhzTIEhSggORnKkKPaVb0ymMn7miaufr9drnoRLYukLwQwzQBYzFTleye0cquYgaCUo + WEhPC2glbMFThXxhbv7E1MKEA7SBchBCwEWkektzQyMjZdzY2W60G70+A7AmHU4jBHkCoeS0YLGA + dnAQUkhPKqWUL5UESCeIe42t9f2tHSnzsckeNHomOpTuqCdyLlOLVD7oPez3DoU9sNpu7mzvtiIH + SBKTw7ULZ1e2mtc/vX333/7Vt5X8rFkcy3rFcmE845MIYdklQgdpE5feK3kcLOvgLBzDDJJep9/W + BgIIIAORGmuchdMQBkIAmXx2erQ+O1z7aOdw/e7q/s5Bvz+EIQFHu42jdz++1ugNSmOTyxfP5oZS + rM0ABnHUPmhErYEQ4fj0YlCSGgjAEgOIEJzOWZWOCAIkANuPGg/29x6WzlwKRhcSBZem6igB55wU + CkQwcAYgwvH8NyHSSDzAGUUanneMlkBoRi9Gp2Wc7grX87gp9FZ779Oot2+NMZy3lGUSBEjEjERB + Bx5l88XC8FR5fCFfAaddhjQSxs+imPGHvHwZOZ99yxSBAuviTv9ov9FqdzOFerFeKw3lPQliDYZh + WE/mSpWFhYVr9brAodED5lg9wkMESIY+zAA6gudDyGOQCVAyOzVaOzFVrJXQONh6cH+11YiGvQDK + wbg7a7sf3d5zfnViZubEwkgmSHt8hqFee9A8aAmS+Vy+WMqTgCBIFYI1MYxLN710AhglWu/vHcQD + nc1VZk7M5iu5NN0LgCNodsZpz3IABQsIC3IkHJNg+MaF1sAaWLT67Xjt9sbHN9cf7Bw+/thzwxN1 + QQCsb51yzldSKpH2hWkj4gCbKm+cARs4K5USKjQg6+AcHMECViLdzcGpb57AJEkReYCykMxp3Jpj + OEvCpZaQtPggSj3yECSlEIIKxeLS8tnqyKgiWE5XaQIaVDO2UgiE72svY2Soicwx22RgerC2r9WR + RRwNbBKbZBBEB0PUjRu7Adu+A1upAQ3fKw6VXG5hemI1v3Fn4/7D9bWNg6PCxEgO2nb31+/f2jnq + 6+z0/Pnnx8anc8Khu3+4ubZxf53Ysw6NVtM8WPV9P62imJ3Wyf7RQWIS6am9w4PDo0N+VGPhGOVM + 0bf/Gxr6n1GA+zsZU/b/43IM5yCJlKfSVBwAzMzseZ4XhhDy5s2bf/Fv/t21a9ey2eILzz9/6fKF + yalJAenYgJ0UMvUOSwlrYaxVEkjDmX9L5usAOP51d/cPvIQggmQhCWDntDGwhsAqzXcXDCJ43vDw + 8Msvv7xy7vxXt3e2d/e/8zff++vv/K0xxlq4OP7ggw9mFhavPvdiLqznVdYPCp6fE3LgLLXjzsfX + Pr67f9t6ka9jMej2Gw0pyIG/8IWXX//al65cvlAsh3AJoCFZeUT4ddLXfzq0f4whEsCEbH5kbPLs + xYsHjY29jYGG3n74YOP+6sLllf395q27G412P0YuVwgvXzk3Pz+tRKpDIQhfO2lZSOXlctlixi94 + CBR8ggViK8EIlAAc2ME6a7R2HLO0MlS+nwtEluAB0oMEJAR5WSUSuCRNCjfOSel5SigrnbMOLtUd + uLRMV6paLE5PFkUMbRFkYAkOjp0jbRLjHIT0fI65cXjU7XatNb5UGmxB5NgaYxJ9fB+YkziKoyhO + Cp4HKTzPC5XnGfIsALDvS1HOAJiYnXr19dc397Z/8s67P/3Rj9679sn00uKlq09dfurq2dNLY9U6 + oAgkfYGCH+ZCZx1rKPIqxUpGgADlAS6CTaBUEAwVC1USPUHa8+D7QkiOrGl3Bu22iYQhckRIgBBw + jDgyyiU+HPwANvH8sFQZCsJAd+LDg71Bv/9rhz1DkIDWJhpEabmsQGGQgYswoChOktiA04EXFgSI + GAA4YJcFewATEiEgBcAuivXBYbvVTXLGZrMqzMADCFAqhMym4I5lgIidtdaAKGVf48RZKUgCUiHV + ADEcQydxEidxnMSR9aQMPMmAJEgPx4w0Kwgam5ycXZjxf/Zep9tqHOyzGWm3BweNHmTQ7jRv/uqH + t/+7zYJHHhIfRjotOPHJ6EGz19rdPegXptlZnSRxooNUji6FCGTowACsZUESQoBdouMo7kdxz9o4 + 3WGkSCEePu7doziKE2NtEPi+rwRgHawDKXZwEiqXzYRhKJVgdkQkjjVAHMem3bF73fXb3/6rd959 + N6DY50javk+xh4jYGGc63c5g4ISQUijwb1QR6VFw/BcCiB2zMS6KExHIcrHoq2MOJh2Ih0SDSCkp + BLG11hrGcZkopHSgTkev3bjx6T//53/151E52RniIx0UTabccZ7rtLq727rZULU6bJ9s26EMVUJm + xhPx1cdWbu+sPfx09/bddr1Yn144c/XqM2dP1XWKY3Ea70TC87OZrCAgjuN+P53E6Ng6dgz5qKoD + OauUhQIpKSyBwaDZ+ZOlL7xx995285c3Pnj7hzfufPq9mfrVcwtffvWzs8uXVClnJZTURJo4YcHW + U1oIUiqjVBYihCDAWCjroHwZZLwgIBGlELLRcJ4FmITwfT+byQqQOEa5wHDGWo8lpIIXsPSc8Ajo + dNqd9hEw4ZxxbInge14+l6lUyp7nWwch0pAyAaEACxLFUtnzG91Bv3l0FEV1lfNgtSBDPICLnIND + JoJzcMLD7Oml5RuHGe9njRvvrC8G5pVzAymSgd3dONrcH5AXXrx0dn62Xi5CCnhgAhtrRWwcs0rT + 9dJwuUe4EjMzW6WkIAKxlLLVbKyt3n/n3bdvXv90/cGaEDTo9xt7g+4h95tgtkopz/OOjZRCpHYO + pUSlUsznc55Q9GullwA8zw9Dz/elEMRMzhFA1g16vW6/G9k4XylWq0PlTC4DJyA4IGdZOMD3NDuT + JNDWdnqDXi+x0GyJrYIg4XlSMchZy9oQbKPR3tvd0yYpFDK1WhUgnVhyWgpLafLGb9RDAuoYvmw1 + 21sPd95/+/0bH1+/vXpz4Hp91+WOaN7t6h1A+eyc1en7JEEKEMxQStar1Xwum/4+duzYQrLnB7lc + Pvg/qXvvZ8uy6zzsW2vvfcKNL3a/zjlO9/TM9AyAAQaBBESQhETRDCWmctmmbUlWlf8aV9nloKL4 + gygXJVJEIAkMAjHAAJNT55zDy/Hee8Lea/mHfe7roUtSlcUSq3xqfumZ12/uPWefFb71re/LciPm + /yU+TeMfjURhlAVUkbgQpK4qhNDKsl63myZOxPu65Mh6ZQsk/anZXbv3uAs362o4GGwWoyyp45De + Lz64/VffuXvhve+1k5H1ZQZNJIgGId0qB4/X9emm6+45s7a2Mhr2fY9sI/EaJW4tcw4Aygi1VlWl + fmlj5f0PPnz77feuXLlupcLWU7/2cGlzeGkjh5lDCOIriRCwCKrgXNrutFyvNdFvZylGRZ2lhpmt + BRFsYnoT03lrinllOBoRJE0BjQJWyoy6Gg22NlU1eFRa2TxJOeu0e9DQ7U/u3rPXmNubG5uLCwtB + JKKIIfgggQj0qWjXBLux2Q0aYiEVRTEYDo2xiwsLd77/+ofXbqVWKZSJSF5rHjRTGQ2WNlYfL2+U + u54bjEaFddaIqapqMBgAMjs9lTpjgJRBGiV3x0UUcRWkKOuyKIk5SR0/q7rHE6hmnzT+kQhMKlVV + LS8t//CHP/jkk48f3L1HEoabm2tLy1trG5ura1VFUTFzfGa0rj0UiXPdbjfPMmNNw5WOKSpJ8lbL + JQmGkOBVgoq1xnQnei+cOf7VL59//Uc/e/D40aU7T5/rH+/kTkfV7QsX5u/fTycmDx08uGv37gDw + s5KYqKFugZr7aqFUVN6wbbfavW47Tyxi51QBpM4BqiGIsY5N9MIVRAaeKkIoq0pEksT1+r08y60d + 36EQUFZEbIyra7TbrYl+rynzt28amyzNnbEQqcuyKktfwxGIWEGjsrx67+ql+f/7m99/q5WxNd6F + UctvtcNW2/DyJt16uDHSzBiCKqkCVKPOQMaY7RsMEEQhfuiHo5AsLy68+cYbn3z00fydqz3rRytP + Hi+sLG8MlzeG2p0pi1FVFrVkjmHJGGOUWInZwFprubl7jdcFMbFhY60x1nDky0Wpy0rVk82tZeI6 + hMGwfPutt9+9vZL/y3/VxaDlNxNGRVmJVEy+vLr15OmSZWvYSBCEANVo3WOtbefZjpmp6ckouN8E + nXE3E5HeyKgdp64AEwJI4q+x1kJDWYxEOhCI+IjQkbHGOuucdUiTxFkXf7uIcpTnjvpqqhK8r2tV + ibvQo1GxsblVedy7f+/yt7/15vsXJpPQ1qGptjiURmsHX26uba4uLq9XB4myLLXj9m7McQGMMWAF + +6qoy6Kqa4lKmRrGHrLwHhDvEgvudnt05NihC5/cubU4v7KyVAxLRgeUiFgfBBwmJpMD+3dmKZrB + lQZFIBKsra1vDlxCK4sLT77zzX/23pu7qsVdxaKBG6FX0ASbqhreGazf2FgHwRhl8YFT09sx+/kv + ffGTtY/fvLd049JHZw6aQ9O7AX34ZOGdDy+tbFbn5va8ev7lnX0YwKMKlshaRpJyK3GZc1AHFGFU + DDY2V4ti0Ou223meJkgMGKZRdieNPiWd3tTMzA7cezIcrK8sL9W7Wa0FlKOsiYFzNnEcJ58iYuoa + aUZkFldWA3GrbfOOY4IJMCY9efxEufaFD69efe/G/b/667/86Ttvv/j8mc9/9pUvfekr+/a3E9cJ + AanCAMHDE4RhLSQIQm2MATvbar3yyitLq5sPHi9cuXLl4rW7f/LvvvXC+Ve/8IXXfvGrX+t1WiaB + BWCdCJaWlqvKZ2nW63ZaadIocsV3xFrdrNbW18uyKKtRVRfR1rMOlWNmMkFEVIyhNEtiwMuSlBGi + WDxqy7Y1OTnLq2Y42Fpdkt3TnIKtARNbdi5tZUnGQFEhQSy7ApRg85kduzuduyjuLj59urG2Rohc + VEe15uWdAAAgAElEQVTGhLHpCGnUPWm0OoNoEGGVaJoccbXNwXB5eXljI/3jP/6X3//Ov2q7Latr + A26NuFOYVtc/zIs7xdNljblDvQJg89xzz/8TO3tn6V//9KN73/72X3z07vdPHZ174cwrX37tV0+f + eHlyDxyxIAWiQRk3OUUQEMTErg21D3UlqmAy1hpq+nw1YAsXZQjQ6k7s3ff8Z1/5cO2T+7cWnty6 + tHQiOTI1DdQP55ffeOvixsgdnNt3/sypiU58N1Kg42W0OdyqwsC5dHKyl7g4CpLt1iIqFTc+p8GH + peXllbWiKKfzvJWnDrAW8AYqwXtvjSNLxONtHgCNdgcI1jAZB1iowtcqCk4GW/7u/bWf//ytj9// + +YO7V1t2KKP75fqtpytyfzBlZl8z3Kzkx6AUgogoG5vnaZYlzkKrJocROHFJmmVZnlrLTFBVApy1 + eb9fFMXqyordvTNxxtpmcCgioqxKICgxWZskSavVSpz9FHSECEeOU7xClQBLgKK9Y+e+Y8cOnzj2 + 7t3i0e37Cw8f7W/PoFuNBpvX79y/eW95cmb/4YMHj+xp5c39MHCtja3Ro6fzQaTdaU9OtQNQe2Qk + 4CjQrmRomwhfFOXi4vLG1lZIe608T5OoIwlfQ4DEOZuwCSp1ybHgV7e1ifW1oTHGWPGC9fW11aeX + b16499YP37/83s3J/vTnX/3MmTOHDAIIxqRp2ipGS8VwaAFrYLXxvCQG1MQFPmPdcDTawHpMSsaC + iRw1UisKNAt8QhAlY5mj90jQZwZBfxuwbAx6xneYOIimaXbgwP5uB+MKLP4N6WRJp9NWsIeNhisc + 3xMInIGnDz+59NNLD65e/Ojp/dthtNHRAdYfbz6+82QBnA4kDEc1ug5EE1m7OnXyyJ1Prn944+M7 + dx/cub9+bM9eAJurD9968wdPnz7uTpw699Krc7t2GXhrUYyGi8sr61vV69//4Zs37m2Iish22RYP + x/LS0mBrazQYVnWFv32ND+/2V///A+v209d/FGSmsQsZEYjh6yhqTWwArUajC5eufO973//pT37a + 7/dfPv+5X/nVrx85cqjTTghQbd6oaKnZdCvERKqwEQxqtDSjoivRf+KD/H+6RFSgTNEBjHwIDJgo + jB/tsb0HwGnWbbW6E5N79u5b2xjk7f7s3N633/7g9p37i0trq6tr9+4+uH7t1uxkt5OjKCRINLUw + AliXzMzOBjNqk/Qt7Zzod6d2d6Z3v/zql868eGpiom2jui4FUK3w1BiqP+t2/vO+qqFoYuXBdnJm + 7oXzr3xycSgP7ivCg3t3rl++8sUXTz548OTCpRtbhRhyvYnOiZOHds1ZQ6A4SDEJTAp2SmwNZ0Zb + BKcAoQLUApo2JCdVSGCA2IpJYTpJmrZTbjEcxlrdAtKxB6C1CGXpfVGUoZbI4RPxIqiDD6rWIEvT + lksswVpQlCSO3GtiECsbGCuKEEJd19GNVSQQswExlK1xzomIihDUGbbGRulIVYgSszUwCtZo2pQA + 4s1k58ip09/4x78xve/gJ1ev3Lx//87164sry1evX3v++bOvvvr5Y0eO7J6YZq2ImRi+DlKrekBo + m/8MHkE91AAt4lRFQDUbEQ21L4uyrD0FOFXjHKfJtjA52FiORD8j8KiClHUdgkRbSebG6a4s67Ly + UQseotu5wcBACUGYGpSQlBrhZqqhBE2gOdQCwqjj6YIGBSkSIDPGpZl1BABVQKpMnAA2gEJQqKqK + Kmz0zgQZw412ScTtJMApExnmNE3SNE2SaHor0QyQGpTIQhh1LURwEPLMGnX+nctN0i09QNLr2UMH + dkzkXafEobYYWoxS41krCkeU3Z7Tnzt69HC3mzJDQvQ5VB2r7jba/8ywzJbIKJE3Rq0dcxOiQQIo + xoEgKhohHPn0exR13o01bNhYNpZ5jKOhGWHDWtPvd48cPthL4VBKsZ5QlXKdWKqD9+LPnecXPvPq + rrk9qcswzgBM2+4pMb4pETUkG9WYM+OPbcsQRbdaawyPm/f4dtVe6iBgdLudfOeOg1M6FbIp7ZdJ + v0wmVmrLo43ewYMd1NmO2VMn9s/2HYGAFiiHW9k12943MUwhg2FJJu/UuUta3RyJIgqEPCt9Gk8Y + NRzxa472LBF+4e3/3iQ2MsyNf3zendx75Fe/8RudvVffvnr7k+u37ty5tvT41pOFpVPnbh177sVT + Z8/u2WUMgqEAA294BK2DUPAJahOdUeJaaS2llzpEnzp1FsYAEuC9c9ZaCyhBVRrfF0TqatyAMtak + OSe5B6qq9NWIAWtAjLouva98XVdFIRI4lihBFELMMNalWbfXy/N8namuyxAtsAhArTJkVMRGqCWg + EnXuqL1r7ujBPWf3Tq3O33p6c3J1ZVFms3q9+PDS3YUVn7Wnjp88Mjtror5tHH0wGbLEqo2jECAi + sWaILsxEgIqCqrJ86+c/++Tjj65duzo//3Q0HBLBWA4AGVNWg6JUYjAT0/Z8YHzaVKDCFKm+qlAR + MgAMszHEcWgfEAKPJ0WiAvUjKUVCxsbWXo0QG4mosjESN3eD5sqOjRgENlajxGazjEBEZAzUFoXf + 3NoiqHOcJI7IECwbR0RQBEGIiiIxbYSAuvzg0vV3Lt+4+Mnlx/cfDzeGnKBWH1QM2FobOJS+YKYk + aXgggGGysShxzkC1ruASMLMlS6jBbKwjZihUgo6tCz91UTP7bMT6OYiKCJiq0Whrc7Moa0nBxjbk + N3FQ29hRQpgCk4qoBKioSoDDRL9z8MDuyY5yPTK+TsSDEaCB9CD3RnZ6/6kv7Nu3p9NuM5lmv1Hr + SKPSpk5R+GJ5Zfnt999/+/0Pb929u7y8MipGnYRtVanAutRZB6Vmk23cICKAjGWi4IvRaL0sJ7ou + I3iAOTKMSa3LkrRnTDoaFXVdqAKkUckjS9M6cYa5LMogmbFuPH4igNkkSZKLaPBeRWnsqKoRFCcA + DRi3HUYU0LHb9RiHjC7hkmZ5Nj29b9/eTmYNBRd8VknmNVWxvNfQKU98/JUv7927r9VKdEOLoqzr + 2hC321m0SYxuHqxgGtv+gYSabiF+Bh3TKohjrdU0dbFRBFCUxbXr1y5cvPDxJx9fvnRpNBq2s4xU + oZSm+ZAHaFyVOWoCAuPql0hVg/ci0gRPGuNwRMRGgXgyniFg1hzcP/fZF0/8/K2fPnj86GcfXZk5 + enyGUC2tPL1zW4bVyaOv7Nuzt9cfb+KODytBDcb9IQFkYJxxqYKD96Gu1de8DVBu32k2plkjhoQg + 49OvTY0mIkE1RPug7RsI5xTkg4iAma3hZ741qqyAEiGauxI1T3ycNYhDQJbnvV27+nsOtDPDWubG + t8Igr7da0N3UP3amLUn/+Itn53buyLM4TopMJiB4qG2GiIzhcHTx2r0Prt65dvnyzWvXisEglREZ + T0HSLAtrm2DK8zxLU2dNRA8ZRMQhqA8qChGBjjc+Y8ISVSVjLBNHCEM1ZmQwkWHDrN6H0aioa+Td + fHrX3OTMXN+Uud806itkFTK1rYOH7Auw3U7v1VdfTtMEXAEGxikoiEjwiWEHhACoRPTl00W+Ni3Q + +I/Ng6EgFI09VcIzzJdZo9FufGaiIqi993GEBTSOx2PFbABEZLaTgg8KYmOIkDhr+93jR49MpZLU + G1RuWvUJCYUyIXEcSo/jn/vCnj27Wy0ACArUNaDWmIiLEZNhVmfTLE2zxLCUZaHBE6wARIE5CoJl + KsOgddCBoEwS4yISBCvqvIhqBYxURSMzGGA2TB4iyBJySVmqzbjud48dPjBXd2erHgKX6NY8YV2w + OmFpf2X52Ku/cPjgodQlQIUsP37y5K53Hofrt29d/eTp81PhzOSoHj1+snDl1kOT9vfs2n9oby9L + I36hQhBQ8KBgGSZCGQrvQ1lWo7ouU23AsRAJzPE9NwwyCD5EixISoFYJBEtwzAFEgtqHUoInAZnG + YgjMqLT26oMEUs91LV7FmgAYdr2J08+d/p3f+519F268deX21Ru3Ln50YfnB41tXb594/oUTz587 + c+rsTJ7SpzCS+KCJo1kAgXjP3r1f/OIXbdp+/6OLF6/dvXXv0dboJw8ePLx06fIrL7/8wukjxw/u + il5sdR1CPEkipM0HhMS9vTje4IikG0OfGoBRCFIWpUgQBNGwfaq9BqgwO9gsyXq9/nSWCkOqagjJ + DcSQqvfBh5RMXdZlAbYYRx4CDExqbc5kIRJ8JcHHYwFjiEwQDSHET0uNdyMU8EHqIBQkWicTM6zz + omVVhsAzMxNHj8zkvOVoc8StkWlVrt2XTqvq9E8+1e6JA+e+dujgvuABJjsxffr01G/91m/uPn7v + zs2LNy6/e+HCx/OPVu7fXX7h7K1zn3vhwJk97V6G7bgsGlcaxzQPAsja1CUZ0ZCJmUi3TdXADKuw + VVXlyuj3Tzx/5sC15Z9efv/upQ/uHk/OHX0hFGv3ni5evP4w6+zev+/wgblWNLcBEqAjtK6kZDxb + RxQiZuLVexbbhJD4qZqTYdIsy9tpmvm6krr+lM4GMRsiCghNRhRVbsJ/k60kEDfo+OP7958+Xakl + /eTSg48u3rtx45bUA0uoau/YujSv6kFdB1bh8VrLdkEe/a5J1cT4zNtRjQjGsCFDcdgEFYIVkWI4 + rOqKmDqddivPEovtL8fEAtS1H42KsgoRGZAw/hYKiVMgZhg3TrogBQdAaqTJ1O65sy+9dGXx4vri + 6u2r147OylRC84vzD54srg35hefO7NuzezJDdMtUNiQpJ3mSZiLqgxcB2yj/SgBUJIRgYWOoVMBa + 1+50iI33oa7r6FAoaDTnABAMcyATmsIvEFHX2bb39VtvvfG/8v2WfVqsX157VIyWcfjgqVfPHv/M + Ky9O7ewoBlCpPUajGkrG8Ha7/azmGhuWsbXMbKJx2hgPoTEw2UwsqKlktufqsZST7THns9zUZK9o + HaxEAIsSG5NnzXYpERQc3whrKU0Sr6jFCBgaO4Mag635i+//4MM7P7708OpSqDeXkzDI1bP6WkIA + yCJNbepAcf+HOokrjxzaf+zwtOHyzp37l649+cL552ot15YefPLhz4piZu7Q4YNHjnS7QNh0Dkxa + hVABU93e3gMHNoMSkzOGmb33vq7zPIvYxcmTJ04cP/Efgt3o04Sr/9LX3xfrligS3GInGLwPIQCU + 5Fk1HN5/8OBb3/rW63/zk4cPH37j137j67/89S9/+XPOgWNQUBNDSwgQEWayljgK/wEKEhEe5wiG + AX2qtPm7XSIhqCoxMUdoyljLNqZvhQBeYLSJOta1eq7V7f+j3fs/89nX/rf//f/67nd/sLp2sapk + aWnlxo07586crAwGw+ADozFlt3v37vv6r3yNs3oi4dl2dnTv3r2HTuw7crwIqOAJQeFBvpHoUR8p + r03f9Xdg3RJBFL721lHen37+hZd2/PUlxm2GPnpw5+qFi5srX719+8GFyzdHNfJOZ3Zuav+BXVOT + Ta8eLS+VU8CqqkpgqWyADfAKD1gLAxcBsPjqExHYwCYwKVvrGmFiE2WvJYDEMwcbSZpsfNCiqHwJ + pLGTEq+o6tqLGoPEOg6h2MJEG9YiCMiAARiGcUK2qqWqPYKxJm4DU6hrk9go2M/WJkmioqpiCKlz + SeJc3D1VaFBHhsgYkKiqBmKBBqTWzMx85Rv/+LmXzl+4fPEnP//ZWx9/9PG1ax9fuPDOW+88nV/+ + zd/67Zn+VPBlizmSirSGeK3LuvYQC6AG1xBAOUgigUQCaUXkQ6hqLWsf2DhGDrLWcmIRFZoMwTmQ + JlDEdr2o6vWNzaqunLX9Xi9NUwBQrepQ1b4xnOVm3BXHiHFdypokGlkQlCL3iGoooIlqFlF9gh8H + 6wCwcy1r2ta5CMYqUJVVMGyZAZbYl43TpDEWbBTktik5DeXSw6phYmfTLM/yVpqmKl7qymyHPSbA + wSuqra3BcGO0VYmmqeu1cgbStJ21Jka1plmy9/j+3/3939q/67BDpmVhaSvlYWY9Qi11aZLcTO3P + 5450p2AJ4mFIRCSokLGN22XswZmMY+uISrFWI40oetEaw+NWlsEGRCF4CV4jCvnMbCsiEEqMaFYL + gUQ4zVhjMdHvH33h+T/87//HmV6aUl1uLadc51ay1K6srQ1Ho6TV6U3tmJzdlWcpoqgUgdHIk0qA + Ed8gDWxi568SSOLuNjhi0GwbFijBGeOs2U6hlQ9eNE15z8GDL/3KL3/thX07aLUvywPubVFnuU6S + cnNHbjqopZXMHNqfznQIUDHkIZVWow0XFtsJ2HRL7T6c35ifXxhtFBP9rFGlYYLCB6lrD1Uwpdaa + xkXXUPTxUBDDMJptBZEYqk1U7A8Gae/Fr/7y0fOf+8zdO996/fW3f/bOpYuX/+Tf/fs9b1987ctf + 73Ynd03vNYlSoxzBJfk6eKlrU3tyIfjAZGAsvIwqPyorHzyTOovEAqqh9sY5ZvY+briHEKKkXSw8 + lCL6a1I1SQCIyRplgAwxoaqruq7KYrS5sRFqzwwohaCi4hwjniG21rrEWRcbfAiRqFaQkTWe2Qjl + AVyhyjlgon9w385XTx9846fvLd27dv/+nd35ga2VzZ9/cG15QP39c4eOHJyYiLgtEgjDEBsk2zRE + QBGiDTWBmZiZCd57VVlbXfnzP/uzH3z/+48ePzxy5Mjp06eee+45a42o+CH/6K9/fmv4qAieoKrb + NrbjAYCEuiw1BG7K2jF0S9GyHKqiEiLrFgpnjDEGkI3BZjEaWhD5IMJIjcbZgkDjzyha1uZJQiaa + 7znEA6MqJGwtOEGoRNl7ISZCEAlExtqoNckQEWGvCAyJC11lsbG28d3vfvdbP/jJxYtX9+zcffrE + 6Vc+d77msqbClun1t27frR4sLzw0hrMUKqIMgmkgNRUV731V1XAWxrEjS/CRDC6Nb7IgcjbG96gZ + 68TwaaP3iSpARDBmONhcW10phiMk1roUIEAAi2CHpd8cDEWDc5om1jCrsgSFhomJzvNnT//ub3/j + 8L4pqkaohk69scarBqKCuyMzkU0fPnGoP+Gij7AiVJBKBEIOHH1Dwmi0cefO9T/903/7F9/+bn9q + 6uSpE+deODfdzdooknJ9vfDVhcfzN1YMqRl3BXFPAjAh1DIabm2slKMDac6kqSqIVTUE8T4QkDMn + IYTgqyCN7ykxJYltZWmauKoqVTRJjG/aY3UgH1BW3tfBGtNpt8w2tjiu1GIxE2sFRRObFUr0rBS2 + 1hprvZepqanDL770y7/x27t2TqUW1vukkqQK1teWa2cDnMt3HurtPZhkKNfE1z6OhC3BQGJMN010 + 1XFrYsCWok9zA01iHNvoWRYbXyqysbHxxhtv/Ptv/sWbb745MzP9wgvnXv3c54zCMVul999599rF + y2tPl60xvF2PEltriUiCL4tRXVUSAhuAuJGdE1EgiIgSVA0TASLCkJ0zvedO7pvsJ1cW53/23sef + +eov79Vy/cHTlcfzmZl9/uzzu3buzLnZshj3TRHbiO/pGAVkm6S5KopRUY6GWpdxMO3sWFSdmONk + iCiCmCpj3UtmNgZQH+qyLCRacsfLGLiWKOrax7dBxas0uO6Y30xBlEBgtoZtDBsKVRIlEO3evefl + r3z53Oe/NjvVhRTdlNphmJYbNnjPfbGTJeXtnVN7drejWrGFZQRVqPcMC44tqKysLP/Nj//mz/7y + hxc/+XjH9MyL555/8cS5HMVESqXQj37681v3H0urleVp4qwd9wrMHFRrQRCEEFRCIxYsUChFpolN + iAjixdeAMrGArLWGLCPU3hdlJYojh4+89o1vnH7+xR0tyv2W+rJGWlNeqRVYmHSiN7Fvpu9S28ie + GBv1bapyJKFSWAkNmv5pLk/sQcA85rXGfQgDYQXH0Qgg1sSxKRrR+XigRbxIHeJIsh6fR4KxkLgd + TBG3tZYjLwYibEySZMZiujO979y53/vD/25XP+HhClcDx5oy1BepQWaxVVTpzqMz+6ZMAgKCqHj/ + 7BwqDJiNSZIkS7MkSZnLshhJqAlWo+A2HFQQXFHWSyuLg2LNWvR73Vaax1dW1IioaF1VG1tba76e + GhP9mmks2h2XtooC/enW2RfO/tP/5g9209ZUveLL4E1PzIRzanXD0kZtjZvbl8ztb9lUwhY7t+fA + /p1zs46u3b1+8fH9/aPBsWqw+ujp4v0ny3t2H9u77+BMD76ZiJMHvMLXkJoaIQJAEZQCSER8VQyl + rjWgVvixpBQMAQYeozKMRiU0JFbT1DJbQgKqiCGo60Ah1BKgBhIJgNYiBC9wWSpclVKOqqGGnomD + BWNa+/Z9/eDBU59bPHXx+vde/9HFd9+/fuHKu2+9d+jsmde+/vUdc7v66Z6cGnXVxmWOidhBq6gQ + 7Xr9My+dP3n63IsXr/7k5+9/94c/vXnn4c9+9ubrf/VX/+jXf93/+q8e2ferzAAxMYuq995XlYa6 + OYiCKOmFJEmzFFA2cM4AwlBjCIoQpPZeoSK+LEfxhAqCeA9SZyxMxrZFnDoXEmcSQ4aE4Q0pREKt + 6lEWVVlIb4KNQgPIEMiBkqJU7wWEdpakiW1iHRjEEsOqCmFMZKGGdetFjYyncRSF1qM3I770xVd/ + +9d+ITeDhIalbZW2HbJOT5bMxt1JWpHu4Xzuee3tkDj/N2l7sv1bv/lfvfyV8ua1C3/xp/mFD958 + 8ujx5Yv/9r13rnxt/qu/3vu142eOWDSLWlA0GuqJ5Sb3cZ632u0+0xA0VhyL0F2E75TrQjKjlOb7 + Txzbt/9Gip/dufz+7efagy8dXF1ZuPd48e6T9Ve/8Nqhg8emHaoG3HFAB5za1JlERKvBYMPXgCKE + EFTsGHzbhjQMG/Qn+lNTrXZ7sLU1Gm7FsMqqiGgWJEgdiKxCRCUmmEYIFxr9LkkgcunixXfe+XBU + mR/8+IOLVx5NTc186bXPnDt7Usulbrqlo4c/eOPtjZs60kDbY0MQiJU4iIbgRTxUCIYZ24uQaFo3 + FQRpajN47zfX14NInqV5lmapsxbiFSRk2AC1oPZhVFaV90G0LEtflRjzoprnCAPLGoICzEwB7KG+ + phStqelzL7/yow8Wbj7evPrxx+dPdHb28nsP7z1dWq25c+K587vnduYQLwOmTNkRbNbuTU7PiOpw + OBxsDfszLcuIa2zbBbcA8F4N0iyf2bEzSfN66Dc2NnxVKdLg4RgUgU1AVE3sZn2ApyTptdv9uq4v + vffWw1s/7Gcrbbs44XadO/baP3jtG6/8wy+7femAoKigWnsdjUpjbGKbeNRMzmOOjsU8GSJOkiQl + Z02D7TZWGtulT+yXiCJEE/cnxlv0Tabiv/XzDbdoe5wIYlFVCcEjSET5uPkoDGtMENTKkQzPgNTV + cHnxhz/4/v/yJ399Y42z/S+cP3XouQOzs5k4v6XD1ZsX3nv49s0kT/OUnIk8hByk+/btOnp4R7/r + 7j941Ltyf30TE7K5vPDgxrVHbsfcwSPHd+xCloGChyVrDRsLlM+dOfsrv/u7aLWzNE3TxFlbFsVw + OExckiYuTdPpqcmpyUn8h65Pf9H/0tffF3QbRDUQG4ioBOMSk8SHzDdv3vrrv/rL773++qAMX/rS + V37xF3/x9OmTkVEmihBguCn1nYuDcBA1pHprDHM0l0WsFvFMQOHvehFgreVIjQAZJpNlTdwNvuFQ + OgdWkEBlzAc2rJwkyYH9B6anpn3tIWTYpi6zJksStNoTxnWUbLQP27N3z6//2q9lE9yzlIZ6Ik9N + 1i2LktM0d1bhS5RVMUxY4MglhuIId2x7/J/9PaMhPIwBWbQm9h60u/bumuq0iuFobXH+5uUrF97/ + 5PLFG3cfLFdidu3bc/rMsYlJFymuzgCUQJLBSKqyNhLqrbXBxtrWoOzb1DkwEAKCBMsEJGAFuaHH + sCilLjDym6vLq2tro5070txQlMskWOcYCq2BgDRtdXvtdjexNFLN0zRJjCrqEKogVY2ttfWNxaX1 + ldXpZDJk0EZGM66Nm62ivnb73vrGwHR6M9PTnVbbGRskRPUajrXDqLDWpokTwyq1r+s6RCl0w5xF + 9R4TSTIcAO/9yKqFbSHJZud2f7bTOn7i2C8t/urNhw//6F//mw8vXf3OX37v8LEzh/bsnWmnkcdC + ZEx0QiZEEJYiDsMOxEJB4A0rxPuyNGxbeYcnyqydUSJeqsFgMNwqbSfNxgVHXVUUKmsULiGX1UFF + 1CXc73bSJCEQTJK12mneGRWoqhoirHEXDhLEgGHSsgq+qgDPGsZz+AQwoCgnGUucRk/fe61DXfph + jaLy5fraRm/ndNchSxPbOBmwSbI0azGzgTJRCMFXdaXgJG/W4YyBWpBtyIHQsigGw1FRFJvr68XW + Bo+hWxWQCqyglZZe1wdSB2RJ2s2YPNY3Vpc2NjnNc7iJTv/E4SNHDx1PjCGBQ+F0yKFgqCUIu5Hr + jpLExirNe2dhjHHEEtOOAQpBXaEelqHyUtf1MPhhVI22jLHHrkgQYmuTLMlSJjBCQ9oCALZwHqaq + vKgoK1iD+OAh5FUQQAJYx9NTvTOnjzvUCap2ujshz2FUVcWuPfvZGBhHLuekZRh1tFqxz6KYqgTx + hkQBASlZZm6Ql2eS1gTrjEtVUQy2Est5lhIgdS21Z5uQTV2SdtrtY0cOvXz+zIRsdP3qkLMtpEPO + s1B1SXOpC2vrLKkpDRLICKq1hw9ufvM7P/rZe/PtXu+5w8+vb/KFazfefevHO/ZMz335JcTukRil + 3xoWm1sDiCTW2LhPCkAj2m2DBxlYA7akEuFAbva9G1g3gaI7NXWq157av+eX/sFXb125/s0///bV + W/Nv/vTtoyee7/flzOkphCDi8wx5GlxCZBMxLYUTEYqIQ6sjJvMK6yw3+8owxhhrQOSDL8uCSVLH + iUFVwZLPnNNKiQiBni6vza9uCTAzO71zps9AqEY+1Hme5Vmapy5NLDOLgrwyW2NSSI3Kr6xuXPik + agQAACAASURBVL527enT+eTAzO5dO7rddu2HSVzNN2IcszEiDECQeCSWZMeOqS+/+vzNix8szt95 + 940fnndfWbv35IdvfTydzx45+9LB40dbfRCgo6HhEiZXSv14YBd5CjZJmt48eAmeCaS6urpy49q1 + e/fuOmc//+rnf+8Pfv/s2bOtdts5p6r1ENWWCcU7V+7dqOu6LArqRI4kxYKYSawBfyqPbhNzJXI2 + VA1pXCmX4NnaxBpAUYx8XcKAUkdqQoCN+GblRSKrK1RaB/EUYA1Io7SLAbPo+IuRyVvdXq8PDb4e + +LpkYglGQm2MEhtj2CocIbGMiqrB5r379957/4Pl5dXXXvvi7/z2P3nlhZfbE63AVSGDekP+avj6 + 1oPiyfq6SChG6HYNwYiy6rYaQDAGzj1j/tfBUx3qIF5UVRlqSRjb0+JtslvsaQAAIs4lWZqCtJUl + vU67220D1agoyFgJoSUe1q0PwsraBjNSJ4nlNM2Y0hAC1DOXaUKHDuw/feIgh8Jp7VArs1cS4tJ0 + Cu5VllxkckfBQhv5KIY1iyFDffH4yYNvffsvrly5PDs794f/wz99+ZUX9x7YlXJoyYiH67fuP76+ + 8YM3rzzNHUf1gCbRpWkQqX0F9dMTnckJKDAcCVDnLZDxPvjllc3llVFRhNn+RK/XSh2gCqmLYjgY + bJS6GXzVabeNNVUJTmHBzmaQYnNYzc8v+bqeaLd2ze101kaCj7GGDIsCTQO4DatGjmZsWJqmrijK + wagEmyzPZ6anTp44vm/3ztTCSUhqTWtxGkI9DGHkWq2QT9cpDJCm6cTkhEtcXQ5Wlxa0HrnG1jVO + xTwDMBZZK3DihcDG13VVFp+qwLfhJwWB2AAYDgYPHzz88Y9//OjRozNnzvzzf/7PXnrppemJCVao + D8VgECq/trR6c2GVCayiiDulRMaYyDuQoPHfb/9PIo05cpaIrKHEMFPce4FtJdM7+gcOzd28vnLv + +qX1+ScPNtZvfnJ3aYNn9uz77PmXd/bj6Hl8OBsoXJ99g3hmVcva+wAmzqyNiorxGLHAB2+0rryv + 6lALQI0wRPPXrXVp6hJnDKkGkfCMWRNtQCX6hUJFSYI1zStljAFYFCGoBoGKJRgmZsDrqKy2BiMF + t1qt2dnpEyeOHtizk8lnVKfVlis2cqZg+jX1Bt6EjAnwAKlPGmIAk3PNG8E8Wl27dPnyn//Zn60O + 5Re+8gv/9R/8/rEjR3Z001SKHNXq1lBMtvX6Dy/dfby+tlYVI4OW9zBUpyDnMptkoI1IBopSLMQQ + r8ZY61JrHQGkklhjiES0KiuGMw4Ksi5JshYbTE/PnDh+7PTJk3Nd29IRfOUpCyb3SGphL5ynJicU + wzJzARLXFhgAq8BXhFaaAGKg8BLURDXRuJRK24+yWUQlC3bGZkmaZVmap8ZZ9l7hCQ6iABPbJMvb + Ls0UyNI0T10MWkFgfZTMMmycNcYyDKKMM2Bt7cNgVIii2+ns2jm7b/fcwR29XHdm5C2pgagvHYlj + Kb2MkqkIbjJgmVyWASAiVfEhFMUoT4yv67W1tc2tQV1VzlA0s7UAk4HW8BU4CLC0vDYcSZLkeZpa + Dh41JCizsYnhBGJCHQzBjvUWoICHrm1uDKosh8my3RPds8ePzCXVpG5CENCu0VKpLUYWRc1U5p0q + yxOAjUOn19+bHT60b+9s78m9qw/vHF1eOLfw9OH9h/PDik+cOX/06IkEQIAwBFJDhMiYVAIFH5qw + ZTRr5a1uJ0ldOdqyCHmKBDDsIDWChwqMQdIqPW0Oh2DNM+RpAqGaua7UJtYlnKTGGnYUKXmiGsCK + LOn0u1OzO8y9pSCW2blGKKhGhPnZze3c+8Xe7udOnnt69+al9975s29+697q1jvvfPyZz1zPxB2a + mW4nhhkM+BrEYs14lZWbXVVj7cmTp3bsPviFr/zSg8eLb7zxkz/6oz9++623uilePX/2wK4pgEQp + HhVoMBoMYGMwjdYXIkpalEMfcmLxvlIVSwai1qX9fr/VzhVha2s9BM+wDEMuZXiECkV4PL/x8YVr + K1vTM7k9dKDdSoNilBB3251Ou+trydNsossAyhqhRDsLYAtx84sbGxtDWLt/99x0vx9quBAQQlCQ + tdaSM8aOU0lTPxlLNmHr2BiQShCuKjU2zdK8dnt2TZ08cWSipQ6jEacjTiqTdMJEMupM0Wbd2lNm + swMLF+f9nhAqScLURPriuef27/yfFh59/e6tJ//n//Fvbt94/J3vfOfk+eOzB6amOz1pbAuibZOi + QQ1EgDzv9iamyTwJIfi60obAYQmewEapnfeIGSr51PSOHZNzk27j6Y3Fx/uLorx978ndx4sjtQdP + nTl+/HjSzPsBtaDMJHmr1yVHW6PNhw/vHh0gYWScutj36bNhdFPpBQmBRbC+tlZsrQOoaljvkSYA + GAx2dV2RqnEJAC+oa6QJbJQHCQHlcDjYeuNHP/qLb/6lSSbKkH/+85/9F//ifz56eF+nnaBea9mN + wcrtzSp5MLh5eyVAwxj5I2I21rG1xpA1xAiAG2dJ0rEIkJIKRBFi8WCY0yxttdtk6PGjBxvrKxLg + fQ0WZQkgJkqzbGJyKm/3AA7ek4SmEqBmZhlRJzI2rlthmwtDJu3PHDt9dm7nu9dv3fz43Td/6YsH + N3bs/OTi5YW1zdbEjlNnzs3NzmQoYIMCXlHVosYleRvMo9FoY329M9HSBAgBDGdty5lIUyVmaCA2 + adZi64qyWpifL4shkIqHumZJqKyDhNolFpTD1DCVsAb1ztlv/MZv/Le/9wttu9RLV1o0lUp/rnew + PZOOGCWQIIXCJm5iciKZX6uL0doG0gRthjWN6RGZqJ9uizr4EAKq4WDo6xY5ROUOISRRPoKAooQR + KG0NR2VRApo56wxrFBagcf2BZ4g4th8tWyUTggwHA0ZjOaBAI9uFZrVUyUThQQOUo8Hly5euX78+ + GI4+87mvvfQrv/+NL392yo7a9TrXWy0jf/3N1rffvl3XVajLxhgbgHJ/enb/gYNHjx39aHnzwf2b + G2urD9Ye3727OBzhyL49Z84cyjIkDk7bCApYk9hOJ9u1Z9epkyfyqZk4WHbWqoj3XkSs4SRJrDXO + /n0Bp//x6+/pE+h40QdMhKj2gmo4unr1yve///r3Xv9+VdVnz77w9W/8+osvnZ+b20HcnIBIWJCA + uvZJYpkh0rAz4sKjqvpQMVOUn4oKLmMw9+96SQghTiXMWFIwiEqgGHKDHw42sjzlPIEoOA6eCICq + VlVV17VIAGyr1Z6d2cmciNRsW0GtF4pYb6fd2b9/Xz7BHYL1pSUo7Mg3THFALWCieXGoKl9q4wGp + 26zb8RQPn57P/6eveEtJwGxADq6VTKT7Du7df3DHwrWbfjCav/vgzR/99OHl22ubtYB37d33/Eun + Or2maWQDwIDz3sRct9MjXxWbq2vLi4uLS1lrTycFNAbXgFpgLBhQSdoTnV4vc2bL+8HW2tVrV2d3 + 7JzoZzEwAWKIAKMUiIyvy1FZqYKUISriFd44TM5Mt3sTqjBAPdhaePRwrtfpps6aCDQo2FQraw+e + Lj1ZWBkV0t3VPXP6uZnpGRPHxSFIJAKrqqqIigSI1+Dj9ui4RzGiEkk5JAoTQN5zLYGSoCCLLG+n + tj09sXPvnv2Hj1y8cu3hk+Xrdx4+fLK4vjWYmciFpZZQ18GSc0lmmcbGeQRNQAYEIS9aGwPHMTob + a9O81dq1d25itje/uvn06dPlxdXJztw2c5aYGAYcUMrqxtadew/KsuxNd3bMzrSyTBVg0+1NTE7N + KmGwNVhfWxMPSpu4CWFUsri0srGxAYRIrQUAdQCDICQgISipULOzb1vt1p4DuwdrG4Ph1v17Dw7N + 7Z6bSa2B1oF8DUNPFpZv3b4rPmTOJs4REYiZzLNVbGqeMSQoWUSmHzGz8VU53FxbG8CkcASpQmoV + WqMaPl5YevBkrfKm3WpNddPUIstc3u+1+pM6PxxtDilInpp2Cwwkmll1qKKXtIEScaIGTXE0XhFh + cA2hAEvb2zG21W13ey0zCDSWiVBp2FkSpXxBwgyQaiD4+MtUIZC4zS3iQSSkQYNKIFU2Rq1NslZv + orPiq9FwQ7VqtdNOknTSWJRnviqsTWBMCBAbCaVjnur4iQOIMglxhVeUG38S7yk0tzaEYETARmKc + BSItqK4FDJtm3YnJrNMV1YX5p74ctnK0qWfUdG3iYFrEGVJbiwmBrRsZBAKrAIP1p5+88/a77390 + o9C9X/nqmbOnT9y7fe3+/U8+fO9n/R27Xzh9brptjIMlAZskyycmpzqtVmIIwTdIxHg9mhgi8IiD + H1IFieqY2Ilm7QpwnOd2d7e1c3ri8K6d6/MLm4P3fv7BnSdPVtfWC4UjtmlmZ6Zbm/NrxWhzcXk1 + meuneWJY1QeUQ2ysLa5ubgxGzJlKXRWlSmpsVEn3IQSRsLjwdGlxvqyQRwEcsEBISYLcfTT/cH6F + HPoT/Yl+bprwC+8rCXVVDJcW5rc2N+qqYym6sjPIhFG5vLq+tLyq0MnJXquVWIugMcjGf0JQrb0y + SACPxGo10W+fP3vkB7PZ4qOHVz58d2L33Ob85v2lwZHPf+7I2ZdcyyhAHhl5SAW4wM5zQ+LzAog6 + S8wEZVaOMujG0Oba2q2b1+efPO502q9+/tWXX37l8JEjQcS5RFTrgXZ6PTYsEgDhaHOmQJTiYzKk + BBmvM6PZ91dAtVYNqtr41ysBZEzeaXfb7dy54erq4vzT+eWlyX5u2fiqZiL2AV7nF5YWlpaRWEqY + GAiImrVKDKNgJh3TALx2+zO7d++xljfWFx8/ejAcDKXXZo5clFqCIebIBBHVrcHWvQcPFhYWkiQ9 + f/7l8+dfPnniVOlHJoOnSgY0OfmecQbULFfHwlJhRElUVDzUR1Wv+M4RKLI9RaMKAUwkvYwJGogs + MkSoyIyxMqMRo/P1+srS8uKCryrNlDhuzLOWTBujxwtr84vLRNppmU4ns8batNPr9rvtbL4Ybm6s + ELSVdzPTgh8SVbAJYATWcZoARRzVeKiAGqpcVIxiCHyt4v36xsoHH7y7vra+d9+pz37m86fPHu9P + tlP2qIfYXNkopN1qI3jW0EiEiiAowKpEqlC/uPB4ceFYbweYcuISCIJyc7B1+87DldXCJe0jR49O + TnapuZHGJdaQDjbXFhfmi9Eo+I4ahEAwABnU+nR+5dr1W8PBcE+ez+2YzbLonSyChloEasihsehT + MIiUVOkZVarb60/P7khbrbVRsb62liauNx5qJl6zADAh5AgF0izYJB5f62yr1c6yrCqXH9+/u7G8 + UJV7E4cgAgRHCEVhqhEQHi8sP3q6AO+ZYJip4TxCIWiMPePbAA1ha3Pr6dOn9+7dI6KzZ8++8spn + Tp8+xVFwIUg5GE7PzGZ5HoJAhbEtmkYhiEINI7EmMg+eKfD8P8y9949lSXbnd05EXPe8S+9tVWV5 + 19WWPd09PY7D2ZkhhzukiNldQFqszF8gQP+BJAhYQcCSWhAiQbMczkx3T3fXtK8u7yurKn2l9+6Z + fPaaiDj64b6sboKLXUrkCrqoAuqHrMx8790bceJ7vufzxSZQFTlnyDg1d+FwvgMcI9eWOnXmyGRx + 8uHmyuLUZA0Kk/dnGyo+3Dl44shoJnLYRIBDK/NhOv3zso8AkHHTdKLRRDQSZVpzLflzz1wTRMYQ + mdSkCJFxLpomTgUUduOQNVVXRCICqcJyHiFkDBAPGSuk5XPJF1ioM3EiRppASZIBUEhZxVgi0dbR + aZh2pVbb29tBpHgcbBQGCEsb4BmgFeMJQxjMB5cDHJ63FUgBTRsvBAAqAAPLlerW1tbW1la6vW9s + 7Oj58+d6OjttroF8UHUjf5DOZi3LUkoJzgzB2eHbROGsJTHd/DCaKiRvnq45cEMYFmcMtdIyUIEM + ocDIeWiJikTjmWwLE6xWq5UKxYhjp5JRU5sQeJo7JCwFoACkBgbAJfCAA6PmjS8MEY5rKJ90yMNk + ISmMmrMtxEIpPazCNW9+3oigGTMs03KA8irwZOCFOAmA5lAVIAuxa6FAw5DCFV1p4pqQY7NZQhqU + JBVAyLoFjMUTqWwL46xRr9erB5ZgyTjEuGOFDzcBaAkggaQBTLBItal4gO8rwYFxBoigAVGT1mHo + eFiiKCVrlXK1fNCQEaeJE9eIpBvVfCG/s5uv16QRsRKxaMQSDFwgTYxx0wLkHA3LMMOav2nt14Ao + 0IlHEplEIrXne6paNklFHCtihoZ+W2pQgWWgxUBLIGFw/rx8AoRodGiw98zY4M4XV7fXF2enJhYW + F5bWNpmdHD1+rr9vSBAAgR/qtkAamTBsIAM0cAQFIExmxaPpXDYW214rlwq72weF462Z8Dk8xD4H + Su/n1zb3CsVSJptqb0umkjFTSAa2EDqRTFgRkffrB6Vio+KmMzbnCIECtw7Vyn4xv7Gz4/oQ5Y5h + WIKHZYsHoYuSC9OMZC2ejCV7M7GOGNvd3Sxee7S+mV9Z3xvrr7H2HHIg1iTuktZECpvPJoXecuRG + LB6JJHJtXbynry4D+fTJ08mJie2t7UKh0JqO2gwYN4RpMc7cetWtlX19eAoVHICX9subW5uKJKLU + ygeSrNlDAt+XtXpdSl/WDrZ3Nur1IQ3pw24TAghZC/YKjd18WcTa08lIxAaBGiEA4KSU7wZ1WTso + litliKWAI6Bo9hiUS8uru4X9EgjR3d6aS6dYWHESBooIGOdocERSz08khKiREROhbI1N5AMls7mW + tpbVct73K0q6sVja5JbFuM2gAeAEkbjdijpiGgnN0Aibp5oBGsA5Z+AIiJtOJjbY35Ea6KlOPl2u + lm8+W57f2d6qlqst8dThFgegOYAmRSHCQWmKROLJZBYZNBqNarUElAthaBqIaeAUys02gG+nM929 + 7SdGOiYnp3Y3lubnFx8+mVna2DUS2Z7hoz09vQKAgxSag0ZAi1uReCoVS8WkPpiafHz0hXV5rJsJ + JACtNAuHob9SDggIU9mWvr7+jdXqztb6zh5ETAiXJFJKCyaAAeNKKs/1hGUBA8aBmtoLAqLvuhtr + a2srK2srJTRKw0fPnT514uLF822tFgKATCEeRM0gk2t3IttYIAYKSGvgBE0eNCFjDAzGwiqleY8h + a5ZjzcwvCqf2GRDnzIhG48mkbdubpdLezmZ+v9yetAhBa4WMMwQ3CJZX1nb3C4DMMkxL8LCoawIZ + 4Pnwz6ElRkugEAQsWCTV1uP09/VOPF5bm59ZWpiLOAd3HjyqNGRL90Df0JFMygJdAlSItgZDM+bE + Eplci2nZhULh2exsKtuSSoWoTELEJgCbQDCGxAzDiCWSiVTa39wcf/Tw2MVvjPSk7fDRbIY8ISPj + kJ0mAFGhUqQ4x76enpcujcUMFTUKXEegLoAssJrlIgcByOjQ/SoYWAJECAkP7bPY3L6lZpyH2Vza + NHg4sRt+zVdzsQTAWThoUCiV6/U6cp6IRhz7OQ/ka/3cQ1JUKE0RgQaGTJAGz3Wbp4Cw/Pg7VQoD + JhgPJwTQd+vLi4sb6+uBUmMnTp0+e2F4pC1JZPgFkA1gOtPabtqOr5UM3PAm1OHj70TaO7vOnjs3 + e31qb3dtbnbK2Hy6PrNGBH39vUeO9phmqEyYQFYsnsrmsge1Nd93lZLxeMw2mT6ERWAouT+3b/z/ + 4Pon0jgB4D+pGuLz7B7GQQgA1H6wu7v7wQcfvvvuew8ePhwYGHz7W9/+3vd+e3Cg33EsIqm0CvtO + QBAE1Gg0lJKatAy8Uqm4v7dfyBfr9UBKFfie0i6RwjDA4PkA6D/6UkopKUkfUi4BlNYqZCRqXalU + pmdml5aXyvv5WqXi1RvSdQO3USlX9vb2lpeX9/f3gYgJI5vJ9vcNGNwMJGgSnsRAEoWTaYILwcMa + VwgBiMjQsQyGRBAASBMYNwwA7UvP8xvUBCaEpDD9tSfj/9lr1ioUpTigAOaA5fQN9o2M9nDmQeB6 + O3vXP7u28GzVI8MH0dHTferMsWgsfFMAFYBmICK9/Ufa2zo5Kdko725tzs7OlQ5qKgAMwEbgCA0/ + qJSqlWI18HUs09LR2ZVJxiI2q1dKt+/cWVnb8CVoBQK0QCLS1Wo9XzioNbxywz2oNjxPkgIE9H1P + Kk8Y0NndnW1tQwTHNP1K9dnMVKGw54XnWAgLVba4uDI5u1Cs1JTSmVT64oUL7a2tDMBgHJTSgQek + BeOMcSml9AMlfdCKIYhwPh1CYHdzCAqU1kpqUMS1r+RBtaoDBeFpgaFh2x2tLaODQ91d3eCrSs2r + eT43hWLal0EQSM5M27TY4fmTCIGMULqVEEgdCA4mZwYPQe2cG8bg8EB7b6vr1+fn59eWN3g4GqsB + gITBmWEAsHrxYGFl/enUjAxUR2trV3t7xHFIEwBLJDO51g4uoFAora+ueXUfmj4IAZ4s7hUWF1d2 + d3cBJPu6dIscEAg1gEbSDCg0NXBhpjLp46fGktnYQaU08WQyv1sMv6HWQeC5VKs/nZy5feee7/uW + 4AbnYdfUNE38eysCkSYNQIScMWFwIWTgF/d2ZiYWCvmG54MvFZACv7q3uz03v7ywuocikkomW9KR + iAnxTKKls7uls1sT293Y2lxeKebzUoXtulD6QSAGmvv1wPcVP9yYhcGe7ykqoHBiHjiAZYPjpHPp + TC5lmgzIVRKAQCsIeVkAQAQKMNAYaKVJAjVnxLSGkPrSNL8yplEHygcgwVAYhmHa8VSmp69XSn9r + c2VhfsZza5YV3gYAyIUdBW5oSdVqw3dlU2vAsHfdtPTh4VG52UdARshJkw78cIAIAZSUoDQACxSR + Jss0tPTDgVxkDCIRkWlJZVu4MJaXFlaXFvZ2G66ngJoTleGj43meV/dqdRme/BlD8Msr8/e++Ozy + +maprefi7/3z//qHP/jem68eGx3ILMxNXrt6c2JytlisSglaazCMSDLd0dkVj8c4ab9Rk4FPEDrX + kSGE9AwpQzEdkTHVvBsAAMEPoNqAQIMmj6QHvu2I3u7WM8eP9HV3u1XP81GTgWBztGKxSHdXzjKC + 4sH+wvJKvuwSoCGYCvxiPj83M7ewslEolYk0KT/wGqSAhcdX39ekEWFtbWV+bnpluSwDEFxoIkLW + COResTy7uLK6U7CjZiqdTMZsgAA4CsE8z/W9xkGxMDczvbKyUizWlSKtUSkCTflCaW1jq9ZoJJLx + nu5OUzCCkAypFGjiWoKSSsqgOS6hwZSEZtTuHewY6oo76C1OPZl8OD73bKWqzM6j5wdPnHEV+D6B + ajCuoVoq725tb22XqjogIA6SwJeKDtd+5KxZUSHWq9WN9fVSsRiNRI4dO5ZIJBgXgFwT+IGq1uvF + UumgfOD5LmNoGqIJ89QAyBgiQyIVHKI2sSndIgBRoLUkolCNIsUA0DJj8Xg2ncrGYvVCfnVpaW5h + vlSrSq20lMrzdbWu8qW5uYXltVWwDGZxDMFjYQuSMWKcwp4UhiBbSqdb+voHYlG7mN+Zm53e2dlz + XcW4CZwRBEHgeg0oH8D+3kEQyLrr7uzten4QjycGBgYjkaiUstFoSKXCBcf13Jpb9wKXMTCN0DzJ + AITSqJRSytPkI9PNcT8iBORMIBMaGCFDRI7ESfOvS7eHgghw0RR5uJBaB4EPMthcXZ6dnlxbW603 + GlwYzODcFAGx9dWt+eXtnb28ZRmZpJNOxhgysONtbW3t7S2CB9tbq9tbW5WDKgDzpZRBAEQamCTm + B+QpUGEuIIVAYwDQQDIk0SoNnieVUp5XX1tfVkq1trRlMy2WaQdSagAIlFtpHBzUPNcF0CQ9kgGj + w8hFpUMwDOhg8smjJ+MTtSpwBqZlAWjXq+zs7j6ZmM0XGvF45sTx49lMUoXVgGXYjm2aonpQWF1e + Wl5aKhYPtCYlQRNoBd5BdWllc2pq1vP8ZDzamss5drjRakVKhXA4CGNEIBSDCVi4DzX3IwAESOZa + evoGsrnWaq22vLSU391r1H0NIP0gCHypgqapzTBBkVTN1ZtzbjtOKplC0uvLCxvLi4Xdog5I+a4K + fCK1t7e7ubLaWNucnFucX1oGzzc4s5oxZaEiR011NaSiEmkl6/VaqVisVqqxaGxoaCgaiRKBUqrZ + fVDa86XnyzAeh4W0AgQg8KXSSnEkyzJMI4xZgUP1PzyfhfB1QFKgZdhOAUSwhd2aPHvhxEBvm1vY + nrx368bVW3cezoPd3tN3ZLC7N2UdWom/JgY3/xxKuAQAQkRi8UQyE4vEAreh3RoAqKC53zHOQRiM + G5pQA0POheAibK2TDpQOlNREiCQ4Mg4EEIQTaKHwiQyYQSFmQcmQBB8aP8NSE5EjEUipA5+aPxKy + LS0jR47GksnSQWlqanJne7NW1c2XwBgYJmgCKUGBH4CSgCHhAbhSQTMdBYEk6SAAxIbn1mt1zlk6 + mWjJ5QTnOvwlCMCX5Wq1dFB2PY9zHotEHNPAELLFGAD6Uvuy+R0ZEH51sA+3eGFaluAclGxUK67b + 0Fpbls0YVwRa62Qy3dnV4zjRra3tJ4/HD4oFGUjQFOKB6XmRosH3gQgMJwSxMwCOwjQMwxKM6YDC + 2uOr/wCHyw2y5/z757QKQtDADScSjUoV1Ovleq3clG7pcLEi8HwZSA0IpDXoJg5LUyifIwCTWiul + SPkk/SYFW2vMZLt7++LJVLVSXlteLOV3A7c5xdW8nRgDQpBaSx2OvjEArcD3PCmV1iGzkSEyzhkg + MYaGaQrDCHx/e3NjbWlxc73mNkCGjGEG+4XdhcXFre19P8BYLJFOpeJRJgCBNHEuDJM048x0bCdU + FpRWUgZKEwgTsq2tnb3t7Z2y0civL28uz5fLJV9JSVqBaqZRIQCxwEfUYAAAKc+XIBUI3t/ffens + WNrB/c2VB/fu3Lhxc3V9O55pHzpyqqsrzQhMaEIZJQAxzoWFKBCBM+AAhkA7EW/t7Mxkb0sSogAA + IABJREFU0rXKwcrC7OLCqpKH7r2QcVOtPZ2anZ5bKpYOeno6+3vbs+mkBY4BUduKtrS1OnGzWj/Y + WF/d390DAAMZkHIr5d3V5YnZ6adT0+VagwtHhFkH4AL4UK+pcgMCBM1JQeAGMcfs72o5c/JoNpOt + 11XpwA0UmBYDDCdIQYRPbhCE+XhAIOuu9DzgLDS1CA7xeKSnu/vcmTMtuRwCNBqNQEpk3I5EnEiU + M35QyBf3d8qlQMnwFhZAsLK29nRyQmkfmZLSRaSmYgPoeUGpVGo0avuFnfn52a2NjUq5qoEUaEVE + Grd2Sxs7B64PuVxLe2sWNXAIOEhQvld3q+VaqVheXFiam56pVgAZmDZqUOQFe8X6zOzK3l7BMs2u + tpZsOmWE2D3AQJIiAEDOgJFsrokIgEwRauQUNqCgCUHr6untHxzQ5G+sLy4uzDZqdVCH4WYApAmZ + DcoM6oHvyecCBQSofQUU+j2IgYpGIt3dXWfOnB4cHPA9r1at+Q3PAPPwwBVOjvNmJxxQK4pE4olU + lnGoVKr5/b2wTCEAFehDvxYQiAAsI5Ls6++6eHooYbg760v37z28dffx6lY+3dnTMTjc2mYJDZby + eZj5DACWE0+nWrvaLcd88vTR0vxssRBIHUilgkBSCJ5S0HDBdQFkAICdXX2nz5xVQbC6OD89Oee6 + XijdSimVlgRAyBp+sJcvNDwCBNMEAlBKASlgTAVye3PTrdeRwPMgm0n39nQhUtOFyThIqjSCaiMI + pGIYNv+aAB4CDBVZQBQMOGoGz2FF4aiRCC0GIEJMIiGAYNyKRdPZbDKVlNJfX12en5/TWiGi1pqI + XE/u7OzeunPv2eIKAUYcxzqMKWMhy6QZqtRsiYPWoCSQBMEABZnxZLZtZHiovyNT2tuYnZq4d+/B + 3QfjEkXv8NGO7mjcESDroBugpQJAE5LZlo7unngiubu3e//+vfzenpIakEOYb9lcJ1W93pBBwISZ + SGU6OnsY43fu3J5/Nlsq1sM5GhWOQDAmhPBcJRsEgQYiSVKDQsSQfGUYnKMJAGALMAGgGS+KwIFx + Bdr1Xa2lKXg8ApYRPutfk24DFSgwLCec6YxGLMMACDEG+NX9D6TBNEEYWuq9/XytVrNNI5NKxKIR + fG4CeW5vZ00PZlgX6dD5wTgAk74P+mtT4+Hv0eyOIzDOGGNAqFXgeft7u8VSSWvo6ulNZXOBBCQA + gWAIqNSqDV+YFhGR8kiCDKAJMeZGtqX94qUXstlkKb917+6tGzfuTE2vWDbr7+8bHmo3QjO3BgC7 + pa2zd6A/0MHm1sbiwkK1XNEaBDYBpQzA5IAAfpi2BH/n+o8pn//FJd5/AtftVzL7f+JiDAlJK0QE + RJJydW3t7r17X169ur290z8w8O3vfOf0qVOe6waaOCfGpB/4DCzLjHPkXGA8HuOCPNct5PN//ud/ + fvfOQ9L8D376X73x1svpTFQIBUBKaQYCn5vW/tGXYQhOAhFJk9LEEXk4Q89gd3Pr7t3b/+ef/DtA + PTDQ+9LLL+VaWk3L0oSzc4t374/fvHl3fX3LNM2evv6TJ44fP94TdYB7RqAoUCCJAIgbyAWQllpp + Cvl/UisImMlZCIVA2ZR1fWlGY5lMTvDQJKERNCPCr9g58A+8XZqrFYYJUggoACxgQd9g39Hjg/bn + iFUg11tfWLUbFMOY4zhtnR1dPa2mGfphQEnJBYAVHx07PTwym4rHXZ9PTzz53/7X//nf/Pf/wxuv + XerpyHDQgZS1uvfHf/wnXuD/7F/8zLajPb19J46PPX78dGtj7YP3f93R3duRS3TlUumYbViW1vrd + 9359/eaXb37z9VNnxpKpDAAHYpxzzlEpz/MhmU6lc62OA2XPn37y+C8b9dZcKp2JW5k4KZ/qtUa1 + 8qt3f/3B+x+6UnPLyuWyx44cSSeToAERbdNQiiBoaK1DxGkY6irYIa4Fvlo9mnIGA621DHzG2dbu + zuSjZ+dOX+jqaAEznGEgH9nu/n4+XwBDOKZhCQOJawVEaDpOACTdehC4IRKLIQAaoZNDEoS2L8Gk + ZTDBGWlGhAPDQ919PcGd8RvXbwSZ7v6uzpihWmJme0tOE3muVyvu371244vPvljb2Ow+++aLL1zo + 68klYsAQQIEdiSXSLaYtlpdXxLWr5154JW2NOHGhFc1Mzly7/M6Hv/lkbrkEPMdAYmgqBx5OB2pk + BISgOTUtM0GgDdsaOTZ6+8b1zfn131z+MNLdP5D9LSsTMTk7qNauX/noww8+undvWlZYo1Y5KBYC + 39dfs/voMGwDEZowtbDvgA03yOe9uruy8/mnmyvL/92/+tlbr7zYmYkC1vP5nS+vfXnv4fh+sT52 + /OyRkdHOlqTJAEA7ydSFl197tus9mln/5c//xvMbb7z9Vi6bCrUA4AKI60YwM7ugHKd1eNB0OAPg + HEAqpUGHs14MlAKhAUiB9tEQwuQNt7K5sby6spyM9FsCOAIBMWDCMJUwFDCpNeMoWFOF5wwYMwAC + AI4okYFGFaiAcWzC1yy7q6f/jbfeXil/uvBs5k/+3f/xsz/459GXL+WS0UAGTElhmlqqYrE8O7+Q + a+tq6+oxHcDnw7waSBExZOFDjoBccNMmFAAgkIRW4cSkEALICAtLIkAgk6NlCMMwAMMDHjt28syl + jWD/3uL92zc/SPI/+uG34klLEyGGIV66Uqke7O6XAtV15BiPc891dxdX7995euPG3UzX2MmLx0+e + PdEWkfzY2Z/+7k+Lf/HrtbXFv/3bv2r9l3800H6EAQdgSpLnyUatVinsb63i/s5utVaPRWONesP1 + 7WRCGMYhyRIRgWNotwOQfrD07Nn61PzZc2etrqwWgqOBGPhufWF+Zm11mQkei8UjkYQGg4OdSKaO + jY3OP51drxYfPLwf7+/sTLeYhik9ubKy8au/+vnT+48PKjLwbS1dgyNnwACBSMpm5E69Vr1y5fOg + tvuvf/bjl04P5dJZFLiysfn5Jx/efzxRaQT9QyOjIyPtLalqueBEPG6IWDxuGqKxv/n5p59wt+gV + Nr//3Tcz2ajWVNjPX7l67fK77+3tF/ovnnjxhfPZTMIykQOnuutq8ploECjSBpMGmCJMXUEBlgXp + +OCJI+3rG7Pjz/IH7zQorlzfae9o7e2PxMDiaEmARv2zX/3iV1/c23aNF7/z4299863+3hbTACEE + MAgCrZVvCQaMgEi5DcFZSy7rOPb+/v79+/ePHjuea+sQwqjW6qsbGzNP5p5MTmxub/iBJzi3DBNB + A4EiJEAirZWvfFfLQId07vCiZjKYCksy0iwkYCJjtt3V0Xnm+Njd8cmV5cXrN687cTMyEI3aUVWt + TU/PfvSLP796/fbGZhFYynWrKvAN3pR7kHPV/Pbh8UmAYVnpXHdPz9DQ4N3phcfjD+/cup0Q1tjY + kGkT4yDd4PbdW09nt9zCyr/6yTdjjh1LxBlnxWJxamrqwtnzRp8ZFwnN5NrG+u0rd69dv7aw+CxQ + vgx8FYBtIUKIJDURgHRAyiMdaABSBEwBBwacmABmaOREAFoxkuz5uQug2XwhgEBBM7YUgkBppYRt + ejubN65esbzCH/7wuy++8poVSygN+xvbH7z34e0HT3ypT104PnakP5Ny0K+D4bS2tL326ovb9dr0 + 05kP33/fIPb2W69ZpiUEAy60ZlKjH6iljR1tRMb604IDAwKtSAUaJBcmIDAOjmNoaZiGlcu0PFvY + XVpcXFpaTGVjrZ1ZBFVv+Gvrm++8+97Dhw+Bae3XdeAzAuDNJPMwBQeC4PNPPuP1gyj5544PdnTF + BaeVtdU79+5/8ukXO3vF5MjR/v6+TCrOAHQQsCDwfbdSLnmFxpxf+d//7b/96Y++/+3f/m4ylwSA + crk6fvv+3QdPdveLfT3nRoeGctmYGVbMSEprTRTmgDEIg2sQgFPowmWaoQZo+p2V6wnLee0bb+xe + ubO4vPSrX/ytbHz7xRcuxC3TZMCUAqVct1apHJRqdSvVlunIAIAhjFQ6c+bcubnd+o2nyzevftGd + jQ7/8LtcWF6jtpPf/fDDy/PjN02v+OThnd19F3SaMxAsJPsQwKFbBQ+rAwQuhGlatm0zxg7KBysr + q4VisaWlVTAuTLG5uf3g7r0PL//mydNJ23YEYyx0eyECotJEWoNWjJqoaFKqed7hHIhpxEOctCTp + A0RYaMAEDpbd2d/X2xaPudszX/7aD1ihJF8/+Vt9o2cTkSbDB0PT1FfV4OGb+nyiCIGQe5Iq5XJ1 + eSm/ue66Z+Mm6ABqnhexteBaatLIifFw+AtDVy6RJJJSNYHvzTFV4E0LNQIwzk0urNBlx5FCVvvh + r8EIBTKDIWOkUQXwHKFrmIlk5viJ0+v1mdnZ6RvXr0ZMPHvqOHdMKxwuNm3yKL9f2SqUyWJt/R3C + BA4aCKQvGQQGN9FG1DbIejQSS6VTMpCbm5sL889KpVI2kwGbgx/sb28/HH/y2RefT8/MKCPi+670 + XU0RE4GF4DNiGk0mQGvSMoBD+VRrzQBBWLF40nGcwGs8m50pFS+Eobi1aq0R6EwimmtpHR49euTY + 8fH5ratffjkyetR47dKp0T40TMEMBaAUFEuNvf2CVrolEe3pzEAQgNbADYWCAJAkJx8JtALQYQYg + Dw0a/LkWi4dHLgStgSkFhNF4uq2twzJn65XC1uaaP9RDVsSTgbAMzgAUC8/MlgNESgd+6CYWBuPM + Cs3YgJwhGAxMwcShsgsEiVT2rbe/XfzyydzczF/+2Z/WvvPGGy+dzyZiDFFpZQruum65mC+UyiyW + S3R0GzaYHEQ0YqDUBL4MOBecc44G6CAMjlea9vdL67durjWs0srUf/PTH8Vak5aFKvDHn4x/8tmX + m5vbbe2DZ1+41NXRFkHQEADnxA0NXB1mCyMAgQYtGSKDcPqBZ9u7Xn/jrZX3v1iamfqzf//Hv/fj + 7774wslcJkOkCMAwuPShWmosr29kOlvTHQkOaJgWUAQYS6fjgz1tranI/vbWzWs3lzd3hN0xenSs + taMjEm0+WgwAgTMwpIZa4HlMapDab7Ao48jAifUOHRsarTxcefr00e0rOdHf8RPRmrAMYFzoanF+ + cen9yx8/nZozTPPM6RMjg10RgzPgCJZpQf/QwGzXrNpampmZbJkbHenrMC0kKcvVyrvvf3D98m+2 + 95W2XO3Wq8WSzMYgogH0zMz0Qal28vxLVtoxHC4ci7zafj4/MztTLOQjpt2azcaiEQUgpY8oGBOh + SKKIgEB5Xqmw9+zZXDyRHjt5WhER04wDEhTy+3fv3t3Z2W5JR0zLEsIQMaezr7+zp89xZueWFhbn + prdOHu8fGQIEr1KZmnrw/geXf315fHun7iQSnIMpmPT9ildJOZiIp9vaOw3L3Fpb+vByiWShlH/l + W2+/4TiW5/v57Z33L3/65Wc3Gkq/cGT0zKljMQccgxkA0vMQEJmoy/rlDy8vVcq//0c/PXN8uLs9 + 7Qi2trR99+b9K1dvFwvUPzjc09Gaisea1lbkmvFAg1IKdRPv8BzOFmjyNRkh4Iw0Yxwse+TYibMb + lS8fzNy+eYUF5Zbkf3tksN9OJ0MoJ0fmNRr1rcJ2zY119jDIegwwcNen5548mztx6YVsT3fMsjhj + OtCVSuXx4/GFxflEIh6PxSOWwwApTCBUxFAjA8YFISAQF0Yimclk2w0DCoXC+tqS33iJUuFZ9JBY + QxAo7TM0UbS2tZ49fezLj+21tY2PPvxycmVfxLtOnz/V0pm0rMMg8bCqQgBh8HjmxJkXj6/wdz6Z + fnT/9kgXty4NtWcgYtlAhqwH+cLBwtIGN6JdWepuF21dvSdOQOzy+Pz0xDu//Jv2H343M9Itcilh + CAbQ8BqFvfze1s7m1t6xsdPtnZ3RCAgBWoJbq9mmNg0zm85ZphkOyO7sbM0vzBUPCvFEpyOAMb69 + m3/44PHl33w6O1uyMscE0/xrkVdSU6BJKw0kUQf0lXTLADgB1wSKJKECpEO0FwPD6u0fGD0yOrFe + eDL+8KOMM9CetDtbUFjFYuHeoyd3Pnv/5u07e1taxUkrKd2660M4AhT+CAxbLCHARknelFcwZCxx + zo6MDp483n/3jn76dHJhzdrYKp1/vfv0mTHHCTvp4bJMmgHj0NrWfuLU6eHR0Qez61e++Gz06LGM + c26oJwfESWsvABR8dXXl/p1bF06NjXTnnFji3MVLs/v+4pUHE0/Gh3vacq+9HLVY2GgBgNJBZfLR + RMRwOlqgp8sMNBGCYXBSQaMONpJpIUcegoalx7nNmuO0wHxNDc+XQSC9Rr0OUQsgpAKyww0ahSLe + cAMiQlD1Wtl3E8qGELGCzbdINzm9Slfr3ub2fq3WiEVyrblMMpFgIfIHiEAfOoLguYSrCTRBoMBX + oDUJzjhSqOwyAEAOaAAZgQIGZFDAtAwXeWEYLa0d0XjaW9taXVnuye/bA52gACToSu3DDy5/9NEn + W9tbqcGuaMS2TbAkGM3PglvJ7OjYie6OGxPrU19+/KFZ2kh5bkf/se6ertYMcARS4EmwGe8fGn3h + pUsPn45PTk0Ef/WXLuHZc2d7OtsE+6qWKhaK6xsbyUQ8nU6lk8nnBdbfvf5Bgug//vqnASb85yXD + ZiEc2mIJkD2bX/j4449nZ+cqlTLj7PHjJ7v5MooIMIYsAAwINJLNMXpk9Pjokb7R0R5N0vMa5Urp + 8ePxL774gqP9ykvf8D0fwAkPgnjYYcZ/0O/0D3tpCAxRkdZEXPBmthNCoHTp4GBiaqpUKszMTq9v + bqbSacM0lYK1je35hZW1tS3TdEZGht/+9vdffvnFRBw4A+VhoAm4gYJrX0vlSeVpkojNhPDnOwsL + 2RLIGEDTS0ismSbeDFVs8sHZc9fGP+yjCC+OCEggFQgG3ASObZ1tA8NdyaS97wf1QEOj4SkjaacH + jxzp7u9LJJ1De0AY7cMBLTvZeurUme9/7ztXr91d2dndK9fee+/dtfnJtnSEY+BJXXe9dy9/3Nra + 8oOq25XM9PUPfOutN+vlg72HT/c31j797NNGabcjE0tYnAlTEnz86eeLqwtjJ8dGA21xk4CDRtIE + oJFpJsAynLGTp3/ykx9/cvXR0lZp6unjd975xcz8VGsiJvwGNmpeo/HJp5/PLiwHhBcuXnrrzbe6 + Ojocm4Uojdnp6dnZ2cLWqj7Y2V+e2c3ntaKgXrl942p5f/1+b+fRkcHBwb6uznRYsiMCIIXAN6/R + mJ979s47v3z66El7ayYRc4BkXemqF1y/cbNRr507d+7I8FAunRHIORqMGxoxAM1IMdT8+UhKeBRF + BpxzwYgCki4pH8lEFGhaQ6MjZy6ePTW3PLVbuvHlNZORjV4uLro6Ojjjbt0r7m5OP7qzNj+dybVd + vHjxpRcupBNNagwgS6Zzvf3Dw6Nj+Wc7E4+f/PLnfzM12JuN27KaX58ZX5q8F4klczmjXJScZHNM + nUJ3JNPAoIlzVaBBSjCBJVKpY6eOjx4/MrFfnpub/c37H1Y2l3paopaulfLbjx/dqR5U+vr6KxtV + 2xCoNUMGGHZRD6VwpQGaLbimQo6IwjAtiOVyninWlpcuf3h5feFZVy5q6HJxa/7eg/GF5dVYqvX1 + N7917OiRVBQ4AGnlxJOXXnl9Yau2U7w2Of5Yk1zfXm1vzUUYisBninxPV6v++s7O4Knj3+zpNJ0o + AoThes1hmLC9h4efL2NtXR1dPZ14f/7RwzuOgMcDgwIxnomcf+lMtjURQdTAfAKpNWcomGrqqgSs + mVHIABghKJSKglCg10oy5K2d3a+/8eajxb38/en7d25GDViYmehoyXHQqJTWqtHwDg6qO3v7b7z9 + 7Zb2Lq0Y48+9UgBAzdFGDGVmkISSEJGZnD3nb2K4biALacKgJGqJQIJzQAIUYNrHT519uWzeXy6v + Lj57/728X1zvykSNSISE4WsK6u7BXl67gZ3NfKutNRltLZcPrl+99eDu01rNfeniubMvnkxl45Z2 + +zoGvvlb9o3xxSuP565f/+LV88f72tPdnWlABG7YEau7s6Mtmy7s7Xz+6ad+bS2ZTBLFEsnul196 + NZM2TQ5KE2OAyLSWIRiHIa6trn/8ycdPJibMziy2xKXQjluDg8K1Lz8vFRtHjowMDAxkc60ABoGV + SKZPnhp7dOv24szetZtX98ifffQ0a8Uae6Xt5YnJ6Wea222dqc2VOkNpCOQARBqVYmGYF8dMKl3W + cmZ66tfv8vnHLalkSklYXd188uje+k6+tav/e9//ncHB/phjaI8AmmMXDAEtM5dJrywvv//rg/3d + 9Wwuhjw42N95ePP21MR0Ip0+c+bMSy9eTMat5sMuuBYiEKaPHBg4RjNZTgAAGmAYwMyBseGBmfkv + bj4rLK8H8Y7U4LFM/2CsBRkPv1KD31ibn71788ZKnbccvdjwg+fUQwL42lASAWnGMJVK9Pf1tbW2 + Lq2s3rhx03KiA0MjTjRWOiivbWwuTC9ubW8xgUIwIK2l1BwAGKAIpVtG2hB46Do8vBURCFEzBlww + RAHEmqhrBMMc6O9747VXN9Z39ra3Pvrko/2D3eGuwbSdrO8XVmfHx29cz+TajsW77k2taC0RdBOx + SgCIEghIM0QGoddNgBlpbW1/843X854/+3jq448/2V3dGhzqjCW40tW9kno0sVL1nYH2qO8H0Wx8 + cGi4s7Nzb2bl1s2bjmlPPp1IpuOF8v7i6sKTe083t3YM2xBaapIqaA6Mai04txAZguRMMaYhXBqa + 2zASMo1cAYYmNaa/SsQGeB7IgMB401TEGITvmQoSbV2phPHg/j1bN6afLcYzOU/p0urCrU++yG/n + W9s733zz9RNjw7YBTAnQViSCly5eWNrb2VnfGH/4IKjLtZWFeJzbFoGwai7VXKp5suwFfSNHR3re + svBwXAoRkQNjFPrGOTAwMunsCxcvlQ5ub2ysvffuu0urC5097YFbre1u7q4sPHk6USoWDM4MRjyc + slAAgMBRoWIM0YmY3FhfXfvrv/7rJyPd7R1RJ6qezT2euj8zM7fQ1zVy5uKl0dHRdMpkAMhQIxKC + ECiilmOZmxsbn3/+xdbeTq69RTPwChtzD28sPJ0Whn3p0qULZ0+n4qF9B3QYuMQZAWC4Sx5Kt9Ds + Tjddt4wAkRjjmVzLN954ay5fX7358Nb1a16lNDf5NBl1YsK0kbm1WqVSrtQqxMWJCy+/2vK64CAE + F9HY6TNnJ9cKD2bXJh4/NHX9YHc1EbF8r7G9tfn00f39tTlb1WKx9OBwYneuCFoq5WuCkJoTVmDN + IQgKiYAsFo22trUNDAw+W5gfH3/8y1/8cnh4JJVIenV3Y219ZnJqa3tXQzPtqsk9gNDPLRABSGkV + hPFfiIfMBMZAMaVREwARkkJ47qwEACBh5ro6RnpbjmRYcXNmX6Ybkf7s6GudAydtDpy0xueW29BE + 9FXK22GBqIHzSDyZa+lIpTLbpeLNK5/FvFpHNBonSqfMV14+k83YgAyYUMgVkdKKSCKELBWBPJyi + IIZaa6kJDA4gw58mCPjheD9hCIWH58tHiMHlHEOOZ6hRAwCBMFPZlldee32tylduPbl+7Uphd/3x + /aHWVDzOmSk97Xmlutor+3YyO3B0sK2vPRxqRS44aAxhOwyACdA8Fk+0t3cMDg6s7RQePXz4i1/8 + 7dDgUDZuV/I7u+tL84vL29s7msg0Qua6ZIfKNhEyYYGwFAEia+7rocNUaUAE22lpa89mMgJoZXH+ + 49/8prK1bJtC2JFcW+crly7EE8m+gaHXv/HmXuPKvenlyx9+sL82Pz7YYwqu0PA0awS6VKoelKuD + A4PnTx7r6cyE6wYg8wmkkqB8E5XBnoMfWchVp8PuwdfK/Od/GaCRSOc6u3sijrW2vHD5w19XNlcy + lhlodfrs2d7BgfaUScAUYPg6BOqvaowmTIoThiM4moNqjpZzBorZ6ezb3/rOsxIufDl+5+ZVqOW3 + FiYziZgQBhFqLavlUvWgyAxz5NTFF1q7w8VJcMAwvagJv2vmcyAAIiNAISCTShb3d2/duGH5lYHW + ZMxRXm3n4b0rj+4v+YEaO37qzTfezKSSonkXMQVc6nC1IC0lkMVAExJnAjRCoAAw3d711je/NbN1 + cPXh9M0vv0Cqzjwbb29tBQ0gAZRoVFWl5OeLpW+8/Y0XUpciDnJuAJhAKpmMDfa2DfW0jc/sz83M + Fer+6IkjYydPJTMZLgDC+KUwLxSEInRBkcm4Aag9FiBxBcIeGjt9fgvuzxX3d1Y//+Q3ICtdHdls + RJjabZR2Vxc3rl694fr65KnTr77y4uhglwXAgSNYhsmHRof7Rnrs6YeTE0/LFt9ZX0xFuG4cHGzP + zzx4WHaDvsFsuRwzgQRoBA2ogNTE5NT4g4l74zORbLuIZYRQsr69sz5169YtJY2jo6Mjg4PpdJoA + mmlAYZOUMRCCSIc446vXrpfK1f7b9ww7yo0IcqvWCB4/fjo3N9vZ0X7m9Jn2tnbbcYAJsJzRI8eO + HVud++zmw7s3/1bJua7eNEK1uj337P7u/lIsHnMiyjSAM82QQrdgiPwnDYDEImYiGZ2ampCN/bWV + xUQiFsigsrN7+5PPt1d3OjsGL1y8eOb0mCnCk4jmjAkuDMOOmUIGcnlx6f1fvzc53tKajRood1eW + 5p4+WV7dbhs689Jrrw739cSjgkLAGHIUpkZOJFErDoo9f3oANTAFzdhVQA0oAEVHd+/JU7Xz5yfv + P31259b1v0jGhvp6o7msMriHIFxXlyr1nV3XMN78wQ/aHQdsu1KtTc/MX/74N49mp1MdrZl0wkQI + GrX9vertO7cCGVy6dGmwvz+ZSOKhh56xw2qiiWoghjyWSKUzbXbELpfLm+srbgO0DuEBgml26Lpl + hJYGlk6lRod7u9ozSztrk0+XtmtspD159tKZdFuUc+BhznJIWgs/b8M5evLiy/md8eUKAAAgAElE + QVToxJK/tjz/7q+2N+a7h3oT2WQrKF7YLW9s5dfWd4+OnUm9fAQgFc22HzsWf/21V69M7Ny9daNF + V9cHu1p7Oo10ohh42zu72xtbDFhra8fgiA8IgQSzeRpBAOSW09nROdA3MDQw62uj0ag/eHj/b37+ + H/r6emKxqFsrbq1ML0w/zBfKOkTrgGrGaQKGLAvdPCrr8FM7LHIZANcKA6kCFUgIADU2e5YInA8O + j5w9V7g1ubS7u3Xl808jzBvoahV2dDdfmpyd35qf6Orps8FbrxlAxEgJdsi+U9DU6MKSOnwhzUoE + iBihQIC+3q4jwx2xCF9f35A7Rt3F7r7+seOjlhWO0je7a2FtG4lEOrt63njrmyV17c7EwscfXd5Z + nhntbW3NZVwSewf1YqW2t7Wxu7UxOtAjRCdwduL02UsFOb6492x2+peN8sr8dG9nWzwZlyTzhfzu + +t7Ks7WLZy+kk4PEbB12gkmHyzVDZCAAEXQ4FcAEHgp8igiFYZq12s705NNf/Py9lNAJVIKDL2U8 + mTg20NXfEgNmeoHyfW87v3b5g3cfJu24qSzbaiitDbOro/1IX2dfWxa0gkBWG/5evui6XixuZ1Op + WDTy/Nki0k3fdHi7Y7NzDcgIuSIOjDu2LQ4FeaRQuhVKc19qIM2UjzpgpBC5bdt9A4MdXb1sZvf+ + nTsFSO4+G8oavtHYP8jv3L99c2FpxbYjjAFoyQgMBmbo+iWESKK73+rv7cxMTK0tzFiNupVLXzx5 + saOzPcbDTNfmB9be2Xv2wvkL4+dvTS7cuXNLcmNi4mlvbw9jSJqUlH4QFPL7xWLxW996OxI5/vd1 + W/yP/vO/2PX/FW23OV2DFJ6BGVtZXb1x42alWvX9YHNz6//6sz8jZiJ3CFFTQ+m6bZukHRVYP/m9 + P/zRj747Otojw/wIt+EHrlLKMAVDAYRaSc0lA8E5UzLEaf79We3/N1c45cQ4o0NX0PMPxbadVCrd + 0tracOv7+fynn30WNlyUBmHYhhGJOE5v/+CLL77yL372s8HRQSWBG0BAmtCJJWKJRHBgEQcABSQ5 + MzgwIkIueNOWRgIYgAHhlCQ3PEmeF1iWZTs2c+yoY4cN9fBZOfRb/Odvm+amwQE06EAyhsAtQIjn + Mj197d09LaUAVZVJbhnSSKTbz77wct/ggMEAQCKiCFmiIEgLRDp9+ty/+dexnc29neJByWu8986v + PvxFjYJamC0KhmVEYm1dvQoFtyI9vX0/+J3vb64srW7tLu8U71+7ev/Lj2yuWaB8BQpAWNbI0aFo + IilMJ6j7RIw0KqW0lkKQbQEn4/yll9q6Rqve/1L94v5WMf/Lv/pLEAQMjEbDDmPsGGOmlcik/tmP + fvT9n/x+KsEM3vRO3Lx+7S/+4i8mHtzRDWUCBAABQO2g+NHP/8NHOohlk3/w09//8Y9+2NF+8atD + JBJnnKFZKhQXFxY///zTX5ff40jJRMy2TU9DvlJxFQyMHv9n3//+C+fOdeRSHEAwSxgWCM5sw4p8 + Lci4KVgwQM5My3Js0+QMfem7pCMhRTc5NPjia6+sVVX+vS8ezswuzE5qr+gIP51MWlZEBlQt7nHZ + 6G5JvfDi62+++eaZ80es5+AT5DzTMnzk+Muvvr7l3b0xsfLnf/qncVtEDHQP9mLM729L/uh3fy+y + ur/6+W3LQM4O3TjEATgTpmWaEZuZjKDJnhfxXDYesS699uKzA3ftxuMrl39z4+N3c3EOXhGUF4tY + 3377jfNjl+Rn91wrG49FTdNCJhQ04xOQAZFGIniO1SSNjEVi8a6u9r4z51Sic3c3f+3q1Q/f+VXM + kFwVeFD1Akhkus+dOffd7/1gdGTE4SF5WopY4ugLL75dVqWy+uDjTz//9KPPrn2aTMYdIO77yg1c + l3wfosnMDxm8/YPvsmb6DQELOYIgCYCAh+dl5CDMwdHh4ycL6SuPHj28M/HoQcKJgdLDxwb+p9b/ + 0Y6MJG1LAYIwDdOybdMUCABKggLFmnOwHJAZlmHahmEJzhA0BIG0OBq51jMt3W8sbu+7dOPGrXd+ + 9YsP3vlFLp2OWCZqXSlX6g0PgMWT6YGRo6+9zkgBYTMhiiEAY4gEYQYugtLkKxCG49h2xDJM1E1/ + bliPIwuHLk1TGAx5+H0YA2EAi7aNnXxJpz5+uHBjYuXWzet3vrycjZvRRAqFcH3p111Z97ra2k+9 + +MJL33wjKlP1UvGLz68tza53dva9+c03xi6MaZSgCGItY6c6Xrz4wsJ+6cG9e+OPHoz2dHS2v8g4 + 44aTMqJnT5+Zmyt+/sUn77/33qcfNxLxRFvb4LlzvzU8NByL9hgOSiU5EGeglWZAnCHj/KBUevjw + 0fLqO1WbGe3pumpY9bLlVqChcr0XXn/1tRMnjre1hTQlM5FMnzh1/MjY0P31hcePH92bnoxwJ2vG + 9UEjavgnjnSNjJ3O8Ja58hPHZKYAhkBSo1TCEExwYYiB/l43O8Rk/eOPPvrgYJsIKjUXmRGPOYmo + c/aFl//wZ/8yk2sRTDsRB6AaSFlvNIh0e2vum2++/uzpozt373155WPbAWFov1EFL0g70TMXz7/6 + 6ssXzgwFAERKgTINk9sO2BEWiVqOHTEZgQ7RU4epbaxndHBkeDApPmMSRDJz9OVXW4eGm7k7AKAD + kL5u1L16zXNtFIYdiQGHQANI4CYwhqLJEw+t/mZrS25keHh0dHRza/vJkyczc/PxVDqdbam7Xr3h + B3W/I9PR2d0B+wBAnus6XABjKAxAbhpG1DET0eY02d/ZMxhDw7SjUYfbluCCdNj+AM77+/q+/ab1 + ZHzys7tbN27cuPXgVtqMZ+xkba9gU6MzFfnR7/54lMfurf572xam4OxwJSSAgDQoZQnBAACbjfZ0 + JvOD3/ntrfLB2tzCrZu37ly5LcwglTG8oJg/0MQz5y99+zu/9R3bcaJJ6/jJkydOnlpcLzwefzw9 + Od2SaxkeHVzdWM4f7DOfj3SMDo8MLa2vC45KegC2JlCaccNxbDvqmI7NhUBk4ewv0xBKZ4yYEKZj + mGAw4KD+7r7aPP7C/83bmwbZdd33gb//We7y9n3fX7/3em90Aw2AAAkQJCiKi0hJtpaRM7YTx05q + bCtxZSb+kGRqKlMzH1Jx4tRUJlMTV03NJHZJ3iTLkihL8iJRKykuIIiN2AFiR6PR61vuPefMh/se + SGeZ+WL7Vn8Auut13+Xc899+C1kY+jAaluBCSikEw4G9exq5+Kvf+uorr7zyh3/89XAyPfANbT+k + nY1mLrm4vPL8c8/muxUCICV8CWmWFudvbt6/e+P26z9656tf+dorX/+jUIickBFWeGtX7fTNrqca + 3e5HX3xpePzJiMPBgwpDEBFIBFBOYiDO87niR5997tadnatf/4vf/d0vfPcHxVQuvfXwvrfxwPIH + lXotHo/tDPxo2HakGHc0uIEgQ1pInoiF9u5ZNv31L//Rl19hQ9v1whG9uXFH77AQxfbtP3T8+Re6 + nbIO9HW4gOCcs2gkVBahQjKejkZOvXvqj7/+1XAsMtKe2VkTo81MiDWm9j5z/JmlvXNhF0zBKK2N + kbZluSHbgRCM0SP1J2YAYVmWawlHck4BVJ9sxy6UV5PZUzfXz95aP3nuvT88f/aP/hCu4KlINGa7 + Gw8ebPd3NUyl3jRO7MDjR4UDMAbHmZ9fXHn/wXdeP3n9zs2vfOnUV37vd0r5NOdsfX2tkMsU4o4M + RfcfPNDk2RP3vyXIKG9ktDKBs1hQ0xmtlc8CVT9GkWi0Vq0dOHDg4ebmiRMnLl64VCgUKqXKrRs3 + d7Z2ONBuNG1pnzx/gRDohgMAuBDSchzHtS1OCITJiXPQWK0DBpqYsGzbJscSH7hlGiiCFiKayUw3 + Swc7uddfu3pPaRmvZXuP56pVbgDdZ8RBfGKcR4/SjUepK4OCJZHOtHuzM9M3L71+9o1vfO2NV76a + CoVrqfTycm9mup5OlRmXTEguXWnZBJBWABg4k1Jatus4rmPbljRGKQVwBK0PCGkMAwnXhSUln4hE + jXMTQ8owxqXrOLFQKGRJydh4biyETGWPPvn0+9t04srdM6dPvv2TH1qMMrFolDPhjQZb21seDY08 + dOz48zHbksQBDhIkhCBAIlAYIIKQbjRardYOHz78rb/4/pkzZy5dvFgqlbKJ8N33rw63HxKX1Uaz + Kqy+ZlJwQZAI9KI1DCw3bIXiwoJl27YUfCKqq43hBDihTClWq1bTyTM3btz84he+8Mdf9EKONT23 + cOTY8ZWF+Wg6UihXn/3o81fX+u9evvXjH3z/7R9+N2qTLYVn+FBh6MNXxrLcT37iE81yDgRICVgY + Ms0E49wWLCTJChqFxMAYI2Y0tFGMBeqyhsbJwaSbyyVgJdP5RrOVzaZfP3v1SxfPff+bX3e0lpb9 + d3/pl56xnEKiCSbAOXE4ju1YY5syHRQkxEAcxCxpuba0OAXSAgGHCfHU0pPHHr+5feLagzPnL//J + K5e/9+2vSgbLdoW0t7e3B7vbZNT07OxLkdRjTz7DJuFCaW2IuJAEaK207wtuWLDaGU+lUvMH9l/d + Yveunv83//pPkw651mhn8w4UHOFU8nMHDx46duzoyIU3Nm1lmrgh5obClhS+P4IJMxjiTBhmFNTI + 59wgU5gv1J+7s7E11F/99je+9KXf/8qf8EQ8Rr42nu8PaLDDjR+KRGO5UmF53xJ3HQrGqaR5xG2U + swu95tUbV67cusXsaKlUnVtcCkcn2sDGQBvGOEGACWZLNxkJRx2mPeNpYwwsUe0tHBxE3z5/77s/ + PnXyrdffeu3VVDqeCgsz2MRoWw3JH2J1+dATzz579IlD4WxMAgwcsCFluzM1Pd8tv1U8efXy6xfP + f+3LvxsPcfJ31M7DvXOlzszcVHZu8437oZAVdYKKQkOrK9euffNb37517w/IjYpwwrahvTU1uLc1 + 1N2V448fPjQ3M51OEQDOJcAC9j1njDPLH/UNyIDefOvE977/w6HnR2MpboWU5rsDbzj0fF8devnl + 559/vlavM6agBvD82YXFJ9Z2//zts1fefvO3fvJGTFNME/Ftz19/6viBY08f7ftv7Qyits0ZGVvK + iIzCqGHf39jY8pVfrTWOHjn0zk9e/Ys//7OvfPkPwpEQiNT2QAxVPV3Yv3ro8OHD87MhGBg1ZEzD + dgQXtuXm85F4qzaKhf7029/q797X/pYe7AqlHeJOKLl/9eBLLz4/1UxEXBgPpI0hxqVjO2HbHkjO + JJkP6iTi3LKsUMRy3GC0EHRwrGS6NzP9zDPHNgejN3/8+m/91v8Zth0rFpHR0ACa7YzM1kAM/Eyj + Oru6mm/XBHc3dnffv3n7jTffuvqNP/aEScVjriVHuztr93dB4aWlQy+99OLc7FwqnhynPQFLRzNo + DUBro5kmsHA4nkzlo7Hk2sPd27fe7+9uKy8CG5wkMRrLozFiEAC5kXCtmu+0qqeu7Ny67nErVyrX + V1b3xDJivD2MBURVAOAHl83ewlEqXb9HX//Wa9/8xnd/9J3RVC2Rz5aNz+/cun/nzrqv+Wfc6DNP + LkBI2KlWu/TZT32qb7/6J1/87T/4/fdej1j5WilZLt3b3Xrv/IX1Bxtz03Of/uznHNeVNoZ9LWwm + OOOOAwxhu4lSeWV5ZXNrd3ug3zp7+d3TJ89cuFDI56OxyMP7d3Y3blsY9qbnR8Lf9BknzchMGPOM + uJCO67i+YwnJDMdEmAIEMN+HNuAWFzYTktGjx2qoMtU5sIvvvHF648TZd0+eOP32jzMx14nENneG + 4Fa7lPrMz/z0tbMnvvnjM5YQNqewCCBBUApSAIaMUhRo0AsB+AH+SBuhiYFQzGdajXw+F798Z2Nr + F6FottlqT3WSlgCDAecw5oOIxxBOJF948aV1T5y/cf/V7/zFD//slUzUWpid8blz/c7a+UtXwq69 + ND9nSVuGo/B3K92ZQyP79PW1b7762pff/PErf/wH8zPdYrmoYc6cPXP3xlrUSVSLdSe0SJYLLsGY + lNy2yJKQEsTkWOeBiEkuWGDebuBrZtmJVNrzLp564yc3Ll2w/d2QGdlS7Oz2m+32pz/+Quzx1bjl + GOKAufn+1f/4H/4v5u1YZhiNRTf6AxmKPHXsiPvCR+qFNJTvD0dbO/0H61u+r2KRSDIeC7vjF8vA + mPHkBDD6kYsJAcQ4k7Z0wyETiYRDgmBUgN0Jklc5MFIZ5liWy8GNIqMZCccNt6e63em59Imrb7/1 + 5qvvXn0lKmupkBw+3Fm/H3VlyOKtdmuDOUaPfA9cBhIQDBqQTiKX6LYbU+XMGzeuGfBQsrqw73C+ + kBfY0bDApOFQPpxUdnZ+7tnnP7Lmf/tr33nty1/60je+8Uo0EhFCaKU8zwskW2Ox6MLC/PzcHD7U + afub6NT+Z8ffTOuWjFbGGMYI4FqrnZ3dqanOf/O5n7l27er29rbR2rZdTdJTjEthMPDVjta+5PFo + OL9/9bFSqeh5PucsEg0XC4WDBw4KCtsy2m63XNcRQga42IDKYvBX07dFoPwV8Mk5H/ssjS8IiUTi + 4KFDv/mb/+ba9as3b12/e+fOvbW1zc1NxmU6nS+WqoVCpViqlEu1Wr0uJXwDRhCCIrHokSePxtLd + u/dMttBa3D9jjDfuLn0o0WVj0bcx3AKw7FR+Zm7hpY+9dGNt24TSpe7C/NycJR+1bif2B0zgESDr + 0en+Fw9OjNlggX0AgyWqzerP/tzf+sm771++1WcsZiOUSxT3H9pXKpcYNKBgoDVxEmCcmA2YSDw9 + Pbf4T/7Hfzb71a/9h9/5wsPNraE3MAAxZhhTWv/sZz/z8ssvtVstx7E5IVeqf/q//dvV7vzXv/GN + k++evn3zuu8pZsa4/cNPPP7Sx1987LHD+UL2weUbjIvAPmY0Gii/HwRYskOlsvv5z39+duG1L339 + qyfeO7W7/ZAxFsCICZjqTa8+dvj4ix/vLe7LZm1HAj608jlBcGEJobVR4yF6QAX0YAAOKQWMUb7v + +7AkEMwBDQJCUyaefeqJo+4/s0+fPHX+4sX3b97Y7vetSGipNzO3tLxned/yymo+n+cGHFADWHZo + 5cD+ZCJp4rFINBp4kfnK56TACLDdUKle33nhuUptZiEaEYx8GAUweCxb7n78k8X87L7X3rt8/tyZ + 7Yd3t9Zu379/32jE4vH56e58t7k43Z6aX8m3l0LuWGJsjLEx5GTyn/rM5/K91e6P3331R69vrd+P + 2OKpQ6srs+2lbqNQqUTOXtuxUiuLi9l0fOghUOaEb1eqs48dzFamnUo5yTkcG0YraMCOPvn0i7H6 + fGffm6+++c71C2eEPyjWir1O89iTh3vdVl/EH3jODovONEohx1FaK02ckzbwPO0wDs94O7vSDUOw + IDaXKvWPPPtcqjmfqM8WS5XXfvD9E2++ceHU26MtSkfy84vLy/uPzC0/1p2ZCoUdaAjixKxAkXNp + eSmeTC/v3/PWqbMnz1+4dfOm2t20LBNPphqN6d70nlKt0dszE49HOchAK6X4h0Q7g/dBKXAy4Kxc + bx17OrrhR159/dz1S9cEeLVUXTmw1KjXI5EolJJOaHZp+bmR5IVWLh3jgCXBfPI8n0tGELblruxb + ySSbkVg4Fo8qz3eEANOBa+fxp48XWzNHn3ji1Ml3Ll+4cOfWLd/zHcua6nTrjVaz2a43W3tX9zvO + B2qaQfoVKDjT5F9c2olU9vizz22JZC6dDNtCfICpIoBbTqjRbH3shefz9e5UuzkcDl3iPKhz7VCn + N/2Pfu0fPnXh5rmTb554/XvbD+7sjjzPUDpXqOYL0+2p+e50e65bzqe83Y1+v9/oLdRy9XyrsjQ3 + nY9QmCsF4hSGlEeOHkUk1ChmCtlkv7+ztbUVijIphAFb3buXI1XOJU+fe+3uvYu2bR88ePDJo8fj + 0UiAwBJSGuNpY4S0aCz8pJdX9/3Df/w/nHjnxFsXTp++flGNduKxZKPTme3M9haOzC49VWtFHR6Q + cDiXoUi+8tJn/1Z6YeZbr33v9dPvbN7ezcazi4/tWV1qH9o309++c+L9rd1wuTs7ZQvGA7FCafnK + +Ia4lOFoZN/jhw7vW3rnx3/+3ls/OHvufGR3mM4VlhbmD6wuLy/NF4oly5IBKpUxMsSUNkr5jhVq + NxtHD67sPrz3F3/2yqUrZzc37+ayyfnO9P7FlX2PPV6aWQgeiiTOwEejUSie6C0uxXLluYU5BsUD + z1htYBTIgFGyWGr1Zntz3TMX7jip9Orqai4TEYANcNM3owEZDDz4hqfS2Vwul0jFGP+QGxFhbHZE + hIBcbdmlUunnfu7nF5eWX3vjzVNn31vf2ByNvNnZuemZufnp+f7G7v0bd9+7fL5UKgrOpJDQmpgM + R2J79+4NZRpTzXoiFuXg9IGcOsB5NJU68tRTt33E6rWo44jgZVJwQqF6t/X3/8Ev73tn3w9+8r23 + T7412OzHorHHVvbum+89vroQcp0TdzZefvnj+2fmMomY4YCCbzQDYxpmbD1qJmbHwrJluVz+1E99 + crbZO/XWmfMnz1+5dlraXimR3F+Ymll4fGHP0f1L7XyGwe6HhPuJT36yNjV/4u2Tb799YmP94cbm + ZqfTe7bz7Oriqt7G5u2ti1ev1eoVgiYYIsG4azuRlb174dQ7U/VozDUGShswPYZ4cRmNp584cqy5 + jURzOupIGgt8B7rhgcnEZDshAkhIu16t/dQnXp7ec2C6UXrh2KETr//wtbdOnL10xSJRrBSXphoH + FuZ6q/trtQp7xCXnHJARO7J/dSUdzx05dOncmasXLpy6cfPi7uCB0qbdniqW28VqfWnfvu7cnONw + xjTgASaAKgCC8QljGBSKJFeWV33ttDtzP3nrnVv37u/uDPP5ysyBA0vdZqfXOXXj9uvvXVyY6WZS + KRAgAAMM+9zinHN/6D1+4PFeK3do38ypd35w+fKpe/euTfcW5tqLB/cc2rt0sDA7bXMMJtuOr+Er + pZVyJGvWa5/+xMcf3L71w9d/9NY7b69vrUczkZXp1v6F7vTK0cbCQTcB5cFiIMa4EPlCac/KXt9O + tVutkC09BcmNAVdazy8umpHrEWWzae37zAocJzmc0PFnjmdavXfOnjtz+t0L58/du3WLA1zwWrNR + rlTbnamZhcXp+WXXCeo9A8ajufxTTz0VyVVff/vU6ZNvnT/zrlGjQj7/4osv7N+3d6qad5nPjDp1 + d/TyjtWem05Ew4KzR7JJAWiEcfHoWXMhctnsJz/xyWa7/cYbb75z8uTa2oP7a2udbm+625vtTUvO + 79y41T57ptedCtliZ2dX2mCG+cosLS5aiVKpWMxm0kppJiZbuAEYjyfThw8fqW36sep0MhrmAaKU + cwYJ+AZerVZ55qknr1363fe3Ina1VmvWstng4yrIHs0HIe4/zfzMWK+XL+898DknH2u+891Tl+9f + vZ4NR1cXl588diCZTIExQywSS8wv7fHsXLfTiYZdX0MzTYzbjrO0tJgpDhqNeiadZAzDkXGJwAXg + E5PlSv3Tn/lsqr6cScYCTBMmLBvbieTzpccOHIzld+ene6lkHAgc4Di4FcsWPvrcc/nO/Funz587 + feri2bPb6+vkeZFIrFqsltvdueX91aluq9eUY4ZsADIep8tjzxdjwGW5UvnsZz/TmJp+/fWfnHr3 + 3d1+fzAcLa2szHZazfYUl9b192/euPcgl82ID50fFzKdLczv2de3s91uLx4JT4AR0AFalUkw67ln + n7XT9R+/9tqVcyd31+/mc5m9e/etrCw7rhN0FKr15s987nOzywdPnjr93qkTVy+e9YZDRiLiRIqV + eqs91ZueXVle7jbrAGA0QJD23NLKw1B1EKkUMnHSEBzwjDY+SSEYAtEp8yjcs0mlQIBhIOGkC8vL + sV/8Bd1548y5d97dvHsvE4n2etPzC4v5XCHIuBpTnU99+lPd5T3FbIqNNa6NFbhUEQfxPctLLJ5P + p1K5TIoFt5QEhA0SR588Fi51z5y/dPncqWsXzty4fm3kKyJqtVq1arndak7PzPYW9oTdMVR5sqLH + bxBjTEgJM9LaKAPfgAuRSib2P3s8QqMf/OnXbp4/tXbvZsSVs73WytLhvXsen5/Z54agedDs4oAV + iuXand4LL9by9WlLBOQ1SYDvKwYtXAtqFMywjx59Ilup7T+8/62zJ85eee/WzRvEjBNy45nMVHu5 + 01quN5sr++bjMYexYB8nDg6jQiH7+NPHKBwtnnkvWW7N7TnUbdciIYxt7RkxcIK2YGcy2fl9i416 + rdKrQg1d5kghAAPBp7ozv/orhaefvXbixDvf/+H3H6ytebvrzLbrzXKnOTvbW5qdXizP9ZLJhBqL + KTMYDiKRTB49fixUKf/Ja6+9+s6p8+dPpVPhTnN+Zb5zaN9COiIvr3k6c28Ua0psw9hABEy8+NJP + 1avtd0+ffevUe5fev62NSqdL5dJCd3Z+bu/j08sHC2kK9vjA/Y6PpZUMwQgphQjn88Vf+qW/t3f1 + wLunz166fO3+2obnq26nM9Xpzs7OHdi/f7rTZAGFywhIu1pvfuQjMZYq/OmP3rz41snRvfWcG260 + sk8cXdmz0nXCdYj82jrLleLxWJQH4DvNAGFARJRIxRYW5o4d2nPryrlXv/udy1cuD71hrtxYnl7Y + P7+yuPpYudcLtg4bnMAw9HxfaUBa1hNHjkytLr9+8vWT7/zo4sWTo52tYio/0+oefOxod++haq8R + dsABFjhkciGd0KEnjhQfjEKlZixki4lqbSgSaba7Tz/zUZks2pYV4KUCJEeuUHjuuY+W6s1TTzx+ + 7tTZa5ev3rh/Z2cwCCei1XJjtt6ZKjYqvcae5aVEPCIZy+VyTx9/JppNvnbi9XcvnH7/+lUCpZKZ + VrPw2KGnFhcf68x0CrmcnCBsP0C8MwYYzrgClDHSdpOZQqM5df2d2/fu3rN8OiUAACAASURBVNza + eDAcRkLWWByJGYCzgJxEIDBbxHLHjr8kM/tPXur7Tnbh8ZXZmbprB+McPRbpBIEbcAFwkFWtxn/m + c/nFPYcvnHnt8vk31u9d2drajoTie/furdba9fpUb2a+UsnC8kG+47gLc7O/EK8+szL//qm37p4/ + ff32rY3NjUgi9vRTT8/0ZjtT3UZrKpvLMgbHDYKtnlAAOYT95JNPzc0v7fr05umLP3r79Gtvnuj3 + dz3fX9670muUmpVcKpV79+K9K7f7+WzSEkwwEEgZMGkfPfZ0e0NFS+1Y2CYABO1poxWXXClk87kX + XnrBkYnaXE15I214gGGB7fampz//+V9ZeePdt1//4am3f+IPtkLRxOrB+fml5eWZdiOfzCciKlbJ + ttvJWJhNQqbWxhgixohNxJFM0E+0AtnzQP6WExqN+s/97b/z7qVbD0YsV53aszjvEOQ4DkkYHxOF + dKMBongy9fzzzzV6C+cuXLx09uS186cfrD+0Iolud+rYU8c77ebMdLddr8IATIDxqW73F3/x7+5/ + 4qnzZ969cO70+trdh+sb6Uzm8KGj5XxxYWZ+fno2X0yCe+FIud02H33W6XVrYwUjrgEG4UIRNILd + ipEPzw9FswtLq8e2w/l7yhYiwnxbD7TvGWKVSq1SrkjLERaarc6RI0cixbbtuFwNhB4yzjyQG413 + Wq1IOKy8Eed8a7d/4/a9h5vb0WhsptfNphO2NUlhwAQLhqGPcmMozxA30rLS2fyTTz2zYZJh13Uk + tzksgAVNBrKNmyzVmp94+WOhYqeUSdiCB8ExnS995PmXovWF77x9/ifnb9y+dtnXaE/N7pmd6tZL + 3O9furd9z7eLvdZgx+hwADbm4AxsBPh7l/cOPVnNNR3Ous3m3v0rmWwGwXDHjNmqUIYi0SNPHk9U + eqvHnnvnzPnz589fv35tNBpFIpFctl6v1xqNRrvdOvTYY6lE8v+3x/jX3c/9627dTqCGQTeVGAjM + gHHebrdD4fD9e/d2d3eVUrbtaghfE5cSNFC6P/IGgmLRULZcauQLCSIwRrZlJxLxxw4erJTaloj0 + er1QKMQCyh0F4Ii/yns26aJgImjwwe/ntp3JFzL53Mz69L17d9bW19bX17e2dzgX8Xg6kylkc8VY + LOm4FhH8wBuFwCWLJ+P7HztYbY0ebohUtpYtx11HMApYl+Iv/dVH6AkjQRacaLlaf+GFF9Z3Rp4V + jxQamXopGBlB6zFUD0Zr86EuM/1/3Q4CAsMYYwKSeyKbfOLo4+Xm9u0HIBYTCEWcVLleTOdsggL8 + gG88vtsktVKM81DSWT14kAvmCrO5tbnjaY84SRswSvuf/PjLe/fti0UjSikDskLR7vxKJJaIunL/ + QvfOvTVlyBADcQNa3X/wwKED2WzOtpxEPPn08Y/M1zs7Vri1+li5mBszAUkwSywv7XGclBsLL189 + v9bfjDDGtnZczxOWU25Nza7sPfzkcbKZ5hAY20gButfpfPTZj85122a4JfXIJ7Er4jsiPoJkMLFw + aHXv3nKpxGis1zJG/GswcM4j7XorGYlMTzUuXrtx7ebtrf6A226mUJqdX2w3W7lcjgNMgQjcyHgs + tby6r96u+7YbDocDaj0xHxiBGRg7nZlaWcmUOyKS7aYTLmNKa59BQAuEc6Vk+Wi+WOy1Ls7URju7 + 2+vr9+7e85UORaK1UqHXqrYqxVShqpzo6NHDpDEjDU4o1+k9ES3ES+1ao7X54L4jaWm2N9eutso5 + CKFiZSffKtbbxWzc6GFgXwoenZ4+4GS8h6NQs5azJAyUgQIJMDtSae9L5p1cttyp3ro8j+Ewn0g2 + m5WVlflkMrruicN96lOklIqGXDewnAmcf0jTWA4yMGs0GlpbtltvdT7ybMhKVyLFVrmST8XcqWbl + ynzX295IhZ3ezEx7di5XrVuOExSjnECwDIiMiWXSC3E3U0g2Ot3pi7du3Lihhw9dNopHwqXSVKMx + ly2WY7mYw0jBGChjDGhciAXlvAqIRIyBOGKJdif5Ak+V6nM3r98kxUqFUqNTy2azUnCjtOWGZxYW + VbrSD6fSlcIYzgRQYMtO0nHtpeXlZsMPhdxsJiWIMQTyBQrg5UollMqXi8Xpqda1K1fu3Lrte54t + ZSqVqdXqlUq9UCzFkik+kTR69HZ+0MglBmg7FC6Ua0eOPb1NETvbiIXGFsmMGMBBmku7Vqt97MUX + 3ES2kk1Kzsfblm/ApZuM7V0pp6udmWZxtlXeXLuzNRgONcKJVDmb6zaarXIlXUgZS28NN1Usuvfx + pyztFEqRWintiD7AR3B8Ji2O1lRHuKyUisbCsWK+yAQHY8EgpFIsuqupbCJy7lL9zoMrUsqF2X2z + 07PxWDTgCoMAMGOIBZxUAyJWqlQSsWSpUWtf6b139cL2YDvkuIV8rt3uVWszxWJDyPF8AlqCC4RD + 9blFno/GS5G5+cr6XZ0I13utlblusV1L7W7essv9RHsYLveSsTAHYAiMMy40MV9rA1MoZA8eXCjF + 5Xw9f/HKld2hH8/kup2phdnpYi5rW9xoj7TPmAaIuJSWzYgERyTsLszPxcNWPGZfv3Fhe3stGnJ6 + rc58Z7Yx1dUhd2ccJTQDM4wXqtUDR+3d3WGhkbYYkfHY2EzPRzDFsWwIa9czJEPpbGFhcTGVAgck + YGEw2N28fe78rbvr0g71VvY2W61wGAzARB6DzGRNB/dWA5xbkcjCwmIqk63Um7PnL6ytb2iwdqc7 + 1ZuemeptPdh4cHutd7vbbjccy+LgIE7SSSRTBw8caEx75WolGY8HHjYBGoW05paVKRaOHDt21zcm + nomHQ+MbawhShOL2/MpCMh+tVvNLM9Pbm7vhULTXmVroNqem2/7D9VFy4+M8Vu7N5JIJcPgieK0h + iJtJiwdEYAIaYBR2Q7O9biVd6ta6l3pXr10/y2Q/npCZfLszvVqqzBQyEHoAGkHK6ZnZWKpcqzWn + pjoPHjyQliiXi812Y2lmcffBYOP25tTdu+VOw3UCvVouZDgWF0uLi8m8X8hX0+kECNooCmzcwYR0 + kuns4SeeXPOlSJZTiXAwXDV6nMtMPJkDWgFALBKNdbudl2UkXWrU8ulsLFTJpaqtzntXrmni+Vhk + rlWfbTYyjboJhfqACayYiIMZklQq5JPRbK08Ndu7f+Xq3I2bl3b6a2B2odQslFr5cqXebsVSTjBS + BHyAQHJcio7zKw1fg+xourBvn53JF1rd9vWb9zd3vVw61WsUZxqlfDGbX1svdLrJdCGbSo/XjCEY + rZnWWvtDlUvn9izNtRuJbidz7crMvXs36pXSdGtmaXopmy5Swtqd6HEDpMECOWBLUioRX1yYo9np + QqU41Zva3N2KSsw0ywudWqWzjFBsF/BHgBWEcVmu1qQ8VO0uN7ud6Hg5GQPGBZ9fWsil6iOjq9WK + IKaNmuAQeaVaC+fK1UZzptu+cuni/bt3SOmQZcdj8WK5XGs0K7VGOJb4UP+SwXFr9Xo4UyyUq/O9 + 1rUre4a7O+lMenl5ebrXzWfi0MPR1gblPS9WiZY6xXxSBrO+v7Qdsw+pyJLjhjqdTiQRr1brvemZ + O3fujoajdrPVnepMtdpqNHpwf609O9PpTkXDDidFICascCS6vLxcmvITsWg+lx3jvYKIohRAsUTq + iSNH7w8ZjxcTUYdM4P7FDEjBCMCxrXg0LDll0pnG0nKuCNcNGpcKmhOfJI/4S+c+0V0I6moRKdVW + QzmWqdRmbz64cSduh+Za3bn5djQaI4KQdqFUWT14uNLzSu3ZeDIevJ5cWrFE/PDhQ5s7PJmMp1MJ + NhaQCH47c8Ox1lTvY+GsiVQihark4z+ttBZEzAmXy7XHDmTrPZ7NV/LZJABljAgUASy3Xo9FSvVS + szXfm7pyYX5rbY17Km47yVgiV2s2p2cj6Uw0HuKTtPgRiWmc55qxw0koEp2ZnnYjiVqlMjc3u/Hw + oSDdrld77Ua1VveUvnHr9s17D+q1aiTkMGCi1CvL1YbNnqjMHsh3lhLJ5HhT0mBcgEzgPN7tdnis + UMxnby5ODzbWEol4b2auMz1j2Q7ggwsZdWZmEtlys1GvX+62bly7NBoONAlphwulSq3eaDRb+Wwu + 4soPOFiWs7iyL9xUg1C+Wshw+rAtTzDQMvRoMdKHvoINBBxONF9IHj3qpmtTl2dnH965mwxFG43W + zPRMMhEHTCyWmJ1fdLNNN9eJ5tPjTvA4tSAIKxKNrezZU2iOQq5TKuRpvBtzkASJaq3mZGrtqc77 + M+0bl2dv37wx8HwhnUw2W6mUatVqsViMJjMW4RHqLBDpDzArHAycBeBoQwxMSGlFI5Hp7tRUKVWM + 89uXOw/urTGNTqs105vrTPWcUFRLiLGfJQEyla3tWUmUO9KKNVLJULC62Ni13B8vAM0BiheL++LJ + fLXYnGmdu37pxo3rQqmwkNFQplFbqFfnSuVKPAV7/ASYCYy7mEbIWZibZZFkfW5vLF/JFuqZYs61 + P7jhHFwaIkIhXzxw9NBoeyeeCodsYTEGEjBkmBWJi4VUIV8oNKqFeq187/79wfYDUv1qMd1q9Dqt + uXy2IlPugGMwGf0FsF84ItysHspmZC5b6s1cubKYjDvNan5heqrbqtncxO5vyfzDXRkvZRzHtpQB + aUz1ZnOZZHOq2Z7uXb91b6RMJBrP54ud6V6lVUsXssTGAYMFmp30qMQcd9mcUGjv6mq+VOlMz166 + dHVtfcvzUKk1Ws12p9PJF/KxsI3AFYMI3JKxRNtNyGQ+Xaxe680O728khF2qJg8eXixWEr6KPb7F + t3etaNyKhN3xcAKcMdu2XMaZZfFEIrqyOO136tl06vr773u+l4ml56Zmpxu9SrM8sjAMFMm4DkKN + MaQMmEGpVDqwfzVTTk1NFa5fn/GHg1w02yw39+zZH8mVKDzxOgJgDAkZS2Yee/xIa8d4TioVjwDQ + CoYhnkjOLe4pl4rGTWQzGdeyJu8CF65drUXcaLRZqS1Mz71/7f2b9+9sDftONFRKFTuVdiNfS5XS + kVzMMMBoy3WbU1PhdKzYLM1cmb5+9RoHRdxwOl1cWFitVKci8Zh0JxlOsGd9EFrGaBKtNZhMJHMr + K/vP3f7R9c31K5feq5fCqWjagAKsEWOCxoHXABJOYmHPQSfrt24ZE8rmOrm0PZllkQLTk9QtQH5w + DREK8al2JJnMz7TTVy9W7t66uL25G3ajtUqz3miXyvVwOGoJD2wXkMRlJhFdisVmSpnbjeLt883L + 718ztgynkvlCqTPVzWXzthviEsCj9gOBMRgGI0A6VqnFShVAJAq1QrPT6HQ2N7eklJ12o1MrVXNp + y3ILja2ba/16rRRy7cBnWggrkco89vgT9/vk28lELPwBRSBwhhV2qVx56pmnJIXD+bDjWGOCGBGk + FUtF965kI6lCp1bcM9cdbG9YbniqN9udnm1X8kL1IzYPl7oiUU7mMxPdKYxLJQOtfCbYmBEWiL0Q + 6cA9mxuSolAsPnnsqcb8zoZ20sVqvlSNWIG4qh5fOGN8PFw0YBxC1Gv1VKHaareuT9WvXexsPHwg + 3WimWG60O/VqpZhLQ2voEQwDIRKLzCUyqXx5ul27PD118/rV3cEoncnl8sVauTjVqkdCthAaxBPJ + +vR02oo206WGYxMLzH9JjCE/QdUMEPngIpzIt6bSz7jV6R0O7UeEtsxAeT6BxROpdr0SicYsrstV + ceSIVZ/bNiAJXxjPGOODCTuUz6Uz6TRjBEYbm1vXbtxa39xOlRpL83PJWCR4BNoYQ+ATi5VHSUhg + IB4Kh6uNFhe0bRKpSiUWGjdnyATjM0vG842p8PM8xsLpSi7pWEIbMEMIRcvdmWOFVqY+3bt888b1 + 6xymVq0sTzfbpZQYbbfWtte0xVMVRxIDoCZYNjYCqNVoWjLbKLc5Rpl0tNasumFXayhfcxZIRQLK + gFvhcmUlUcg2eu3e7OVLl9+/8b438sLhUCaTKZfL5XK5VCqlUynLmvTq/yv9tb/uvi2AibviX/th + 8J//oaAEUlopRcQMyUkiYYChp0fGt8nYkge6VgBGwYeGg5Hvc8FcKcAlNAZEA4ID2MYnHQyZ/ipu + 3kQlATSZdv/lQ4M0zFgDaXKMpaNg2COZMg0oA8YgMQS2YEbQMW0ihsEwaCgPA66lDWtch0/SsknZ + 7MEfQA/BBIQNsD7sXYYhIQyIwSistyEkiGlthkYY6fgcUsMyiusBQIa4Jm5AAWudja1AAIIP4zOy + 2JDhIbABCJg0TBwGWsNoGA4l4KNPGFqwhLZgBAiGMByNSEOywJ7XgxnCGJA7IlsJMADKCAYQGaOH + nq+JC8kNYGmP+uvcDCBCsCI+sycJOHzjb2xu2I5MSBfbQ2iOkKskHhK4hxj3mbephprbMTBLM/SZ + GRFCAHa2bE8jHIEmj3EjMfLhB3aLBkwBUPBG0AoWB/nQI4CBRzwufcD3of2x8SLGtsgAtDZD6TOh + BYiBjUADkAJZIMuDHMH0h8qypR2oKyjFFR9PVwEoD8JoZm0MFRwe5hAYMGwDk5YH3IGSHhcWgxpt + WzQUPIQ+g7LhAkLv0tDzPFe4kqQxUEFsIzCjYDyQ9IgPAQNYQUVsFPw+1BDCAgtpxnWwThU49Fgi + gpjhoV0aM2XJ2w5xTeAwgRW2tathLJCBP9pyhLYoBC2DyYLP9QhKgkuwoFz0vR0uzIiH13a1J6yw + RIhDGmgTqAQGhp1BZDJgHNoYb0ACMCMobuzYkIlHAxcBiLH8InzyhqQAEmQJokCTyGgQKUYDsCHA + DeIjDV/BJgg+BJQeyP6AS4fBhmZQ8LjRXBnJBBgfq8Z68IcQDEKMYG0AW0DEIDqCSxqCQCb4LIZm + YA1HNhcYDjWsXSvct+AAGBoHSlpQZkjMA5ytTV8rx7GEY4EIRo1IaJAHEJjlQ4xHfER8MmRSygQ9 + 7rHc3F/esibbgCEEGHEFo6B8DEcDFvGdsCZIQCIQhFYwCqPRANaQOR7BJrgCAsCob3YfksXhxA2z + dw24hs1A0ApsYOABUkNqWBQAI4a+2RmNXKKQUrCMsaw18HswycEg2Wd2yIEASO2MhkPbChG3QUrD + 8zE0ilmIkQYII208tiuF7Q219njI5cQxEXbRxviMMIFPYXz9xoB8ULCjch9CQ4zxRf64eTLZmaEM + CA+J1hkbwBRgkkaBfAx2HjqOj3Bmm7ALOIAcwTVDmG2svf9//+//8p//u98p7Dn23M//2i//7As2 + 4GpowtBABW5rwc1XWvt9AV/aPrC5dffcD147+xv/9ktXb7O///f+wfFjT/Sm0v4IwjKc+1Aeh4CR + IBoabGvjGT8kdYgZDoKxTcBa4yDh+/6WpRkxCW8Etgs5Gt27/Qe//+3//h/9z3aouPqxn/71f/Uv + rTSyQAy+i7V75y9/9Xd+71t/9MqNEfvsr/76yvGPTbcTkxgzbmczbWA8jH2Igyj0qPPPNEiNHXsn + 8cmAKWhA88BQwHAzgvZA0lfWkIgLKB/QQ9uSBNLawPMlGcBA6QGJHSalRWGA6z60D1gDSAUmjbZI + g8a4FxBgPBgPRnueuLft27GIa41j5KMvM+Y/GAJBExRA2uNGEQlIpqEG8JRn2R74rtIhJkLBnNN4 + u4I2IR3A8RUfjnzOOedCcKahYDSHgE8Ywvc0uczY0KQZdjl2AWtthzb7VjTqhiVsgvZ94oYzooBm + 6PsYKS0jypbeBNdIGpxBcmjlcaMYB7wBAAgBIq2tLZ/B4gEQg7TxiRRhBEgFlwADw9A3apeU45kI + k1AGzINQIA9wYezgGSqjDQYawpBlCJrgG/gEaeDSSJg+iAM2jDQU5Bg+g8bAY76BbYEj0O9TEL4B + B4QB9BD+wJNyxK2dkR9WTniMHr6N3RP/x7/7jS985dIPT5p/9W+/9PFPLKYSAAy0D+VLzgVk0LhU + HH1gCISgXWwO1q9990en//E/+RfMyT75+BO/9vnPVwoxRQjijdBGD7cE+bCTCrTpwR8g7sISgBlC + PQR2IaMK8SEkA7QeCrNrcRcjaUZcE4wNX0CNhqFA+5UYhK0DQR4EpBAtGBM0mSMABhgpjHxYEi4Z + mD78IZgF7o58zaCFYNA+QAggh2qIUR+CKx5b84WyEQIsQMKjD2/M45CNSdeaDIwZl97ByQRT6Am7 + 0wAMmgVNEyPgw/gguTUwu1raEg6HTYBWRIDRZjigwN1awSd3ICQFT0cpyzKe2R6pzZjQ77/2g+9/ + 8Yu//R+/4nc+duyX/7eVp+vLOWRGgL4L4RjhDiE5PtjKHmWhBgA0hwc1gu+B2SPuDBi3AGnA1aNp + 4RDwJ1+RIezRWJ7bl9jWcBScsbWgAVGAmglCtg+1CWyDOZ5MD4nryQYFb+iwPtgAkL4X2dG2tMct + JO1tS3/EjQLjsJ0hOSMwBk1KC03WOC7AaGyOlAxxLoIgojh8ZkYMBHJh+KQN6gNDQIBJg3EGrgxI + Kx6o6xIHaNAf7AxG4VgikFYzWjNoST5G2zBDyKjisT7Gg2ah4QgNM4LqwzDDI33iwxFsBsm0VoqE + JBaoMHpQIzAGJpXhQ8+TDFIyGIzx/B8qI0iDtGEmuM8evJHPY0PbCkYbwlM2RmACXI58pYwWUgIQ + MGQMtBnX50EZggHQB7MU7AEsNsmjHrXsCYDaAm1BRLY9t8+kw0EG0sBiIO3B+GDWtkcDMMcKFj/I + eDAjmBHAwVyfpBmvq/HinuD6PgjK+kMlEGB8Q0PfCEk2QBjB7/vrd25dPf+//PP/6fXLDz7yuf/u + yEufW50rhgEb/XHGNv4VBsZTxIaMDcEc3XdpCDMAhZSyB8amAD0K8LGU7pA8b3xqIBAPXKU8Mn1o + Dc8BOQDgeEOmfUgLCFpMBAPPYCgxIuPB82AEWNiwsMfH0+igA8I1mJl02sZPUfv9bQ6fHAmjgjTK + A2ekJSffG/lGcGmNw6C/K5kCuTACGkpgAAwBG7CDpFcrKAXfAwmQHArGOCRp0AgYq/iAsc3RaMiF + yyMCMD50H2Hpge3CG0I44Ba01JorA24FbvZ9BTU01khLRmQTLArkdhBI5sEoY/yA2mUMPF8DUkj7 + P3muWnvMKMYUBEDawBpAjsAcwDKgPnzsCleBBkqHHm5AyrATQn/kc+FHhAd/e+fMW1/53tv/67// + Xbs690s//3NHD6xUMwmjlbQsYkQqiFAAQ1+jb0B6N2bvcLYFLf/1r//m7/0/3yQ7+qv/9Nd/6u+8 + rERwdgMGQ4ozTzDBhhp9A2nDAoTS5PUnttpqZOwd2NwhpqGGfshikinoIQa7HrOZGw90X5g/IHgB + ysQQIwhoDjAQPCjPKOZx7nOpoQSMbXw25IokLGgCM+C+wlD7SnIJcBgxGhpASAeGAltWKA0DWAzc + jHk7hushfD3aDZHC5oO33zn3G//+i9/+0ZmPffKnf/oTzz95eJGMgfa48ZjgvuEjTRzGxgBmCEUY + JXaHnIXhuxgACiYCLwwP2oeZvJHMNeSMQBpQCoxBjuu9weSFHr+yvg9SfS480AAs7FF4EGAIFJg3 + 0lobW2jOAfJ8BcOEJD0OdOAAhyHjQ4+gvQ9klYUFZimyJoa0IEAon2kf4ApyGFCFDYxWkisBBXjw + 1I6SO0raYdslCAPjA+QxoQFjPDHaBocwDuBCQdnwmR7BaDDbkO0FnSTtEZQBBxMGJKBgRvD6vrGV + HR49yqLNuCOuRno07LuuDFSAwKUmAuANh8xoy2IwQ3i7GJmhlRzajplYg0pA+h7ztwFAhn1uGUAC + MCNorSE8iMC7goyC8j3DfMOY4GPnFYCUBzUEDLijuAzulfaV8kaAFJYgCnY8ZTAkDQIHmDZiY6Bh + 8ZAEDzYV40IxeACHsYyPgcQIxkN/R3tCRfK7XCiFEIcNnwDP0zBMChZYdkOTR9aACRCsiTPv0DMj + 3xC0K5XgGmb0k++/+kdf/sPf/sKXVo+8+Au/8k/nFnrpGDig1QgMFJBEQcwfYx59rZkAoz7Q19sP + +0iISGYEWAaW0WT6AAcXBtzTtDPwmJSuBAO0r5g/EIIAH2SBWZqYAvojKIMQlM2GQB/MNjy8DeKA + 9CG9YDluar5ujEM6TUYQoT/0tdkJhW1fG99Xvs+EDAkBbsBGHvyHsAWYrSA9w4iI8w9QkL5S2lda + GyE45x/+yX96/A30bfE3qHVrtNbK97UxBAgh2USmlRjjgcvcWCE6+AATTCIQ/AO0hjY+kYYxRhsu + hBR2gFTQGsQYTSjjwfr+qzoepT7/9f8/QiV9ENK1Nlr7RjMiwcZ8mGCyBgWfQwVZReDUF5RpAmBs + khF9cNNAYzQVB5NB5A5+wBkEwQeMwe72zr07lx+sPxS2AyY8kj5zPGZLM7L0wDJDA9LE9di4mQwY + GcQi0VQ8nkwniRGnD12LmfwjaEcTNKA0fDZi8A0+aIoTYAmLENg4jAgKjKA8YESckxLEQJz0OHlk + QlqB/A4nsAC8xC1IC+wRRQEAGPFYJMoD70MpMdLwfC2EIAgOIgYmuZiM+QicSAAEI4U1TrQ4N8Bg + AGIQ4oM8En5w5wPvJgYweMrXng8JDikmrYaAcGiCp2EYcS4E/EdPJ+h5G9/ogfY0ccuWFKjReUOh + FTMOsTG+QEFz0hBwGVfs0W0TgWYWQGAkBFMGyleSS8EIRgSC5zAw2hhoKANmiJlgeRsD32iuNTdG + kzacszFHJcixNIgHsspQyhhOfIyLMSooMzmIBerhAUtZChvGgwZ8DS7AYTH0PTAyjm1zUmO1GgOl + lGYmsO+AARSMUUJaBgoGkZDlMwjA6PHFTcx8xgtgfPkE4hzMwEjwoCULX8FozcgwxoPRfkBXETxo + Ak1cDoPrNGQCcxzOATCGQPXLKE3GEGdOiBEP2r8mUMTjARbJGD2GmDPLBjMYM4nGWISxdK1W0D4U + 09xijDjjEkQQFuO2EGNe8iNNfQIjI0DcDUltuAiGT2pcxgPke4pbOn3qcQAAIABJREFUZtJz/Esw + LsYJeGRI8l/YfCbfHj9eaANNkK7FLSIMNfSEYj5WWJGWJAsETh96UTkn2wU0lMbk+74yzEAzE0hr + i0fPFBR8wLLkeGasg9o4BbiWLQLvGyIwZtkWJy6M0Z7yNFOagTMBX8NnEJCcDLcJTFqCOBhNzna8 + DEgbTRPfOtCE+jrOI4020P8vce/aa1t2XIeNUTXn2nvfe/vNVzcfTYoUSfEliZRMi7IVOIjsAP4W + BHD+ZAIIQT4mCBDAgZM4iGJBgQkw4kOUSVvms2/fs/eaVSMfas61z206DyAStdFA33vPOfusvdac + NUeNGjWKqveqdkUl9oFmM2k1gnRiK54Xk9bH+elT8MU+xlUttmpvr+w4xoj0dnry9Hy5tN6OG2+E + EwHsCZ9lLW3TdjwwxvOH8eI6xh4Ge3I5teY0tBMA7HHLfe9QZ43wQnd29m6DCMD2XbcHnk6gIUFr + Zxao1UAmhn78vR9+7wf/5hdp3/j67/32N7/5xqsYCQndX+D6s3/zV3/5P/yP/7M/fetbf/8b3/rW + H374ndcNSE0JO+YhymleHjn3SRYktFqiRh4SNQD7DSa0rayoVA6KSCgGfWuGGHDCe6+ZyFC5dtRi + 9E4/3ecNGqwBvsFCIBGSE1JKiAjXMCbM2tZffe2sDgI5ZsodGSGBScqMhM2WbjObTrrlYAnvnQ5x + U3mHrl+fMg7RZWbb1kmvgcxKZWaOW7cTOpqZDCMxYm8u80b4kydnP/VjU4vlaS/UfCwRfTNveZBa + S2uaKbNZ40PJTiSAZrx0D4LAGNkJktW5WEVQ1tEa0Ru37hAnATZP+3UQThOMRrYlLJy8pJdd+BzH + PHftghKy1idIiB3cZQIbl595nYgCIvdz3062bIOQCoi99dPTC1999vRyRghOuTWyORYnyqmgCOEa + 18bYgxHab7fut3JbjsRQWVdhj9vWewmTBWyO06XCNkCDbeAAfMRI1qh1I8v+0Yq3k8GB1jvTVrDj + nVGdQyFzH3tmmndvJUmHcx6ks3+TBmhOB9BkvlSESCITLtL4ZMP1/yke4/FXCKaUmSJJzm0GzDQd + E1FwkoBJCc7T1li7M5EpZ20yctuQAxnw3qw1w8hq1XIiT/Tmfnv/vT/79vf+m3/+pz984Nc+8fE/ + /Pq7rz8Fb7g9XLetwTb9CqSvSyLrUZvDwQZTiYN6naiB2JGEeVgDyRhhJhkiSwwEIW9j34f6diYQ + CfO7lAwARHgHz7DNqk2yxrID3nvuD7YHzJ1+aXO9JtJ7czdEFEypzmoHzb0ZJ4EcSuPl4mrH3Q8g + mfencYcNEpBSyCeFbgTNj0eREa21V17Z6uqtzpJE7MPpsBOsjcigFTlodjx9RwKuRthWVIWZWSRG + gEqSZg0ZMfZAbu5G5UgSNev2ju7nXDoCjhjYE95ba2NeIlpzqBVqau728p1+eXXabMGACWEYjsYF + BkvZ361glEPamlVXc+MSYM6uHZYFbrX6hqJhyjwgYkTQU6xYzZW4ao5sFTh7E+fBpDlt3dsKaQLA + FPeR15G3ERFRYoFEphIKhs1nSoLNDA0U5IYY4RDc3Pq23vDRCWhoDSqQgQpEoBOokSGGyEhkOM07 + QIwCRDWbszKIBGTTRZnzqfvxK2w9uLKOY8IHL84kSITBnLbRwLQxrm6CdH24Wjv1Vj6zdWPmZdsq + bERGqzcxwr1451aot8zfayoDAPDSt146BkCOdgHokKFvM7KZ1Tz3ELgnsZuj24qGiRiY7VqYYzap + 8gM0kr23GmKdqga5eV1t2sVYJVmJ3McD29mqx9PReAKL5fenz06VdJy3RiNyx/vX58+vDw/7PvYn + jU+fXU5b31qDPDP3EXHDZtu2Kk6N2Kw7G+AIsvV+OXPbRO171fp32e5Ch9dR3BxnHrmbaA6qju2m + fgJTcKKfmqEUxI7TpaPtEUmn0n0FzzpkM0uOD4OZd5p11pAMd6TRy8mmxKKIOqzMHTAMjdi9nWjH + W07edtYX1mvf9xv2XtrY7ck773zywx96S7r9qz/9l3/w+18BvibQjUYD5FR3aghqYAMN3S+OaNBc + TpWt5VTdVoPXapIpDPNIJWIAlcqIVJo3Gtx6OZfPqrqYJaE0s+YyhjKiBsBMxPKIt1hxEpo+phBG + Du43A1pZ3WDeUzrgTnQuGbK5TahEtHZqG7CRyAP50yJlRlo7PwGEbNV/pDmOSEAKlkY3gOZUuR3U + V4Q9DO5908JaXHEMgrudLyc4oBEh2qy7NAN1mxITe4qTed8KAZcMrmZmws6AQDcgAmKURZ+kCZsw + N12H10BwCRFwA6u/Qaq0cN5Ht26b5LQaTg8YlYgRiOits/XL2a+B2zUvG8CV9hnA8mOzmRr0s7UT + zG3OW820cFhrpjRFEoAcoPm0pzqOHDf2Rqe5Adpv77//5//62//8X/wv1rZPferTX/jcZ59eXjqR + 6nFkMoa21gVEDM4QZHZ5esElKudLlKoEsD04QAA1xKk0nG7mrSN3RAA3dDPfkuh91rlOxZtl0mMe + fNU6Z7WcDKSMKRA4bc2w1TXKW/OtETaH+SVrvroVrrm3LwGQRMHde6Pdzc7+A6//+6/8Db9+TdTt + tN+i1Sl0MBjKBNaBD2Cq4mscvJFGn54tnEuRUjRzggpkglAlOQAVeQi5/qZeH3wnPmZXOT+LHv/K + iYaO3LrErSYAudqJUpkjd7HDa7qiXloO+sAfDGxHqRSKSYACMfBvf/yjP/+f/sX/9qf/it5lvttp + 5+lmW8/bpodTPhR1G/AybKl7+dUvf+WrX/nqk9ffqBQrhNniLQcmxlSiRplXkFl3dmZx1RsxX7n+ + HURNsKUisYes2XE/qkvLlKachXFrR4iYpXywe5uf1RtazIMDoBARLR29MrF5IVXdo/ssoy+0ZTbv + khKMRCaawzlru9bKCYbrqdAnYV3fkUIyHXP4+fp0VcmUCJlVmK60gVDjo+dI0C08gGjmEjJTRqAf + xKcyacVUJd0kZxbIntCqwYvnR5Ex1dUhE5XwQtC+mIX1ew0oacqkBCYItQVEhaQqTzLArbEAeN2W + Iv6KWmcvDsRIGYuMtDv/WD51TSMzc9vmjc9AEu0RQFglgTlleGqdjJCnEjIv3gTAYjJkVZKBoMyE + eNBPmJCzHeG1aCXN88p9RhlUrm8giztQSEFLw0Yvr1FBtvqO7RGDokRmisap8zGD28GSu9MKIMEl + JTRpZkzTvRnfWeLzWkDEmixyrJCJ+rQICTz+4uM/1gq0mlho5ix9KtIaD2MPsJWhSSU2WXeEjn5C + 7vVsnF6/UCSp2jNGewTBXGjKJMOs7uUZ2WFmzj4XkCCjGUApU9WA0BqdmqJpbjB4Qk64z5w670HD + lpH3jCBrNztUSgexJrzzcQUAqWnrWu47QCuFEGYSDliHRq2KCi6t8m/hFrmnck6kUhxvqlX6pjjN + F83py4ygiT3hEqg0I8ny3CCTdazN/BlGbKyASQGhTKWZVzY1As3LRmOgCSHcxnf+/Nv/x7f/z/fY + PvSbv/Xu579YCp5zRvOHn/67H/zFd7/z3R/++He+9g/+/j/4R+9++tPtgiMYakqP4cXQRv1Orbuz + DtnKTB+vvJVNF66cCJxeqVmtHyecVgwsOUH1sYVn254m5wvIKCMTFG1QlNaGLLIMAreOvVaz0AQA + I7IOnrUStPodZnZaEWFeM42YSfWMAlbcvVXa2dwilSmiCNNHzFmlIVF+CA2A0Bob2wz1xGGLpDmZ + ipUV57h5JRq1wzMRmeYG2pK/LV5Tqi1W2zVTCZJzZuABHowgZ2iABMV0tr7341fdrm7bTJ5tSSnX + aSTM21ysrgDADWqTGWLJDIFZ/K4FajZrFU4Aox620beEZ0KRiKhFJKTg9TBn3g8UlzXFSHBYN+tm + hcmEGeLrfiRZRdZ5dY2oiXrzMK2ymaA8JIkGeQpF41fQIDD5zZyrmoLdiTCKFGugcWYaDXUq27y9 + WNTtfQGDlLSPMDevZiZSQD/AwNxIL+2ZD+JBgutcqzs+f5CLWg/AYdTsgBeRMkPH7CBJpTc/5o5D + NgtQlNUBPakROeTSX//059/5/o//5Xd+1F59+2Of/PinPoLecBLMKnP3/MAVVk+YBLrgCQhOBdBA + 86niXCk4AM4VlcdTkqySGgB0VgGqyitc23MdHGAHR/lv8Di/CcJgjmxIo3MzlOGpGKQdzq2ayKvi + EA1r/4I1HHefG2hNJOexvedeX2bZINec65Uyi7Wkast697ZHlj+wzdBvsF6Egg5X4DIGzYInDqtA + Oa+jGIfqsiIO54haZtmsrQ+F9RPrL0c0niV8hzeQinljjSiPxYyA3YsC97d4TP+wys8kZBj1cIq3 + veMKK3mdikzPmCTOPBtg5YTaCwnOazVAYCWJRmidki/tCmFCTQKmKf3QHEi9ilWCZe0A7qmRnCrS + RTLMJ32QOATEzARjagVIyCFW2dKXZqY2NOftegyn7p+BsJX58BDml4ZeXB+/zr0C2mJqGZmsJ1Wx + z7HYW9NNw1xuxJ4QUYabgMiM0Rrc6JyCRlavoybTqxnJExBrDO0MAlOG5/ebzIRZzfzL7FUcwLip + jbKYhs0hmvMT82CZj7Va3Wbjg8tRKM/bBX8gZOrOv6/dxcfpA1TMZ10QYbHDUabtHmiCtc5q2VwE + FQunSYxMIcxpdgje4aBIGMJmf3/jnE2HNFxzDwXRN0/kCFhfq5DkLFWCjmZTnQxUVa+uNxOahw3h + RI7MDDPAGtjK9MemwqU9qrwdd2oC+MNiWqUDmbm+YFUxKtxnaxGqLMGOS6pF+oF3J2CkmUMJ315/ + 463PfObTn/rER//qh9/7wQ+++5OfPX/16dlaxVERbHWsapvrzUFDJhToVVo6ygv0tbDtCD6+AJUQ + InnE2Nqd9Qk144lJrQgOVtF4QiTWeOG1KY7btILJ0rdwJhWirTtm6wgn5JMNMmRMY1YeWgF3h2/A + nlBiOfM4hUw5gA7Utsuosb9HKryKOvPsvwdeUnTQaH4otApZaJZRwZpOpoyE5Qzv7prUrc7IE+ia + Np1woCcckDPZ60wwAcr5MWnkcuvDPSbZHSod/9imhEXHqVazGWCVVGndXkKWFUnqoSu0sqEVz2bi + ycVlNvhWYahbZVWRSpYyplgsFtU534Co6Z1GTuKE4Ljt//4nP/nu937wlz/80Wc++4XPf/7zb73Z + bJVUaV59rUKuDPEeRuYfWcq7lcAr6zEEfCcasBEmKDIz3By1NaZCT8gBttkhnA4Gssx0d7bpXR+2 + qpczgnMICqzstSSAjTAKFmBW4G3LPaFoFil1HG1utfopCTo0R39nr18TdcsSH7s//kdJIxKAmx1L + e0pWEa2VMJWoYmRVlaWAkabUvgdgqzzgSI59uG93PvFv9yOt/01UW0mLCDOatUWALg5Umd6y9qe0 + 32K/7UnfnNmsGiL16G3XDx5SnImfEjmQQxq0ZsC46vvf++5//9/+d//Vn/zJ84exJ3brwRO4QTfP + h01DqIEOLvLwTPhn/+y/OD159pnPf/7smxEZ0ZoRHeZlcQ1DJG7ldNrR0Ag4HGTGPLX8UGL1jkjE + jt6ratSoMeK95w+XZ6+0jjHQGraK/zlQOHFyLVwft04C2ciJg83QWQkzhdhvt3FzI3uv2mVmjCrH + z4LJDIQ0bKf51ibkEEfY40cGgMRpa+zAhF7GZdlTBcvMwUG7S0TuJDNBeONs8QHgwLZ1JI+7hwZr + fectNYyuHTF27/RKXs1y3PbYrbmDMpb+rGjBeZm0k53gBz6FYV4nl6jo+M8AUiiKCFW9N3t0NGAK + jjj2PZG9uc2zjkCfv2VpDc69jg/tKUUY1Vpzq2/KKRv0iQwjETG2vonImhZifEkVeCTMU23t8xan + Rg66nZpp4sJyO5y8LYHYhyIItNYEpkiqrQhb7z8TrfWkstSHNk9yrp0oJTSMvorBEMJBb5O6LVRl + BjMS/mLc2J3WkFWYmw/Wga2ZhZSD7gjtMUiXVbk1PYHey5ukbYtY5P1+zOQhEUV8Au5zXM2vxJjH + ELpuo0NCDEu50a3V2409W/cj4EQilXRrZijhsIiMZvPcBgqRDWEnNsAL5gg2Bkdcrd3MkTx7dmQp + XcPxS8CklnH428Dc13REA6roITSTcygrKc9AMMV6soVXV528dhdLskdlSRDYbKUKZbRGax1jR0Yx + GuHE7NPCI8aFAN3NnxDXafaEyo331IsRD/sYkSPGvi+QCRWmMbLsxPxoPgDRzv301NuJ5oRy7FKE + 8OJhnE869cbNDRvSABgn+yMpIjOyWT8/hYSRGCm6NSQpNCKJh/3P/9c/+9//7F+jnZ988t23Pv4J + Bl5xPHUADz/6wbf/4i++k759/qvf/P1v/dH5bBVtSKikXjno9DoGuWLmOjOgkp9Vluxcxbe+gWIZ + pDuNUBXS6KQIYGuF2zRGmNHMrAjKyDFGIGlq1iDcIjPSNRrB1q0xYIHweawvWnOMkVHicwe6rwMT + Mvd5DcgMKTR5U58bJrKKldEKu6+dZBNnnydeL7uNMUIlCYFb83bvZVEkkac+2ZmYS4sxUkoYtjL+ + garcX9WPfd+vt2u/XEgePcoZmb7cu2fKZkiNGLfb6KeLt8bm+/UWke20lbrtQJLdW2ViIKTYI3pr + xBLlAhAiRuQQsrmZk6siuYBSlSy5Kh5HUOE8R9vM/is8jgCBjYCZl5ikamwP6Gegb9CTQLvd4uH9 + 64v3fnl7wOWCQChgo9UvNqJmYTXgTGzt3KG9X7bT+cnl0lpzYzFgVXl1yprXAhSSXGKvecUETGl7 + Jo0l/E8hk5nRPUnbYy9rTNa5VghbM8MjZxJK0poDbUSOffTe3GCtcFdQWIgcGZFZ1ReLzNsYJz+x + bd5a+ddUKeCeZtzvKe7/P1510LkPKaUR0cwn7+ZAYMRwM1vZaIIxgq2BiAHmmEu8Mu8qBtCRSgVo + vpgvVcHqdvvZv/33P/jRz77/S339i1/+2Cc/cQaeEU8a0M4IRZru672uPaABRE09TGCAnrTK+JGG + oDYj0AogWIqR8HZKjBE5Cw+Awd1P3fuoCfVeUTsjZems8FtGYZHhwdY2Tq+wRrhvYGIXwEkMlxEC + 8aiFAMsgaOGaWvcGM+yopoIAbs3D6vjRfEZZZ0+1ucFBUsqMKisSkMFmVurVvqQcqAJTTbntWzXv + AGZm7WjlAyLC63TzBpKp2IfRYO5tIhovyCOimUcwZwMEOfe11kSK+uy1KDLTYDhdIETGuIX308yT + RKX2fW+9fyB1movxvuOLaql8NoBQMpMK9Bq3zYocyExaMj1uA1tbmItC8aRmQEaaW6vQXfuUBH1D + sRWa7qXrmfnyQXpUUio6exYNA7CUK0mCFqKssWrOk2+gV3FlvQuATO45ksM2OEjvEDMUETBjnVAT + GchMLHmeVjFzlXxn4k2jO+Q1NB4N1QmkeXa4oaPq1EkAQ9V2AOcgI2kJUzUMTFaKu/Yy9WQksoE+ + s4R61kr6dj5vmHfNElKpkY5IrpEjT34ioTGm/OB4uEDRXuYeoUwa0iFymJDibt64BBcjsWgxFIHk + xfE0MBtwA8aORvS2npIyJjdUtS9E5H4bZjqdnZho4gPLrgQ9Ii6tC0To+hDn1myDUM2dK5KHto1W + 6OLy7Hx+pfUTycgxxq125+3hejmfW++nPhnH5IHjyjfNbi+u7z9cH8atOxOZmVszogmbAUYHMAZq + 90ekioIsPkk5InYNEc3b7IsfI3I0o/cG8+a2OEfNQndlCDzKCLNYiAVbIpQ52JbzvT06JYq9bTNj + DykiCZC0Zo/y3Pmgeu+AEYGxA2170r785S/9vR/81X/5J//1X/7ge9//3vd/83Ofbs2o4YsLdO/A + nK8HIIX9BglLLFkaiZwyG1Uj2VxWBCBlDiJp1SpCd5jPKddz9cglmGsDrGZUJJf/q1Vry8HHPWai + 1wZu4JJBGRs9wTFv3uQMkMAIkTJGVYja/DgSyiadUOw3h/VTnxo4+j5CUp+Ko0jsXhy5c5bSSWZm + 5kxxjowfZv1UZGGMAHw2jgiZirHLrFdXLlRq/ErJaQBuSCLPGH1Ae8v0KkgeBQ3saIDmcJ2j9mJV + nJyr6LhZXM1z9yynOA1A1Q1UPmuSUWh9Sl1Et+7W5t8ggJuDXnIBn2+9YOLsVcqZqinVjFYlSGjf + dzc4ydIn1eJUKHZzlzJCULq1tkYpXa8PP/rxj3/87/466X/wh3/0pS99uft97dssFUXVbvvmSFBo + blPBJxt7hEY/o1eLfAww0ZxHmx3AuMaICLFv1h2twX2C3hwJG2lqaBughl3Yx9CVdPetKgJDaCVU + dgK8CeMG6XbhDVtjb2UbI02lEdzgpyXWATJzxlwzM3e/q0urQ+hXT+Rf7+vXpbrVjImSSFr1IJHV + oXDQPMIkPlFAaC11zQbzBOjuJGnsKyHKyJKStO5QlXZeErf97XwkADMxkpJVuF+/VVKGJJvcq8Sq + HLkDjWRv3byJTu6GkYiY7MjK8RjQ2v28/67Cp92s1ltrfOftj33jG19/cbvdQtfIh2zang6/dF23 + fKS6nV63BJi0r//+33v7k5/q581nwxIkJ7tGMZP15rDSwPGoMJlINhw5WCYC6UaCghkNY4yH99rl + cmpPnr3yikqzuAawETt0AwCv0Y0+IgOUs2QvBnb61F4AJVkB0Anb2rQHUMU9WLMN3Vb0LEilxJjy + bcz6jhOsIl2W8i3GGDG2y2WiXyYXxC1ayxLNaLYZ7nOMq5g0P0epZXEPN6prjdDus95JQdSIPa/G + vm2nqb2qgnj3Li/BPtXApoKiM52rJIdwatyEAfMhSzTeKx061iHvl76mqaSkgamvXIkQzHovlY5h + 3g/TYuE1EKaKwIW5bIMFoMStBJSEV0My9utQtm3ztp1baVogR/IujMP6KFYwKBd7EcUvt+5Wmyci + JfRmoJIpQTLCund4A7KEJ3U0TVnwBFwyStIoKWjxV5xYrFbLkp/QnUSlshV7Oj2Ewjc2y20AYq9V + Pf9ex+pqPjk446L1aa2Zy6ysRttxZkvBpX7UgcRTglbWYiCdWCKyI2i9HL0OeqgXLgGwOZutqjYA + Gr2FJvXcp/prys6qxliUFJilawuBzObFlClXmiZL23TKzUsASwvH7kDolCBPhULVlsyJJCyRO0BF + r+a7WdCa4j4C3kBYroWhyQUtTr9ku0Zjy4OO2gVE6TnFuRHZSiCdmdcdAWtkb9gKOgnJXhss6Z7A + fhun1gDC3Nr2yutvvf2JT7798Y+/9trrU9GWtc2m1kRQDihHm3RiYKPQQvbmm2/S2uVycjcznC/N + OAKjwYRUWs1RZaMbRDPfYDAZZq8TfGuV+LqEAF7s+ZNfPux49Y03P/vmp7/whc+98/YbJ0ertbPv + n/ncl/6zV7/0zW/95x//+NfefOsNHtX0if7T3bz8ASQDYU1KRM5HbU7zVhH9UeIx+SAkYZk5bjuR + TvO+zV7DlZ16aYxpi9+mb2vAO0qF1d17z/KoTRF7WQJVVfo2gCrZd3fuB9UgYE8wW/PgkWOTximD + 4jS1QeHmjlJeAkaySgOZ4Q6jS8rMYmBabybORolU7MEKPm51p0rgKdrIqI6HrRF4rGpb+4+EsXc8 + beQj8tUMfesltHSzuA1Abh0mV3a3NqVdbL1NQYcgyVg93CuPqN/UvbVN1VUnEVFDfRqbq5YRAUQ+ + ZjbWQqjzZW6ornlQJThBfzIHFOASbzqgkXnL6M16M14AA0be3s/T6c233/nEb+3+5ptP2jyvu7uZ + pqIPZI7YI4d19tmN/nAdt1u89cZbZk/Op61gkBkid2OUlPsQMhwnsBHeHNyI7G6JvhTSJBtb6eJR + Fm8Aa/wz2soWMxYvNh9dcRFmtD6bJ+ZxXp7LVSVjmvkkkElznHwSLwBUw6CkKhnofuof8fzlqCyN + fcDNW7MlVMtMiXVAw9Dosy0QQI0H96kltQaolfSGtnpoJ/lCozfiUAjSGoZfn8f3v/fjn/3i/Tc+ + /NHf+4NvfvW3v/jKM/QDilmj+REiHh068/bwyIu8I4dihAYorjadilGgubXCmhLNkIlbRPMdnl7i + xqQANBCycn0rUV/lrK21WeWdB2XhkiVcnwxEq22om8mL6BBCs4HrkMxN/hmYXBun6VWuiDYlzzIk + wEgenVOsfHHCcgIhZcT8ItndpZRy7IOAGd0MbKLbqpSo6jiTIjtIYJyag6YVJwqbR4JKgwoKl1tB + ktWJaY+yknlB4uRk6zOTl5PBplq8kEJrjSAk3kOUDhn7epWGaWA6hSeNbJgJemkkXTC31gR1wvps + 9d73vbXVkF2+Ue2Rjd8hIdTcAFJOJy+lQCwX9Vr+nEPFWMsIwKiY6dXhE1VGeu3ND33kRTtfLpnx + sEPaveW00KucIgCg+QYDcVufmuYN7NB0RMBs4il+/A7O8jC0mgZhYExShGRrSDuu9XFELZwAeDOf + 4ghf6ydnjDkYa56sZxFkLlgHue9ghxO+nWBlZXrAuro+PhZddjY2zcbzirkZE5GbwylxhCL25psb + FQup0rod4T7NOk6rXUmKYOLYahsg0BuABq9oNENtTd9LYQJ0h9nZlYw9psShkh5lZpRRhhX6YW2o + gWznU6vDIMkdUoxm3UjvJS0UR0Jm7L2dPvThj1zeeL02QzNrp8us2wqhVZ6xsLbPgqGft6fnV15/ + 842PfOhDH3vn2WvPql0kkQMjx7iAG7eK9gZ42YFMahGCsW+9djyK/MneG9EIzeU9kWzFy2Ie8tim + 1cmx7tgSK5CEcx49gaX8WTKyuVyqQNZIiiyr2RWO70KgVR6BNTRD4otf/MI/UT5/eP83fuPdVEIw + 0FmDbYFjYx9Jr+FkmHFjWmVV43wrAVFtyHbEf2YaJaaQEaSRxsfByXyqqKIeegWkHFH9OOZmxrls + OV2ujk/CqfY9eEopoLGKe5jhg5UA0lC0oK3GP5rRjka6PG9fouazAAAgAElEQVSlXJ+bSYCbE1NH + bbDOViyMRpgIZuVqZnZYFMxWzvtOZG9+x6KAN7p1ZY6xezN49z7PtYKSoKH1GijTwHAYwpGGeXan + cCtQDPgkGXMoSwc0G7qwMsp6RdFhtYvLnMS4VlI90ZkX1sJL3a7hrXkDMBJ7Ko3bguOroeh4/3lI + lyRtgBYRmc1b5VIyZ7Fp8/unBZ9oQYA0OjMW3AfGvr94ePFwu372c5/7p6en//if/Ke/+bnfLMGT + eF/QrF203nYd0bWF3PqpZufM6yy+IvMR2w1a7917uYXlAKoZpNpT6LB+x9AsM+Xu3czrG1XJPDbg + CbLBsru3M065VU/d0gkgkxLpB/SasbkKGjKXUqmRw2wK/Pkr5ay/k9evy+t2vV4Gv2X2NO9WKqWc + Y8eB9e/JqVk4wKdlJqTavxBigJienqjmavytMeLHqbsyr9nQOP9elitTaY17989s2a7qh0jQbTrn + 8Q7RXzro9dJvrPvEMvedEiMRW8PbH/vY7/3eN9740IdhbRdfpGt7NvzcdTvlw5YPIhOetJrbUEzu + O5/89Ec//olS7JngVtYzXQSXoxgJt9IDVzl7Xt2E1UdkBpKEuXAyA8ZAXJHGfu42h2jNPSEgb8gH + cIN1cMvS4xwcPdY7TxGFapIbkA40Y1mNQxox0mcm1LDsqrB43Ym2Yp2fmEqYmMLPpIdhzIw8l+rZ + JuLLQoBMrAyEh36voZRFs7zJ49NVuV+0NDQ/4ko5O2T3WafJhMDCRUaq7CMwu5U1HRdGgpQvdpJC + YrJLKnpDrC6jJHKWCaWU7GAuIf5KA7VI0TJHINyc6KsfuboUEgml5vXxiHEjsRObqa0Cs6oTMyKt + tWZWrlgFpmcP8p0k0qSPObOOEQKttQbJlBKZ4nRQU2KkQGzVMVoGzdLyPIelpuDKCOJGZLJJ7bjp + OHjbBblrEy5GsKmYIBLYEiRa+UBPlM5B0b22DJFZvoQ6RBcHXJEAd8coCRLU1q2O0AC6mTJsupM+ + OhxeiiL58r+sxfzBeEnB1icv2lHKneaV7OdChTbXUjEQqxO+Bp3Nb3KBVFLziZRoMQEgiHDrGA1B + NAzDC6C1PKVhL6voDI+jD6i4iBkMuPKgl7PLQiTrAldQOxBHeeRbU6V4Qgswaw0zUO4UyICX2T+G + Y6Qs8kTfSmQFDlZnTRJKwhxhDMhL8np+8uxLX/3tf3o7v/KpL7/76Xdb1dczI/cyLuFqtpp9tmkI + Qn46P/v4J979oz/6j95/cf7Mp999+uwJpk1cpoYm71A4Vq7Z5i4tpXXCUIaKCKDKKQYDu/UnX/na + Nx4+8rlfvPrRr371S6+/OtsiysPm/NbHPvWhD3/qi29i8FGQOs4FuRmNkiIl0t0UpTRYd52z2325 + JlQIylk+WGAbMsFQ362IkJlZEX5z0SqVKkFrvUmMIMNtZngF4Oa4tNVBVdg5JpJKKIpzEbEHPG3r + +0xk6PN6udYLqqm8FhXB6T5Qbc8IUYBJTRBYLaMo649DFpYl5S1RgoHVnFpzlSKc6ev3gHP9Tyoi + 12I1qy6s8rso420SUS2SNaF2SUlo1l02+VqQdDIrX0Rimgrb+pBKJEyES48agDFQblFrUjCWGEtH + gKssfcW69S2s2DUfBoyazMNyC+A0IoeVMcg070lzf/pbX/oG+hd/56eX3/j026cyBKsM+KC+JkED + UzKtepe9nT/60bf/+D/5Y2j75LvvXi7nFccSrI9c4rjwdSwd6etq8TOjCYgUQFqzOdQlbXqQrELm + PeQeJZ9JImiuNpLMCHL+3Dy5xUAq5I00S6F8QMx9/hkOq7N1OJCsEUxHpvdy1J4b8FGKpAX11tE4 + MUOdJhpSqcCcqyF0EitCjGjT8Wf1Z6agLJBYXsQNgLrh8sbrb//O735ze/NT//A//ke/8blPNR/I + kWWx6rNtw1bVeC0ZLXZggVQSsBSINC6uE8uLxgFYIEKk+csqiII9E6BACS6PsrmJCRrcq3ITa70G + YJJXeleO7bM9gDnVWYY5s1MLNi9wWev9OGZWn8X8as6v5lqsXPZldaLd32HGERYul9IMEDO1Tiqr + 5q36Ka5O/HVurwwzA4R5K8wVjzaIUEBn1dGr+VzUoyakqtDcE3jajA5KQq2cPqSIafhSnQCP8/H1 + g3q8AkvmTYgYCZdawZIZCWZ1uBBPOrImqmemMqWmSsSUyMnUBrhe9qgGMEPMumOqA3qtNU3zbBkK + nK7cZgQ49ubZttObH/rwH/zhP/zEz/fPfvkrb7z++syTNCNUQKkpLChRYFlrhEROdl1jKjPsHtms + xp7UKg/MmeVete5aU7mWcD1MHAybrBZ+LoE3PdcCI3K6lzzClhAWj4aRNJlbF6khT5qjNMKYh1dp + 4wr71r0tC9VqdUFGlJVNbU4hqoZXtZHpm0KrwIB0kGhwZMNOMECyua+PhEIeqni2zNPKHE5kCQoK + H3OqnKYxMaolSoCqPbvW9ZT8zBQ0Z8FQxmrcMHNH2WGB1TGHqqLVrZqlK3v27I3Pfu4Lf/zH/xgf + feedj79zPp2r8HYcBnMHGchY0nsDNjT/ym//bujJ09de/+S7n/TGFKan4sLhZoWip+Uc6ohP5WrB + IZAxJHEWFYjqilfY2mKpcobxaTWC4+S7HzD1H6Z/oGl6CpYmwYg1L/HYplP4yeM4efnryIywmN6v + NDA//JEP/c7pK9fYX332+uuvvdbaqqXUXTc/3kTrVCzt//1cRavhW4GW906EgnE7TGamtOkLvU6G + 2vjTBauGSyhXUZEyQIVm6k7ksi2aiJ/rkqZ8dz7ZTGL4PT+fdELysf3REjVO0xCAo/hesK1ZJ7FC + WcXO0NzYsz0qhTmWbuHcaryApLIwoICQKvoWQ1+9cSDLlzDBKHRCN3fmMYoxZzixY9SykruBUK+1 + oFlhNNUWL+RaW2whbaw2obrfc6+tg+4wLmLBhuPsViASdMINfhSRNPdITn9jLFjEIAcwW5OXvXGh + 5XU61qJ9iXQ/cAvNVMEGB1hdAbH39uZbb/7uN77xxS/nb335q6+99opWOodZkKhoCxYZLcwnMkFS + VZ382A7lIRI6JDzYA0vfKcQ+xcnzbebWxvK4gwA29OldOWF5RQ415AUoDZU3hxkQHYCQxJhZaZX9 + ltZ/sotslWsobW6KenwHyPu7flHS//t3/W2+6tenELlH7FvfjI8J5cpDnEclQ4oxgNg2B4H0/abm + Pn9IUfD/peLG/9+rw/1hPb5b899y/QdAKSkJmLHfd8Ud+eyhPS1RbooksRM7kcIzoM/YBBE7aqaz + amMqMRpGyb5lT38e/drxKnFR4uGniMT5CbyL2w7cgA6cAKimbswG87qQAJ4P7MTFwURLbU3CDpTZ + yAlBBJZHWCZviWukxDN5bo6+UEKxnUcl2QAfv8TDz9Ed2xtXnp8DWCWCJyNa/gLxHP4U/ZXBMmqa + l1RiyAvg18SwGtA4OklJg0xHr4HNUrw/HtLRT5vTBXDPzuKhIcdeTyZ2i2xcDoEEYmSmnTbZdPmr + UyA1StfHXL3SdHBSkHY36Aywkqmik1ywWF0VbVlr39eJpbRLmP2JMgC3HSOznXfjg6PlgEUjt+lu + ZQU9rxjm2aFlVWQvACtfneM3TAmeBpSKGCP2Ed5627Y1/KSO5hWVyvEqc9/fH+PF0ydPOp8W4FqX + viOYN5lvKAaMEEbiReJmuHQ9Yaw3ww5x35Nta2YxdlKwBrc9ESOtLDVs+mmaYU0R4cPDTdbO55Mi + lKGEt14wMrEHrpnoeGKr+hiIPa6tWrrRUq0OFieMvyRSuCS2x9u10sSMgPrMpiyA58JD6px5NlY3 + F4S08i6a+CKAq+DJlnBK+TAYCI9oGU0dfmI3OYTckzRs2KErdhvxlBvSQTzst9152XpebxbRqunD + bKWRK/QhU8PvNOjLn+BRehaBMYLIXo39SIy87XvfTmxr0HwiR7Tmy70dwpzcyEyMB8RI69wuO+AZ + bgEMqCN7CPKE3YRrxxkvTtiBM3654T3sT9FeFfGi1uft2ndv3uAODtgO7uAZ2HbhVm6Iet7NnHPa + 9WqFzVoEUqc1CCFoYDx/2J/btqG9JW8AThVTJRjTsBN74nbbT6d+YRgegAE8uaoncSoqQtcpvEmm + RToD6jCT6Ze3/fbz7ZUGv+14+tfj8nBubwDPgPb+7cX+HOcWJzq8pfX5JgUEHnDawZtwuo5zxrlt + dQdKY/XC7GFjN1yo1Qk5kRUjV/tHYSXHC2BHbNi3HA1ACCMRwunVn3b7ZQ2bvuIVYvPn4C9gV+BN + 5KvjASFsl5WpAhGRmd5boY19H+7e271myXUtWaVEycozkbzeHkSc+mmJGR6BEQGxPzy8aNupbafj + w0TmPiKlrbeaHHG7Xm9m0bfN/ZKY0qiun3gG/Blw0bJSHYHuo+sFxo54VWx7w/sDTXi6vcfByM1a + K6J5Xryh1kUOIWhJ9AhzszYFGTfgpU7AOUkeNoTY4+TuhBL77QpoO58KcAtI2gBu+94aehXWoJQM + xhSFZi0DORJgc0MzABF5HdFOvXbTGEmotzVT8oDaRc0kI0uVW426JZ6hpv0jIIo5tCfl6HZMV62J + 87JjSNHMpGlpjNk+PuZS5ymWjCtnujYQN2A1z7InWomdHfDU2K9obt4F9MmhJux92PugEK/nOMmQ + fQIvE2zgxHVAcAAu2B5A3tzkJqAjXIEErFUum94GsQsWwFDmzs1O/VByZfF6AdwENO+ZvO2j91Ml + R3tE5G7g5s2rx1irrl3M+CNebZ7UkIkU9uvNjb61BUmqFzjGvvdtg9lI7SNoPHV/nAYYknEDE9YS + FkuJ8cGQ/ThCEwnsI8qK3pfPDycSSORNeYuE9TNsK7QB1XhRRGC/XbdmbtTY6Q3kftulNFo7nXdx + F7qjR2Jc4Qbr79FkaNh5+3leX5hfzpfXwD5h2GLxoQB2YAcCPIvnmoJVS9VwNR/ADXoCnRAYQiKt + Bcyu+5XNNp55P5Vi4sNsGEgp2kiPjs3SsFfFIeA3tAbaNfWwj7adaIx9dI2OcDpupt25eZ6xWyTC + 4T0d0vDbwA5kQ+toUL+DS8fw0vWm42rYAUMaBsFtuIct77yJ/ghQwh6ZU4PF46EpUzm6Lxfm1bm6 + xA3IRAxExGnze0xNKUbsNyL9fEnZnsyaunqUVoRWtzgHYsCayq3oHpAz826DCDCkUcYIzMvWi4i4 + 7mHe3MzMX04+NBc72vzjARo4gIfAe/vYMi9ml+6wA92ZgF8CVBrV4B1gpsYIa50GpXK/KQdRxCi9 + d/NuE4KhSvWrInEcL3e6yBDIG2KHOJLBFu0Mx4sAHx748PPXL43lxXPbX9jTOF2uwAnYgG32SSsi + lKcS4tEA3oCf3EbuIbZ+8jcs+zQ9MJjvdAdMiczhRlgteu6ggxvYcsXmXCm97Wm4wq6wBBrCkZ65 + Vf3WeiZeCCA6xsYdDrAlHDCrxrt9D+1X7HJSXfJTO5khQj65NWQOlmpdAixhudDmjhsTDu/ohG4P + D83Nt9PxjCffaQ7yIJwzIq6jWS/AmbzecBMM2IjuL3maCuUqn30WyrzsUlIBBGgNd7gpAVmKOwjV + +2R+j3W6P3ABI2PkcOTWLW5Xxam1ExKDGE1po5VdxeJCFMnq589A7z9L/fx8fhV4ApxqCCEn2ZiG + 28xrgri2GlE5gB3wMxLvvRh+aXbGLWSTaFeHM6qmHZidb56wkRiRI9S33g2O3B9eQOitzzUDPDxc + kbm1ZjTRAzSHETGuzQWrI/jOi5UfXmBwjr9TahcDiIaN2KhHXrbzRwfBmsIiww3TxetS6Qh1vT2/ + cn96urgcuzB2bZbNoyYCqGx/A3iBTOic2qr+FXnvuF9zhsccDkYDOeAVlbei8QTkPvaH1oh2qmT9 + 8f5dTKaUIM1ryDajXAGOU2Xy0Cp9ffX4rE8LWC5ltwGBodiROlnxkjZDkdpN3InmUATCT62435RK + lnPdr4rh0Gk7mZ0Eu94Sst7AOnNz0NKNDYzYkWzttK5wKqgjIjJVY07NErje9gQbdWrVhIXr9Ubz + bdvq8yc0BBk3zHEmIMD3wOfAGfkKwmB4Hvv7fK/RXtGp5RmOveE5QOAp0PKGCHiT9ZxZnAApqiZH + L1gIVAJ0YAkdqwYBJTKkVKQyvW3wy8pXIduFF0TPbIxO3GXLwg18YbhA23wQBvEm2BiAWusSHoCr + wYkOdcCLga0slHghKGSRRjSXeRUc2xV2AzTSxmitnw8dSS38gIjRkERKLYcbrJqRS2whT4DUxrL2 + KUiZDztOu21XgwV0G5fGUyMQiBuk6fgHB30k9kAAclwMLQXdykcrpT2E1uE2F3wMIAZid3Wemlql + JKPn4NVgHSceEZJ7IscesefWN/d2T7fq/FY1w+ThHPB3+Po1qW4zM1M2uy8B4Kh0F6ghZ5ZzMK4R + AALcaTX8rhzwq/mxSYoY7gC9NX8kZlsqgL+B10GR/Yfe7oP/NgvRpNENMmIlcQMNy1TRupuVwhBg + 5ADCbPzKFWvRwYe8IKsvo7RbNG5cylRouqRlAsn/D49UgLfJlm5zgjtWWc5K33S9jtatbSTTQLGZ + W2IrNjOXDiiFK5CEQ64w7YBwuiAz9rhR1pe6GKAZuBV2gIZHDnl215p0Pe/1kSN5iTqqQy8Aw57I + xu6bn0fLIkutBAbzyvJhH3vjpXX3R9ur3tqbWUZk0JYnrRwqjdME+/MoE6reXbSLAjwGx1Qbnrxk + R4+mt5cEAwCYa2JDCXtnMTYCIFo346nWhNWFMKHD7BXg6Z4M1B2RSQX3obKXTZiiM73q7m69pjGa + w7yEucjIfQ9BNOsbrAkArfdt69bo1Q2UAzT0RnADYK0YaiO5cG9HGWMyyzIVhqoS9w7RKqOwVDJr + cKC1mjQDzI49HVYQoPXTOYtzr5TKS4sAkTIDLmYqr819DLmhuduJJRECwHvjGuTCITAuhVcNtq5H + 5bgHh1qwu9SILKTKu8EYMCBojvRjubSYkW3rFqA7PYjhUwiWClVmJWBgDMRmWHIw9m2rR9pat1k6 + JlIjQ6yG+rpvJcq5u6seCOkD6JkGb270xVSoJiFUul7owAhrc7R25aQSymSvAXAH09bMbKC+NmVP + XgsMEkJS+cKHwYEniA1eZikQSNvMDJQiVY5oLLgxO/5oOE1/o7mmWfq7YniO+MlZ8OynrdejV8bs + MZ+Sv9q4AC39BOMeyJFqtpVvW2laETfkA9Dgp7IDjth3DoSf7MLttPVn8AELs761dgMSiEAjL+dL + bNqr16lU5lgtQN6Ro1QD7q3YlpGoIae+spTlNlErbQ4Svk8iTUAxmMOmerBxmys1BrzBbauqFbBt + IJDcDM+AruGxD2drHQAQQ9OcTsalN5Baa2bLSU1QSikjqjNxJZCIGCOGubEZoH3fmWzbBiESY997 + oxlOpw1mKiF9sVpmrVsW6aE0YOvdvN3MQthv0W8DzQXb4FXcmSo6Et1hMHADiWR1WWxWB45XSFq2 + GyNGZDKtcXOytyZANk3kH5EYATBzyqOMImsWTmbyELnR0LcuRY69RMRHb2DvHdiHbqQId3rpcShC + ZkabztG1R+hmW58y6hQApvLhett67TdmDMucE7JINxd96exlVCgDmcmaXJWctr4C9pEOW70aKwho + LSeolF9LnG+r/VGPf2A9+xsIqEEN0gB2AtNYnq1vaSbgdt0N8jmUAxgzQJljJPYdo8Y2EM0nGIm8 + sboRvYa0NUKRA5luTl/TSaaBMva4XkdspyfG/4u9d2u27DjOxL4vs2rtc7qBBkACpHgRSJA0IZEj + SjPWZSRLMyOPx5Y1voXnVzjC4Tc/+M3v9oP/hMNhj8ee8GhCDs1I1v1KURRvQ0oiSAIkJfAGoAF0 + 99mrKtMPmVVr7dNNCtSFACJ2Bsg+5+y116pVl6yqr778UnWR4kNjO8Z9uGcpQpKigqVWB1rw5URU + iyZsGs2AUE3Y+Y1cY7nAUzsBBJZaEt5dW4ulSymiWgafRJTiBYPzEpXYHdp7SWZ8YF3c0SMfYN67 + jYRcJyGnA5+lN4FRULS4yGCuBIU9x+WyLLDmvVODdcVaazYpXUhxrB3ionKJDni71CvEmd9yYK0S + 7LwYJSe9wdO7AhkQEHiywDEEn/rq7ap1AaoUqArEHSy1Bn3KuisYArzNVj+uF/VmTNsd3r3RZbEF + SLUEsAR9uKgcZIl5WYuqQ2MPW5VJjTeXYOpKNF4e7wKA9d7UCK+zUrfIlPjNBllf5KSRwkNFn2Ho + pGhUTHO42aLpl3tvtG7Bw9eU8o+nUEJeT8NxWg/lRNSihTUYVUJqFjxbNWQ8Uj8RSL7uOI7yEUQg + Gx8c3cwhUqhY1FdYAx0sS63OId3hmNdj8w6nXTH9tCuIouZ1+yj00NSorkEgjmkahEUoayzEqKVE + WR20kF2WMU1ZozXCKUopmycG3NEcMKt2FBxBh5SiRViCgaKK5fKi1jgycxyPEC2lHseaH4CjORQo + MgI2eoebFVkpUsvCIj4AKMn0XAAqDN3MfHU3UsXpFIfY3HbbUDMczQA/OtxQDXVgXyyiI6EWEKnK + gRLcQ+uImKwgP4ihdIVc4sKpK+TYuIbMkTW4O5SiYBlLHnNHS2p/LixUtEDFAHBZKhkRbGRqXzIH + X+fqQvMayReTHgIwdgC9edeQiIqjy4Yao4gDLxpYVlDgUrMFQLdm3ekUUgU5MQKMOIGeq5jMGLkl + jhDRIhJhZloUoTpMcKiRd7h5k9whxPMl2XOLHA6lBFPHcDDMpTd8FBOIhCHp9FEQhyeCixsl9PeK + Rta1pgCblR5FD1g6Rkqc1kgpsQA2FV9qySCeMaHU5SBBH8YcGHBH0QpJ0M7MLPJqe+qYzs1HcAgt + 4kBHZ/NdbkBzOLvE2sTEDDbkbudr16U6GUx7dqJUijkaUUZyjVk/AiwxbVGgGjymii1EN859L2AF + hGke3CmwwOAN7DGnjtWgNTeDSyQcgIdGlhGAKDkYoW62tthrM5o1iSLIncs2sefmZyAKCnXRTqww + QydMgQsW6kjKmmFcHimx5slQrRUi4s17MxfqoRTBmI89pdZCIUGLiFMjqAhu8E4CIVE6FGl6b92h + pQY1OscmudTioFnPVSMjKGdwZjz2wmq4QZTUXQFqKZdyUwHthDV07QOsyGpgBG94d5h18R45HZSp + NAeHt2YUKTJqMwAMF1uVRkHAngGBJIREWsexH42dIYIuzMhCxIsCWBzwHiF0SXjt1JgOd9vMgVu4 + WZcpqgYzZxMKGdx5Ec9RzdHNRZRF795bq9RD3ZxrUKQMBnS6qgp9xqx0c2trp2rZGC2EGahFNKjD + LAiYwt0ZJ6CIgCQBJATFnAhJLYnL4hyjFFGpQlBGt00WfQHUu1tvXqJKxDOJUmhpmMF78zgJKKVI + 6rB5aDXEXg65m5lplV9f+14LJvjm5PIEd04uuc6J+WJb4vuc3zn+HnqWhjXwCo7lXpL1T1Xr/vrl + jXKM8uC+u5+sqHaXnt5gLjypoA5spt93HcbVPQ4ZkFNNTItDxitQ0NzZGQCoJE7zmt/Kx5ImIwdI + hyKoQQDolI6cEZ0e/DmJOK6x3oMRLTBQd7ErYgUJqci02V4wk7sAIFjzlM47bVUcDLpbww0WgY/7 + AwhYMB45SIha1FKswGV2HB+9xB0wgUMi8NmTri8AxczNM50Yxklgzhgc86BZKi0AELh0gznVvcCp + 6AqPJJIRTWWDH47cGR1BURdYnkbm0mRkHXUHWDzYfbLCBSM8NgIKx/Q/2so09qgGjM1sVOhYLEBA + kRLRXhnTNKYDeALPOZCUVdOZNlA80FMHehxuEThGgAbiu6gjtrZnCuk4JScnth3hj+LeLVUIR6xl + 5j7aejgpOkiuI6xmvKk6qkeLsJH3iOa4cCwgCIG3ucbKm3mBi0uypB3wCG/J3W9SHRI8jLjzjPrC + 3KoNbCAHwzg1doPTWQQgVBLVI9zcJNSaxrYtoqgogu6pWlRDSRU6jsHjUoKWOthTzHD+NweoZPPu + Bi2TCw440YNvx6IDZjZARvCmmWEXHLo/FxmMrEx7LrvnxvpejDQHekEL6BlYYIQ1F1eEFnJhjxe3 + kb4+qnvcLNu2Dz9/n4NktpEDPlAiQGDqR3hxZAUHKlxgxqZsjt6hjcVwqNhpt8FcjBmep0nFs+ax + nlKACzxCCTSWr0HqHbkQW0uW+XgL80zMCjGXCC3kbpXK3UqFMI9Ee9HkGAshjh5m5t6GgKZap1gB + 4FpZ1QWHuAZeRR1YoY4L+iIzGWQME290h+gIVfM4Fi21gugWGqGY8b5RUCZUlv1Nonrg7s7pNjEW + xgRLCDaOcRF6NBSCLiGIZSB1pCEzoqurOMg6PKr7CBVM3NgXuLpp6JENokiqqpr7WOz6SFWSXo0k + 3EM7xZKBCmkJ640ZYiKdmdF3vFfEgPbe5u4wp4IRFT0He3Tg7UgIsfuPKGkDtSiN4+2FMDFYnjFs + c29wQgmE4m4qOkVP8eEK6ZMu6gScsnHaMg5uuAcMIZNtXxCcXB+P3XkJAmwgAEXm7s5PYiYIOnM6 + vSBnebAUl5yDgu82vMKYEzGkK0C4u0lSpDkCsUcXigKJb7AFjCihRzAre9yWZBHG0ayrlmbpEsYE + 4u7GnoG9liXhfe89vH3+tu1JkNlZ4+/bIevUopiOcZyTe6h2z5xq39lydZjCXxnAKgAibfyMlh16 + sFGMjBt1glClu4zNSdSPgnGW2UNYxQ0dQwHCXf0OfCWXK1TjZcTX0A2+48rNgT109wGL47kx15Ix + nDzyJyH+y8VDXunRGbaKQx5cYC5RTiHVXNO7k66UBo/jbWFk13SAYxO6La1DXwwZ2T6bLwdsLIpG + bXu2m2NMeLmIiFXoaLNY6TjH6iMe5s4ecljMeHbmTAUz71FHY1OZ/zeY9ElnCzcU9x/LH8xX4ehM + ngJSY/s8x8bssbseGFkkXWENFpmYa4JJlmByVLiPb3dvYMcAACAASURBVF3rmsN3AYHeUmxw08eq + mXM6wGBYkTKixYHQ53XGYYRSbKhzxsM5mAsAzHymExiT/lxohKPi5Bkg5thlgTvauq6tXlSK9A1w + cKBFaD9HNboDtjJmc1RB6agelStjRW3DIdA4AvuBZAKeqGrMWhMbkXNiSJ51tGusCXzks0j+Wcxe + PmfIDnYUgylNiSqBkYX4i5jYCj9gS7MUSz6QMkphQM/VsSGXadk/kiGN9BZzNztaO05rucat1WlO + J/voUiGQDSdsRHZzTI5jehydepuyiFmCIZ0eJc3xdnp8RUhUV4hSSGruMvSWQnBo+mefq6T8T7jd + LV/4ZJELTxrPZCtq0CwN0CXTxwWBvsdc5OZupEzc1HPCTqFri77kDiJpD+4hJJbC4zbOVBTdx8Sf + k7E7Z9XtSyjDkYFAhzn6tYgnN7gE3D86NE/Hbpz2KGSboUKvI7psZ+DEPtoiclcERi8Q3COOzpsW + 0bqI2bzBL+MhAd1eAQsQMRPAFpGBMUGNlU84KgtvnQ3olmj4LppUtpbz6eW2xckeyh2fTIc+u2D2 + OB8+lOOggEEBMYGqQiJblBvcQ8vD5/F1ZsFx94G6xsohhe9iWOd5xDz+it5K0L3TGkCKEiGbM/w+ + x8qrH2F3AYVWxw0DhSbooChZsQicyfWGe9kmGrcpau3DPwIOSsp9jcG3jYW5MgHSccx6DAlEl5ga + II3d3RW+IEMZjx5BKmMkuy8eH9FAdWqk6xLGhi/0wfZuYPhqHzBDbLdndx7nq1sxqTGdb+Umxmj3 + XMIF6OqjyWM9TIncT+PdmV5h8OQYBN4eaU86IkOyGegGdgEcJRIfGIb8cioKT1TVHUZ65LN0pxkd + Rj8qSVRGinmHmFG7hIcn4vCY+zYBpkNDrllfw8Lwb92+R9Bt6OZhnCokb2gwehwwM9JScAlAaIFJ + SIMN8g6CSOG1kCXOtlfvtpeEG8vJ+9c2fzPm3/4vY2mYiJ5swu+DU4rdSAFEUKSEsmSEDe/uagO9 + DdQhjhW6BYLpnE7BDG09FnSU8qDSfVsLym0dRXczA+PQNxbvFzcCY72Cd0/1D5lOCEBKCYz1GknF + itBYcfG6KJbDxFWSKOqqFeiwFWwQE7mI6PTuoePiuYSKcZWLEstTPhKlpKpobnBNfK7NPRheF5IU + 3PFf1KU5yFKg0MqI1AEwyJBjZomJxByt5YYnldVCrLB66IhHUghrqdqjNbSr456CTqwaEW0zFYID + QKlwrobaOxxjceFHWITnqEdFOYZi7T2AkSSUQOse6XlVo1wqcFhDt9RplOJUA8y8hgTxUhaqQzok + U9YAAN3Zj43KUoqqusM7eoMSshi4jn2Ucgur6cCKcoQvMKJLKPB2d/EeHFKA1lpjUYmtQbjDhEDG + ZmJstHIR1Xs/liJQ0BXjIxKorVYByoiB5CbZNBeUwRSOkIygUVNStJjbiOsdRNfSiUhEw8zoYTAA + BsWQFcnFk0cSJ+smpejW883hvZmgsmi0r0sQLVRBd2Pr5nQ9mMI6pHtxg6bmslKHmKPn8s3X3YJ2 + lHuulYbFVO8Au6EdIYBWaCHVDMejlSVgKut9BUVrnQc8iTcl9KUQE58a0EzyWid8ZekQp/AI3CUu + gBuxWkS/5+VYcEEcYtdhnaICv+rNIgICgCa4G/NwN9Thk5l7pP1SnXEs5cBKgjigQ0NC8aLn+RWK + AzDBseMu6cBN8CLYBNpz04ca2qCK1QFQZGFhRDfN5dDaUNzzlB8WIK4rsLpHRmsvrLne7AYDioCF + rEAJLchSICU9S6Q+6ei0Tsc8kZsI8K7ljGI6RLCOd/uFLL6gX6IDFShupd1ZlY6lQQ1y1bgobxQo + gauO9QjRkbInoWQ3c7NYd1pH671WTR6RDmZUUqsscowcSmhaO4BaC0fmOCoOEtl41uiYDgjZ3cy6 + waEFEA35wUjtRKVKIcpBdUk8JoAPdWR2i+CkO0o3ipfAbiRZ9pBSRZxYW4dSlEWLqirqJPyDQVoq + hFhH7yilSWmQZdsTjfVUsEJI2AqP9MWVY1+e64LesAJ1gUAzqANu6H11sIiId+/mDtaSm3e0Bjqk + eoq+uwpZpJTFgW5GmGoBDN4zyU4icdaPRy0CLaFmp5ppN0S1oVucDwwhWDMDm8R2c86dWVfGyXbz + qO2oo90qjoRGx3RAIUkdDi/SmtMRE+ZyqAUddg/9CqwoNzBS8qiiMnakWTXhxLVEqk+4dcb6GxQZ + CctiK2BoDtqxLnbQUnQ5wjt6Cajc3DtjU5CpuQKeap0wkRKEyIEtRhh0LwYpB8iEyTw3vUEHSzU2 + N1joa3prpEMFRQuriRrRO9q6qipEXbadY5zqRlCEFoEBV1cGw+Wyc70PNooUJoGnwVprAERFWISS + HDt4Kke6x7OqpIBpa+YgNTJ8A+69NXPWpcbbt7V1JaRogRmuOgpRKMBd+KvgzVf9hvOmABWd3mFy + 4nQGajC5SvAeq+XugPVqDQIutfoSqxQnzK25i6gBNFvilDW0P2RhURzDCbku4igp9D4FjHPtDvfu + buY0apHQrDA/thJH+xKrAkv/1El3q2YMEURVVXD4WUvp6az2+JEDlxou1gzmrgRVNQ8t2H3yqiMk + hX3tECxaQKdrJnozNPMeSY4l3WZbV6lVQgqjltzJtg5rqAIzg0oZlU1sUeZu6D0IX2aOWHAIYfQQ + TJCgUxOkdT+aL1VUBBRc3fPuqOojh3Uufbd2fYDl5hsxVVvmVupAiM0pPIX7bZyOOVQorFoiS7K4 + qRi8+9qg7sIOdEosAUtR0WWsZaW3BslEZEHMJ5ReYA2IDGJmbnFI2Byr4ZCnzt5aLzEh+Sx8CzTT + MXLlAaJdM5OQAtKNjaqwwg409As3iZWi1hhQuc32sbvZIHgZPsUimL6HmlSHWR6CJahmQHN0g5tV + 3UEnmFuMgMAMHpo9FRmDiwXQKlh7zvee+qmgQ7SQPTeCvaMJxHsZaT46pOcwnzMeOkDRkgnr+8Sp + m8kxpxIuSj1Cj8Dq6wULyzj7i/g0hec56lRv98C+qVLLMnY+SAdlRnOlcA6gnSUBRna/B9TdE7MR + xpQKJZU+VnqxDc4TzdbNVQpQBXT0q1VLTg6jG2MUNxI4E7FDBhQ4dm/WDocaWb2UUO3iltuCAHrc + u/cGQEo0vlDcGq2nXGnv5gkbwOCtwbrLwH+QZBhnKONSSqKpXUJGeSWEqBE3ZDBDA5SGMqf9ju4Z + w56uxymyKTk6ADdrx2bWKxZZWEqiVAThHY2oRGRs6YQHDcUcLe7Mu8RdxxJkFzjgLVTscm9cEdqJ + DQAa/DjOmvI0QlVUEBJ8sY837+aQCBAx094oDaKiWkay0oECRCrUAG5EhnRVvnAsZiZyIVF6jcTn + G81q2ws6SKE6aN7X3mvk4hKK04FuPTItW3iXCBCBEG6tZVBj8M2F8DoQ5w1ULBpYX1rvzfvRwVIP + EIrSupvnSUE2UL+HdhvlArJYdBcheIQoEfm4CQDSMdI8Jh3EO7xBCkAlRItsLD10M7oLSC1kcm4S + OCSKUrQiwpfN3JyukAVrB69wQSn9UG5ExkGCcLN+p/VCXIgedB7ZpJr+GoHqCKShw8OJqW1zJykl + z1V7h0gqn8cm1NwCyxo539Gtd5gLLy6rGtBzE+1l3C7hL3Ggd5hbkQ6BiFYUB+FszSokI3UiXce6 + hlgdJR1YyB1EqCgaOlqXxTKKL5HC3jtFal2QnLecQmJ10NL7xCGZiI4zEiNW8958oYcO4wigNOu9 + 91jwDlFsxGDFgKDxBrDveZoyYqiBbTVARMyTJAaIrC4CQGAmOV2IoNSJciiATM7LcfdripF/7fIC + mJwDAOOEOmdGIlSjw/Ukgslt7CeouClmMIfo7nd11H3qhfFqOWUjSPxQYKCq9BhDBVCB1kNSNka4 + pYxAldglIwtjs/gOKJJIKR3sx5xsh1ZSHvwyXSpR6RWZrRkIwEfzTTQBZoKXKYbA8KA2WYDYmh6Q + AnGwRQUIcBjVkkCTEDUl0CshKEKDG6yBGgkpCQREZMRK1HBVkQJs84SavAwNrn0uBpghJLPwEusa + cjpRRQza0fUcNeQDC0LkpyHCSCFQ9SG/wIH6lJAu50hvMDtAnpZPxCFQmAoU5FkXKnMn6QC5JDTo + AFGQmkGzQwIChhsPzEK7ecsUW5UO6y1wnlG9sbp3s661CIYC+1gkiwCSbJvoX9FbDAj8V8O5Mnb6 + 4oJu8N6FCOUqLYmlhhYsvBjEiKEI12b5PYds1zgW71ytIxLTYgRoEObNUUJBLLf6ju6o+T6Ode2q + VC2I8BIz793ZnUXSKxQPBtQBoOOQSFwq0yWOkJWQqsQU+oEK3dODwGT20GY+b4+XlQDZKECFhM4I + BvcQkkcdjm4dKkEMjQGmXAI9HQ9BNsmkYm3PjzZX1EPuzGkAqCoR6OSBihxyHz4bki5isIIoKaUl + ZXU+0J3s8CoFYh1KpGNKF0Yu3LA5YMlHw6tEqhnk7BbpUQgCRTzTZzjjA+57L6NPxZF6ccCwChrs + CL/QZMnnoDQUx4UDgiUGb7WxMRMA6jgQQHH4EayGC8fFUKqJLxSICSxUFWpArAqgELx0dbE8bXBg + 0dAsBiOdaQkROw6XKuk6aoFDyjZJXDuVzRIWJQu6ABVaDpKVOpuVQKmFKS0mAMuoagI1ehUyUn7Q + WCgslIDKKeDAa7l7eFyIHTUyVnGck9WcleJ/nkKD4WcFJONYZmQoHC05UgnM707fe70OVBB5LhC5 + g4NdElUAkiglzuQxosOGM83npeyUKCgQVcgSb3RC/hrvSYR3mogutyy6hBYsW1EVSR2lFERCByo0 + gvvnukJ15FELuHc7amEUIirNY66ugCRDXkRDAmO02Xy1mGaQU9SoMVFiyQ3OdnWG0m9VultDyfSl + +YcFDrDOqIfZRiHu49u0RlBRD0NXdzyRs3JCTPy0K3Oc/mUnOunqQlQALESPqawAlhA5EmYdFcFJ + ytNxwWgXB/L4UhmaH7s+PRdEcyDkXBun9iyp6hI9Iv5VAWsNeRnflbmO9ePWJuWGsDjk+lJy/6aY + j83fBKxakA+cl0tO0NCg92C3FlAR34+7iPycPYVSSqWojYkywWsSuAAhuLzBy9zRQrIXY3ohbJDT + Vvp8khIqBTwg92TbqwqlzO4qm0BF9kMQga9LNkOyc8psvMhjmQMz9IdzHSaFi+SUwhh7Eq2cnpUq + 6ZVz6Tsra6aHyZUHFsgIaSIQC7lkBu0xJxnk+qx5AlIiYtzJkXMQhOTYt9H5Iai1xPvL4CgAcTaJ + rdT39Q0ilucxAPMcOB0UmSmiOJwVWRSU/CsoKAcm42OO6JMHENwknuZQyt8LcElUYYZ1EntiY+Ek + vWcWglFYH4dbUNYAu2INPyIgMIYrCYfqlLbjrhQZpBLxgjIS55Goigx+0cPFTWWpSrkskYor+nXZ + zyOz+YYyTFFRDM5z7G82X0/sRhUJFiDm97yhjP9HKCJVwhXlAAGkZhb2fBsN6kh4ndFqu2JpOiep + 8VYquODk7DOd/zYbZYfnyfipipIZwZjYQlRseLAxKmWbzrafhJsoXp7SLkBhqdgtGGTMG7PfRlfP + A/QT1zafqaKJlc7XPVn6bhcDHJMUp/+QsRmU0yqDxBE4IawqNzKDElCgrDvnm3eSiISCMmdIaMn3 + WpSqdYw7CkBJJzTCO0mG/JHMsgsgkawpWlOLItVlEAenPmgmHPfF4GvO2pBc+5XcoqU7FxTgQFQR + mZJGUfCx1wwPw1iFlF1LSl2KZDbO3WwiglLGMKeCXjIshBDPnhGuckyE8b86XjB7aoi/1nBwrKOb + x+Ikj1xHs4Y3UgbmR4gqg8fAcK7zsuiruRue6u7zbtw1qGBMFzGlZRTk6aickEkMMEqkasnhJpLp + uZgVC+TuOld/uo2NUzdJ7O+78xGCMdc6JmQUkkdjIEf/XMCbkBp1FRMiLBp29rCszIAUwrNCSmwt + Tmsi+5KIzEGJMShlx3LLLuhILSAXIHzoMq6adRyI96GyOMv+7cc/Ov1jeCPGGjX4MOmrx2IwJjcq + WAEQkTJlr3MlwxWHGvlYizGpsuOFynBtQViK+w9wL2bBMtorp0HWGtpo2YuUQFliPQcIlMri3A0f + AEBd6qADzZ0M56jdugV1LA7AmAS0UISiIyNh/p9IrkUTiJwzQ57onsxPr6N9z6Hba4Np+ztPWmQ3 + kEf/Gw5wu0LTG+zvftKsf/3CBp7DyYAVbMdFgxMbx4kGlUTAiCSrSKhwYiS0zKLtBi6Qp1W5mRpb + IUnQMntV7CIEE7qNuSWqRAts2RBm78pi009RE1lOhnqKA4Z7WgL+sBb6Ry1GYhyrEVvcipQYUoz3 + jV0VE/ap84Y8jJk+todDsQiHLEtOHDpqlLGNWpA4VYnthzoif56zJnFEnQoHE67KZZvtCM2LOKzB + AefIbjqGccStJH4NYAuGlLyII9q+Z1raXKEZSCctmISOAnhfCUuAheKibXyZicFbLmYoKXGgCZfT + 81ROwajAUKMvUkIAPyaCOKTrQEgnKhH847m0mIeHY7nsw5mLj7gUB421uYtH1EDu5UOuwkgNdcwI + UhUHKQVgAwJuFELpW3d1sEE7vECGXhs60YUMXNeP8FROFsTx1xpBcQO3DPnclHKAAzSwURykOfu2 + KUFi1hTv5jiSS75ZrN5HmWL00RCZSBjKH+aRR64DI/F2JwEcOupIwAqBTWgyDvo0tGy8RGCAOuAZ + UJrrr+idQqPsYKNoN6EnkSrWdQKALtzlLsBom5hdUjfBPf3YHliYnXZbGw2oI3YcbVSkMVD76bSQ + PsqwCkyDccEKWWLq74QRxQUeeUotsu65S5PweAndjhlCQa9s2M6IOOjo5tY9mITG7L6GTgNQYIMC + n8yfWKlEBza4RLQRiidxItTKOtwyDCNF+/MaBwuKTkomAO0QgtqhipUM7v9i4yQwl3jwIQLXC+oC + RJyCCcQJL7BCcdB60NWLjGWSbvcY+OdYyGocG/F+jsq09Pxx2EYHClSWEbg111YgpExYDDswBIxO + nLTWsSjKD0dYfuyMrj95d4utZ3DrVNh/Z1yv47dYEJG7gOlcI0nOyKfLme1J1+5JDJ8dCsrOeSaQ + 99n6/4bG7dC8msBuculinSw8Kf6GWhMD8Z94ALcPx5kjsuHSL+YpKbZ/RokQ2ooJUvnpC+Z9EjUU + eHI/5jI1/XMUcTqMdJIysbssJgSoWfqt/fSkVLt2lXHrDY3FRfayXHvmVMatZucrECzzpGDfYLK7 + 536FhV3hgROQEuN1ldhOfEba7G1V/aAuOoQ3tg9n3W39Yv+dIT22g1xGGxNQyUBxbEsFEAmdnt7s + 9FcCBYXJlblW0PsbflcZOvvY9Q9jfAu3QueHJwEI84xhXsDcRXEP3eZ3L8EKLBdY+jjMOXn61vJb + CfddTwGhgMs10gCz4+ZUczqWmStSmR1xjDjuvVVglTl8Q+mVOSQDedvAJB2nQXmOMxbDA8gYfXEQ + rrNF5/aN3HfyRD/3K4ido/TTPyVOuvMEHNISs/5mhznp9YFYDZ91rS+Na8LRbUNk92xe+30sFsI0 + 98HTsV3vVHsg+OS5BAdcmT0Y86w+v1B2UNSGSEYZxu3n5H4KjWJ+ZY6q01cG8tQ616IhRZhdY2AD + BQrqAkCAw4iqEUjMcLuTDIymkIH/yBjju0649dHp5AKjwubUuHNn2dUWwAv0AoKd+/PNfe3GOmUG + FI+3iUrQSF9J4jB4MflwKrZeKLMRx9yhGnP1gNg28GhzadNvzGLMZpatHXPZmQWS/cWzH29VeuIw + 957I54XcXeAnl+272+gEo+ZG3W9d51oXjYNlAYQlMnRFAXXXNONMBgn9RPeVrR4JDy7J7G9g+grF + IMJkheoMnZuVsRFecQLqEdsMNytgq7KTMTbcY2GutqI/hQKx7lprunNs7wRiNng2ngCU3Czvm0rn + OnCshyQ0bTEWKwCISJcSp+gxeJaUHnbEgy+AmiylMgfvqJKtvLtSq49hSxJaEzccTn/nCibeF25w + fGvefvw80fzdg8c4xnQzvvtqguY7ISaZk8qUX/TsFODejWO/5Nu/2uhFo5Bjat2VegzS7Q20gpex + hNnmBRHMrjaHKqkD5hAALLnw2aOHO4+SP+/OBfaPzudz1E/sCIVAGYFWm3MGBDxQ5WRJPVf6w/sO + FxN/11FBnNAtT6bzgG4Fg746p+z4aJKsx+pqa0+kEx3NsK3ht/bOcm23ACC6KSXlbA6teTiUoyMJ + i1snFHBbpnHXyzAaZtRnJpvJrxMxbhTbaGI2SaDy99v1uft1tkDIzvadrKf6d1I1Q768EVdAgR+w + Yl0Bi/TxSZMLPqZoh8SkHrDEbPo9jIOhshd/4X3/f/odB9wp6wwUxoiDjrg1LuDS9u45sop6B4uz + TklWOKpDDLA7EDuqvsIiqAuwwBVGHIEjUICbO+zpCuzgRacM0lsjnKh5TY5QQ4ZrOHDTXbHpWPlI + fNyAC+AABxrWe/dqIS5qJ65gYlo71Uf1cd1V2xIhX6vkMy6BG97R7oGAKCSQoFmlvpOiRTKa58RO + IPOYRrVdIMTw3WFXUJocVsCAxaBwrFcAUEt4hJYaQqNk8GJ34Q1yAS7rFv6JBVDvsCMQ+T1higZv + OF6gSNNIm4zSoMeOuqKuGz+67YorGOjt/e6k77K2AuitF2EhupkzNcej1wm8mOPK4EBx1BRVjNSh + OvOlSqLKfcRH1cg4ZHThFdCBA44Fd4E7sIdbf6gDC0GswKvgIwCPMYUbKK9ALo5erhxiuJQr4atw + Qi6a17U7tYIoQEELHUY79rVD6hKaY2WpniGbqA7xhhkJRSBZ8OiskXh2AehHeAOqUfsIOmaeu6xw + A5crly4JVtIbQgeqdbceWVdYdCZDm5J9sNx9eiri9Ui0RsnjAsFIVLof3tEp43zDcHW8KrUU1fE3 + nz+cIjM1ZnHLiTHSFKyAO+td1A65AegV0IADrNgV7la0EiF+eBh+CUPTbM0bDrQVV/egglqtlJ6a + WEaUCqjn4SzQwIaM+TsAh/lGbe2vvvqyu6vqcnGptbqgdUAaZA0+OeDwAhtHOxIhVCA6cA/wjouG + wkz3fEdg6A+jCRwo6AVHtMBtCVyGMwhFEzlCjpCDsa5AQVPcAe463hbJ2WNjrIDgCL+CHyGXHZf3 + kAs1BjdhuviKu2gc/enbzdWnS8W9+YOu4v7jN9D8/ya2c0V+O7u2534d7U3aRm/8Ym845F+7rG/A + l30tRXoDFvsNYq/v8P/unv7aWvGv0tbf8TtZyL9qTT3ge69vd3xzDYbXVu1vunf63pf2/oq8/pdt + nrj/t7O9JvsunMQbZ+H12uxNUd43RSFfB/tes27fpDaBMJ7+8eTzIcH14Evuu6FvP1wHCK4/y+// + cIfMxm/EiI0G4HJSuhEms/sukalTxSP1JIiqiFylZpGGK4/GdV/Wk8OhBKAsz3lGOQe+tLuYu8kt + D1KwJR1zgEF9D+jQO0Rlw7ysNWhPveRdRfDa7/czRR58Oa/9O6rzOslgqLbtWihJVQj91C7wco2d + c9Ke95WG+3OjbC2Ib4ds8RYhAjoP8/d2/1nLde8mozXcIy87ASrZrPfepSoi15i7GEVLanilLn3A + lru3mrGnAGYmCCAUucyDMcpkGxtE3DPDRqCNWDuOQBEcBHEuIExMjRS4BluNYNHUpemtwdfiDaVK + KVUlKLDdua49sjhn0qmg3rh5n+dzGwnpuIK+LtVhBm9X3eRwINA6ioakg8ObUyeobeb7k12KqITw + nLt1iofYgiNoycEKZehb0wN+DdG8UWceOmHRNoQw5DJnOUspcj0cg7MNdz3r2m5hHj4A85h/1xf8 + pCvvTn/nu2XCJgEJ7/Q4E+IKjSN+3fra5ltOeqBDhDdv3EjRe0qcqYARbMvroLUPl3dyjpWl7oCg + R/QgzNA3N2bpLk6d7mnZdiUbbvW659xe4YTteDqkvstlwrznfTd60IL+vAb5G7JzRX47e+PUzBun + JN+VvfGLzQf89Ne+1RvGXkuR3oDFfoPY61sz393TX9vVf5U3+o7f4Wu45ru795up0l9v+9tr9NfP + XpfS3v/Q77zafHNV6RvEvotKe7PV75uivG+KQr4OdoZu/3K7D/DLn3nyYwJ/O/T2/uX1dSBhwCz0 + 07/jO3fYEVFzEiATCiaZBe+aBF08ZAuRmDiHGyJdGCCk7jKdNQMEhZFraxaXiJRZuwLaCaTiGPe2 + obdDzMzBE6ShYGBP2yvoEClwN9J3r2HmTCm368DlKTY6f7uGhvPap/fX6Q663V8iW0rLiQxFgIg7 + 3K6R1se7DJQtMKyTT0/Q4fGT+vzw9E38/hceZdm9iWG78wlABfRMouoAGaLu4ugMTQqGNGzmssyU + qNYtEkRcL8z4j8kJTbTKRnCywiqcVBOGNB1hC0BzmIwXseoANBNZzZDx6LlTV9Yic2wEIouKSyTk + JBIGZbRA4spRnEh/eAJk9x755WaFZN35LvFzNlO0WIKqeVEigCFvB9BNzHroAW+R6w5kwmphZDh1 + uNsYcT4yRBicTrLA3dzcQFUKS9GtxNcacI6oPfSZOOb+FJ1bn59NlV/jPiLp5Cab2rIDTmvQ6pCe + 0Z77cXJdviydgUPoUguc5mg9Cxo6qad9n/s7jjfcuvkob6D5J98bTnWL3eH2jjKVSLA9Q/ZVcVLu + /Mn3HuF0NI5WPdvZzna2s53tbGc729nOdrazne0NYGfo9jXZyQ4fG/Zxkus2CYnXMYEdXuJ7/AQ7 + 0PY+nHVnD4TurmONg226zypx8pygBm6fuoE2EjKKRFaBAHgEALrB6cvkEqfkb+YrnW+YCYB9iEkO + oMkcFqAXXCa+NathJ6e2+6NbqF82s6ZUGzIthMpIa3MKwuz/2334QHz22+C2J7DNUCLinuwoHDiT + nyKmKFpE1wc0UWasdU9Q776SzNcOG5o+Ezy6Uz3p1AAAIABJREFUn4l9v219aUK32x2T08mNiQ1A + SKkCemoVSyZOTYhvwFluQ3f0QYUev02QLsiqtEbpFSrM5FsCEFYhqIcUt6QBK69a40XZfI8LzA1w + euQSIFBqpRPoAyj21rqDoNRawMhU5h0mDqGgDOXAEA9xD42mUkK3uENIlotSGwFgqUOrSQRDmz9E + jMwM4ollWzduuZiEgHe4auRgDcjQdyopQ1qXAQ/TkDKeBCEWkg9GpXRctaOyiFTueuFJJfvub9c7 + WWSgn51A9g09Acrxi2Q9D7M9nZtMBW3xAkaK+g3t5rjm+oAafzbrrXejeSSQGqx0MM5mfGK4u2E1 + fqTvfGAhNBTuQ66gbu8ieyEn7Ee+nJ4kDU84Lht9GqfXnNxjq6cNXuZ9p19nO9vZzna2s53tbGc7 + 29nOdrazvQ52hm7/cuMAP3asrPhLykcDmCCHb4CA7O+w2Ql6m3THB6Au8+L7SuPXSZcYaOMopofM + Jk++xuSmJUg5C0gHBCzNzFxVI3RfHO57QdjAibi7H7rAdgHR+1K7wRUCF5ggk90PhXXPp9oJzTU+ + MFBLqStIwfEKEgw+EQBuAaNdR29PubIPxG1xKjzA+y7hTjN/wkwGs5nql6Fn2lrkroJ3COG2mpru + uscEj5wRFH+9QIlaObDODN4+yz5g4nmv2bHGXThFg+FRkX3clNGZ4MhcczAC1t1XURGIQULJVgwF + EaLegG4QG9nOIVKyF02gbfR5AoSMLFPOkWkEEPfMIQvA0Li6ZCJZckjEZs8hmUm0rHdXF1CUEqnA + 3H3k4SM6Wl8pKqKqGhn6ejfRyFDkpEDYzYjIGrYdBkRpi0Jd4R2tQzpK7Q0O1AID2Lv0dWhRz2OF + DReEFgFb7w6tiY27jPx9raPAxTvAzuwGs5VHY5qZiXkk7xytCgq0KACzrqI87SDXzU9+HkchG/Q/ + cgWM72/qCEGrlokC+y7DAoUIOePesADWXApY+z7b6eacZKuW2Y0JCJQqrubi4/AoSMsnw+Haa216 + BnEjczRBcbe2Wsmcy7hehD10m4M1RfJ3IyaKumnEcKuXvCkzI939bjra977Snu1sZzvb2c52trOd + 7WxnO9vZzvY62Rm6fU3GQXGdaIlkKqGACIIRttFTeV1mMS7bkUx3yMAOcXgtBTmhiJ3cJgQTNliH + +6t898VEK9p6587LL756u6u/5fG3R+hxpHpyiD8AvNhR5hKM7TjNsRYf+IT9PPHtE4jXE0C67xGO + O6+8cLSresDDjyyK7kPsNcCqZPfuab/31cNANR9YdQ96nT0oxNNPJx8VCHgsmJROHK/uvvLivat7 + a70stx6XG4dlYG+OkT+M8EGLJBDZdPORWSUrEgkdtslIAPTTTpRfNRCA+K67Jc42FQymHq0TnYCb + eI8idfSrF7/1zdutrssjtx59NJQAxGHu8CCuqnAk99z11NPqG4/jvv4lEW5084ZuIswEc6u/dLy6 + d/sOV9Ojd9ojb3vLcvNCCTMIhKIg8/zBDW6w4LFKt8z3KCKgmMN6hztJEQjpRHNKUJXhPO2PEm/S + O3ozVymYEhduMDO683TwSaQ7j5YXAWmteeZAd8C3HLwOp8PdInWYD1UQeFRHAukeJFfLhjcLRnQp + 2rrBbJclExvQ/0CXcEK1Hh3YM/lmfih75HUgk57JWQNP1W08BojesLbj7W989Z74Y+9qjzx8yE87 + SFCc4KmiiGMSww1OUlTggu6wDgqE4fJ2Osm4b4QxWLdRUUb0Oy+/+tLz37qoy82HHrr56CMYmtnX + AeD9YN2S0E8asu6vGn57L+Hg86NBn46XBfbJgc92trOd7WxnO9vZzna2s53tbGd7ve0M3f5ltmEP + U/M1MRNJsUzu4IxTNHCHbc1fcf3nbw/a3g/+CiZZFQCTbjmfEJiDJe2VGzNtB/OEGdDv3f76Z//0 + Tz71+Wfs4uIf/IN/9JZbb7lRKzsgBoqggAMKztIkKOYpo9CJvtOI2ApscJvEO4s8Z9b3NN4EGK+9 + ov35l5/99HN/3i5uvf2DH3r4nW+tC9hgHaIGoWioCW/AysRfBtS1q4qtQA8EYWzgW7Jv03F58H9x + TSC4CLBUuL3y0ouf+8wnvvilL+ojj//dn/kPby6HpY4WcZvf2D0+YG6NhihZASsgzNRwsMh/ZlvB + d+UeYg37JGgbG3qyboEh8+kOsMdHokVqzc/vvPKx3/ud515c+ci7/v2f+JnLJ24cKigikG7NXQB3 + D1BUBjv4BC7jKEf09ynuIFLgAMzczXaMbMftV17+k+ee/dPP/Mndb70sKy5vXv7oT/34u5968uEb + lyDcPN4g5YzN4B10iIrWg9boe24GIclSFO7uvWjmsWsOcXd3opckfibMDsLMuK60aBcsdWtqEaFW + DFA131Hi+Q7JSHzRkicvvQEGyeOaUqAQUIWqTODQHOoOZfA3Ha4qcDfrFHF4652iIkKqSEDNO99y + P27r9/2A0UWz90o0wnamsWPdjmMm2dptXGUOidc0tNsvffrjH/2dzz//xIf+/hMf/vtveVslAF8B + BcUAhsjGCWgKwNHX41UXVRYlsR7RrJXitbpw5yHvO82aaO6AZZ2wr3z5Kx/99T94+PKh933wqR/+ + 0Y+4wFj2ZeYc6BvNOP41og0++CnrFhNpnjcIpFi4r23JYxGJ47czenu2s53tbGc729nOdrazne1s + Z3sD2Bm6/Y620UxdQioykgMRcBeO4Nxtiz9Qgvj2/XKJ/t3gAYlS7AGPDe4cwgnY33F3e7/2hz1X + F+jA8c7t5z/zqT/65d/5Q3/orR/4wR956PKhWxcV7s1orDrJoPkymjjmRvozpODDwIg3/HCgR/ex + RncmvmkUAG299+LXf/93f/sXf/sP7eHHf/qflve+4ycvI9TbJ1rLPe9vPuYkOnyDlk4f+ICq2nGT + rxfOE3Yk4R6J2LAxDfnSSy9+5tOf/N3f/Z2H3vGeD/zIj9WH33qjUgAPjYUMxSZDaxYgjLAdKosh + dGATXx9g0mhYd4ZS6kn5UhtUtpcIcQy59r50mHfnKkFazSD/dvf2Cx/9nd/89FdfuXj7B9/11Ie/ + 79EbNw4j7xppqU46CxVopOIUyTrBGT3psgbXMSKKHGpZgYZXbn/lay/8i1/6/37rk5/78he+4Hfv + XIC3Hn1UDlovL2697z0UgaP1JgLRoaMQYhRk637n7t0XvvWNb3z9a88//xdmfuPmQ+/+/nc/dOPy + 8nJ5+Najxnp0Ukgq6TQDHGZwGAWiAChkKej28su3v/LMs1IvKGLmZr1ivVC39eqO1XuoNx572+O3 + bjx6cyFpZt6aaoFSkqlr5hCyrcdnv/qVO6td1PrEY48+dHmhFZiSq8G4BRAHFw5zvvTSy1969tlH + bt165NFHHr51ixyaECMbGxjjbQchn5wljK562sjbCPAU6t0GSorMCk+HyPymGVrrFaQo4C+/9K1P + /dEf/OJvfOLD9y4+8sTTH37b2wAADQCgnm+05QRLLNvta1/98m/81u/duSpvefw9f+/HfvShhw7L + oZDdbXV2kZ3Mwn5c+hhe2TXd0Y+9f/Hzz/zKv/nVtzz82FW/+sCH319vVqJ4SHoAKvkmSaLF7oZ7 + 1u01z+s71u2Wzc/lhL2OAdheB6fPdrazne1sZzvb2c52trOd7Wxnex3tDN2+Bkvi7QhLHwiE5F9G + sDM3UCIxvsG6PUFg/RSL2f767R9/8s2J3k7a3UaN9T1AcsoS9n3p3WBXx7vf/IuvfvFTn/l3duvd + L7x0r7UmPMJBP7jTmCnCRhE4aL8+mJ6JefCa2Gz+o6evlyXf/U2A4hNR6sdXXnnxc5/99K//+q/j + 0Xe86+/8xNpxUQJVZNJOPQi820NO0Fzu736KkV+rwkG7uwbR7HFmzrrMpzOeH9jOnTuvfvnZL336 + kx9/7PbdV1999eHWHBUAvafM747wN7DXPvl+WQoG9u37bGFLwkpRZTOsez6bWy/cWLc2C41JZs48 + WXbSOay3e6/82ec+/cnPv/Dwe+Wl23eb7cD2EDh2khMfm3RtvYaLzwqT0bt7byJOFpgqC9TRX7n3 + 0pc/+7nP/z//6l9/7E//vLK/47GH6oXcu3rlzquvXL16FQRlJzxhNcA9s9XB777y6le/8dIzX/jC + c1/6wnPPfuG5555trT/88MNPP/3Bx9/y6NufeOuHf+iHL2691cpFWRIaFBEGvdvMpWAg6BDxe+uz + z37p3/7ab8tygyLdDG4V/bJgvbp7p+sVD089/Xc+/MGnHnrvu4sCYHdjSofYTEholDuv3vnjj/3B + 81//xuFw48d//Cff+a4nb7KqBtE2ai0ydQU0z2+98NLn/uSZ3/zN33zqfU89/fQHf/DWY+RQuI66 + cx8gv291fA2o3f06voahzUJMUJ95xZABECbrdnar2Xrm7i5CIdzu3nnluS/82cc/9rGb7//xd9++ + Oxq+RRKz4dkmEDzKsd577tln/vUv/KtvvNjf8/4ffuL73vW+97/rxs2FEOtwj5oYY3Q6oG0MYCCm + BnTrx689/7VPfOyTTzz2tnc+9Y6rdgWwbhWVPmWcq2R+tfFhyIPMx23VtjOZyjdbT55udaeJu/Xv + s53tbGc729nOdrazne1sZzvb2V5XO0O3r9E8UZmTvwzpAgK7SPcJ92HP/fLTr347zPD6Q69/ekJj + PZUzGHYdedjfZXzW0e+o3blxoRQ9+mJyMDfYPUitEHf0DpOAoDZ0BIDDgMYNusU1rCT+4hs9juMv + 1woojrKDgUwFfb13586ruLg69iHyIKOKW2/dhZqKAEw8eBP29AH/ndTt/Yxa7KBb2f9p1y7usISB + RASkozksyIfu1s366n3t7Xjv3tXaLZiwbh1u12Dr0TtswuCDFBjpoFwcRoS6QSUyrN1TJ5ipHhyv + Qrte1xsGnX3PQAfUKVSIB6PRgbWD/aBo9169d/fuZTOpF1rQow4dEAo5KhxDqbdHY2EH3e4qmJKF + ResriYoS9HS44/jqn//5n37yk3/0pS89+9Yn3vef/9zP/lc/99PveOvh9kt3Hn3snQ/fevt6BRGo + oi6adFNvA8e2z3/+87/0G7/3L/6v//srz33x1Ze+RaD15u4Xh/rIQzc+9PQH/pv/9r/70N/7yUff + fhEnCTAoCRG4AaIydR0cvT///F/8+q/92v/0P/8vq6sNKebKfqFu7bjKhVw+8g//yc/7z/3j73/7 + 44ebByllUZjBzRgSIBQppXV74YUXfukXf+EPfu93G5b//n/4H/XysSdQHr5RFs2WdmpWnRsgn/zE + p37hF3/5n//z//M/+Omf+rn/9D95/wc+eHE4SHRZETHILn3ZaFPu3Yfvx89uIPk8ONmNxZ3Y9MBx + d8dG0ymoCBcRwA1uHdaw3rN1lbJAL3rere0J3fNx8z4v337x2S8989E/+L2/+Eb75ov86Z959okn + HnnssbeQUCFdZr/FZLTuXoTjLQAn7GIpCt575erqsPbu5VBcrMMIFUFJ6m9KuqTcycjziBxDcdKh + obm8uaZxWjKTrQ2l8g263Y5mTn3l2c52trOd7WxnO9vZzna2s53tbK+jnaHb12bWgQZqCkeaAQbd + Mm+Zwxw2iGVJ0YsAXN/DKgNsTTzVByfT3az1XlRFxM1IJqlsD5DCCXbAehMlZ76kRKiS4uutEw7V + CEg2T3GH3lGnLqw39StFE9GO+srdtfVIAubeYQbRSENvcHMrbpkFzdklcdtB+/RdpDbg7o1GiAPs + DRC4ZIImd3SDCxzNzGUIJrjB+1LE+np1797NekG9TDYpR0UBHPiKIjmkDlJEx3sP7CVh2X7s7lKq + hvKujHd3dE6mqnvIAvuQk4UAtI36ONJebeClU4RLEfEO8xESDsCK+AAuAYCCblh9LWyUFH71jk6I + MjsSu0Cd6EADDNBu6B2FRJ8VBOtYWz+oCaM/aqY9SwKiu3eHhMKCw45HqR2qFPgKrKAICLGm7gK6 + C1gDWwvQO17wFL5eAcuq1gOGiIY7vHWiQwuQDNJSK9hbdzdTCmA43v3GN776hWf+7O69e0/9wPf/ + xE/+1Ps+8IG3PcKru91xWfSyaIohB1lY4AxU8OrO7Zde/eVf+ZV/+f/+6mf/7PM/9IMf/MiHfv4d + b3/C3W/fvv3sFz7/2U//8af++I/+9//tf/2nTX7m5/6zUkEHzaADBtyfW7iDMLM7d+58/Wtf/8Ef + +pH3PPXU448/4WYF60FcYa3c6OXmh/7ujz355HtENUnLTDVYigMNKEBx1cPFxZPvfsdnPy6f+fyX + fv+jH731jvd/3zufMAPcoIJQVwHZDWhA/dzn/vQTn/jMN194+ZFHHn/H932/yGESTglhCq74HkeM + BjWDqLrD3JVbOjszazRSdE94H9924gqdjkIdxwAbYLoDdAFg7ZnwzdqKvsIdEGdBdKw8B7Du6K0f + ipBqlnRxEBcX9b1Pvus//if/0Ve+1t7+7o98/5NPPfTQw+nSmP+CNNDcNTvnSHCoQaKXcC1EP64r + zC+XS1u9dyvLYuw+IVpDPx5VnVp9gMoY0HKzo0ojNPJHRhUZCRR05kEMxKGWJFu33nXETJjZKi7w + mjVpkZytW/KS7zcPp8QHfXa2s53tbGc729nOdrazne1sZzvb35CdodvXZmZAS1QMSVSDJzUtQEYb + Sek9cKjAXc24D2MOjJCcmBJi8+9u7ta7i7i7mQdnEHmRD0XdoJeZWW+UwinH4AMspsPNXUKA1Nzc + ExMD3NxIEuoAXbFauwLgUo4dgfvB3Lu7UxV0uDe33l3dKYCbg01lKIqGVqSHUKeDjt67w0mquBl6 + g+rQ8YRZd++kAGINWHTwcg3oQoOt1q2UA/TQLCt2JF+iCLtlOi+6w5o7yENUp5vRHTIwKrKbe4cq + 3BKWdcC9gX0wGmlOM6hGkP1QfYULbddOKRqa8DQhwqqiMMJFi1HMQTqnbqczScAOt+400hFdIlB+ + oVADVIwWM0EnOqCJIjvRM9bdCffWrWdCL5hDrSHwXjFIHh4ExAw3783ERQmXeGJRwszX4yIsqs5i + KPEYIMH37ZQhOrm1QODNHFLdpXuq9rIHoJncSQJaqgXI58EQ7bB+5+XbX//682trtx597Mn3vPdw + cVlqr+VGXwtALeiO7rBxhBGaEPby7T/5d5/7rd/67Y9/4lOPvuWt/+hn//E/+y9+/r1PvpvEt775 + zT/6w9//l/9H+9V/+4u/8m9+6Yn3PP30j/6Dt77tligEdHfmeBns4NF+IkIS8B/6yEd+9md/9umn + f8DM1I6LWBE0vbzi4dbj73zbYw+VumyAJ8E4QbEVBtdi0Bs3b/zwh3/gmT/+3U9+9pmPf+KTT/7Q + T//Dn/kRXwFbIQuFBsBQ3NCOZv2Lz3zp2eeev7x85MknP/Dkkx9Q3XidZChmO7y7dwAcJwHm1t3g + xfN0RDVwWRLu3UGFROqwKWvhgGOFrW7qUngaDuAJmUpg/g6Dm5kUIWHWCCsqquqQdUK33gGD9d66 + qQIpiAyHcV0u6r/3gff8s//6v/yLb+py633ve9+TDz00z1HGdU4nLc+P4pxE0AnANWHuuJ/1I90X + reyEU2rpQ8tZAXGwt8hf1yCNO9K+w1tDbamvYMH3NScBczNaDUi3sSDzLEbqRIRgSYcfHTQTusZY + UjdH780s+g4HORrj/fyM257tbGc729nOdrazne1sZzvb2f627Qzdficb0CoGb82SJ6sCAt7jiohs + j1187w1eQhKyNdh697AUiG5XJJArILjpklJF9ZBAhKpuXLre3FxqnQTUIuIs63rsQh2IFABJpBBa + Slx87+oKZF0uAAixVPaOtUPdIChYr+68fHW88ofrrcceXw434CvWDriSDKqxXa2tST1IpRPW3Pta + F1jr69VRpbKoFk0MD+3evVeaLOXGQwDcsPa1KoBKwAzejTXi2QnIhmkLADte3RXvy1LNeWyydjSD + TWxGSCnW0GcaMDExAMYurTVBh3f0DkKVVF3qYoJ2hGoCuKuthnuHgw5cnJM2F1hTJgUjmpmShQUI + RJx7VJPuAhOY0OX/Z++9guTKzjvP/3fOuSZdZbks76tQ8KbRaDTaG7ZhN9lsUqIoidRoKMqElruz + D/u4r/u4ERtLaWN3VtLErGKHGpEUKbLZbO/QDo1GA92wBaC8ryyTVZU+7z3nfPtws9DNMdLGhrhP + +QtEoDIDEchr8iLwP//z+4QMtQlDQW49QguDQCpfSMGAVBDsUJRr7204BxBalkop5YCBWi2wEnG3 + HjoqFxyCo8gtNMYCDkgq3zdE9ehNAsZytWgogDLClZBRrRZhlUnXHM8BQh2EDCnhKRcILarVoFr2 + Hek6blSujCSo/MUAiu+MytrTzgopXAckQoNqDZCQEo7j1BNxqu8/N8yWpJRCiKgZbhH3Y74D5lDr + ai2o1AIGEQkwKyWxl5ULCQLIRqoGDba5zew7b7+5uLTY1tn9ta9/4+HHHh8ZG/MUEdDRkbnn7pM7 + awvl3NqFz65OT01/evnqvQ8+kEhCKWLDe56HenIrorid2VrLgJSyp6fnwIEDR48dJ0BxqKDZhAH5 + 2kmERC7BceruVY6+piTAFjYECZZkAMdxhwf6hnrafUfOzM1PLa4WKmh364mrZRkC0rAShLC6sVFc + z24GIfoHxtozvfF4+k5ETvUeNwEcBoHRgee7e0s7xNCWDZiFkEIKa0IJAqkohnbglsOQYZQSYRA4 + lshzwGyNqegAnuuQYiBapxCifjE1Wy2sZAhIo7VhVl7kCWYh4LkqkYjH4wlrqVBBm89gi6AGz3Ok + J2P1sjtJEGAMatVKzDHJ9pYzpztLpj0Unh+DJFgLCQNrwAbKiQQLd5Zv6m1cCx0YAwm//p0Q4Ljv + K0GVQinWlFLSidJaC2YLCUgBOBII2ZhyoCnmq727VwC+61hT0TpU0o2kx4pIQwMmMk2DZS3U2pGu + UAQWxEJYW6kKw+wp9pQmEdaqjiNltE5GBLZGmyCsAHAcx/M8KQXfmQhH/4klpkGDBg0aNGjQoEGD + Bg0aNPiXpxHd/lNElVL5eX9vb+MxEcjChkFFb+UrpSCWaGpKJkEiXF5a2FjfrVXs8NBgW5Of9Kle + GiMCo5jPr29srK1ny+UyCB0dHZmOTHtHu5TSModh6CglpQTA1oKZCJVqbWdnZyObLRQK1TB0YrHO + 7u6Orm7Pj7FUexYF+4V90RSEVhuEYbi0tJTLbZUrlUx7e3tbW2t7B5Mk5UAKGEiwEmC2ho0FGRZh + WW+srm7szO5WSAjR09nU1ZF0PB9KaEKo4cC6UgJGkHCUS0KVKtXtndxOPp/f3iwWcs0t6ZZMT1tH + r+PGSLDjepEuVwCKo+YpIQi2i9XlbG57txyGZd8V/T2ZjqRjbei50o/FtCXNkvfmIVmwiByygoQU + NmolRsEQCZhQawqCIJfbzG1v5nIbQnAi4XdkepOJzrjf7Hr1tjQRpCRBTLChMeVybXursL6+u7tT + 8/xES0tze3tba1tCCrBlIkEUdRPpixvM6xCIWbABW2ONlMpxBEFDh2AhpcOgUrmSy+2ub2zld7Im + KDYlvOaWgUznWCzmSh+WlEGMdbC+OG/LFe2nYx2DnHI8wLtz9zG7ZEkAxtpSdW2nlIe0iebutmSM + AKHJIUUq1GZjfSu7vby5UyuXyilXdjQn+/t6/GSMpKcNkxBCApbgSE8pXQt0oAULG5Wmf/2erx9s + FH5KIHLwkgKsMahWdXZzN7+5VM2v+0LHk02Zrp6Wto54UwqCDJM11pOiWiuHuxumsLKysL69tcvM + laC8srqa9nQlAcHUkeny3LjRIIcYsBYyshNYg2o5u7r00bkPt7Z3O0ZPPPjo48Oj+1xPsTZCkOd5 + HZn2g/vHlw8f+OzK1eWlpesTtw4eP51JOgCsMQKWok3wbI0R9TF+9TPK1jKYhZSe5yoJYSSHFSFJ + SN86VAMkgwjGWMOC1BdPDu/ZQaSrxGB/79jwUFdnx9R2cXF5ZWl5K9WT9nyq9+MJJAiEws7O9Ws3 + l5dXSDiHDh/v7RtIJH38elAe/SZlFDaS0aGxxvU8a025VFzNZrdy28Vi2VWqvam5uy2TiCdVqknG + 4kp6ghFJQ0hYsAlzWxthtSiR7OwwzPlsbmM5a6rVTHNLT3dXrCVlObJ9CAGQUCBLBM2GTUiC2Nqg + FtSqVTA7LgrFUm55ZnFp1Uo/3tTR1tmbbunyYkoKWAO27DoCrFEpbG7qMhN7PcqFVF+YAsZAqMuh + 3ijWlpaXgsqORNCaSieddEwkLYkCwoqyzZ1N6STFYGtBzWrjO64NdRiEmm2JaoWtfHZhu7ZbTVC1 + u8XrybSIdKfjJM3eIy8MIbjmuZAkmSishYV8ZX13Yz67ULXVJukNtHf2pNu85lY4HpPQDGJIZsEs + FIWl3dX1gmxt4VQq5sayaxv51ez6xnpXf397Z2e6pZmEAFhKGWW1VBcqWGutEEKIRoDboEGDBg0a + NGjQoEGDBg1+gzSi23+KqHkn95pxuLMzOdoIrINysTgxsbKwHh44dCSdlrXq1qeXPpmeXCgUgvtO + nz55dF96pBewMGGtqlfWNubn56empyenJrd3dkAYHRsdGR0ZHR3t6e1OJpPGWikjUQGCMCwU8hvZ + 7HYut7q6euvmrc3NjXK1Ek/E9x3YP37oaE//cHN7Z3My5ka5bX1rM7OV2fXNta3dra3N6cnJpaXF + 3Mb6UH/f8GD/wPBYW1dfc3t3MiUBkgxHCilgWFdr1aWV7EZ+deb2xNzS6uZOXghxYN/wsYPjg2P7 + vNa4EbIGKIKUElpHvbaNzdzs/PLU9MLqWnZ1dWFrc2V0bHRgcKynd7iru68r097e1ry3adoqaLam + XKqsrazMzC/fvDm7vJItlXaSSffggbFDg51pZUwYOEqFFhaOqFsowcxsLIEhSEqYuq/CEDRYri2v + bW4V8qXiwuLC4vLC8vIcCdPSnBjs398o36i8AAAgAElEQVTdOd7fc2h4pIs8RFpdKYhhKrXi8vLG + wvzK4sL6zMxKdm0nkUj1D/SM7Rs5cGhfe3s6llCSFEEyvmi7+IKLtN66NWytMUYoqaIWtgktK5ZO + dn1jaXl1bm5xanp6ZWm2VtnNtKb6+g4cPJAbHDjU1tvqpmDh6aB86+aN4tpi4LcN36VSA0PxOAxH + 2SODrAMNCBjOrm+c+/TatqFUz1Dz6RMpzwoKIUxpd3dpLXtzZmFqPju/mtvZ3u5sToz0du7fN9Y7 + ONTe1e3HElIKCwhhoaRyXauN1saFYAimO8sRnyeKe55fhmBYDSsg5O5OfnWjtLC6fWtqcXn2xtbq + bFzplrb2sX3j/UND3f3Dme5+cj3JVpHZ2cmuTd9enb5+5dLN7NqmMbS5vX7x04tbyy2ZhJOMeffe + 43W2C2YBeNH29KiLKayxlfLm+tqNG9cqbma8q/fQ0eMtbdIwiFkySAjl+QO93eOjw76jtja3ZmcX + iuWqZYciF3L9EjGDrbV7LWfUi7dstTFG60giAWuN1s6dEna9Yg/LbCzLO7PBuK5zjmZbSUI80z40 + NDg8PHR7c2Z1ZXVyamqg+VCT70cdbhFVRC3v5LY+vXRxbS3rem1Hj53o7umLxffupM9hgIUQkV7a + hqZWrRSKhc2t3OLy8sStW/PzC5ubuUQ8NtDZNdbf39ae6RzY1z28X3oq8q9IFVmow6nb16e3c7op + 1cuQNb5x8crcxGS1mO/tzDz68IOjyUOsJEVyEwspCEJaWMOarRFCWEZQq1XL1UqxmNtsmZm6unb1 + 7M1bt7Wh1kzP8NjRkQMnuvrHM23SWkiySkkEOp/buHx5Nlduj7UdO3XPiErCjeq5zKhWN3K78+vb + t5ey1yeuF7bXJCq9ma72ZGez30rS3wyLBaGPnDw0NpLx034Q1NgYX7mSUasFW9u7k5szi7dWp6/P + 59dySVEZ7U4f2T/cuf/utpGDd+QPxjBsAGFgdblQm1/Yml/cnFqYunr7Wikst8fix/ft29fT29Y3 + khkel6mUIkiObhZLJlxZmT935XrXwSOxrr64lLc/uTR39crM7OzRkyeP3X3yrpMnXdcVop7/R8X8 + yGljjGkIExo0aNCgQYMGDRo0aNCgwW+aRnT7X6Vuj6wP2qlXrWAMmCEZ1iCoFvK7L7388tmPp/7s + v/l+YXfl8qfvXvrk442NvKDYzevX9e9+bXzk67Ao7ZRvTS/8n3/z7y9dupjb3q5Uq9poBjzfTaaS + LW2tf/onf/LYY4/19vYKISKbwG6hcP7cR3/xgx9k19bK5VKtWrPGMCzIup7T2jVw+qHHHnvma4/d + fzrtO8SGdQAdSEm1kN55971fvfHuuQ8/MGFgTUg6cGCSvkq1dHzzD773wOPPtI13qvqodXYcQcLm + i4W/e+WNWx+8tb2+vFPcLgclSRR3vPHhse/+2Z8fffChzECfUJAQMNaWKlaI3VLlP/zw795+54Nr + 12+FoQ50WZtKLO75bjLuN/3Wb33rySefbH3gXiJYyzqsOErslotTU1Mv/PLF99/9aG52uVrRlqtC + mnhCjfe0ffXRM7PTk5VyhdOSpAe5F5XuSWOjRNFYmND6wpAwCPTPfvLjt97+cGZhvlgulYJqGJYE + BY5jfKd1oPf4/fd+5Q//1Xc7u5OJFKQAYCrV/MzMzN/98Gcv/eqtcsmWy6ZahRDSj6ue3vavPvfM + E08+durUyeiy7+lpv3BD7OWaBCvYij3/hQVq1YovmZi3d3Z+9vOfv/baG6sr2a3NXKm4BVtzJCfi + bb1dw1967NlHnn16/K6jToKq1XBq6tbHr/38+nLx2/9G3ZXo6Yy7kAAEhAFb2GqtrG0gb9yY+Ku/ + /nfrVbP/nvsPjg40t3uKiuHu7osvvvLS6+98cvlGPuCqVVZrn8KYMJ7j3P/w408/+/wjj3/JiyG0 + QK3smSqsTcTivicZIgqm74SduHN89TTXwoYwNbAF4f333n3x1fffeveT7UKIYEfaosMV5ahkUzKR + TI4eOP6n3/8fxg6eaG1S1eru3NzND9964+yLL6xNzq5UUCCvcOWT/2PmVoposL3tyIGRzkxrpiXm + +qlo5t2dmB4CQVitlPPlYjXen2jr6HRi0jC04ZiSkZMVWifj8e5MWyrul8JwZ7egbaQggVQOTIAw + hFIkhYoUEpbAbLRha4mE4ziu6yoJY0DMXiwGWBIqAIIQoYaKIfI5MGAYoTGO1YCFIAKDjdGhkrar + o+PY0aMfTGTXs9lPL316ary/uzWOvaFjBMDozY31Sxcv7e7mu8f2Hzl2vLWttd4Ipjv3UZQLsw4D + NqHje47rFAv5s2ffefnV197/8MNypVqtBUGoJVHKdZrjXku67d5Hn/nqN/913+C+tmZHOSAIWA0T + /Pxnf//OtYn2/YcOnj4T5Eo//+FPius5XSt50lpdSXa0tfX3WUYttFIbC7BkKww5cFwFghBSKUcS + 3ZqYmPv0zY9f/r+L85/oMNShdZUfb+k9/fCzDz/5jWeevj/uwpESMCC7ubH65huvX5vRncOPju77 + N0kfcBlGc6W8Mr/w2tvvvvzuuQs3JgMT6Oo260LK9T0TU6HP5BSUlW1NX//d577y5Qe6TxyKx31H + ibBaaW3LhKG+cPHSv/3R31y/MFHLhb6RcS7EbX50oOvuJ7/5nf/uf+RUKuHA8eF5JLQy1WJ5Z/Oz + a9N/9e9+dHNyaW1nvVArhAgTxK/HvM5kvHvs2O//yX+//+SD3RlHERQLDnh9dem1V174y7/9j1// + 3vfdzoG5mxNX3n1na2ZK6/Dm1OR6Ltfd05PJZGKxWBiGxhghhOe6RCSllPK/OL2sQYMGDRo0aNCg + QYMGDRo0+JekEd3+k9yR00bChKhAGm2dZssmrFXLW1ubtyYnf/XyK4XtxY212/19vXeduDed7pDg + luYUYLhU+uyzK//4y9c+/PAjz/OeePKpltZWz/cYvL2zPTl1+/zHH7/8yisQ4uvPPx9PxAUoCGrr + GxtLKyubuVxff//w8HB7W5sQwuparVa+fPni1emlt86+H+sYHBse9HoyrgshAImNpbmPr06++KuX + rkwv+8nm40cO9nV3pH1V2MquzU/dmFqYnp7uGV28e38PQIJIEVmjlxYXfvIPP6kszvoap0/fl0y7 + mstrK8u3rt28PXHtxz/6jxU/9shAn1dPnKwQNDMz+8GFS2++dXZ9PTc6Mnro8OHm1pQVYblcnJ+e + v/bZ1XPvvS2l6uwdbmlrT8XgSGZdWFqY/cWLv3rz7Ifry9nRkZGx4dHW9nQ5KC4tzVY2Fs6f/2h1 + +malGo8JBekaRggYggNAiKjxHE0bE1IIIgTV7Vx+ZmaqsLszOjzSPzKUam0mCtiW1rPzVz6dXl5e + /ejDi4MD+x9+9N596SYQwrCysrrwxpuvnP/4o52d/IMPPtXdNRSLtVhrCqXN0BTjCS8Ia9Vq1fM8 + QfSFSLN+I+ylnCzYCrZEEEJE58VREmG4tLzy6tsfvvLq69PTM/vG9t9775lMW1ogrFYKG6tbn164 + 8sHZ13fD8vPppq7xIV84LekUTGlu5tbFCxfSw/ce6R9lAbAEhYAJC7vLi+tCJBaWFi9fvdYyuC/Z + 1JpINQlRzWeXPjn/8dtvvjlxfaq9veueA0c6BoeJLdUKO9nFjz/6+Oq1CStS7V2DBw+NN7fGpSPA + BGt1qK22EoTPZ7r9Wnq7h4VgBLX1bPbKjemXXn79409uGitO3XN6/0hXSwJheWtjIzs5eXN5ZenC + hfOtP/3pE8/iwTNHfSkyHW3HjhxJGjPRdqF2fSa3W0sODD50+kxvU7q7qak7k+rMZIQChN37RkVD + rVgJUS4Xi/kdrdEcjyeampkAAfq1iVCcjHktTUlHUClf3Mpth6Gpj3YD6rpiqv9oGLAG1gIQQjiO + 2tneXlxcbGltM1orDmOuaE4lZEJoxyOCkAgCBll87psmsNhLl40Lq4gBaunsOXj4SGvzhcXN7OVP + L249+RD3d5NA5EIV1lby+bXVtampKT+WGhwaHBoZSjQBgI2UynfOOxiMSGANYHFu4eKli7/4+QvX + bkwYzfff/1BXT288kTJhbXttaWXm9uTtqTdef3On4n3r299LHRnyXQAMXUNpt5jfWl1ZWgrM7fWd + JKtYLHX03oPppCrm1/v7u2MxT1CkDRESQjKzNEwGYCGIhLQQ5XLlyuUrhfkgZjb7O7vvOfBMWyq5 + s7k1OzV1+dbK++9/aPyukdHhfUMZPyXBGoJ1WN1Yz87M5EP3gBCRV5dh9Mry8sULF1568cX1sh4Z + HRs/uK8pQYpL1d3CtY8nbl2advzk/ruPHX/sgUPHDrS0tBpoxUzMbPROLvfJhQs3ijMb+Z0DBw8f + GTqsKnp7aWLy0tml+Rl97lzPoXcP3ffkvkGXAGO0qZW5Uvnw7Lu/fPW98+c/7hk6+Oz9p9OZZquY + Kvnq1trc9c8uX/1M/cPPnrSprz51ylGwDDbGmKBQ2JpfmD/73vtBqntzYW6oufXoIw+nm9PC9bq7 + u5LJpFIOACklUb3YzWzBkLKhSmjQoEGDBg0aNGjQoEGDBr9xGtHtPwXvtW73Xt3Z6syRBECHgWVb + yOffeOstX5X7OxPPPvP0ybvvb2/vW5idGexIwerN9dWPPzr305/9jIV/3/0PfP/7/+3g0GCqKWGB + hcXFl19+6dbk1IVPLjmud889p/v6+mIxrxaElUrVcdxjx449/NBDDz300NDgoCChw1KltPvjH/0w + 9+MXP7m5cPXmzPziak9LKh35XK2dn5z45c9feP/DS15m6Kknv/z8V54+emBfJuVtr8xePf/eP77y + tus4+VKFpAMriJmYjdZ6efnsr146PDb8wD33ffO5JwaHOkDl61c+/flPfvrWa2++8fpL3ceOHXny + 6bZUjAGwBvTtiZv/+LMXJiam9u/f//Xnv/HUl5/uHexlwZu59fPvvvsPsJ9e/PTsWXfs8L3HT55O + 9iWEw+X8xtTUxIsvvbq4ujvY3fXcV7/6xCMPD44O7hR3Pvn0wqdnX/7ojV+uZ01ga3GhQK5laAsj + DYiwt2OZGSSgFMBkwlqpmE/F48cOH7n3wYdOP3R/99CApNDy7q2Jiz/98Rsv/PTj6aml985+tG98 + eHx/EyyCoLy6tvDmW68uLq719Y195zvfPXnXmfZ2R2usZnPLq7eL5Z1kMlELQsf1P58nH82mQ33X + fPSKoon1YLE3bk06ShfKt2/d+vsf/f3U/FpHpvPpp59+7JHHDh4YI9LF3a3rn177i+0f3Pjs2vLu + 7sjd96F9cLQpNjw82N/dDM1XLl/pOzGrHx3VgKovFdjyTm5q4obrtS4tLW9sbh1+4EsHDh5pafFt + pbi4OPnKKy9cuTwhRPNTT37lsWef3X/iuCBry7uLt6/F/NjZDz57772P9x28K5lOpVtHlafAEoyw + prU2El8Mnizwn+dQDBiY2vz87D/89Cfvf3S5HHj3PfyV577x7UfuP55pVuXd1anJm2++8epbb77+ + 6Y25X774i0Rr7+H9fb0dycGBwcHm1ifvPfNOV89c6RcLUyuHDp/43vf+7MjQYKvrcJhvaZJwQrDl + vYFgbCFhIVEo5PO728yIJeLxZMoSCBDyjqmEQYDnJuMxAa6Uytvbu7VAGwNbF1sISLn3+aNRapbA + RCyEkELOzc9/fOGT7d08W6ugY4q6OtpSmb5YS1dTe3c6Dl3TQrAgSQJEgBAMRXDADIQKUemeEq3d + Y+Pc3ZGZX5u5fePa2vrGTs02OZKjPrPV27nNlZXl5ZXlocP3j42PdHQ5vodo9hzhTlJu67PgpCQG + a3392vUXX3zpnbPvJ5vSZ848+Ad/+K8PHz3e1p4Jq+XpiU/Pn331h//h769Pz85nXzhy4uGh/u6m + pAdi2JBrRU+xMeH8wmJpYXNfz9Dzjz39xJn7e7vTm9m58fH+dDplBAiQEkpAMkFIZm2hLVshJUMU + S5z97FO0hwMDqad+5/nHTg33ZVrXF+befeO1XPH1q3NL8tLVU6dudrT67akmWA2yxEbroFQqV6uh + 50FKgBnWLs7PX7xw4aNz5+56+ImnvvFbDz/+cH93ypPVzaWVH/9fPyuvVzU7jzzyyO/9+Z9SDAm3 + alCW1giwJGzm1tZL5dqm+8Dzj3/t0a88+9DTZrcw89l7bybN+2+9MnN78rVX3mwbOnVwpJMZJqzq + crG6tfne22dfeelVdpsffPChb/3B7w3sGyaHdH5jdfraiz/629mfn33l9Tfi3YcfOHNKNUEA1lqG + FiK0wCeXPg2atlp956Hnnn3q1NGuro6l9Q0/lW5tbY2uk5QiymqtZWts9MVvCBMaNGjQoEGDBg0a + NGjQoMFvmkZ0+18lym2/YLdl0J6SEwxBJCGILTOCsJTLnXnqzO/99pOPPXx/a2sPUSzT2pxWAcLd + m9evTkzcKBZLz33juWe+8tWRsX2e70TBTWdn16l7Tn/n23/wixd+MT0zc/HSpVgsNjQ4mEqlxvcf + 6O/vf/TRR1OpZCKeCELtuo7veQqxE0cPPZLN386+slsJV7KbpcqASQhJRu9sTU1cPX/uQ+U2nTx9 + /ze+9fvjQ33plCs56OrMdD36wOG77lkuSW7uJymhocOwWinDWiST7f1Dv//t73zzicc6mz3fC8Dq + 7ruOzN26MXfrxs6t2c3trdmV1dj+EQYjqFazq1O3bl2/cTPd0nnmzANf/epXE8lEqI103XRL+8mT + J+IUbK0uzi4s/OKXr2b6Do0PJYBwbfHWrZvXFxZXuoeOP/zgmUcfeWSoryvmu8pvP3Pf/f0trlPd + Old5YXEJlgFSQsIBBAR/4SIYCwM4ArBaOqKrs+P73/9ztn6sKW2ULFcriZiQRIODvb/zzd+6fa30 + 4drC4sL61sZOuQjph9aGQVCcmbntxzqOHD0xODCSSjkApEJnV2u67UAtKHpuIp5ICbqj2r3TvWYC + 7OeDvFiwBbMxNtDQFhC8vrZ66/bNiYmJ8SOnvvz0l596+qmO9k6ttZKIx1P7xkZ//1u/9ZOw8taV + mSvXbqbHTx/sbB4bGx4b7kqnaGFubmZmMV+EdOBIK8kCNr+RvXLxYyPStyeXleuPjI4Pj44TsL29 + OTl57b133/KTgydPP/KVZ7/Ws2/EcSAgnFR8eGTwd771O1u7ePm18+9/cKF/uGf/0REXRkgBx3Ud + Vwrs6SCig7SfmyDuHDYb2KBaKS4szr3xxmuaEsdP3fOH3/3u6PjRVBqWkUglDx0+2N/XEffFTuXl + a5Ort6cmp6an29MH0gkPKYJyU8lUIpGMxZKen3C8mJCO40CqGAkN2HqFMSq1i/pFzud3d3ZyzIgn + ksl0M0R9tcQYS5G51loQ+Y6KuS4hqNbCSiWo1aAlXFW/WmALayEhJYgkWDBzqMNypfzRR+cuX7nq + x+Ku4yhoDsquEi09Q0dPPfDkV79x5uTxppjjClhEE8BAAkQurIUNAA3SgIKxFEt39sgD42NzC2sb + mxuz84tL6ztjiTaHouTdZFdXFheXCsVgcHhg/MCY44IJhvemeH3+mIkOT4dBrVwuX7ly9dy584LU + o4986Vu/9+39hw7HE6lazXpufP/4/vakKOTz8o2rn93cPn/+s97utv7eu4gISlBc2bCcz++UStR6 + ePzhx5744z/+095UyvfMvuGMFwccqS1CApvIdQsQg402FVOrkJRQDgMw+uSZ03/yr54/faR3ICOb + HbQlfScsF8LY1k/e2SlWb9yauveuUUISEghDa0NHCs/1lPKMBltAAYTVlZW52Vk29sCBA/fd/0Cm + o9PzjAvT09N94vjxhetLb7z9wdzcwuraet++Xl+Rg0AK63lOKhHb2S319vYf+8ZDT/3+106OHXWV + 25Rpz9xz93jGyS1NvnJx5cb122urW6Vip5E24XA1qH72ySdLc/Ot6ebnfve7Dz75RFd3r5AEIJlK + 7Rsf/dITD2/rxN/+9L3J6cXPLk/de3ysoxlKqu3trXxhE4RyvtCzf/APf/trTz906q7+NsdRrV3d + rBwiBEForVVSSSUpMl0QwI3UtkGDBg0aNGjQoEGDBg0a/P9BI7r95zG8d5q+4KcEMYgp0oLG/N7h + sfvuu+/hhx7s7emSKqG1cqUrrNVbpdu3biwvLjiOc+z48YOHDsUTDlF9n7RUTmdX971nzrz9zju5 + 7dzy8kqxVLKAEDKRSCYTiUymA2ytMVprISQkK9fp7swMDg5Kxy1Uw51iGURSEILq6tLC0tz05ka2 + 4+DYyPiBkbGRpgSUgDQCYCTjPW09iaq/q2KWIYkECSWkJNmU6fzy08+cuuee/qFWD5CQYO27NNjf + 3d/XfnV2uhrU8qUgtIAghJWFucn5udlioXzvg8ePHburu6tLW61JhAxJbqa9TR3a15VJTy6t3p5a + 2N4NjIFS4UZ2dmlxrlCq3t2/79jxk4P9/YmUgiM8oVq9tsT4fvPUkztLty5tLDLI1ve9A4BlK42F + Zcj6/KkwhCKGkiomM72t4BhANbZaiciFG4u7I8PDba3tUqxXK1prJoKU5CjhOCQVivnCenZjezvf + 2dGrJCCgHKS8eBwC7IIpCI2UwpF7ST1/PljqC85bJoKQggRAgDVrqyvzc3P5QiHT0TG+f39nZ1fc + 9yKZqVBuc3P6xLHD59/OBBduTM8ujG0WSDTH4/5gX8fhQ/vevamz2c2Z2fyBwWQyKSSAamVzdfny + xYvF0M/umpbWtsGhkd7efgY2NrILC9Pr6/b0aOeJ4ycHB0akHwsMPAkQ/Jg3NjbW29sv1ZXFpbXN + 3LYFG9bCaBgrhZLC/Po9Hi1SRPvp77xnoMO11eX5+dnNzcrRk/tPn773wIGDyWYIAQAC0o/H/YR7 + 7OiR47cXr0+vLC7NTUxMHB7rT8eaoQDXuA4RURiytZ7jxJUDx4EDBQphGMJC1FUNRCASABurwcb3 + 4cV85Xl3IvTPO+8EEBxBiZgf87VSDhANscPnmWgUtzNCbYi1ENTa2nr33Xf/0R/9kYqlpHIi6UlY + KRa21q9fvXzr1u2diq2yKu1sPvnog80JnyTtDSmDJcmQ0hhIDa6BCORYNx5vck4cOzI7ObW6vDxx + 6/bQgRMDQ20OAGiYYH52ZnFxUSoMjwwPjw6S+IK4+c5aBNX7+yAyWmez60tLK9u53ZHR8cOHjx0+ + cjzVlGaCNhACbiLZ29N9372nJ+eDjy9/MHV7bnZmoVq7K66sIAvWBC0FwXEfeexLX/7yVzoyqZQD + SIlYAiYf6ACuE1XYBaKb2pKAYDBzLQgYIt3kDu4/cv+9956592RnMzxRA8oqHuvryhzcP55IXtws + hmvrm9UgoPrYRiuIiYhIMFMtgInWWSwXi4VCPu84TktLWybT4XlOfcibqxLxWDKRAHMYhGEYIhoa + FmkzjDE6bPZTY8eOff0bvz14+GCrn3IC+AS0NsedkYHe7ti13NrqRqFYY4ZSQkmU8rsXL1xYX1lt + SjadvOvk0PBIIumxiIZMKpWIdfdmhoYHlXt+Yys/M7t4bP8YEYSUriultAx0D4/cc+ahLz3xzEBn + wo1bSGryfSMkAUKI6CkkWFB0qCKqFv/njpEGDRo0aNCgQYMGDRo0aNDgX5hGdPvPwIhKoHfmOQFA + fft8FDkQ/GTyrpN33XX3ydHhEYHQWAgBWMDoWrU8Nzu9vp51XTcWj4faLC1tIJpRDg51WCwVfT8u + pKoF4VYuV6lUrbUkBEW5DpswCMIw1KGGtcKWKSxWinljTKBtObDVUEulIIQNa2srS5vZlTAwnd3d + Xb2DyVR9DzwJQhjAVuFxKhXTgGE4ICGF57qCVKa168knnh4YGoCMCmUS7ENwe3tzT0/acVhbHRip + GQxCWFman8qurRiD7u7+eCyxurIKshWmsmXliJjZ5mrRcyyAjc18vmSDEJ6sbW8ubKyvGEtdPcMj + o+OppiRUAAESJOGkWlpO33ffp2df9j5aZ5C1MHtaCmaO9r2DEc1G0trAjaymApoRhIGxgURVo1Qu + mGCTTQ5hEAaa4FhNgpTjQDlKkEo2xUZGBy9+vHz9+sTFi5fBsb6+oUQSsRRcX0uQJWhtg8A4jlRS + fT6mDHd+qL+MoighhJQgAmuztrq6vLxsjRVSGmPW1tZ81yPYaLyVCsqwoSusNWZpZW0jV7KAkNTX + 037yxNGLi7fX17euXZ/ozxylJhcMWyxklxYnrt3IVQjxzt7+AwMDQ+0ZANjcWs9ml4IAqVQylWze + 2SmUdrdKCJJJ19ElGRRhHJB0nFguV8gXS4aNttoxGtoQiEiAv5g72b1g9AtvMsOaxaWFhfk5Zozv + Hz9x4nhTWpGCBSgSR7AFuK+/9/CRg7FX3l7fXJmcmiwWH7atjhAWEiQCY8IgsEa7UvhKQroQlmCi + 6WGAqP/Fom6oZUFQjnQ9gGAs857IgYgouhbR0Dq2rlKu4zqOS0JJAfVrygcCwMxhGApo16NEe/uD + DzzQP3og2Zxxfd8yatVqYWdzY2XhFz/76VsffjIzM7uRr5R2to4f2h/v7fJibvTBoihSQ7mWpTTg + KuBAxkMVc3x57PChm5cuvPv+R9cnbg0dW370kaMgwASolmZnpldWV9PNyaGRwb7+7jsfa+9j2r1f + DGYIMsasrazltrYBMTg4PNA/3NqSjp4/pKLjIXLVgQP7BgYWguDdpaXs6up6rQZfGMEGJhSCPd+L + e80PP/z4Aw8c0rswgCSAtQ2roXCll5CAFJAC0GBrpQCkMErWaoFhSqebT91994mjR7pa4QIWQhvr + kE34Tkd7m+f54S7yxbLWpp4438n7CZY51JFVmMGWjYVlpZSUkoQARcdpwSYMglq1Jkg4SinpoK6N + YABah7VquaWl89Chgw89cLoAAHAcQAMCiPkdmbZ0U9P0cjEIWAh4LgTb/O72jWvXchtb6d5mIUWp + XFrLKi3IgHzeitnc9vZGqAOGKJD20+sAACAASURBVBQryyvZWqABRUSJRMzzFDMOHj5y+syDh/an + ExrQFUgFKQUJy1BKspWhDrFnutiT3v7a46BBgwYNGjRo0KBBgwYNGjT4TdCIbv8Z6pPJ9sqXYMts + 67U5tsyGBCnXbUqnfc8D2JgQpJSEZABEsLvbud2d7a1d+9d//Tcv/PLFeDxm2Njo//2CwiAolorX + b0y4rrOwsFipVkkIzVaQANugFmzncmurq1OTk7ncVmFreWtldmdj8VpWF7ZyLQd9149bBmAFWIdV + E1YJSDe3JNMt2EuGAMB3oSV0yAocRS1s2WoCERNYCqGEBAsEWvvEsIRqLRFzMpkECIatZicwMJJh + a2GtYHRYqdR+8cJLn5w/196SEAqh9GrCMTZMmI2YXp+4/NnWVmvZ2d0t6FrNpFQlv7Oa380px0+m + O1JNLWALDsAuIC0greUwDINaqENPKmNRrSFwEScro5KnNTAWQkgFJSQbQzAgB1LCmJ3tnWuz07Nr + Kzu7S5Xicm5jcm6yNPFZWMx3+G7NGoioGEumu6fje3/8XcGvvvnaxF/84H8bGXn96NGTj3/pgX2H + 2jt7XN9XAq5ylJTqiwXUem77ufi47ro1RpdK5SYDAow2W1ub6+vrDHzyycWtjc1kPElMBCulMDqQ + 1YJvKiuT162VO7vF7UKtqtHmu91drcNDA44zs7i4eu78hTN3DdiuDNisr62uLMxtZVER3NnVdPLu + U909vb4LAJVKqVzOg3Huw3OzC9z281cqSpdFQFSLcS2GmqLElYnNteyOE4vv7BaK5VIsASgFoXRo + tDa//s2/Y3X+gvRWCvje1sb66uqKtUgk4olkUms4CiSgDaSIonQb87xkMi4UB7pcqVakdAkeggoo + tLbCbFwnRkhUq2zq1cqABAEOSAJ8x0FhwcZo5SgpqVzG6traSjYbGtio5CvAlskaCEIYVorFwu5u + sVCNlavWRq1qQFuwhWBYDZLCId/3HRZACKJ4PN7b48lYSiplGdzU1NoU72xp6sq0jxy+63/6n3+w + vr4xMzOzsLDQmk52xFoFITQwFsqBJuUSgQ10GXDhtWhAKbe/p2ugo9lXNDu3MLu6aSWsBRd31tcW + Z6en8oXiyOhoT293sskLLFxx50gNsZH1FSALMAzXqrXNza1Kpeo6firV7HuJKOzUBtrCcyKNL6VS + iUQ8IeBs5wrFYiUW2yvw+kqQ9Vyns6WLWQQBMglQDdCAJ4TjxYQfAAYQFg5F3maybASxUMr1PGO5 + Uq0m4zHfUbquPVBCSjCTEqlkIp1u9otgknUtBSGSLzhKOcpRruf5kBIgguu2tra2trTUqrWtrdzG + 5lasqUdbY00lIVWxWFjPZiulspQqlUop5QhYBaUkCUHWaiUFMxerCH0AEAS2IGugKJ2MJ+MJq2vW + ykhmEgaVSrlUKZaq5erm9MwP/tcfuO290vNrhgPLPm80qV0uLcxu+hubQXOstrm1XakG1igZKZah + SSCRbPL8VD2dFXV5hzW2GgSu60opHKe+W8IaK4QgApgZaOhuGzRo0KBBgwYNGjRo0KDBb5RGdPv/ + Dr5TSSRLJFGPoIhhmZnI9X3lKAJLIr6T84IVWNcqQagtOVWDSmgo0EFYA1hJKSTBGkfQ+PBQW1vr + kf3jbU0pyWxDXdPh6urqR+c+Wlpayq5ls9n1Wq1qyluFzcWwlFuqJhBYhiBRb7RZo3dyW+ViQSmk + Ukk/HgdgGKaeO0dRDUW2Vs3w2FqyloihwDFijwAJKGHAAARISCEVkSJIIgFpDSysEaZqqqEJ2ZIJ + bRDoahAIw1oiFAjCqrA1R3Df4GB6cMQmjvV2triOAEQ15Fqg2RhfCs9VJAjWABrSAwgstRWaJVuY + sKYQ+AouRfkRwRJIQQgmSMARIMvQIQIzNTl19fripWvXFtdXdypFISrV8mphZ35jmXO5VrYtlqwh + rQkuwcJJJTtOnXxg5/l4yhu5ObGwsng7t7G0vjYxPN49ur/31L13d3UNxONeFBczACYGE2sQgVS0 + TdoytDUQUEo5UgkGLCRZWM3WEkkLGYS2UCgoIiEgwIIAaypB0NLZdddAX2Ls2HBvmyMA8r32obHx + pu7MlbWF7O3L53PZM8FI0mG+NbN0a2GjApQJsbaeE6fvy2TaPMAFTK0U1MpSAuAw1KVyNXA5UFYq + qhrDHPiO19rWcuhwV2t7ZmSw3xNCWgPLAEsiAlkLbaw2MAoW9VSKiGAZTCALIgiVr9R2i2UNuH4i + FkvU2+AWgiBIRom2K5XruixERZtStWZZUj3/MixqIMNMYIdIgsCwgI461AARxJ02O4FIiGQy1pT0 + ycKGoalpaAgHInLhsrCwQhCsqYa1wISOH0s1t5J0UBcRGLCFYZCCUKincBJsYAEhk8kYS1U/WgbF + /Ljb2pxOrW2X9o2OXLs9k9/dyWazpZEhzrQSIAkkIAAlIJULCiFioBjIjd5sbvIGepvGhrturhWy + iyurC9V4O9VK21Nzs4vrOe02Hzn1YFtHjyehAVkvF4OiyvidqWtEIBhjdnZ3qpWqlLIplfJ9f++k + 7JlaiMBCa7bWCuIwqAa1mjFwpAQIbMlqQEiZ0PCNBTkQEhAWwkKSAN15GNyBSAASQjBJA9LGWmsF + OPqoEnulZyGkUkzCECwI4Kg4DbKCg6BWYgEoFdjogSMh1Ojw0OHD4++cO3fxk/OitePUmXvamiD0 + 9u765tmzH80srXYPjQyNjjW3NEtBgKX6ZgQiKIYwgIWNzMB7YwIZ1viO43suo2zZWIZlCFLEQgca + GlAcah1WK8IYS0JbFjao6cCxsqmp5cjRjpbBY8PDA4mYIwmEMHqyECCVS44LgGS05GVBtr5Ww5+f + NOaocgtmtsz0n0a3jRi3QYMGDRo0aNCgQYMGDRr8C9OIbv8Z6huc63GsAJGFkFEGwoKYLMMQk6ME + EVmDOzYFq8HWgRXGEAk3nh4/enLf2EgyHguqZQEb85Qky0aboGpNmMm0Hz92dKCjXemQTZjb2Lx8 + 4eJf/e//Nru+YSzHE8lkMtXkGc+Lx6Rp9pLLu0UwrLEiyn2syed3K9WykvA8x3EkAMOwXD8AAJCS + AQOEBgAbyUYISz6QhI0JA4chieuKANcTLDgkxXAhHbAALEwgTRlhwFopOTI0cvDgUE9vO4S15Gio + MKx5tJt0ysKG5A/Fmg8dGW2NewD5ATVbOKRrri0qClkwG4SGFQsiAK5h11CchDRB0UMhLZEAfIAh + qpZICKkQuSuIQ5BGUC5sll95662fv/7xBx991JROtLU1d3c32aCqRKy7p61SsuWCETE2KgwIgmA5 + 5qqunoz6+leGjo2dfu2VN8+fPzcxceONVy65Z1NDY/vDWvye+1L9oynXESrKuTiqFgYgArxodJUG + 1axmBcd1Yl7MYUjLRPAUHCkZqqtn+NixQ3Ffxl3pKmF1GPNcV1I5v6uIke5rGzk+dKArIQCOoWV8 + aKw0Pvje7ML1tcm53NJkJdeh4v7l2ytXlythU7LGMa9z5ODJ080tCR/wEZIuwYRSoLe3Z/zw0Uz/ + eOipwBV+jBDsSJOPe4lC0QnCZH//wN0nxppc39UhjAHbKIs3DG1JW2jAQIpId2u5HmqKaCKYqLKs + stIEqJhyY0pCMIjhKAAChgF2iYRQmlQZsmLIRHGfErAGMoDUxmqwUMoBgWEIBtZGdVbJxPV8DAIg + EqlkvDnluwQXcFnKEMJDvedJZAWB2NqwZGo1svHm5o7eAceP10MzoWGgmaTrR3c718fLSVgBIiHF + nviCYDTYSsdlEi3NzUcPHVxf39S16vb2TrlSY4YguF+UMCgfIEgXSADKYyg2Tsz2DSRPHB1aXL+Z + n1+Yvnq5+56Bamnj6vTtxd2aahk7dv8z6fY+WS+x1jNoUQ+EbX1FiAiCGahWq0FYA9lY3HNcwQwI + CAlZb8ozrKhVjQlDKbSQmtjUavBjEhDQWhjNRoTGM2hiCQvAAUQIofcGt6GeRwOIInooC2ZIUxcP + UxgGbLUHKEAhlPU/p1iowHJdeB1FtxxCaMXVWjVvkAilrFrougdC9PV0j48NJGL02afnJze3Zxan + B7vSyhavf3Zt9tZ6WHO/9MgjR07enU47ISzDENgGlo0UMmbYsaC6JgJgHV0AgVA7QjhKgIylIHK/ + KJlwVNyGUBYt6ZYTd98tM91KOZ7nWWsdvRNHybO7eeooO32JvhNHh7vaWh0pAF0R1giWACyEERJ7 + SgRYA5YEEuLzbJaZwRAiUj+wtVbKOybmPRHzf/nfkAYNGjRo0KBBgwYNGjRo0OD/I43o9p+Hok4Y + UyRXNQRFglgAQkCQEIZEzehqtVorl30ycASUAjFMyLWqJ6Xr+K6Xvv/Rpx6473Q6GYMOFLQrGLpq + gqquluK+k4jHUsmE4zjQgSPVxGefvfP66zO3p+5/6JHHvvTkkaPHY4lEjMqOKeRWp9+6vvq//PAl + IqpVa9ZaQDquMzQ02JFp13q1XC7VggoAR0QXmCEESIBRqdpAiZQDQBjJrBRkDJR2ZEJaCB1CBHf8 + o0p6voyTBtc0haGrQKBAceiSleS53vEjRx95/P5DR8ZIMeAAitlIKkoqCdKgFilbYzGYsExSGqfV + 9VIJd901xbBaKNdivu9IGasrTuFo67JIKOWCAwpyNozOogUUKwoEFMAarEOpd6RTwVb2+pXp195+ + ez4Xfum5r33t2ScPjA4KrviuJlssFty//MtffPzxWrzZIx+hhAIEJQmeDnXcdQ6MDXR/57mvPXN6 + aWnug3OXzr4/MXFt+Yd/+1KpRl/v6Ug5MQLJSOWJKD1ia3TNKJIILAcwARupw7AaSAtPEEC18m4h + nzeGDh666/lvPNfbmY4pI4mFNUrAQlRqIUlFXkrF0iquEKISIkYdiXT11PGDK/Ozly/fWp28tjzc + 1dU/fHN+c76g4v3HpIynBw83dfY5ClIbMlsJZeO+y4zhkaHHH3/s8P/D3pv+SHKkaX7P+5qZe3gc + mZH3XVlVWfd9kcUuXs2enu5ms6fnnpGEkQBhBruAsIC+6cP+ERKkDwIkLRYarYTBYo7VaqZ7ju3p + 5k0WWSSLLFax7vtM1l2ZGRHubvbqg7lHeGQW2b3S9GgA+YOqPCI83M3NzB3Inz/2vIdespVohSh1 + K4FaDtVKoLS1kbPVKKg066oiBEcAgSRuLaUJVcJKVI2CwM8PDVi4FEknr6XFvnZW1BxrjE6KOb8c + u6WVtqeZCmCB7SSctqlCyjkRSkmrerM2PCqskAIUQ6eiOkKpNsqYUBsmhoPNXLfWgoRUXiLN+8Gd + JbEKCVt0Hi+1Hy7pFBxDLBBAGJbAcA9WHt+8v/iwtYxqMDgyVm8GYQUQCyTQIXNkmZyDTcVoEjAs + kQqzgF4bAwCz8kjNOmIVVSqjzYHIcKcTQ5zLvZbw3SMOcGCVoJ6ANGAE2gKUwCRTM9G+3Rveffd8 + 69btM598vGdTePfu1beOfXh7KV3YsH3HM7/aGA646LftZVIAoKwCHpExwfDIcKUSJkl7ZeVJu72U + WqtY+SwIEdgkoY41phpVKtUKDw4PDDcH4JAmMBAwGzhFgTJNE40HFTAA1wF1QIlIGrvEEYi7Hl4B + wYIsGKLAGsowK6W1Yp9eC4WExcEJSJGu6LCqK6KDgMlfiglcO+BkoB7ENm6Jq48AGkkbxiYnPjt+ + 7IO3Ce3Nm7fo8cm333rjvXQlpNh2ZNeuF154/gfPv/yt2YXJVGCIjL9DkVYqVLqqghppY8laWIHx + dmoA0AE7gUu1AZQV35uuIk6nHauExycmX/3+95ubt0ZhqIls3FHx0oBxkXQe8tBd10jqo9MNDFQA + LIHaSixZJQIrKgWn3YQZf9mLQIQgvqSbcw4gzSpOYudcEARcWm5LlSpVqlSpUqVKlSpVqtQvWSW6 + /Tr1ljYTZVmgeSYoZY5MEpCvtcVKadbd5c8AwTnn3PDQcH3gy/tt6CBsDg3PThJc1QgCBhJI0oaN + lSIoBgmcgzgkyZnTX5z87IQi3r1z169861vr1m8MKjAkcEuPrzUut6pGaQ0ERnm+AKWGhoer9UaS + YnHxzr0vF2NsDbo4IUmROihiZsXw1YUsUSdNk9RByGhohl+zDBL4OvRC4kgBmlnB2QSJSbRSA8PD + 1XpdcBsiAwMD8+tnXVY4CpoBHhVZYrKMKlBxCZyIg4rqI41Gk0nuL964c+v6/Pox0gbEcQImuNQt + t+OVjk1SG5ILODUEdoC1jkQ0JYB1MATtiywl8ePFxdOnT1++eg2Ndc8cef65I0e2rp+xncdR6GCX + Hz3imZkPq7UHUDZ2nU4ah0EAELlACWtD2qjKYDCxbnjTxrGh5tDDR+bChQ/Onbl6/cYdJ9K2Masw + G32BRzrEYF9ZS2sTVhxBRIxSksImljWGms2RkRGie04oCCtzc7ORtuRSFgsmqNA5sqTBCgQLIBWo + 0HEQRmbn9i2nPjn62bGlS2e/ODc3A127emOxQ5UdBw9aU1/YvmdgGKGClkQhbQ7Um4NDRJdEJAiD + 2ZlRqqPFSGzV6NEALUHKqCsQA8oCtoPE+hpSpBTgkiRxDg5ZOTh000v9V3EQEdDo+NT45IyQvn7z + 9tWr1/bv3sU6yzhgYmIFcQ8fPLp9+05q3dDo2Ny69WEYAT4LAcIi5KxNxaWZn9EvuCeBE6QpNMCF + BGFSUbU6ODA4OIgHy0/u3Lh2b1GGJ6kRZLsEsYDvP166eeeehWoOj0xOTYehb3gKdgCEsqqCIJKs + sBl3oRzEQrLAgrw2GydJ/OXinaTTCkwYhqHWOuObPm42i7xWFkgA8hZaAchC7Mjo6L49O2cmjl27 + duf4h+8cPDh+99GNMxevhI2RqfnNo5NBWMnuJN1/PUne6day4onxiYHBgTRNL12+ePfel0Tdt32w + KgR8996D+/fvpWl7bGxwdHRYax8KoUEKDjZ1SeJSP7ICIAZZACAmYqbucAM5MxYokCLWADkRZ1Nx + KeeBCfkNTRG71NkksUkSO5tCXNZ6EWttal3irCMwA4lrPXl46uSp02fOT07N7Xv55emdB89evGRb + SwHSiqlv23F4z54X5jZMBHXvwfZ5xwxxNnXtOA1Smzor5AAQRHuQ6oA0tdYJRBtWGszZKAdBNDY6 + 3rp1h4hr9frI2GizUa0w4KCTtpEEsM2g2XB4rFEVSJoALcBprZQyEBAzcb48wTmIhZCQ1lqJiDjr + y+R5C243M0HKpNtSpUqVKlWqVKlSpUqVKvVLVoluf47yVEoPegjShVs5uhVAoFgFQaCjCC4GGRBn + C5LZTM+tGx25e/7Cg+tXLy7e3jI7MSt5nCwIyhhUDNoriBMogtGwErdaly9fuXbj+tDI0MaFjfPr + 54nhHMACQeqQpmnSaRl2tSiEjw8lHdUGgqjuHK5fu3rj6uWlRy9GAz6ikZCkSBJQklBiYRwAIifc + 7sRJ0hHpaOXrC/lwS7+Cm6xIah0rpbXWCnHHJWEScDg5tW54eNS50zduXvvyyzvWQUichU2FDHti + bZEqWK3ACkzGOh4eGh4eHnbOXrpy6fyF84ePHGBS1knc6TAF6fLKzZu37t6/3263IyKjldGQFLDW + siXSTmBjhCECZaBCPLH3Hzy8cuVqu9Vqzg5s27qlUW8wsQoCotiJOOe00caoOO7E7XYSx1INnBOk + QuKzWhlgaKObQwcOHjpx6uF7R69euL7YabeYOY2TxGijVbaYHwyAWBkFB2itw0oN0ACHlcBa14nb + RtHcug0LmzarDy7cvHntwvlz2xemVIXEJuxcJTBQYK2tI2sdKQZBa1I6JEnZ6Y0bN87NzTHzufPn + RsbGVW3o1u1b1aj2wpEjNqiv27KjESAEFAJQODQ8NjI6oTUWF+9eu3plpWWrVWUUlIbPPY3jWHFC + OvCL8smJtj6IlHUlItXqdFbSuJ0mFavh/Pp5JvhMCgDOwoEUT0/NzE7PMujyxUunvzj13W+9WvGw + VUBGQQeIV65cu3nmzFmXJDMTY9s2L9SqETTABlAW2gmlSSxJh5yQEMEH0AJwsM455FeXX8sf6Mbw + 6MTM9OzgnZuta1fPXDh/YqK+c3RC+Y0UGJYXbz+8dHkRFE1OzGxcPxcqjyEt4OJO61GrDR2FxlRC + LQKBY6JOu8OAqQR5aHV+fTOSOL579+6pUyeXl5fn1o02m80oqmSlqsSB8tAUgAENZOvqFSBAwuHA + 7PZtU+vXTV299MXxj2+eOLHlUefujdsPN+3at2XTfBRCc/dgq7ht9zeBtUxqanp6ZGTUOfni1Bc3 + btyw1rLSWeCqCJGyQmfPnb9w8UKrvTw+MTI5NR4EMAqwGolyjtPUdtorabySJlEqyDKLSRNYswHg + PIsu9ABlebokIOdcmqTOWmTu4DzdgQhAEnfarbjdWkltCgcwg4wV3e5Ya+Fs2mmBIig8ebJ878Kl + K5euPdyyZ+/hb7z0/KvfV2HA1rK1ga4QKhAkLnNbt1PWBCgGEKfpcmvZVFaSNIGIdwmTAnwNujhJ + rBUIK9aKOY8rqNVqm7ZseXjt2pPl5WvXrpqZmWowFUbGMLQ20moTpRAYDQLiNmLbiZQFk9ZGKQ1A + M2umbKCcRZok5DouiZNURIIgqNfr7FE6oJVyzCIlvC1VqlSpUqVKlSpVqlSpUr90lej269QtoNSr + U0P5n+riMzNJAGvtSmul04k9VYU2PicUQaiHRvbuP/jBpYdvffH2W6//dHSwtnHd7w3UlDLeKQuI + AlxrpZUkcaUSBswgdgJtjFJqaWn59u1bd+7cnpqeIUISd9Llx+++98GPfvxmGncCFht3GAAzjBmd + mJqaXdccji5funjqxKdXLh1pbN7QqDFAiKru8ZdfnPn83TO31djm3//Bs7BEZKrVehQFzjjn0Gkj + Vp1A2YxwpNaYIKrWrXNpGotLahFXVBRwdWp6fnpmNooqH310dGp2ZMeerTNz07VqRTQpBpEWFbRb + nSRtK1KaQxU4pYPJyamJiYnUpufPnz1xYvbBg1814Ygx1TAMHj9eOXPy8//lf/jvPzv6tohopdIk + Xl5CtQIYbXwcA4EqEItUbCAOQVitNRqNAaV03Ok8fPAgiWMGmJVN08U7X3766eXTX5y6dfPG2NR4 + EJhatWqdNaSSNLlx/croQHVoqA6VhQOQptTaldaKtUmaJkkcN2pRyIr9sDNDFJyzadK2gRW02+1O + J7WOnZN2e6UZcBRVQO3Jqbn16zdUKuHZs6fffbe5b9fCwrrJgVoN4iSNk06HDScOcWITK6x0qDkK + mKx11k5MTm5cWJidm7t29VonfuPmvSe3b93ctOvQvr27ExU1xqZ9iKgIg/Vgc2RsYro5jEuXLr/1 + 1lvb9x/ZtHvvwDinDmAYQiUI2510ZVnIIgqoFmhEVaQWxK00FUatXqlGJjAgQWrjFM4owHlDuV9U + 70AYHRmbnpoeajYX79w5+fnnZ8+c2bJp6+iQL9hkpd26fe3qW++898Ybb0Lc/PTkpg3ro4rPKGUk + diW2iXWVMKhHJgoJDilil6QMBWXAISsQYAWphSJAKejK6MTsS9985fbrX9y4f/Pdd38y1dTTwzsq + AQhIOsn9xcW33/7wRz/+2ZcPVg5NzRzcv3eoCSbYpG2XH73x/qc/+g/vmmjguWeeee37r2oma+3y + 8vK5s6eNVtu3bjGBAZEkMYGgFJR5/+j7P/7Rj86fO9ccGtm+bdvOnTuGR0aodwMgEOeptDB59AE8 + 29URZKgSxZs3zZ89feH4FxfeePudJ0nny7vL39mwsGPbQkVD5beQgqg/HZWgTaPR2LB+w+zsXKPe + uH//wcmTpz469tGOXbvqjQEwWHF7pXPr6vW//pu//fj4x1EU7ti5bWHTRqXhLDixaCesw2q1Ftej + atUEBpzCpSkJKFD+bIigCCxwDgwwcwIbS2Lb7ThOQBSGlVqtajQnFpohPjSg3XZxDCKtVSU0tWo1 + NAEUwwoSJwgaA8PGLFNWiw/My8Mj1cbg0HIneOfoSTR/dHt5acOmhUAZcghVGJpKLaqPT82aMHRA + oEEIYrtc0TqqRvVGNjWJ2cHGSDodNpbAjCiCUom1rdYKEQKT3ZiHRoZeeOmlxYsX3j57/i/+4t+9 + NjC4bmo6TSAQcqk2AdqdR48eLwUDSYBIo2IqkDbi9tLSSqvVIYCZCM4BSQqIIAgf33tw9OPjf/4X + /252dvbAgQPf//73AYhzQRCAiInWjGmpUqVKlSpVqlSpUqVKlSr1D68S3X6tPFrJ/kj3UaFFdEsg + 5ZchZ4uPmcEKxL6aFlijWl/YsnXbtpvTx85evXTu7//ux8p1Nm2YH2o2NAlcsvTo4Z2bN1rLTyYm + xp9//siQUsYEUDw9Nze7bt2pL858+OEHjcHBvfsPKK1XHi1evfjFsffe/OLU2U5rCUnLJW2CiBVK + XDQ4tGnbrueOPP/j906e+vSTP/+3f/LFpg2zEyNjVd25f+vetXMnLtx84OoLB+sEgJQTnToILFMH + 0iaqMBuwt+lJ5sITWAeCaCWBgYOkCY0MT+3auefZwzeOHv3snXffrtTN9p07piama9UGkbRaDx48 + uHnv3p3QDMzPbdm+defYZB3A1PTM1m3btmzefP/+yscfHftf//iPt2zdODQ00W6l16/fvvzF8Rs3 + byoTjIzWg9AQxPl0S2IipBZOIQSY4VKH1ELpWmNwcnomqlZv3bnzs7//KcfLdzaua1TVl3euXLpw + 6qNj5y9euphaXxdLiMCEx48eXz5/8Sd//aPBKFg/PT4zNaKU68RPFr9c+uijj5aXnywsbFi3bl1U + qWhW1GX3Ah8US+QXVYNZERtQAGIip7QQAe14eGRi2/adhw4d+uLq3aPvvzfcCHdt3TAzPVmNKgRp + ddLFew8fPFkW8LYdO+fm10+NjfhJxay4Upmamt6+fcdbb7996fLlJwlpxXOzMxvm1yUccg0M+OHQ + Sg8Oj21Y2HrwmX3HPr5xxi6WxAAAIABJREFU8uTnf/Fnf7r1/IWpDQuVmgpMW+Tho4cP73650mnz + utn12zavX5ifARtYDSinjVDqbCy2Q6hqgmJmnyzgC1lpBWEIw6aDA0MLGzc9/43nP/383BenTv7J + n/wfe3bu3TA/3qhz0n54f/H22VMn33z7vaWl5WefOXJo7+7ZqUnlwwkUgwxURekAsiRp2yUxnLew + E8R7ORkEb6kU8oiToSsjE3PPv/jNj6+3r3167d13flJB68ublybHRsQmDx/cu3Dmizdff//Rk3Tf + gW8c2H9wfkYHGgQ4Zx89eXTq1Km/+Zu/ZlPTRC88f2SwUSeRTtx5//2jt25en5qcnJwYHxoaqtdr + 7XbnydLyg4eP3jv6wSfHPxXBnr17X3zxxYnx8cBo56B8CAixAGmeUZvTOidIiQgcwRkTruzcseXc + 6c+Pf3H81KnTywhZ1Tes37hxfkb3fSq/nfT9mN9ltA5NsH379kPPHHrrnXc/PX48CP/t7tOnZ+bW + DTabreXlxRuXL5z67N33jyZWnn3u0P4Du+fWzTD76AYFNg7aiXM2FtsmDCgGswIJoJDCQUiDASaw + DxrwByYmYicQEBGJcyROcW7Y9882WLEiRaR8cUbKA5FZWzZQhpm1CFkQAC2ohjt37dqz7+bP3n33 + g2MfX1/6cmZ2JlKRdkpDaaWiSjgyMT2zeee67bvn1o0GRhMrgFgrFWSRHExgfzPyES7EMIa0IWaB + JEmn00EYwCnUGwO79uz5dMuWY9dufPzJx254+NHdu7NTU7UwrLJU2T1avHFucWUxNjM7n33pwObB + uvgbtROIL14nQmLZe39ZQfH9+/dPnTz1d3/3dxMTE51O54UXXqhVq0prAYhIRKy1zMyl67ZUqVKl + SpUqVapUqVKlSv0yVaLbr5OvUJ//bZ6hW+6+B1LaGGMCYwKjldZQ3m9rHACBEoIJB2fnd+/edWj/ + pTc/+PTtN/7++IfvPnPo4MzUhFGcJp2rly998P57USV85Zvf3Ll7TxjVqsqQDnbs2nXxytWTX5x5 + 6603r16/9tKli8YE9xavf/j+WzZeaVHVxh3EK5LG7BMgO2mlVt+x9+APW8GZW8sfnD77r8+cHBlp + rp+Z3DQ7ce3cqfs3Li0+jl9+7ff21yNyAJQVs9KKrY0Jbbh2YCq6ooAIlAIptE6ttDspERutQgMG + 4jRxK26sMXrw0OHl5eDatTufn/j0xMnjO3bt3LhhYXJymom+/PLq2bMnbt26NjO14Xvf/fWxsYnx + 6QFr3eTkzL69+7/5yitvvXn05KmTH3z03r79e9atW3j8eOXSxavcefLK84fsxPCVR3ZZR0ax9kvk + RZy4TgwbMGkoggBJkpgAtcGhDRsXxsfGThy/9Od/9qc3Lp3ZuWn99OTwmS+OXzx/6sqV+9aNDQzM + VSrGSZokccWE9+7dPfbhsX/1r/616yzPT43t37sjCPHkyd2z565fu5EIxl544cjBA/sHa2EC8au5 + xcFap6xAK9a6AjggDMMgrCldZWW0ZiZrrY2XWpXG8M6de37jN1ae/PmPjr3/9vnTn23ZsG7Twobx + sdFarbrc7hw99smNW4v1gcH/6l/8i+bIyPz0GAHMCkGAuDUyOrZ///4Tp07du3DlxvXr67ft2bxp + 4/homGpfngvOwTpAKdMc27xt93df/bUHT372znvn/82/+eOpt96a27R5dn4qDDsrK4vnzp27ceO+ + 4voPXvtho/rdjfNzvuhaDOZKFboTd5aT9mNJq4FGQNoQQVIfjwoPXx0S66pRY+uW7b/9W7+90v6z + 9946+j//T//j5oWt27bMzs2MPLh34+qlS6dPntLMczt3/+5v/ebBI4dHB0Nq+TgFDRXp6mAY1cU9 + TFuP45VH5OoazJqRcFahS6CAgHz8LwAChxidOXS4uefza59evnPys6O3rp576825rQsLaav95Y0b + Jz/7VBNv27Lz9//T/3LfCy8NRoj9Jcl4/GTp5q1b58+ftxLs3rnz/r17odGVwIjgk+PH//Zvfvzg + 3r0tmzctbNq0sHHj3bv3Ll+9evLU6Va7HUa19es3/Oqvfvvb3/52tVoVEZtapXo5x7ZbYUwAgsAK + EgKDIwhBY9eurefOzA68YW7eWkyC8bHpufXrN0xPVniNN7MXV1D03fpQXcKePXsePX5y8fLV8+fP + nz57buInU1u3bZ/fsPHOrVsXz5y8eOYUgQ4999Jv/Nav7z+4e3zCZLG9JkBYt6I6cZx0lpP2ozQZ + 1wYIjI9lcFaciNJ5fjdnDyUYbGBUGHL+zKnTaTubGvLJGwQwwgqlHcWpIlKwksaShdNqGGO1jtNU + AxGgEigCgghx+8CBgw/vxp+dPnll8eaZB1eYue7CqjOIXeJsYl3LuUMvvfLa7/0nP/jhq4PjDU0G + Yh1BGKlYK5YADdZQWvusW4Yl6ECHQaUSxHFraUnCBlVITDWa2LBh+67d8xcvXzrx+Z/96Z++/pOf + PHvw4PjwcCNQoSQ3Lp79+PzNi/c7r/1nf7R7/R+NhfVKhaC0NoEJKkEQwllKEw0EDBgDsffu3795 + 6+binTv37t2bmZ5+8OBBFEUVY0QEIuJcmqZaa2ZGqVKlSpUqVapUqVKlSpUq9UtTiW6/Tt2YBCCr + kdTjMERgU6nWxsbGpqemalFVsc9FJYEkjmCdAkAaleqzzz5bn1y/9+2j77/37vFPPj535tS5058n + cScMTFQJt+/cuX/fvudfeH5scqparxtjBNizfz9pk1h7/NNPb9689Zd/+e+NCQYb0c7de/bs3G4G + x/7t//W34zPjw42aVkqb0JBAYWhi9hvPD/7XQfPHb3zw+k9/uvLk0eWLF+/duKpcZ+PC1l9/7qVn + X/7eln2HNQOWwJVqbXBqajxtDiiOM4up9evXE1KWla41Bufm5uv1KOksk0iko6gxCsLk5MwrrzSq + tcbP3nj9zXfeXnry5OOPP07TY0yijYsq9PyRF5599oVvf+vVmdkZECsdOMH0zMzv/M7vTE/Nv/XO + 62++/fr16zcePlquRYPPHX728L6dRw7uPv3psdc/OXunxY1a1RgkqTUusYorgUl01vWsWJsALBgZ + 3X+g+c//eTTz7mdvvPH6pYsXr188MzRYHWnW9u078Id/+M3TZ+5dvdZa6azU6xGTWLHj42PPPXf4 + D//oDz85+u6lc6d/9vobTtrMaSVqPnv4hQPPfO/wC6+s3zZDACML2CQPGB1I2JP82MKKq0SN5vBE + UB9otZacbWtdMQNNUDo51Xz11e/VxmY+2L3tw3ffur94++gHx7TmIDA6qJhK9fBzz+07cPDFF1+a + nZl1gk67FTCMUdBmYnJyz959m49+0G7HqQqfOXRwz64dFYMESHzQqgIRksQZRY2xqVd+5TsjE7v2 + Hzr747994/qXd49//OHpM0awxLQSVaONGxZ27Dj46quvbtm2hTXBKQi1RZnawOiEqa2bDk1eM6xb + pEyZ7DfrQGSCCKDR4bGXX/pmrT5ycO+hD97/8MbVGx9++P6J447QrgTRvgOH9u7Zu/u5bxx44cXB + iTEFGANyABiiLVUqUW1+3dzc5HBkyChSvWBbhpAUTKkCpIkYYaiaakY/+MGrzbn1bx/96JPjn5+/ + fO76tSsqtlXWOzbv/MbzL7z40je379sfTYw6QUhQcCI2jKLBZnNiYqJSHZqbmxsYGAiCQGlVrdV+ + 8Gu/Njoy/Nnx41evXjn24bETJz7vxB3nEEXRgUPPHDx46MiLL27evHWo2TSBJoIRDaQetHp0q7yV + 3gHsiFKHBAiBAI5AYXOoMTc7sHHjNBa1Gdq1/9DBudm5KMgjKFbfWnJk26W3THCQNB2bGH/5my8P + jY6+++777x09euHS5WPHjn164oRYWwvN7r37Dz97+NkjLx48/Pzw+DAAayEpwtTCotoYnpqaHp2e + q0VKLMggS+gVxawMFIDUwaYCONg0JSshCxERsdLNoZG52bmBej3QigALwFkSyyIQIsLkxNhc3B5u + DkJc2om1EQgkCBpDQyNDwXi9PliBJkgi8XLr/aPvv/7T/9BaefzaD1975rVvjY2O1dscPrG2lTxY + Xr544/qf/dVfXbpy6f/893++YdNss7LVDGjb7ihjJqYntalXa9WkE3OoAXHOAQrOoRM7UgPN5qYt + g2OjQ1FElRDk78zG/Mp3vjO8deueYx+/eeLzC+fOHv/4EzgXIglhRxrRhg0LL/7gmee+8+r09LjR + MVwHIDDXGoOzs7NRGEjaSQRC3txuBxoDExMTk1NTU1NTO3ftajQazGytVczWORExxpTctlSpUqVK + lSpVqlSpUqVK/bJVotuvlOe2+Z/mWaF6/987bhGEtXrj8OHDemzzwubN4xMTDsRObGZsUxANaJDU + x0YPjU5X6o11M+PbN29cXlnutNtJkjDzUHNwdm5u+7ZtmzYt1AYGldZCBJHRsfHde/ak1m7avPnq + 1avLKyvMPNQc2L1rx9Ytm0y9SWHd1JvbFtZVjCFSMBXAojowPTP0UmWoNjQxNzH28MG9pN1WcLXQ + LMzP7j303PzmXY2xQXGA8EBzfN+BQ254R6s2PzneDIynNQAUmEA8PDq6e/e+30ypvrBjamIk0KSh + tKpJ0glDPTNT/+bLteHRodl1s4t37y8tLcVxwoQoUoOD1V27tu/Yvmfbtm1aGRFLRARuDAzu2rVL + q8rQyOD6jfPtuMVkokp9/76Dz+7dsTA3MdSomNH5W8tuy6YNgQan7Au7aw0LWIEiMDFpA6QIKpWR + yjeOHNFjG6Ymxu/eupasPA4NzUyNbt+2cPjZb23a8uDa9eUnK082blhvtIZztVptw4YN3/vu99ZN + TV48c+rh/TvWtUwgtfrwjp0v7dn/KzPz08FAVj2JcoMksyLWgMoNk26w2dy1Z78RuIHh0dHhICCQ + IKggjTkM160beaFSmxkf2jg3feva1YcP7wuEmUylOjQyunHTlm3bd2zcuLFWC/xMyqablUZjcOu2 + bd9/7bUdu3cnMPsPv7Bl6xbu+j0B5f2SzgAaQX1gavCZ6tzwyOZ6c+zyjVv3Hj1xlAiWjUkGmoPr + 5rdu2bJ3166dg41akiJNktBRNDh05JVvTT0SGt04NT4YKDDgkDrnFPzeKS/ClaWCVMLK9NTgc89G + U+OTG+fXX7pw8cvFay5dqgRoDo6sX7+wbevOdVs3NyfGxWgWKIakEJuyUVNzCy+/Ipt30dyGQ2PD + jVBDYEWYvGeT2F9iFhDvOiUCDLgCuM2bNkfDI5MzU/Pr15+7dFNZqqQYMpX5mfkDh4/s3n8oaqqO + xorAEBiOiAcGhw4eeuY/X0ZQGdi/b2+j0dBaM1MYVg4ePDgyPLR506ZLFy/cv3/fOgeBCcL6wMC2 + 7Tu279i5Y+cuHYTMSik4B+ec4h5i7UWnZDZcJ7ACIl9qjINooL5rz+bf/d3fvP6wyY09m7YuzM3M + GgKkx6qpb2fdG03mPvYbVau1sBJVqrXB5tDs/Lpz5y8+fvIkTZ1SPNIcXDc9vX/fvvlNm8amJh1l + MS3OAULg4NnnXnDrlpaG1q+fHfM5sLAuy6YAM3EGyrMEAh/+QhaOhZrNoUPPPEuN6dmtB2enJzOg + T5SVCtNBYyB64cg35h7p+cn62Oio3ycoaI7NfOP5l0fngsGx5wZqUIxO+8mXi7c++/zk+UvXt2zb + 8/Ir3375+68O1ZqNlKIlJ530wfKTs5cuXLp++acffnTm7Lkbt+48XpqbGGgSq/kN67/72vdYRRN7 + 5o3WvhQaMcHB5zNs2bnr27V125L6li0bjYHzsJUJWgfz889OTqmx8fHNmy9duPDlnTtpJ9Y2DpBO + jTTHNuxYt+PQ9LY9jQhaNCwgaAw09+zb/7tucGjrcxOzU6r7DIN4ZHT0wIEDf/AHfzA2NrZt27ZG + va6V8uNFRBBh5rJGWalSpUqVKlWqVKlSpUqV+mWLROTnb/X/S3mDnQM0QDaGa4M1VCUFQ6ClDbvs + Oq5VGWtrpEANqApc3HI6hGIGtFikK+isQIWo1AEFIgjiOAbAWi0vLRNzo1HvHtRa55yDiNaKiZ2z + zOStvzZJrHNBYNI0iR2pMEwcJI0NuYDBiiAWYgEtHFgoAax1TKSZCA7Wxg6kTULgThxxC7QEjjqu + 8YhNyDAJKgk8ogR3gAQuQZrAShrVl6iWAhEQIk2XV5g40AZKg5UIllfaRByGATNEbJq2TKCYAohK + UytIlHIgSwgI4dKTFevSxkCdGGkqK8vtMIwqAUFiSAJE92OVhhhQCAFyCcgkhBUHl6AawBBY2kiX + ED+GM1Ida6mK8/WjXAobA4kmR6phrbYOpCAKDrAuNmSUkKSiSUAOEoMTSKez1FF6TFcqQugAMUEx + CBDrAsVGALGAgLhDZCHVNIFYxB0Y44JoBYjSRBHQ6YC1DasxkQKUAKkViNIKBAdKUgfFPvYBWSCD + 03AEly4vaRaEFaQpxDkOYKopUdsiAaxCQDDI/pE8gn2AWKCHwIMQAiF1iK3TQapU3I47rOpahQKk + CTrtJG0tD4YuqCkQtZPwbhIGDVQADbikZURCrWGdT3AGAc6KTSgwYGOFrBABmj2+tC5dZmWhKhAD + 0Q52OW47TSFFAVGyjDh50hgQ6BVIY7lVEVKVCqxLBI/YqYAiSMWvyU9gE+ucI2Jd04BLELeQrKBi + YJSDfphI2+rBSlRzQFvSxysc1bgGq9ABOgQNBEiMW2EiUMUhSCzIBz8IPNkDIDZN4k5gDLTKrjcH + 6xyzElCcpE5AzCZQcSyUtqOKBiw4TIk6gAGCzHWbgtoWHUadXIgEoBT6Nvg2MAHMLXueCAAgwF9S + 1IP0QpnFvfsPsA7wAazkUvvw0eOwElVrdQ9+HWBTx4AmIqbEpZ00EWLFoVFwHYQ2AbXhOpZq11zk + GhgGahDVeShWq6AGx579Ox90m80/SeFW0NGdTtVoxJ3URVKpdRgqe1TQ0UggKVwKat5e0SsawxV/ + H1iCLIMSuBXEdaemE4YCtGst3fvk5Imz/+1/9yefn3zwX/yzf/YrP/zm5t0LFu2a6NBpiKCztHj7 + 6p/91V//73/502Pnbv43//Jf/vA7h/dumAhhsVzBYw1Cq4lWBW24KrgKBBZwy3BLQAI91lHhAwES + UGobAaoEJDGsg1It65KoGnLvaQdcCpcIRS2FNlABIoCSB0haMAxJUgwuBQOPgQrQACJrQU5SZ4m1 + 1k4EwCqDrYiIc1TS21KlSpUqVapUqVKlSpUq9UtW6br9Ocr+Lpeu69b/Ch/1yBWldcFPR1Am8PXo + LaCFwBpBBBCSjhATK7BSDBAxc1SrOkGcWlYq27diJhZx4osPMTtxJI6IlWJWLMSkAyMCkYBBRjGY + SbJGgkAKEPYuNUW5U5DFF9QhaEAbg7QDp0FKKw4JCtDKFy8CLERsthtS0N5obDnDwSoI6kwOLICD + E+vIrx32pY2E2JiQCeLE2oSYmZSIY1IAOWfDSggEAJI49SvWCSxJQkr8EuYoRIthHXzxqVQSGGMY + CHpxFWANHUBCIkU5GmMi1hpCRBbEzHAOnQ50CFZgVnBwDjqn4YCCpACFtao4ZVOQhlIwlGWbasUQ + iHPkTX8ewLpUxBEUVAVMAqtArBiOQIGvVW/FOuuUMkopB7FOKHOaMgAHdDpWaRUqMLPPVNaVCpz1 + hk/4EyFiQBNIZe0RBwcIQxwzAoQaKZDG0CEImsHMRAxQGIQCdoJWK1Wso8ggaLJrIW7BaA5UPcw8 + xE6cVsr4XhXACciCNUhlXSvkrCNWTHBWmIjYseYs9hXKWaQiFWOgHDuyKVgjChtQHSBOrARGEcAC + gSNmVkac8nM2tYkgDbSWrLQfwRIQItDgBC5JXWpU1ZkocbAWCqJrERixhSgwIQCAlOCIjEutn7OK + 4RzS1GnFAKx1iomYgyDM3dQE5yBQSqWpdQIfVCKAtVCajApBrktc+yJrqfe4y6VgB2iFJIVKoEQA + pEgcWIE1dJ/fdm1yAjJ7d5Y+SxAhokajwUoLkFpLxMx+NktqRROxUkY5K+JHQGvAMlY6CJSKgoZG + GwCQutiwAocAQyAWIgLtrbcCgYhYcgw2JgABymg27QSiQco/uxKA4ASpS5BEoWYNBpIUSqw2AUQB + GqYm3oQMgJcCehy373dSWUFjKamlSRiACIbEOUmYHEyi8fjWlZNPHt6r1oZqjYkwHHBQ1saKgRpA + UAoW4pAKNMAuTdkmYEAIIgIYggqgjGJxcBbMEAFzoI2FJKm/zKF8sTUoytJ7/b1ciDWCEOQgoklb + C6e6A0RwIKXgpNVqmSDI/La5RERESm5bqlSpUqVKlSpVqlSpUqX+EVSi269TAdZQvpa8+wYDCmy6 + 3q7MUsd5bSNAiAkaGhCBc37RL0CKIURE0Fo7ILVOCgSAGCScVXf3LwkAAYPA4kulEQiOIFkV+O5K + 7l4ib3Ysj4AFcJTtkADFBFZwBqSYMrqkCoRJyDdAAOVxoIJzHoP4UyQGUoj19eq1YvbLsgECEek8 + RdUx+yXhCuQjZKGNyukZMZHR7Ly9Gb6cPWmGRs6R83NT/s3sPBnE4ABkuoXj2C9lRn4gEBGIwNlZ + C4F8t2e8RRisIAqkQIYsez7ql/BLnlGQ97D/KgTHJER+9D3tdgz/CoMNOOv/bLpQNoxS2JEnh711 + 9P4drWEJYsEKTOBsvFXuH5TCx4EAHII1HMP11uRztjtWgAMLZTNXa7CGpKG1iQeBAWAzIimaFSMv + yddtJqmsDwSEzPwt5MgblrsTjUgIDNacpwMQSIM1QNoiAJRWOe0kgJihhFXealEkCk7Ib8IgBmko + /zQiZUCxyZ4jWIC9NbU3nzWsIGEwkXFQfiJzdg0QUQG0EkEpiOs2xbeHyFGeFeEE4sAEVgzJ3LNZ + VAXyeZbfEXoZtuTPOQApEqj8xtqNn+i7mfQpuxR63S5ERCbQOcn2HUzMECFhn9BACn46I2s5K5AB + KygVUm9koRSge7eGbPoUV1oQQzETxIEVwGx7dzOABExgkCJQqAHKKXYWmKAgGqIAwCFNkiBMAmPq + AwOVWm0lvnv8s89HJyMnjwYaplYJAoZrLz24c+X8qY9PHD+eprSwsDA5NV2rD2Qd5u3uACvf7fnF + 6s+T/Ewi/7RGA5rAxNmYCYFJaaUAcS670P1jNmLfx71TYwZ05qZnVn7qdNEtCMTMWa5EIfK8O+BU + cttSpUqVKlWqVKlSpUqVKvWPoBLd/mLyf+fnKI88zxFfxSpbEO19Wb1MTOSEVzx74/wlgeqCOzBg + VEYpi4gJvZ+LRY56O+bsEwUAmMFll4c9+D1Jl972ISSlQSHA5E8DPXYEBSYNpN1XfS6p50FKQaTb + DgIpxVzYb6/LiERrnW+os+/cNT3CGJO1hQBlIGkOWqC7a/NZKdJd7M3ICSoUWIMMPGXuoTgUiRkr + VLRfoC4E8US0MEgMViANEBQpzsNt889nP6uc3ZAwiEhBE1I/oI5B3GVjGcxFQJp1bxiZqAjMGKgE + qtBnGRb1EC6/KMljPJ1HEBM8gAIAVgEQAQKj4BTgIMUF3dSdJLVId7k+ayYdSYbJs0nLpBjIJozS + eRdnWNbvTGvKcS4V2F82IKw8P/cTD0p3s1sVIdDadLktU5eeZTvUWnefLAApYKBM3vwA5LRSDib2 + l58GxEEEWiuFNGtByhC/WF9p5OcKxVCcUXFizntfsicM6F6SUEZ3L172tvXeuDAAFhhk+D/nrIqh + s3ntu0HXgCGgAiAkGAPHcIWJhL6v3akhhZ+RzaLs9IlA3u+ZMVyVgd7u44vezg1QbwApctCsAMO+ + O6j7IIIyAC/ZbYzAYJfNXs+KOTDF+w/7DgIrTYHkN0GjoREAqR/0OO4YEwWWVlotqADR7NS62sTk + B65z4m9+9L/dWvz087P7N26Y3jQ9NlLVizeuvv/O2++98/bFG4szuw4/d3jvpo0TQwNaIVXK+EcF + fnACEEFrD2qNhoQQgTAUUw7TsymoFDKsT4BoEGnOeka68xncpb3+FeHs6RRRRcH60JVsCBQIzBxF + EdaohLalSpUqVapUqVKlSpUqVeofTSW6/cXkyVofZPHQgCl3RK6tNS5AZs/MUnP/o1XgOpKbbwvH + Epe5FHPuIgD7sk8ZXu2vrtRb9y0Ag7R/R8ESequFAeT2yy5u9uunhfopcI/irTGl5T+4vL2UYet+ + uN3b3OMkycBhz+GcnRn18y/K7H6U+2ILgCz7MaepkkFC10PiOQDrDmL2EvXth9aeVrZfl9kBs5J1 + Qt3CZhl0K7ySS56yq8LpFFFp/zbF3sg7w7fVkJ9U5HKbd5ehM3o77/0ggIMSiMAxIPnsJfiPuL5N + VykLNPBZIEXEnb+D3m9AFvYq0IUnDz3nreRhBHk1NAfkfJ5Mvq3Olu5T78OABQGk83noFNIMuRem + ZhGVPq3Xny5aRVKJurslARiOkAIKxB4DSnf0xNNTIARAkpuG1xyYesd5al+vbni3SbJ6U1l9SyKG + KFDRI7zmWstuIavuY7lZOh9QJd04XhJvQyd/gMyhj+wWwQ7OEZnQEEQzDVTrcbKUYHBwfOj3f/93 + 5+fm33z/o/O37v7oJz8dGx4Y4riWPu48eZxaNTQ8/3sv/frOI8/vOPL8zPRAjtwLd52C2Tm7qjLY + 2h2Y/rGk3GtduG0V3+t+496LBHh6m03Fot0YpUqVKlWqVKlSpUqVKlWq1D8Blej269T/5zv34wLv + yMv8X7L6PaALNYmzFNrMhIfum/Q0RIbCFl/LD4o+TpJV76BL1Hrctv+kug2HgkMWhgCQ546kPJHO + uWjfgv2vbHcfWO5vZJdJCbpmxLVnmK9rXhVOQatwjIe8lNV/WoOBVyMd6bHUVYejwsJ/8QRL1u5i + 1Ye6RyGgbzU1FT9Z3MPXcttis7k4pt1va18VQGAYKcGBLJAtKe/uKu/0HPQKkMFRkgwuW/YrzL9i + 2vba3QcAuw8hsgY2gILLAAAgAElEQVQ/ZeIVfhNPw6j43CFrXj74kk8J6wOi+4+u4RQVHyuQrxdn + Bd627HMu8nN9Kpzs686fo35I6sMzerNYkIVqcPFpBBHgBCZFTcF0JxlL5iVe1b+FDn3aY4xfmN5S + Rm+LEy4DlAqg3jA97SC9RxeSc1vq8l6m3EGdzZY8K0J615uABOyghJiURpzCKRhFoh1VwzDYu3/f + +EizOTry0ZmrlxeXolBF8YOwc5fHhgeaU9Nzm3c/89y6XTtG5qeA7izp8+/3oVv/RjYVslPtB7j5 + JVnok77rK4PQxb35OzP7+1s/Ji5VqlSpUqVKlSpVqlSpUqX+SahEt1+pAp+UIiXIvuWkrAs2+qyR + KH6Sun5SgNY6xp5KbvIfaO07BVTBxfdkFcUoHGUVi8ztwBmR4i5To363HeV9IMTUI0c5dV5LOGXN + T7lHtbBNnz1SCr1WSPzlNWe+hs9yHsa6luCs6jDkJCo/QB9h7PrwZG2PY3Wn++jUrsE2Ozhly9F7 + e6bVu1nduKe8TZT37FOOXGy5fxDgAIBVNx+j94SAulDeYyzCalDqpyPBEVah56Ktc21bpYBfuc/C + mG3HxY98RXeyrL4Gir+JH6c8Q0T51ekFF7Y/WZuHM/vtev7frzrwL67CMPpuLZx6Nnc5P7IDWX+t + OBiLgPvPvDiW1D/t1gDcQtOzd5/yVnd+UW4xXT1LyNdpK/DP1RcPFS+0/GD+guratzPXvcvDsim/ + 0LR0LySfAmME5KxL45YWx0FgqqJg42S5Um+s33KgObH9tyt1UwERAnKKl4EUouE0yCRKL+d5IIDK + LdXZqajsBPpha36i3fnXuzR7ndEP77ufl+6TivxKoR6t1V3X7c97aFaqVKlSpUqVKlWqVKlSpUr9 + o6lEt1+vAuSgHqsFeuyyy8m6EGsVus3QHslauvtVfOBr6G0fHsyIEPVtJ6uasHq3kjOjnMxKDxD1 + 0C180aKuOOO5OQRdhacyh+9ad2nhxdwBLHBURH7ZZ3vwpYDqurh4jbXWB8zSWnSbn2TPAuvtnC5n + YasYL+VZAd5SqVZ13Jp+pF5n5+3tHSr78pR8jVU77AOyX/XCU0Y2O4Oc12a1tUCA+LNgZGxRCv8K + fQkIhH3yLyg7Xyn2IAGSUeBV8LC3Q849kavOTBUndt9He0Zb7ju3Qj92LbOuV5Iuswxzlx2SA7l8 + QNHzluYBDaCu1/z/uahwqnmf5dM3n6zZhUYWlACBBdts0b2AYl9Gi9b2/tOP9gu1J29KBh09ve13 + 7vo2icqex5Dru2f5TVZNTCrstnfZFfqQ8qmQ9YgfYOeH0vnZppTWIm1gBWQZsVLaga1S1UY9IGgB + HFK2HbKBAsH6nFwFzT0TPq113aLLVoH8AVjvrrsGSnP+hEb6kG/hVHnV7vNTX+PwLVWqVKlSpUqV + KlWqVKlSpf5JqES3P1ddZtKXv5q/UviGrnW1t8I95yrd1/rQLVbTRPTv/+kvFGhyXlfrKVyoz5BL + xd9z/CLekicEcd3tcmKV86kcYnlnqctPKjtR4X6mKn3fejxurd9Qsu9FcFQ8sx5DyjagvM351lxE + vau5be+XLrd9KllGfw6GPz/V856ugT+ULSEvum4LWQ49LPwUcvtUaNsHa9fOg6c5NbttzSMtfESs + 60237HWhXoW27v6yLuQuQC/0KUBZXz0Fvq7atGe5LbS6L5LiKZ0Hzkm336Drme3zUHr8RoVptCb7 + 2OWAF8WW/MOCN3nKbz0wDQHYd7stYnIAQOKpab839mvo7c8/PhUuBgAAr7bxUnZZF2401GOwvbn0 + lLbIKj5bOGJ+Nyg41gsPFJyAnS8ZqCHkkBISICGlnSg4GA3tOS2DQCkrgguyfIyUKQsCz7uoL/5h + VUIN4AMTpDeTeueT36ny6fO022reI4WZ1L1VE0R5TPwfO0qlSpUqVapUqVKlSpUqVarUL1Mluv0a + FTNdqUsF1mzV9y1HG32c0YG4l4i7Wk+jeF+5VR+twFpfZKG1BQjBBa60qn4ZCeCydd5d52Lmrus2 + KHd4dtFt7yjdSk2r2GgGe1YD069nWPKV23SxExWY+Cpuuwa1EXJ0WwBrfW30Kpr10CudVRyP3s9M + T3mF+19ZTWGLQ9xtbf9wf8XgZ+MrvU8UbJySAdxiJ/c6vcfd8/f9On/JK1ytmrTFjumHfcXWSd7z + qvdOH3Lv+6nwe/eFjJQVDtgtf8W9OxL1/lGvfpRfye8zpom6zZD+/v8HArh9tJMBEgJ0lkFQnH0Z + D+ytvedsvvHTr/j/t62i7kMX5NMjQ6y9xuYQMivC1QOvQHGk+3ecq7eBh7+FOZd/7d5GsmuWFcSI + uLZFqlSAqiLWAkngLBiAgdI6pGp+Saa+1pyfRnn1s94XPC2aug/gr2508ab7leeXbZKPU/da8ej2 + Kz9bqlSpUqVKlSpVqlSpUqVK/X+kEt1+vYQgUljsjaegGL+YuuCHBOWuwR4wcf04j1btoU9PJwir + GiCrNy06IqlI1CjnkgJyOa3tflz17cv7B1lWmc/8Ly6z+aJb2qiPTBUA4uoPFzeSXg2k3qsCWlu6 + alVv9OqMFU8VT+2vnv/O9rI0/RtUqGfVh4AlN+eqp1CjNf0tKDCxPrsfF17p+ynnTUVC3ncG0tv5 + KiQtGaGTrNs9CM2Nt/kO8w90a0z1eFsX25MQXCFuuNcbRXQr3TSQp0gyIJivnS+0sniafZ1WaA/l + F4TrMtHcOav7hriAbguu2yxZ2r9MWXfmB/wHhW99lysDhcJZhfNkAUterrBwA+iO2i+nYb0Byx9L + UK+3uXClOyALGiBaNcxFZR2+agrmB+wNubhiUjF3ve9JCiccVIjDBOKgqz6Ro1BNzFlnLZQJRITI + wbkUFqzWJBXkk7P4dIOAwhn2TeDVfSN9c7738af0YkEOkpPkEuGWKlWqVKlSpUqVKlWqVKl/MirR + 7S+krsXs6ZSwy6H8sn7JmBb1M9AiqCvsKqdyq0WFLVbJdX19fv15Dity8khrkZHkC8yV68GeLBi1 + F5GaU06BKpLF7tYEAKlAAL3KBPr0lkKK6QVfiUQKrcyP2CVE+W7QF8BZBIWFQ0u/mbWICNc2rYc8 + s5pMXXorqzfMrXm9owpEIOzTFaSLQF0vKqHHc1GgoF23afEM+s4Lq09tzQkSF7fMzmPNSm8ptr0P + 3UqP4fV7fwsUN9vbqo7r7qbv/CibkIWV7673AYKAXGHyAwSRbg2wnACr1RcYCVi8o7aQqusXtXez + CLhnuf0lcVv0NV2vvix8K4kAJYVgWSrM/Z+/CL97tK/fsjAv+q5PVzyEn4HUFz7tBEJQ3ecWX9cQ + 6VHRVTMVcBAHKVhUu7TXCSxB2EHZHlX2qNfHEysIC5Q4CBOgLJPAkSQgEBRl8bzSK4QG/N/svVmQ + Zsd1Jvadk/dWdTcaDRAQCHABKYmryBlRoiVRXmYJBSPkJfzgRz/YD45w+N1P9oNtPcthh/VihycY + jtFEjOQZyZJmkeTgMAYkLY6kwXAkkiAIrlgIEsQO9Fr13zzn+OHkeu/9/6rqrm40wTpR0V11b95c + Tp49T2Y2Sf2L8VtZy6Ei8RpR1CFo8X1HZ0jc7Otrt+XwjTM4gzM4gzM4gzM4gzM4gzM4gzM4g5uD + ZXbhGazDamAs/WYKa09TTXm4bZhE88887y3FbWc/mAUQmxeW9qyX5Mq2htSNmteag3Fazg2wJqLZ + 1Q4AYpDS8JYgT6nqqEDTVugHtkDJAhUrYZTum0UFzV89yttiNSW1wW1bMv+VC2pfibUo9CdWwnV9 + f0qYa5Gi2lVny6F0ZFbmcdnC/KMZihtEGs3Papi3nkdhaEKWsxzUHv+KjI78XHMa447RefEcYexe + CzABERRBAoBrSjGXG8Co+8qACdgQZI6bmwNHgOXQszdvBnUegpJPBgvGErql9NGQo83SS4Yl0CKM + uC1kWOVJv1ZSEK8lVLwcScvXW8baTugO9upS5mUyjT6NAeDDaRIcAofkp9saQQM2Ew6vAhsemPcg + DAGMZOA4MBikG9VJVxrsyKcVaOtyY4V1dwwYQDoOoo2b6+7vzuAMzuAMzuAMzuAMzuAMzuAMzuAM + 7jCchW5PALbyG2og1GM0liMMZshBFFv8NPWUWFn+WQYQ5212AZuaAth8u4heeFQ3hRp1VsDaKhVt + RW1YI4VTBOvRsW0Rk+M+WQQcrf+9Hkxh8xJddHJRyeJ8hvIuZ93mJ110qC07i8m2HSp/G2DQxcct + WnoSsFoBFoWafszmQHPH2xDXrGTfgabZLuW2710zKENDiwug+XkabTxt1tU8xhWCRLfM0BO+GKIh + 5uitIqeAGtgweKiU5kQ8ARsgrve6H+42Yl0pDSgQS4xP04g03X/HggFNFNCAiMHSURYlhL0KJfhM + 1mF1R3q63zvXUknLtmUuay6+pXjzOhfsGvaMFLtIeRrSwDQQIAAFjPthbyQocABMgrzKEEYMPjt+ + xq2LoQhMDA3EY9gbuOz/aBLtO3bve4Y5xcz/wPzDro5yAkS5dXC7oDiDMziDMziDMziDMziDMziD + MziDM3gL4cc9dPsWedrz0Ir1D+e9mkUQm/zFtf6vRbqaP1Z21tdPbDVacVT3F4935P7t3Ea8+t2W + Jx0uVvflnwj6cGK3L5yWHVvkna7nl/ZdssWTZZljg7W/zE+B2F5XFzgD0PR2lf7m1eT95wRQOmqg + ebUy8jVM0vwU3Fn2MZqhzKm5icVZO/a2swQhiNVb8WoSuR8sixI/Lp1Lx7rK6QTg+gpqnnkdSQ0c + +k8NbAKazk5ow6c72pkdErEVGrZZr2pGozR/uVLdCm/k8qvZ2aUefxU4H9jCQCDmgQFG5EISDIQB + gZxImhCyrwApgwYm4pV4a25wzh3HknHeRyq/zTpe6m0RsIaltwm8jYd2BmeAHzfyXqxKbS3TLBv/ + RMBSjx1R+gzO4G0PPUtU6fGW0v9q+8k96fZ0dh80GQZb6+xsbeterTZ/MqFxc7C700cVOYM7ABX/ + Sx8hv1uZox001jxcPt+RELOzkgXV1k53m6JtW8O3DY7T4NuGyH+8R3FXnXVbwzDtHzaPqDX+u1m5 + M8eawqd7SmFbm/VPyeBbpfsgDK2GRCjfxJSjGGZAIIJyFyRhBZlC0tGyRoSAfJBoMPh5m0xAOaKW + 4HcCpcBaPQMyx2cseKiOif0ypQAzSMDgf3hxwjlOR+IqeeTDD++s0SkwzqXDcamN/Pst9CkCQjUC + FRpkeR3BMPq4e8RQU5+fONni0Heipz/9EdcLoxrslSAMOZL2shVBAOdMu64s4OMc80VTzTme+T2h + OwGTUFBTEV0vzmpQn4nTD/v0gGkv+5pBcUfcKX7GgN8ylQZC6le65YNlqdBJe4GWG0npWFGygiJF + UBiBAghUj5Dl1KI2f1U0WcYSIQBEUBhnkvCopjK4uW9qKN/64aHtRMIp1EYvFpBD0exo1JDSNQfD + SGk03kqd6fbKqqxOBkIAhuMLgK3lqJE76YwGqpOUWawELSv5ElDuuvLDrv2XLTe+0SJWS7O2O8ic + 1dJKZffUZMFx7hETBgLPaLhQcmhZCU7s6faxwr2ZlhkcnDc7qm34dsC4j/OMcQAwOpkSaN8PlB7y + gReUpiksR0xlKJQPjqY621Y6mbg1PWOQpZTkxBYCisBIYAXUHDeRwCBO6b9OMP51vnCt9zSaeTkS + jm8KdApu/fV6nTNdVK927D+xVjNkWxDz2+BuO+zo/LxQd554I+QXdVj/dC6pTwWWU3O8BnYTwLyO + baXX2tomEG4GrJEcmTxW22yQTKsfrnbNmi8XenTekfIv+jsvW6DZB0Bh8uaZZUm7bOethGqWlgPf + GQoTEBuCuPg0F1AAyPUqA+6/+VeWxBPRXTS0UwPNRMTJZvHbB+pOlGTZpGOZCv2R2wRhixy8Bbh1 + IXNC1XEGZ7AdSiwnAGwGE7erNfsgzd2+eW9YNrKz90NGhUVWiPOE1oi35Ld9DJWBSUETEARDBPYA + VkD8ZopyObICQmBGoMYQIgDm29sABM33xFi2GGF+mBW572mUnAQGOHkHM5/x5qCaVcmEMoCghJhN + 5WyMJn0ogIKCe0TJ3KXt6mzWVgt3RFDsMm5srUTvM2+BWzOPT2XgZS9x8Ks/0nU9lk5uk5SM4j8M + TQ6scprZAABTdVC6ar3/Q42EkAGHAIA9+DUtdSjFO+dcRb4JBAwJQGIHyfWyV60GUwRxzabYVwyF + rIu/vY7I+RXUVn3O9gUBSNcIlcUVq46BJjsqmVJdmdyHwnanpm5v2b5d+dwWL6i+mb2kcnkPdo1q + m53c1d40cXtt0bsqdAssOAANZqw+bdmpuqNzEj09oKbm7tIrAhmDAkx7D6XcvN51PgAwASYQ/Poe + xkCgukZJAFgQBTqWzdE4R1R3h7sx61cj5cAPJU2d4x59NjVV0WQlhKNAJBgwlrgdLDAFQBgR0FzX + AEuyiQyByBAIMFKifDmbFXebAAPlIC5qNM/SOyaM83Rv4+we5gHUac1opYrMFqXl9XzEqb971K2M + mZsSyMmCuSy3ZkTCKLX1NWEvK++51c8EQjZHWmLI3lchYMK8TMf+hbI7UdJEZpErpNQxF+j5lrzc + R4NqwWzGlx84oGACd4FeAGY5RFZDt+2BzZQIKV8yBoJJFu9CoKEOKV8d1o+ie0CjWzupd5QMM0r5 + mINhVHAetqfT+jQ1Sx0EYAK5CzweabqdQCw0k8Fpuq0NjbZx9kqaVJjfZ8Iyb25tfO3pakm//dDI + XdtOupRVhI60Gu0acoxyjp6WzSoxE5fJ7jpEBB4K2S07S6CAYb9QV43q7vtXQxXZ84hxT/JIbSSi + 7QR7winNv6VaBxvxBBwmb0cgE4Y9JT4ERmAPBkwwgl8zSDAKQEQjF2a9WXF3OljaUzM7eF2RrxrS + vY0214lV29HidQJFc/4GAX6kBYDuwr/TgBW7pXsze7I+/HxUkGa1lpFgfbk0NQa0V1YSdnXjZANZ + Pjne7C8rsP5XSisL1L3eOfu5BFnV+rcMxYrAtuo6nFvbc5sZP2gC6XVclskPmde3DC0V0FyTdSaA + NWXy/aD5mRUGTUWtbOCYiYW7APKFnG4GZNNrAgYP3aKEbkFgWL1pVYFoIAEZGOBwGgRwt4Gh0hUD + +d4IBqX7P9OrUo4TFRiR5ht2qa3idJBUKXNuaZ6gBpxWb04RljLn5uCuG9jbFyyd2ZWlh0YQIVAX + RMk2PDJrZDvB3ZW6urFiTDUNzWCLNeICy6+sHciAsgZFG8N+BDbA4KHbmJwGTW6uGib2ZIIi6chz + kgw2uXFXLrdV+MURBk3Hb6Wu5sgXOU4g2cld0zOLoe1Q4garslqTEvPQLbpkKQPUUR1TJkuOZqfU + h7V+bO3RUcVPBVZtUis2VGtoF/ur/LLLf7lZ83hrtScHy/k9odBj8ZONIClFThlKGFPxkJQxnMas + ieImnGizZlh0kE/vAdxJstD1glC1UU4n0bQTcYCmS56doiw1jcEAddaeECaDKQbBICgXp/hs9LzY + W0xWOwFqfSdrZpkMRkbz+c6xKUt+WTb5SplsVwnMQKEPcN/ixLWDuYXPs8otj9aIbIaR4k5Ra9y2 + vtN6J7fZ+1QtldvKzndV6NaKmgF2YN+6n5SI1hn3twFhVm5st57KiAAr24ZzKiTmcbRU2ACLwAQi + BQtCmDnTBpCnhKlzOayezNgy4GKYbUJGMTpRg1y5/oQt1zgAaKzulb9lJmzKdViAGWXRYSmP0AiW + gr9cY0RW4p+FC5r14ArJ+i7x3TLw3NeZoTyfz/UoyKIhAyjtr7cm3K+leyWpb86jTT9WmkrTSov3 + q0kxFSO81j+sEe3MSqrNeFijE6nU4aMjDPPDVrkJIBpYQZQDrlxjJDnhpXWAF342+cKbad7w3gzR + pA3G2TziVh/kCGgzSKRMbwLK4QRUvDQQUGLE3CNRQBtAgf2kQU+X+TMLU/sH2oerhUuhU+wNUY7e + ojlSmYA267Y+Kz2c6ZieedZGUR/MoyBN+nAt1H1PAcM8lxsARv+vkXV9DJE0Da52iooBshyEZmao + fFDiOSAYmR+mm0ShQDewwcAbgIARRoiwIX2SXQVas0dOYlP03SwjMjRhyE67zb5ZuB15aHOsW8O3 + TaWdGkRf+OSjOQqOUema7bRWqOyjJKDLWJkJTFijCQvUsrdi/a0+Wda51soakRZm9f+KzU1bv1nM + fl/ulKK3XZXlj1YX1xJ5tajjtLVOdLRnOdhcB9QNrfxm3TFHWUs1Z87TLqQRkE2d8viUVyZOAyqt + au2z+KKqVLQ5p1ONQiNlrGleAOQ29v82goaZ85W/hGIuaUs2fmy72wVkBnLPNpULpyjeeuNl+bKF + FY5dF1BvJzhNRXIGO8HavdkGqKc0WOPm5XLpP488lttTahhnVvRmrZHEivX+D+dSM8+x0HLjhOVV + 47ytRmEGAZib7ubf3Gpz2y+U48+yR6EwlJU560S/5GaOu263XYn7b9XkgtZsQ25NuSq4Pf3fYAr1 + 49y4Fjm6Izhu2VMBa/VvbtlmZNQaCPMF9ALUFDp22+1fzQ3Btzr8InJTmFXRPOBEeIAy1G+aLl+Y + QaioDqnosKKF+yM+6q8TQIkM5/zUyX9L10QLjJMKAyzFX9pSChEEX/hQwwUpayLpi94OW8yH1ae+ + dSUPpHCoK9U+oNTMoGOGlmVyvVZXM04H7OgiJ6iqmottz3vjsxk3WVn57fT9eqe2UPqM1Tu03Uam + vntCt26NHWMquzJ32nig/vfqUFv7votBLmQzNZ8uRpuiP32y4+KzeVduEqj4SrMOzIotpyWzyOpX + nbifvadl8a3t3gxsp6CTK5rTA9rRqs3je+vKnOb45rqsM0dqX7CbZWpKb2m2qJ9tXfYMVEqb1Gff + zvt9AqA6nPQr1ZgV7aCdnxgnYm5kHWvYW7j3bQ+9wOykaPqVqpSdS9cTI2nh5pQqOgv1tKCjAeqe + LbVEO7jT7Aot7JbFyyOaW8UazQZ1hHo6BTgRixx3sKeqqk+1nrVaV+aqrBRusUDQfHjSrqXm6HjR + SMN8jizXcSwye0uBfGl/bi3RrN8FI2VsVHeZ1LNj3oZQBZOV8/e3ZazkRI2EqlWZd/r9uqkPy+9v + z1k7gzsJ1O1fmO/pXJTd8uY29Khvg4E9AzNsLAel5W2evqpmIMVg21L28j7vvAevtQQaflyqqi3a + aUfv1561kYVVjdg8MizyGKpcOjbcgsl507BscxG8WtXrS0vh5EZyAWsm9NYHvlLDrJ8r9NF7xH3B + zgBd2odHOMh9l5rT39BGRVe+SvSTtiTStoMJWqvnSDXVTWYy6rzmdt0bAIzTvGTC5jYivmV0twZr + qL15SLbBrs6VbIBFJ7YPbMkdi4+x/vL2wd0TugWAfEjaClcsXMReCmQ5eopUsBvWeWnLmwXvEerD + 3V0+bULo+kmLh225FandQp2kJNxmtsTK0sW6mroN00ZbJevRjW3/9jRg1v7Ce1tGEDpEpVIzotom + c1xGLwlysXF33uwxEED5Fqsyz/1AbgLIZiQ0G+M2HX8n+f4thpvMuvoJwlAFatc2elbZQks04/4T + ioKZSkJp/PSit3OzecvELgIffhL6bZBqO2s8VnMzJVi/Wa4KEfJq+bH7d2xYVXCrz49XgTWzf5Jq + jtW104WZLsjPqp5Z70r3YiufbO/83CFatZkXC5tHNHGnDOcTAS0i1DRHbKv8s56nEr21U0tyuUuh + M+xt7czK+mCnMju1+Z95c3clXZ3BTwZQxw+01O9LUbKooPx3Koagh/oWLh4xMBrIz9erp24RALDB + SAWsGLnNytgykh0W/+6+neDpeqn1TBQqBkjzInfS5g9utp93Do5ov4yiOZdx7euTILbALYR/j2hi + QUD1V5vN5MIpwJYlQ8J88/Ks0V3PnTIInInE8g6RRemq4IIB5UjDoxF0HBOpmrPJFPHKy97qxLJ5 + aiyHd21+TBbNkituGW6xngXPrtmic1euZu1QWwArf+CI0G374A7y9F0Wur05uBNZF9Vm3DpNRMdT + iy3Rb/vAlobjLl0N7Lya/jg9WX17xMdNJTM/buXbO0zeW9jrWLRCxy14U/1Zuh7bw8zrkE8c4GQ0 + zCX8QsZQ849tlbuJvi3/tg0FVan3rc3HdMSD5fsOR9kOstYkWvZlobDPYBXeLhg6WioZStijt+PS + f3MDbmflN4u2/rvjCeeTtbVid84fLMzYu5kIqmFdOzpHW3GQtuLzFMX2rdVzl6J6ZsMcha4jjI7F + u+Xi4xxsRpZHR2Z3VNgoh90F3zIgAFVFr/Wx6jlbxvidFZi2ZqG+HaCXTbw0BfqyJ7WWbqVfx6h3 + 6/u37XydwZ0H6tah09rGGoH2XkDjOC7djiNbPOr9wsIiCEbAGJJOFEUjuixyuvtoKIp8e7Pp7o7e + YEOrL/rhn7KFQ6sOybzXlPvpVsmPgzOypAOaaW1a/j6/UG5R7uSduB2Qrf+1F70G7W2Qylm0CNIu + NE79tfG7193pgjHn1mKmrGb55XqoVFuvvr45JbOez9jcxjxP7DJfUqF8qrTB0upxzqOwdGTiaZ4E + eCpAFbHLM/3mJdf/3OINWvNTntwZC2QX3L2h25vQNHbK7umKjNo67d7+YgtA+3djm3In8RdNUZaV + SeYY1Yu3l50A1vetOz7I5sKo1tJcEzRHN60OfwscU7ZUz6TD22l7XAvKaZFDCy21pfOnd6ocNaOu + rmYJjeZ/F4fDrnYujaWYbwBWQ7c2+3yB8a4n5aMtxyl2KKOcMEWlim6g2zOp0nGPhNr+GlQ924S8 + dqQdLZTrGfz4QTEO1+fx6Nn1o4Cb0p25RtRd7da+rI8WrNaR860Q2C4SPdbQtkjJhTitjzuOp+Ou + 379V0G6E3lai/tu/KPL9NgxvuzA7JbjzUzJrcQVvS0OKuv+3LOtZVgzN/QP5xZZOWPa1yDKVH4mQ + 5jrg3oe4Sxx2AY4AACAASURBVJfx5urOGo+p6bz5tfC9omaiQPlW1bttYKcL1fLFnGKo+637q9og + twVBhaJWTehtcDeuIZzBjy/QPEo0FLep2tx9OMhq4fbxrNb1to4olOR0GwrzO34C0s1P7pv4r6G5 + 7kQJ7Pfl5s7WyynNNzrnxBIud7J0DN91pxH3p8z5jSlZobsOroJrPTde6MhQ290CWyd/afCsDKd5 + dCrm8WnB2vxkhuB6A9CcMxpSDmuJtwUtM/Nkm09Ki1ZK1m12c3q+5Pa7FJZJVz33nJZDk9Z0quts + 68z3bzplmQrRjKXSaUXmJ/4GIC0ndyr3dm3guzWYZXWsGJOdZdUF6zqza0HuZer70O2MV+Zw2/Fz + 94Zu7xrojOwtgmpGJ+uSrpcVmsvZglhKiJMSG3dCYHXRDA2FLXq1JXo7a5jm1NsOp3Gr58KBesqf + N78Y1JIxqP3/VKBpenUF7ujPTxfmMnS2ftPgYBlXbmLO9TOG3xyHedZtv2q4HEg7rzePcurWHZqm + OhrqZ7/EbftmZ/ScnHHu8JL0WSkxG1D5dUHnZ1DhtgehTgNWOraqIrdYnmVVItE5l+f9eZ2d4LIt + LFN5z2HFUFqFBaK3fnKCoc3NrtXyM4auItq2fHuXQGsHrVPA9ncz5Xd6Y1zOzLGqXxFPW4y8k8z+ + acKMROZ2xfY+0Zb3uSIrfqxtHfSiB/VRq5dWu1g/bhXDERH/uwFo+X+n2NNN2G0xl2Hk/hvpHSGM + twSyml+zClI+VKaH4rJ2BW+TXtsldHbCzLY7gzO4VfCkDi4MkZ2F/BYdsc1udl1nluMaWlt71Ipr + iuAI2jdiqZGmCBtAIa3jodxjnOySsvbWV5t8meKCNif8ljTcVbvq1HktB4M6e3EFCEbpNjUGpWXy + 4xqLdxhO7Pt2g15QyNxzO04XtvtvtwiUpiLfct97qH5BWDroo51U6lLYOGU5WFttx1wNSrLfvcI5 + hCaZmVLhTPiLyhoUUxu6pfp58/86qm35m818BgLa+9JzHLirg6AgAQg2prrcFvHrvcoF43cdaTf+ + The9xYqgWKfViu363pqf2ZN+3jtDhOb2zG2AuzJ0u0DLwqxbh5PonmPCvK3ts3FEr5rXRVDIeun8 + f5Uq1YpddmjmurbQxAVXolo0W19aIHmN4NcH2j1aM6Vr9+Zf37ZYW1443U0Rd04AeUsrKLQ5mtry + 80fpT7/OoxTh2R0mvc5ozJxGqa2B7Zjjed+ScdXagjuYxUq3a0PLXiT/n6xcbZCRowgAEbRPTd7a + 9Bk0cFRI5m6BrfL7ZB3N1XT5D+lmj1bkrWTd0oxmE7+coBerInh3Z28CKpdmgdBZHOm1+f20fllz + bwXehdBn1BxPkbvxWej7NozuZLN/UjhBpafZflo/a9JXtx/pMZ8Vz5Jqitfvq43bmB1HdwbVoUKf + T7IDFvegbjXI7zowgMAGQkk5g6XwLGkTrEgWH53edc53J1C1SfI/je+UNb6/otmH9ZTJah/fOhHM + iOmkFZ62F3IGP/GQ44Oh8IjV55jZ7bYuC5eW87KV43anq4giaAMaDZyvMzKoghSECIwAzGAKs/lO + 6/mfVP5zv1erUCjXZnafHicycBNwhLdcwWVXkdvcfvxjoJF2qeitPt3Cs8NCZq7DsrHTRJFhq6o0 + o7SAwG75lG0s/cxW/mqg9d1b2BHEnKOurkL2x1NQW9SVFzEwGJiLhV9fl4Crpefbzfpt4clqYRhA + jXq1+ljaMgBMs2NOx7l84C2FzoDcsqi/iphttZX5KrOmO2MOhHy3yW1F1F0Vul3iqHuHxoJ7i8yj + Wfe6eNgMWoW6mMIAgKBcvutLZLrgkl7ZUUr9bRnDWgucpr+WvNxILwAuDaqY4NxEM5QK1g5/W4dm + z+4Uz3cOZA/LLqwrp1OEosednxUIs55twXEvuP0R5dtdNFS5EtrQ7UowlSouKO0cKSuQq7uxO8d8 + yZbZeHQ10+9D2QptNbtLkoIZRFZLKgAwr4R7ydYjbmfQwlKu3m04WrH8jiflZwPJnjyMcmZ6e79r + ZgUiT5GwWsW8rXWjdhd5be/tipA5+dBqB5yXsm1nTeCsZUO3wTr1dHtm/RZZrpUx24FmJbde/XZ7 + BEBrEZ6cAHZ1aCdXnv5IWhw2hFQpMo+RMI/4mwHq22EXSn2LBdT85hPYeCndpGcJle4Xh+VnDQYo + Gymzr+42WbYCzdK7gSyFbgs2LA9ZU8jCv+njmW9TcO9R85VGnZUPwNJ6LYN4xttUcdQ+O114uyH+ + tHyntxte7mpQQADJJndiCad/wGCW7J0m+Wtm3lPa6LliqN+0NdKILwUpqk1SExkbeR4Apvk5fY1X + QJRO2wQAoy4ainzoU37X6SZqfnbA8Q3gFYOk86e6/yyvIdWE6LvaCzliRbV0/CYufr858/hUYO4C + 2/yZn9cBA1shS4AMgTQvSM9iNcVzpo5mU6E+dOvWSfOqs8g7E7xzRrijcAMN3dH45mu6mbzzmfip + xg7fPl/tCKgJ6QBN5lTxGAhK5dq0XKUV+s/jWT1C5I7AMXm2JdU2ztBaiDtdoZW4yfJ1QbfVwta9 + Pk5fTwXuptBt5zlYa93P2aleCHbbyalp3SpVU/ty5aBZqh8a/GjnDggpU9IaxZbfVOIhPyq6rOQ1 + PdkGqwSzILjE4T2RUluUG9zvZpi6PWRL0+mXO6XJukBAtVvqv9g2ou7pqereIjO1BExLK01zc7HS + Ela7/cIMJK6KgKCuiprezqejEV8uqTUHPrIOW57Ojlmsv/2jfgUs7xXZgoHlkJZggBlIEZCO2klr + hDk8PJebljpK+ZysnwzYqn92FO8wf1duMd7uGxz3wxIBAfKqV6KRdFlrm2obEhqqgKAsAlEr7H5v + yW+r6j+qk1v+PvqrVnrPF88Bs6YAwYVNBNjyMnFjD57W7Pfya8u72qVdNWx5tv7Z1h0Vrb22s91b + gaMHu6sogK2q/M54fJYlLdu8B4VYyJrsAqqv6t792tetF1DXy6ld2SzUiWXyrUaUVbYsM1mNrjaT + d86Qd6FI6yF3kK25YJrgpyWUoZRhUf/V2xg0LxM0927XGTdAa0y/A1tkP5wWtm6unrfIvT0h/ARQ + 1NsMDKZATOfJJpEBZO6AWWsOrGnl4hatH8Z6cmuk+YMM7J5Na195fzQ5v+Qr6Uw1sxFri6/UcHKK + h+a/PVmkG2CzuZ2K2loby5Ixdynx1W5x3SRRClHup9bv1mu9dXly6jbbjidoZuH41VL/y9FtnDas + dTY90yaPoZkmAmCMDRAM45aQR2uNtE5wk63rFtJuL9dQKKQj2YprZw7WatRnrrdg8wQl9Azkd320 + HS+8ULqztPf8eT3b1k0tTWmF7SmFWtdl7pwGuQnzeOm+NTPdPJpHN8p/WcgUaCPeO1yeY4mY04O7 + aieW7aB5dxq28/9tkQxdb8zSDxSWf3KfLXOAoujSoiy9+2ZtN3PMdtmk1fXGmX9nBjUoupqtfb1z + KIvyLelaXzSFAQiAqtq8asvH2VGLmDU/MNXXtVSCDHZEp+djMFv0ZKVUTy91P1GZP2DZ1ab+Umq1 + UFP42B2rdaZdQ21P849l9dLOUCZ6zZUghUpNgAmIyF8VOWFHdFyzpwQFtI33JLOodGjnoBJqKw3s + FGR1nA0B5+7UKUlsIjUNSQA185QDWCuv6iz1u3e3Df74lLYoWVjgJJNeix233SOrTaTdzJllkpoJ + R6uDcCQVcaQQNbV+fuct5ldmZqrt8909X5MVW8dlZkdOyhFI7sSW9QQMgoVKlPXAhFQcZIVUDFVG + WeG6BoOFIa35afqwTnHHls6rg11Mik+9VY2CIqzrsypTTWGxER9FzGzrL7B9RixD36HyVf53/m6h + ddoSM0xirVw7R6Z1jM1MqBbh11WQix0FM11tSGtb8wEUPJevqpxu61hrYvWFNe86Wjyit+uPbTlH + cxarvzfk01onlu2XhsZSzxJfaEtiXbXz2W92BvrfMzyoOA6tVt2+L8ZPQfvsp7aVOWO34rtdsEuO + LR7n3rOBs85vZXfl7EoO2qIyt9j8sbtvx1dAJ1VVJ4JEmqvGJNxB1fn8KZBC2wI0yQadv1wQqDBd + qX9tUEs2WRm7mfbmUdvlfgbMqmRYyLlVrG7nYv93hybdAcc0SKpyvz0zvrXmBafUksX23lLj7vZO + VPiIUW8hGM1KYRflLHpyc/O4bB8m0Oj1RyBmGUHujUKSOG1FeqFFcsyvnjZ2S1BNJlK435raLhFa + 9zUUarCQLqzvlXWjBamLLJsB1uy0I6NOXFKrU1oXs8xD1Q25n9W7aXTGojP5QW2GAIZsuVM7bWRc + fLlWcT/g7pdZpVWbW/tkWSbjqnuyhQf9larKcsRN8T7gn3/P9tb8eTER5jbSOkKWI935Oo9r9ufa + 6Ag1nRRWxmHVR6K0ztC8RQQ2gJhZRUqHihWtA6Dmy7bqurewC2Iqxgjo8rDKldxeIVWdY4BG6ATT + Moh8gJAVYxgooysd9LXwBj/pqAOD60stnSvSon5ugEHUo1mJnf0Cg8ZONBS/cKlwZyJxm3pdPMmj + qeNvcdfidf6hSw8rv7ZoyfTaahe1bOPXH4WpP+1t/2Ld2qJLCd2LEWzr6GlC+I3f+I3b3ATQzC5R + Fsqzo+1EySzpmHSrDBURoYZpMr8jPO187aL/JZ8caGPsp9LzyrUKkcwABokqShxA7I/EIGZMJKpx + ipy6WmSIxSmqEsxxQIbIZIQAsPMdsVOOECvBCIMZm/ulBLVJYwSBQF6FswQRmUFVVRUgIu7FTS93 + EpBbpVSO9E6zA8CrEpVU88GNQzNj73QShWowX2Q1o6jkOW2aNwE2K1PpNra8k0QlJguDiFRVzZhr + mLp1PqmnEB/g6qvcmPuUSmiTeLxuMiCKaPqazEPkTSaHmaqoSjRVwIgJMFUjSsP21oko+yBKlCZC + RFQ1hFBmJHXHx6IGS6F6gUUYKQKapXMDKK39RY1M7DqBokANTFAVi6bKFPKuigjaAAD2Iti0LmUr + e6BTg0rwPVY2qOXr7uwQGo0GpXCwgRmGAFgmVFP4eA1mEDVQOVO3oSSDqnLCHYmZqhFzWZOy7vAN + 14lKPg6n0qz2pkNVoTC4KI9gmsw2RAM4QKECkBgdgNI3FglkEiTt3HXTVciYEKDJA3AmKc2bmW6h + HFMtlF1cpjKzPu+Hh4cAmFlEYObkrqrTNDHzklBbGmjpZBWKx+LdUFWJsXxSCN6cFA1x2pgZcyjz + Mk2TqjFzoWYRUzPmwq5KlLhgM22mKQ5hVDURYeaWaL0tUY0xMrOKtGMUVR9+O8Z24JvNhog446fF + Q4yxjsXMzETEsboNMwBijKpaOtA26uIAeSVUVYlAZiKRYWAuadiJbYmQwrVKRKoQFZ9Kc5vIRZBE + MkVYnGyWkSsiqmZmzNz0YZ7HbBn1/puqYkZ+WQm6T0hEImKqHDzdXKNITzlmIgQCs6arnMHiIsJ9 + JzUEM4azlhwCB+A9xRhLg9BMJTTrhjV+Zss75dWMNVSSi5ZXowhZVBYhAIIZRCrz1fGTwVREGEj3 + MZjVqyXKA3VrDEQWNRopEQOmUIlKFFT08GATeHQ6ipOqSeIFmErlvlaAp94kCa1ok/pUp2kDmE9E + GkqR4fmCXScCZjZVVXfsUVDX0gIVediMi6hKytSH/K3bSpWiqsXfyZNWcPnQnMsKv/ifyCzms8uU + MA+YUkjbXA0mGtzG9Y5REEI0mE+Ogk3Spl0iUVLmdG6CKkwXx+YnGlSFaXJK0jsFEaAxThuvwmBK + gzYJU5U6La91lsqzoio7mQxQgWq+X8QZoBf+zYwbetpuTamZTFuaIssnKuJybCnkzUxFOdus7vqo + KjFlJ1MNym0CRUGB+hBJFVGSEjYFczKEqu2xXSarSBE75EugW2ynYtIkHPeqpxRaJjZvM9iWyFSR + KUaXaYkg01cGi6YbIoLumdTDfUVhFJnEEA1MGBYyVkHi2BSJ0yRRhIldqfl0iyplpYNsdjovJBya + xWmyhSYStc1mwxyyGm3bhYhkvJqquCYt9nPGBrktTTQ3EiSXaUVukfmqenBwwMxFk65aEXOizTNI + RCpi/YwU8nAREUKIMbYGwHK6dW1mS9Orz0tvtVFepekiM1MlWakws/ivmY9adZkFV76AqG3aTFvT + Lv9ZzacsGF1UFkW2w+qQngu8xWmaDg8PQwgESDMXm81GRcIwYM3YE9VpmgrBL2GJ+WINdniGQDew + DXjPaDwgiCYbOng8MQo2E3iwwEqYDJGEwKHkvkoUOeRAbWx0acKhUSVoTLW2pKVQRvIzDSZyQGyE + fcKAmMRUPkpdCQKjzaGqDvnWbT9aoZoKCqgYwdWT11rw4F40qUE0HchZvHIGTAUSiaonbgZVS8xp + mhw6ItNqvcNMRdQdQk5qV9Wyb+22Td1FDgIhzhMtzYBI5CtPg+oAo2YjADL5apY0ySMkJlU4VRvc + /+qMBjOLcRIRgzIzgcwQY0TLPmabzcbMQghFCxTu1mKfdNQFwDabTYxxGAZKYZNkGKu6TOMk6g0i + WvCjZsmQM5gZl+l3rFLpVQpRtDSzIiZaFlDtuHupc3sBWAQ4stOUGyGAFNhYNOJ07kAEZEMUQRNo + AIIgpHhLanMCHQBhEzFZYE5R0EbqUx/3sxwzJVAwgTu/Pvao6aa04tmrIGhM4VECiIEgRGUnXECy + uRR0MGlU5gEEsACHV4EJPIKGTYSIDkwwU4mmE/mlMGRQFVWQ32vqpgXMLN+BkztMZCBRyEZHpCud + NahCCcw2+Bm3keKGbhB0zwbSAAMClHQygTEjMCDRokyBSVWz7qtCL8ZYpJmaddo2z+lMVCaeBYGg + IkjBGY/TWLGpfdrnetBtG4IhV5ucLrcL3aHw8xeT2T0JRBBCjmZMU8KSihkJ2I+tMqhotu4yMWRt + Ms+5ziSYyRLZ8rs9cIcOTHAdQEXuA62zakCoU59i3GIqxprO9gGHJJRb5y8V9immfJXlTklxIigR + KFMjl6+mhSCYGaZiFo2iGJiJ2UUdh5AMCY0e0VIDs59dm+pkIoIalICoMDUOVCQYQVVNIgjpwGoy + yW8TEftYG74g95MX4aSVBQCiuksRAFHKx/dpIgopW9UQhjEEJoJLCMCYSkeTl6RZFFq5bSRHQ1TV + /AQjF7XMKfRM5E74zCVoey7Z/qsAmJmHSt0kKkLfmycikMXN4ZUrV+65eHFv/zwAUVFDNqATfmua + HXx+wekgJnCyHgBArVpY1PezSJ9qKebwh6ORQyjM7AIpzyUgCiWo4TBCVUa2e/bAIA5WPDhViAGG + 4ONi8zljQCfgAOF8lLhBGDhj3iCKyGDHtNOIWlQaCEQGFTm8fgiLAxsPRtgIWCWwBaZE8SENkIiL + ElKFKggWmEJaRXGh6QYPG7KCy2GcHIRxTVzqJBiJCBmIh2SIuP1iCjGEwRfvoYbp0A6uxfOX7PwY + 6xb3tIiWKTstKdaJaWjf42LFJch8bVUQ+Uf5F7elZgVCCM5TbglJdolDCNXgzmbETK/M1UzjX/nY + CSlqaJmNOVdbu+qvQAAyd1ucxPsQOHRjcHRbsu8BiCgxnFU4BM7Tl6pyZUjkrn4YBiIK3jdmp+Fi + wesMmfmhV+WIchQVfimeW3FEy/A77Lk68JJUjb924ig7deXbJEnS5woTJlIzTBsRkahK2Dt3HsQw + j+5DgWmKZsRMlhdrE1WV+2ktbxa37OFkA5HyYkYrnVwXiYiPJAQuHfapKagoYwwuVRufjYjUbJom + p6sUGs52LZmvJwE50V7UguVECI1CUYTIApFHng0QmEyKiTAygp+UVSPac+Kntj8lEtSLuFWuSUzp + fXEWB0BQ58+8qOPoYbIkYGEqkWloDuBzogIs50mzW12qKjk0bG6iiWgIxsRDGA4PNkTD3h4TUVpZ + cfVramqWFEVy3RtzP+lTn4CsiShw6AebRmQgT0InJq8t04Br82yz93jKoViowcQMGgInl8RSXMkj + WGZaiCGKEfEQUgBURPymvUJIMUanE2vM4hCCy4p2pbObXbgNEzeHmxjM9s5NESNwLlAVwmrGgzL5 + JXeWrjq2THeBGGoQQ/a03WqOomIAB2IeOorKzZrCzFwphkSOauAInShFykVxuInnhhC4VK4QMWYE + 6lbpy8jKmT3mho15RNXx08rS9F/vSJR+quq02XAIznqF6GOMpjqMI5KxmbRDWXyiHBBsRTcAZlLP + lSMGM/u1IJYOdnV5hSGkvqvPvkEFGMH5rBeCCojADJceTsbccGXbpWr+uSBFYqlOzqgWQVpYA2kB + jHgZrXTvKAvqwjQtbksIO+m1RvgzMzEH9yebhT0ABGES4rRFwEAH1xENINs/T8wW9ZBZgVGLGe4e + K7mdlogLTDwQjKmJC8MjJ8zB+TCbiJqlt6t7yhhznzOE4MgbwkhEplA1NQUQvCSZz6wqhiHRmKoy + tzMCT2Ui4mIwDDnGV+Vdjo6l+cpmRg6sdB4TMtptQcMlvJs+IWq1NhqV3ZJBUaJ1llWdcVoaKGZt + IpisICTrfSLyVaKiuazpWxG8bSvtQmBdYGhGN/PttTjhrU3VMNvqwkMp0GIszfU0qdk4jt69zWYT + QhiGobUAKVfAzOMwEJGa+ap2lbc53rQaKy8WkZOWs1uy6LJ+L2akuwhU2Kd6RgYoLCJOhzh/wGBG + SDELCVGgESGo6jTBRt/yG5Az7BiFWTJT5BXZQn6tuCt4bl2eikDknB+3uRkWBgEGEAz5YhYCBdgk + 08H1629euapqF87f89B9ewwR5gOmPcWYbawcgCO/TdsgrmsoJwMyERmg+cRNbyEdNUpIYRSjZHJY + DmGlkknRpJGIpLlK5leyTDwUyGkFsdyoXHkApOUAtzQnaaIjsRBgxGIwyXcsGEQjQ4nZoDCidEw8 + 5ZXupBzN3UyPGOaIEHN72UeG3mAOeZnfpwrN+pPTGEroFtUzcpoESETNlIiZA0B1cNnro0YIECUP + ItloqkQ+M5z9sGxCNPqoEFgactVICamJmBZeUiffKE18NXvcpDQTM0+ZohIxYwCkUAEHcnxSDt1o + MqEJogAwEIAIOYAS0b4Cm4iBk+4tQf+8zq7pyEMKGtWMwsB5UwzM4JlOvsbAed0/+akmkAk8YBik + 3uaXz6WCGrExMAymiAImDOY7c6In1CuIiI1AYGKGMkwgSUQwc2s7O+GoqplCJXBR1x7kyPlYzI4r + RQw6kjGAQDZCGWxmiJDNBgTsExObmohxQn9oHTefrOzOJ94ofF2EcLtUiTnk4ondU9zWYDm1oqoS + EYERc3CCSgYYGROnvDNPKcgEmSrLUR9mqCEKBgYbTOXVl186uHrlvne8Y+/e+/ncMAmyg5tQV/wm + QiHX1XDkbQvW9nDnzrotGrr8WebAzGgI7K6mCAJcD6nBKJ3jOYS0v8G/Tv8kjqrYOkWsWd5pQQRT + ZVMaCCKIk6ryOILZVMQoKjZRwzgOIYghEEIIBDONppE9x9M0hH1XLaoIhrS6BQNB1FQ1ELsdCPX8 + DHMR4LYok4LZw2tu+bjVJOIWWzWPFmu8VWc3T3IMjJAVZCJxIgaRr18DPA57xOZi0wNhPPbHSOZF + YCqKM0s9DgxfvCcyNYDKArVpiuakFbAk2esEluEUB6z4SCJShEUbT3U8XL1y5eWXXnjmmac/+MEP + P/Kud497+05L4ziUDT2oAwYMqhqYsvizzPCJd7W3I1tr0sy4TzMs4olKDM4n2kSTRCcQqQorYRNf + +uEL1y9fPRzo4Q/99HDfPXkdqJCgggyBOQQDWQQU5M6cHiDq8z96/bUb+/ff9+BDl85d2ofBRE2J + Bo8wmcHUTEVDACBTvHb5+88/e032w73vfOd73jfuIW7UNyWFQKpmsMAMYhCx1bUQVYhEGHgM4IQK + NRU1SvobJXpLxZ1OwZw0poQ685Q9BIQwZIWDlGJHw156ECd57dVvP/M8PfTe8eH3X7w3jCHZB8Xk + QiK3fOWU9yun0yFbMJQdDLd6qrNU1EWxU4kouxbI7oTb985ZhfyYuRjurZ/Qeg6UDXHLgSFrQrcz + 38a7y+6jZxYAUtbJ4AaKJwMSQU3i5M6eBwpN8w12eVCW1U4OVzGRDRyIA1opQb4iCafz4K+y/9B6 + U8jRgaHErPNXzp7jOCK7f5Sbdgm/t7fnT0pwIbDPWp0pL8lECMGfO4ZFpKzQJBHX+GAOzCwSVXXY + G0Ti4cGNN197/Y3X3zhUffRnP7B/8d6RmYmYDKAYxSjsBT9AyojM1NLqlTslKXTL2SlDect50t2F + Czk30znc1JJ/lvV86V7RdwVdFf15/Y2ZN5uNVxBCmKapCPpAVA4WT1uIrchthYnYFIUZ51LcmIB4 + cO1Ann3ltUN+8MH773ngXowDpfhgGVdDhJ3naeZzkW28FH6twR33iFDOF/aJ9HWUtJHC2SLtyVCo + qYeIjDy9wcOVSppSWZE6BkPO/vTMejFRHcYknXPyipkaEwXm555/7tr1g/MXzj/y7ocu3ntBoWWA + ZqZQBvtQnfzqkhSSWED21cHMPAKWlZnbekQpM0XNLCBFiDx7pRisWsMmGSznmZLv5xaDhpAsPxXJ + Qa0UkKYQQGZqIpEoBGYQVBFj5EAh5EBbk4ciIsMwuAPTem4llFMkiXvvGqeDq28+89z3bf/SpXc+ + SsP+vXuBR4b4JE3x8HDDaufvkbpg4f0TWAQPzKwGqA2uCIg9xTNGMdiQjTmXOO6A+wZNU5iJn/NP + zDYdXL78+guvvknn79u776ceuP9iAEwwTdPAFDjkcBup+L6BkBOzKeuZSjjeTycREUlhOOZZJMLl + TIl6z4g/xjhkFZCJnFzgB59oopLKF2MMzDwMLqNijGOJBiaThTSqqYAp8MgUAI7m8W/f4pJu3BGQ + qg4EMj24ccjDhWH/IgjEYMNmQmCAq09rZprFYBmaNlQxIwZkLZO4252fnv3TtpJcXfu2fJVKUooD + FbypTOZQDAAAIABJREFUasn0pLz2hqy8/GG70pmqAtSUWYF4/fLly1euXn5zeP3Nw83mKgd5/08/ + cv8D47g/cdZvgrqlKjR56R5+HZgBJnCr39WsXlbZ28GOQ5gVYsjx3BSAGsfgPqCIigoBNCTfkpli + VFUhgqtgERfVBed5lRMURZJqyxasx3BVhPKTYmD4v/v7+/O5y4yIrI5r1kLG/7i3Bw/rZ6sjucpm + Hgu2HOloI4YSo3fPdVmcJjSkVQxgp41hGCgEItIcrA/MVkLhOfScpiWvCrfEgyZ0633oFgyaTM+E + sTyPyJHEliydtMoapwcVtFmy8uY180j51ifF0aKq0zT56IpN4r1ynAzDgGFA3gZUNMswDMjpyWXg + lsNMCV3ZZymdT1ysWtdHC1NQvlu1ib9DFTBcfuP7P/rhm/xOufS+d70bo6tCEYsbmibTsCGahoED + I+RkW0NUDL6yyJxNBU4uTmKdRDzwwVIyYq2YPoXkVC1NcbZCkvAd/Up69vicp8wjkG0ODq49/8x3 + vv30q/fc9+h73n/Pfe+4aDEOdoAhmI2Csj7ltjLyrRUecnaDR5KMYBggBjMMvvOQfJULyavKm0BS + pK6Y5JyUq/skpuq+Jw0jADNSUVFVA3NIgbb8rxvxyUUxSXfPJJbLfVYhRGIDQYAoGD32Z2aqIBs9 + rgQARr6d07KZmWsz9egcyNI0hRAsq0yDFpWCbIMR0TAMKf80k1BdS3BWKtOX5tqTBgaktCoRUWaP + qebLuxpoAwlEFELWIHmvEKfk6ZR/M5Ou5ZfiERfPsWDZA7dteVDOBQOKfCsD912AIQTfJQvAU4yh + CDlcysQRIgYjX+IIKZbHask7TZv/WeLm6ms33nzutWt08aEP8z0PDQHuYAHwXQIhJbIQdFKLxEK8 + p2KmIQQyg5r4ErTCZwRRrCQjpmVvjZgOMRDGtD9OgWxSC6AWWAAEECNGDAQQEBgiEFEyBGKG+BY7 + YhoYGlWVmIg5b+WBeZKcERGbRlWBRgIFMpcCAzENOW8YsBS6jKoajGHgtPrjksFuTDLuexSK1KAi + xgMziFgkurNTdndlsycvPmWbwwVfcgZViTmvYhbWRx5BEsCe1lB4z8wIlf5jjDAKAQGD5zSomBGS + m2JmKlSqbqSdq2Sfz7gBA0xmKs8+8/Rz3/nWoz/9M+/94EcffM+FKCCywOnoCQM8AbGEC2qACGkI + VgNts2jbbYE7dGACAFU9PDhAk8JGKe4QmPnGjeubg+uyObz85htRZP/8BebAzAiYJqghBDAhZ4Av + gOp/K29vGigJ7jhNKjEEQpygkfZGhAFmMQqFIezth3EvDMEIB5sJZkNggqU1Hwax0yUDgxoONzDF + MCgghGA0kHtlIVE4s+m0iRKGYUimPSnswBTMg6hF0WHwvfMgDkxsZleuXDWz/XPnqnScI4jKj+Wo + qy9nmkaPWhARDFPU69euAxxCONwcikY12UyHTDSOe0huIEXXmwzmfO2apW0vquJnF7hrTXnBGUQx + xs0UN5uJmDmkk7OT47qI3rbBkWL6+MPBF9+adWMARPj8Y5/7g//n9373d/7h+fPnH3rooUuXLnEY + mAMRq0HV99AxcToFxY1v5hQ9MokmkYBkoRNTplJqIk3IysZNYRHxmEvxooshmxlfjWHMAgqggQKU + rr72+j/5gz/6w9//g//3sc+978MfvO9dD40cfA/FUOaLCQMpmRqKEgFtcOO1Z59/4bf+j9/+e3// + 95/7/g/fce/FRx95mAeykDYVs2x4cwgD8R6PRIw3X3npqa89/pm/938+9md/+aPXrr73pz/0wP0X + wkAhhBACAzFO6jF38iMm1N1id8+HwOPAzGmrgKqfmkrgtKrnroQ7ywSouuWhZmImHvdXFYkawjgM + I3VrDAqbYCbD3gQi4OD1V5/411/6n/+X//UvvvqNl65PP/OBj17Yo0EnJiIKZaHdADEhI08G86U1 + yd41Z6AcNvUATRVBjd1Mi0hWzahq3AY36EXE99CV+mdsJyKatyw5eVhJt8zVlk2LlAMHyH5v8kZy + FI+JYKoS2TeJiYRhGIeBmGGIIptNBLEZiSgxMRenCQZjZiNspgmef1HzfqCq0jTUjqL0ITFjSOCO + V8muKrkkkjIakCiKSFT99/ZVUcDFBVJPohyGYRg4B6jcSZg2mytXLo/DwIE1xd3Y4Ls1jIjVko/s + LrTP5xuvvfb/ff7zf/B7//hPP/svfupd77r4jgcv3XMxAOT6PQycKRmIpipRIPmuczIwgwIosT8R + OxOjoZByCnDR54G5DLzg1wVGsmOIPbVnGAaPysESHyHT6hCSnATgrScwqEQyEWIjMmAvLxmBDMGY + EYbzIe+KhB288eL3/vzxr/7W//7bn33s8evXr77roQcevP+efGRPjgUs6BbZy2UiJlKRKJKmycxd + 3BBCDYjFKCKiyhyYA4jFSd+8ChRfJTAHZlO5fv0aM4dhCMwiMUYJIfhyEQADRE1ErQof8gNCDGKm + rlOGMJpiczi98drlf/Db/+Af/9+/9y//5eceffQ973zknSrCobCmB9KpHRp5fNT8qIqczupizllG + NMaYtwyTl0kCo8n4zgksvi9T89kLdYO0GXLoCkREgTN1JGmZ3XNzNUQlNBQGDs7ORkTjOKTASsne + CsEVjeeLuQHtXWzlDJpAiS8EvfnaK9/4+ld+8zd/8y++/JVw7uLD73rvA/ftD4BuDqaDawdXr/zg + Bz9449rhcOEBGmlg35QKjocUb0A2AFvYU2ImMrWQR+JachhTuqwBqh5b5zjZ4eFmDEMYwMwmE3SC + bJ5//tl//s/+6L//H/6n7zz3g0Mb3vu+D4yBxwF74zgwk0LihglwQgzsCQ9ppUKLv81lqaIwXauI + p2lKksf3hqsiY6lghjK48KEs0MrbIrQ9ZMZ5vMibFTxa5DZJo0GMyVffM0VYsmIJGEIY3dUgNg/w + TZtrV9547tlnDzYS9s6Fcc+XfT0eEQK4kQeucjxg2kZFOWckFTfDSuprZnYfUfHz6/CzkVbjXCXt + BKBkJ6FaXHkDMrtoy5ZSCSU4s/h6VQlpWc5GJObAADY3rrz2r770Z//wd/7wf/utz/zRH/3JP//j + f/q5z31WdXPp0rn3PvoQQw2jYs8oM6tPtCrZ5FyneZ3aEe8tuk73hopw22w2BIzjWCKMhaP9iURR + g+/N9iznMIQhhDAEDiyqpuKyBcD1G9eJaBgCMYlIIbZsA+gU4zgM4zh6FK8gOU7T9Rs3nEoLNabQ + +SI6OTMwynx5fM1XfH27jMTo+zZaJ4tDSDmefuROWjRKo375pZd+9OKLr77yyjAM58+dG8fR6cfV + o2OVmQbvayv9iq9OFLLxUEK0s+MRKHNNS35liaUMx7HX0nhht/Knd2C59lBOskqSt2yQyniw/MTF + 5zgMvhDr2BtCcPLwDqQ84rx+UziiBMFn+RwORaQkVmqG45xYpnuaJmIuaQGFMnxolhMFzIxtAjZP + fPFz/+1/9z9+7s+/9tK1vV/45IdHxh7pHqsdHlx5+eUnv/GUDvvnLj0Y9mFAhEYRCLHRkM4SEMAg + iAIKAzVzx0Qc2KdWREwF5EuNJlHyjAQ/BrJqZUsRFwEmGCkFhHwtmSgpbLpx7fJn//RPPvN//e5r + b24u3v+eD33w4b2g4GsAG+17HqwqVDTGSBy4vdHbZzbGGzduRJDyAIb4tkDfQqQim0MCKCfEJANO + LfO+cdpgLhIjs289I2KmkLI9RPTg8DCEYRgHDp5lJZx2Rwx1vYQU2MA4eWYGcSwFZVaiqDYY7W8E + U8QweLCCQoBrLXI3WzTRr9cgmCYplMvB6UdinGKM2U6wmE7ocguXnURdhGo+OqA8T0SeswoKffpD + UeUQYrLoyA023+/PxGZg4nw8AjTt90o2alrB8b1sIhzYiKYpejChHHjCudHCzp3O4rqA5g6jWzwu + +ty2LOIOeTkNTf5WcUAON5sSH4gxqmiggQD34gwYiIPnapkg3oAeAuMkFHn0BQOJuHb51T977E9+ + /3c/85m//7vC95y/732PvOueQOn4QYmSg8IuCYVZiRXEzHuBRxAON9ONg2sHm8NpimYWxj0fWGD4 + YUcjIyDCbiAegEeM5/1KpBhBhBFgVpCphesTNIAIHDAwginsAHoIOkfjOQkww2aDzbRRiePAYKJQ + dpn4og8bSKIS8cBgpoFpSLk6KR/FUS4RU8ShwEYjspHHgUaYb/A5AF8TiYzzPAxhf+T9QcnUZKCw + RwOZs5hspg0BwzhyoxQoE3SS0pkwiuJzw5WZVVSkHqVASRpojHGaNlEmt7WZyGAiEkUChySuQlJH + SU4QiFkM0+bQ128pxyTSFafJhaBy95EC6lm3UJkOvvTFx/74n/7h448/fuHSAx/++McnBTOFULam + kpm6mesGZl63yWo6OxgloyznAZ1mPLKFO5d1CyDk/BQ/1OnGjRvf//73n3766Wefffb1116VzY0B + SrC98/fc/8BD/86nfvV9P/PBS/df8tyLKMhZ3324uwM7XUypQgFihJDzSHwl0OyZbz71re989/s/ + fHECX7z/wY/9zZ9/13sevXTfxTCMBBVT1UikzF5LvH7j2pf+1ePPPf/mjc3+/fc/9NEPPvJLn/yw + d1ZMjIIRRCwwed4lcxgwgiF+PANiIGFmVTF49kdavvNFDWIe9/aLnbQW9qfy2GkKORGQoEwGEhhU + CRgChXHcH4aRCCGMxEokYQhIW4xz8De7Sp6jxgSNE8HC3shEacu+iltqEqMqQhgACmEAWzocoO4x + ZUsH22WTojn5wTIsnyOv6nhXrly+/IPvf/+rX/nKr/3ap6fNBgYmUl8S9ghPZqnEZFbTHAn5cFsP + bqR8xGQg+kIfNd0zP0zN97jlXWaWk+zckmMV5/TAJLA0n0YAqciLL7zwrae++cK1N958842oUqZP + Rdmzvpmgomyg0ZduGQSVON24cvmN733vmSeefOahdz56+co1UWXjMvUpgS3JNf9QdXPwvW899cJ1 + souPXDs49C0yYoCr2DCAlTObMZOa+Xm7KdDgwQioJ9IRiDkftOkHQftm7bSeZvDjq4AYJU7T3v4e + E1MA5bM1PCJGACTCIsLoVhKAIfDmxrVvPPnE8OZm//0fj54h6ekT7rCwEw8RBSJ2MnIjqUt9SsOp + MfcUpmmmtnjpIScYos9RKi6r0ycTlQA95TJtIgn6lEbuIyn+3NNLk4+dUVZcZXeOLbdLBIIxA+ZW + F+XjmCOlFHg/ZKmu5OcjVcAc3Atj9qh+Sm+kuhrfEHn+sz0os3WZitPiz9s/OW82LJsuOQdnC896 + smqr7OHjSWffJb/ODOrrSbD9vT33gswsMW/avpIjRGYD+1o5+c5WFXn1lZe+++1vPvfKa1evXZ8A + A6Y47Zkg7INYAFEMrAxldi+UU5qCqZ9sA3Bz+wWJ+pYrtz+pnIWaqMJTKtzSFXE5mSYp72lLhqwj + UtXTdXKwHvDVGWaZophxCG7tuC9qKWsyUbgv3SNGbDYIEXtqgxEwRZBhGADTg+tXX37xR08++Y3r + 4ZGP/dyHDw6njHBCzVnroKV5AJ60WJ3jdEBHFpcqJtEDqc5uqXZOf2uJdyMt7AUm5jCOe8wEEZFI + QAiJTLLBAwoEC97TwrRlv0ROvfSCYQjhpR+99PR3nw4jHxwchOAHHiSzybFMeUWkuA8rw3e2VV/x + Nwoh2aJEMfNSoluD+VkH+bwX31uQlj7Tybd+dJc76q4UPAOApmlDQAjERO5mMrkhO3n8kzgk5Q6U + UzLS0J2/zMr2/BJk5Nx2WfJpiDPH6NWmzeHlN1//zre/uXf/5b/x4ovXDw/VLvppVk8//b0vfeGx + Z5997sJDj/7y3/2P3vehjz/84BDc2Pezoz0qomocirA1NTXxXeS+fdK5MoW8DUw0DoNnfZlMlE+1 + lenw5Zd+9JWvfH247+H3fvSTxoMfZViOds3rJb4eWG1iApiJjMwqdkQ8kmCm3SHaJUThYVaYsSf1 + u7zq83A9nOQ5iWm7Q95DLSIeJ90bR+S0VvPs13waAHLsxrWDSSSbCAJi8OCUK0KR3GcAIziqxIjD + +M1vPPG1f/uvv/HkUw8+8ujP/c1f+pVf/Q8u3nNeBMxpAyY1CYaeYVKCkn4Oe4k0UTaZnGgKE6NJ + 2spcrCJCmX9dChRZUM40czygDykWiVE+L852mQJq5qI12yhz3PWrl5975jv/4rN/+tgXn/reMzc+ + +nO/9FMPvvfCeTbRa9euUBJ1GlMKB4hSsp3n2xBAOcs7W4HJAEMT0aMmrJYON0uHn9RlXWZOuwH8 + bI48UI8vJCOVKe3GgBFhHAZmcjsQTYghC8LEtJSzUz1I4WuuZZNKUYVFLSaZ37A5GuHcTh8aNVre + lUB5ayo7KrKmZgBxmg4PDr/4hS/+9V//9eUrlz/96U//yi//yjsffifnkyuRcl3BKf0Epmq+z49g + WZsPvoRcprb5v/TZyt+NMeBkXIRVKV8orQReqbzNJFpQVGpzQk105fU36Eot5gCuB/RL/Dfl8YmU + 4GnqFaV19PKk2JOUdwqHENJZB3k/RTnqtxxqnFav+/44tLF+/9NUy7YnHzcOD6+8/upzzzw9vXnP + /R95+cYG94wwWNxsvvn1J77++F/+5Ze/9oFPfOrjn/o7P/eJT+yfJyKmQEyU/AEPYKW9jT6rlrUG + PG/PbUKm7OC55uEkOd2MdJyrGWlZADYDCwYpFyWZGCaDHR7e+Pa3v/3EE09897tPf/JX/5OLlx4Q + wY9efv673/j8l7/6zH0PfeT9H/p3f+ETPzuOCKmlco09AeT7xK+99upfff2pJ59+bhPO/Xt/628/ + 8q6H7724n3GlIZsnno9jwbcamogM5eiBlGpalUo6442YODDRMAwhMOeTDIsQ9FbSKQJmOVMx4dCP + Qbhx/do3n/qr73z7K8+/cO3jv/B33/ezn3zkkYtThAXsBRAYUDXhtPpAm8307LPPfuHzX7x+/ca7 + 3/2eX//1X79w4QJ8/Z09rsbGbH7yYSCmcmtDzekOJUPBo6X9ETGU5YiTsct2j69Z5iAiUrVkoQc4 + mbTnzmVeSIZpkS6uh91MhfldPwxK4QC3YcXUDcXkhSFndalK2raeYsRk5GehepeKi1ScL+oz2Yko + 5HOxu8VU9+mrajK3jsWYDFyHRYE4+u8EBKjJG6+//Ox3v/m1r3znl/72i9cPNlbnGIGZUUJ1LlgA + RNnEl1985ZmnX/rKV7/2+psv3dhcNahR2D934eFH3v3hj3zsAx/6yDveca9vXlXFm6/86NVnn/yr + f/tvfvBGvEYXN3TeM/XP7w+X9umBC8PHPvrRh97/sXse+qnJIH4o7gDohOtXv/sXX/jyd1958fD8 + R3/l1z7+sQ/dewE87BFFI/iOHh78RGaKUfw4m+C2GdK0iMjm4OrLL73wzNPPffc739vfu/Chj37i + /R/4G/fef8EImg501jExvxHiIIc3rk9//m+eePKplw820y9/6uc/8Ysf2RvSKnVKkCHyI6Tak7ta + 6Vaosfyr+Q4VTisobuj5ekkyj9OMU4AxM5lpVHguD4rlTyAiFYuqsJR4p2mBaUxiCQYVqIAYzXoQ + 8vwCCEMidWbce8/58/vDV7/+jW9+65u//NLlC/dfCqOTCQEBbsLNwPrfc0wpudWnHY2cwR0K3fqk + lvOb1Oza1as/+OEPH3vssS984QuPP/74wY3riIfBZBxYeRj37/mv/uv/5tP/4X/88Z//xTCSAdOU + 1gtymI96zN0WEIMaBsYwDMl6dKqK8cmvP/FP/tkf/+WX/+rqwfTwe9//n/8X/+W//7f2L913cQwA + WFU0ThzATNB4eHj9pRd/+I/+0e/82Z8/eeXa+Au/+Kn/7D/9O5/8+Q/wYCATEQxB1TbT5tzeSAyo + gUPgEAE1kEIshlGIRxUjYg4evoDBJFmcdP78uRRnKwbsHLJcRg7deu1kOeSiohR4CAPOc6Lc/b1g + CEZgkKqKCfOQIm7JnEMUYQIPQSUSAWmZikDQSQAMgWOMvpYchjGw+zH1B5S2EGo5CKyR2pTjQWYW + 8m5ud0qtmKQ5qBSYCHrj2oZMhyEU48fMUKQFAN+tk3fKpmKUvcSUKmuqRgDl/BS/lKBYXZoP32Hm + sL9vVu9MqCa4ipvC7vL7eTkiCJoSl+Jmc3BwEMWF9v/P25s+2ZUdd2KZ55x771tqL9SK2oHCUkA3 + mw2y2S12U5S4NEdchjSDcoRHDn9xeMYx4bAd+i883/3B0oSlCM2MLXFMjhaK1FDsjd2NbnSjgQZQ + AGpB7VVAofaqt9x7zsn0hzz31gNHGs8Hmu8DolD13rv3niVP5i9/+cuwspkIPAEBGATyRKxUHKo8 + EIGcd03ylhkYI8aIRAuCWTrPaAk+Ah2aPQECxJFqLyds00adao1mRsHb8h4I2SiljZRhheYyWml2 + AqedRjLMXmqAhWxDGDQonPfIGCiD+WrLO7IoAHbexRyhRgTNnptpo3bSzDIfRaXejoqiDNBBXAII + DS/LcVyKtLepz7LMUyG6LkWYPlc3YgQUzSLIPS/xKcLd5ohtEWHmQQIVQcKz7/wHHOr8Ja6SIKlK + qVKpVPyJilp4gEDlaPE5lNZxS/sp+avOJVE5v3YR/QagGYPCAxV+qUb2HlGh1gDMXoIQaDbS3b39 + cqlSKpfK5Yr4qOSZkSXzJN6MMZECSbLkng4HCa78CU9x2CIqC2h1XmhZMNFC5qcIhHJc7LRaWWtj + jNQYCrukIBaFwxuCqZJlk2aZwFhKKWDyTnA9XW2rCjMf83nM7x6FRA95rVaIdcX79p6yzFmrIgMm + 8gAg0K2KicGFLyRUhGDQaCAt4rAAzORBaW6Rm8Og7MxK0NuAUuUIuwB5IhjKTN7JPSojbuMpMUGD + FsBBxpKl6FKWivdSyuecdZ50FJtIQbAGrFCAC0YBkgA8eXAOrAWypJgMKGBnQQGAESc6tTa11pHS + JioVmwJaRrBlcT8TcgulLjxnCx7RGruS997ZOElQ6ZDsYmIpk1fAAM4LhAehyJJIeI3lShnIe2dt + liVJjFEkIVUQrVCQi8VBsMJAAD7P1AAIVsqsUOnIVMoVBahRlZIkjuIojlRoZSYGnIQ1hoG0pcK3 + iokudnnhcxFL/zplTOGNeQsiNCEHjXyOw/YBAA5KxDIynog8M6PSiEqaTUm9JgIQk7VWIyJobZSs + A9SKyGdZZnSMgBqVD/0YMJdIAskrqLytkLU2RtRax3EsvzHGyPYsinOLJ1M5xypAeeSRichn1lrr + nAfWoDSuLq/83//Xv3vwYG5o+vly/0S1b6S3uzfWASsFNEAWUCoEIwBADezBEzvvpc5csAsG2Tio + FIIHrUEbDQxsvbVpXDKgFXgwCjUyAqSZzRxECQCCz+cBEU6bMYZpElE/hag0KMCWzAoDeUAgCFoC + ADloW+BKnJ/0KodsxFBT3k1I5/8lIq3UM0JJLbQgYwy2AKCqRTmnOAjEAXLeImcKnFYKkCnzu0fN + mtUcd/R2JxJzgbRMRIxMMjc3/9d/+R+uf/DB+PkrR026fPWFUqnsHZdKQQlYLLC4HDq/OuTeESJG + 5lRlmFoUtIqlHlyLHFSVV/E21Xru5bZdQGEVWCjPnKGnx2sBMjF7qR0pKqJaJUHzA9fnJymCP9jf + nXt475fvvLm+ZkdGX/z2d747c2myVILayXa5lBBZx82jRrZzuFtuG2gvlysxBDMgiI/KIwJUKAKR + rXBeDhjis0zJ4ucAshcafEqZ06xuyN1hruKI4VnCPgOAcrmUA7JOa2O0aXEcCm5pEA2T6xZKO8YY + QcYhPz1b71PG1nsftTgS/5BXkuN9+doOagzPlgrJB7XSEv9qpYDBW1er1d5+++0f//hH29tPq5XK + 5MTEmTO9Ogo1w8XX5uaY2YcaHcjJUK1LCJ8F96El7V34FcVikw2onkWiT32hfLUXG7PVQyu+PB9X + RESf+1rF1Jt8OxQPIpQ955zguM/IVedWNIifCB82d8BaCdGy8TSAkKy11kHPQRiGEDSpW50lFQ6O + 0/2lW0oGn5lNOI3fwsh4AJsqth3V6mEUO9CpA2KQ2GH27qd/+zd//XdvvPfC+tNm1DF6fjouVw2A + MM8kk4ZEwA4UABqFmgGJSXJRCsFoKUBSIBp3+bGHgDoHhkQYTUjywkbU2gB7ZiRUDnRgqBIBegZH + QLX68Sef3FxaXDImnrny/Oj4We/hyeON//izv/zf/+g/jJx76Rv/ND0/PdXZDnGEOjADgRlCWsAT + ePf08dYv/v4//j8//UVqyt0Dg20d7R1tCQMIkIqRAdbA4B2RAkCldEifCkAUMsdK5Wc2A3nvvHi0 + ChUqnST6FLBDUKLSAPmSD0hDAd2Gl9LoPJ8cH9/86MZ/+PG/fef67O//wd43v9s1MvZ81gRgH4uL + zkzeo0apP6rXa5988sn/9q/+1clJ7dUvvvY7X/7dtioAgPek5bjTqHQk3FtEDJ4xKPGoxKS3CmFj + kRXI1RIg19SW5WetFehWGxOy0qhQBF4YFMp+ydEAFRyhwiQiAIQWv7I4EVjJrxBRCxSQ50qYZacE + 6BZV3gAOQmRRpJRQB5YaMxWVeYVjyTlFBhGLg7ig1MhOjOMYTkN+HXzvIrkPnoCBWIsvqZT8SZtI + 5xi9MmCM0oqRU28BEUFFnkFD3oRd60LINzykYpc1dneOb92a/8XPP/zzv/jhUW0XdGZiYx0pEw8O + jfzeN7/zzW9FV64+19amNAJZ2n6y+dH1d/7Pf/1HH8/t7NkEog5QrJCqJdNTMYNd1e9865uf+7K/ + 8OKXsYKxAiCIFIDPoHHy85/+5E/+8pfze/zf/2F09uxQR0dbZECBAZFLIBdL+oLRea/AaHXKnQpZ + DJfVaicry0vvvPX2D//8h+Wk/O3/6g++84Oh9v6KnGsMwM6TIiXyTIowazSPTn7ytz/5Nz98u5F8 + 8ydtAAAgAElEQVS6P/zDf3FhZio2CZP31itdlkqW2BjnnBxnYrh+FXZqqboAAPGg5OALiTEFwOB9 + gG4BQUmOCCNZ4M5b8l7rSKNSCsWnR0RgIGLnHAbNAyACQIijCJmAHbB8rwelisO7WCBhAUjAx14b + NTE+cmXmwo2PP15ZWZ5ffHT5My+UkpC24XDyt0C3AUsovusZ682tdMT/316/OdYtE2XWSq0oE62s + rr711ls//vGP19bWqtXq61/7al9PZ1o/vnP79sLSypPtJ3/yp3+yub3zz/9l1/DZsbY2E0Wg/jMg + 9ulA/TqHzOgWXVTvsvpJkhiIFBhVTpLI6IP9/Z2jWgZ6bn7h4pXnpmEqvDlYHwAAULi3u3Pn09uP + Fuc3NzYsd8VJuVSqZGlaQoYoNpHxAKjQRBFqRWzBZrKsMwugQBsg69k7RNJaUd78UAjnkiJlhiwj + RGX+C6Y0j64g98goHIQKItQAijykTUKttAZtwHnvOYtirZRmYOc8QqQVWAcawWjImk2t0JhyKYll + XbssQwCdRFopsaFxHDEoREOM0n9L5Qql1gN4SOLgrgEU/QVzDhFiEQxgnk5sdQHlY8yMzM1mM8vS + OIZyuVRKEkQkT54Z8vovKUFVGFQ4mJE9seDXAUsjn2aoIpWY4EcWQqgt9yAcnCiKbJZ5gDhJRJsy + SZLCucwrixnIOWarkVADKOustuidL8VJpVQppTUVGIphgrTWoUeZgEFyYBYHidZJbMrlJC4lrMxx + Pc2sz6ll+Usp0AoYIMvqTqNiZVMFZBRUSqX2zk5AYwEMgI7ASGcwQFE00EoKZzjPuIcMEorHyZLX + OjXWCBBFeVwnbh/KUBOA1wZNZKqqTJ58likVoYpWV1Z+9rO/X3q0Mj4+9d/9s9/vbosg0kIVtQBk + wR0dNuu1arkUd/d0dHV7gBAEMiGgVH4xABgQOhMTSxcmYlZGIwDlPViVUqFAMkcbf8UXlzcUqyj8 + EoIPLZjIKQuV+fj4OIqiarVaDHaRMcY8WhJnxRYFzmEo8VfCCXnl1BPFoWDEGmNC6WLw4AHZAflc + C42AWGL1o+PajQ8/+vM//2Ff38CL1659559+W7aV1JvIDHlPxKS1eGHsHBmVAzoFZfL0WoiIhdxe + M01b/yvhDQK4nFor7CEJSgvyWnFmq7xmVh5QkKYwmIjCfEGllVZRHMvKQQRkHcexO60/ZUDQWjub + EbPWWmtDzJl1qLUEGDlLUXmfeWcjo6ulUjkpNdO0aR0AJEkCPgNEhWCEEgkawRYCcCFzwyiBMxF7 + L+l98XEVKsXEIvQpZCvJ8ZxWwQEAgjG6wAIxZ/OiMvmWkdX6n8Rs8iUIcZJo4iLeD+sqTyYVwbTR + GqIYGCHSKnIAngGTUh5i2NT7TGs0cURJOS6VicE5Z/LS/9ZL5wcWFzWb8tvibQXeUfCMOMxCUgRF + 1on0AyhtUBuhCgYVIDEUSourrhUyMQKWyiXZYigUheJ+Wu6NmKzLvLdJrLVClffGBFTACizbNLOZ + zZopKmg2mlmaxaU4aMARa611pPE0i4ZMBAza5F0PC3a6EG60Mko5oiy1OoqkC60xmkFjHlOLgchN + pVYoYFYIUVCBRi1GkJmdlZi+sMqqlJQwtOhmVGhQM5PR2lQqgJoZnEjV5LIwuRFGCM1pgLzHfNZk + Llr32im8/szSwjzOwSSOhZ0Rx6U4KaMCa21Jqe7uznNTk1ubWx2dHeMTkx2d3RK5E0tXZoIsBdS6 + XHX5NEn/rcgkgAWOKswICQGBCISKxtaTd0Zo6ETgbLNx4rJmFEG1ra3S3qE1EEDG4ByghliBNibv + tid1Fj61lhFRGyNALyBxyBFGEUi5LeYS7cWDg2jRWmvyEungZgiJLAe7T5GvXAfgdACVkpp3KKjo + +Te3/gsAoawcEYSfm2MC4P3i3MN/9+//er/Ow1NXfvD970ddsTEg4vVytY6u7t4zZ6yzXd1dU+em + oiRWEZgIA2WBQyWE6IZznjOTs6nQXG6tMM0rCsObOR8Tn7OPw2rJOarQen4BIGIURXIyYlHK/Z/I + erbak+I4aMX+ikEWtpTcKoUiFdzf3116NJ9l9Zkrz7/+zX/2u1/5+thIp1FAdFwqNxHt6srS29dn + /+pn77/0yldf+dyrL312WitABrJeaQLAjDwYEwEiYpZmgrvJVbIsk3xqnCQhXGSm/KiCXKRY5Rwi + IrETqBBNdKr26KxnJhMZBeCJsqyptTZGsH5PREKkZSbnguqr9y5X0NHFCtFaJ0mSpqmkflVOmS8g + xRDWIkqLIZUb5NZlFqr+8bTE3lprrY2iqLhcMZvc2tcXFee6LtqYOIm7urra29ra2tqsdV2dXdVq + VdAvyPFjKNLbRNY5AOGOKJTyphx/LEDM4oaL2ygevFghlEtFycNKPC8rVojwhbGSkSnWT3EJFKHP + Fkpv62qHPB9TJIyttZCryRVLVJZ3cZOtdy6XEE9MXMdi01HBqS9KrHLBzSzLRIQhiiLO6Ury/d77 + VrQ3XPpZYWKfd1DQz/KsUeBMb+u1kyx2HgwacB4YuVwu9fWe6evt0QhdXd39A4OValW32H7PQA4i + xag1IAEgSmUe55WCUsxGntmHY1GQEecAQRkDDM65ejMtlcuR0Xzq6zJ4R6DQqPxsEu+GlHboTw72 + ntz46OZ+zV2+8tkLFy739wACDA729Q/0aAOPlpaWllbTJlO18JyAGLIMSjpgrNysb66vvfXGG9tP + t0cvf2ZwaLjS1kYARKzBa8xDbFQm0o7ZEaAGbVCrJBy4RasiplCXobUJAgvKOU+OlDHEQYFUm6LK + RQapyO1yaFGVIwzSO1QrNT19fmR4KE3v3rr96bkr81/67efjGKJT7kjQgkAg72hzc3Ntdb1Wq50d + HpmaOuec9x6iCCKTE17CHsEoCul357xCo5QJokcsKXwAAJ2vLsqFlQs9K1nMKMrsEDJ5YoxDGgGU + 9957F0VFtq9w/AKeqwrCTgEZEHnvHIGJFKP2np2z5ImBkzgxJliG4kGCtQnNVJTWQUIk13kFrVAp + Uxw7xYGBOQJQHGfkvculkIo97p3LrI2iyKhYxHpzD036hKsc3vCQpgAaKm3i6UMoXPPepexSlIPb + JEGyg9l5b3L6WvCotQLgvb3tX77z4Y/+/d+//+7syXHt0syly1enxibGtnf2Hs4vfnLrzs///hfO + q67uMxOTZ5MYTKS0BuBms3HoPZSrHe1945Eh7+ro6lnzaHVl69/82Z+++dHcc198+Pp3/5vnZjrK + JdCydSulZu1kf2+PqKfS1tXe2YYaPIFnYGSllFGxMHuZOY7i4D1a4TF7jawVxHHS29M9MT66N3Px + 522Vg529xfm5/cOjmgNPUI4hwthEpFgBAfgMKIXa8d7W1tOdnSbjyPSlgZGJanunQY7Rg7LAHgik + AEZsSDBWiAKsW2vjOJYEeStoo7UuiZ4n5pB+0YgxbBbw3jebjSjScWwARG2jgLTYea9QG6MBQRul + VAwQQCTxHhkgS1O2qQZKYgMmAlRh46NxnjNG1KAL504CYqVGR4YvXZhGpodz8++9/+HQ5KVqtaTg + dP5Njg1BccnCbIVQ7xQV+Q28fkPQrTyXeEhoDCplrXXOTU9PX7lyZWxs7MrMpZ6ONrLN55+7+t71 + Gz9/463tJ0/u3Lnz6e3blWp7W1tfyKlwGLR/aHS45VK/ntE7TSMwS/wmpD8A7unuGujvczZrNBp7 + e/uf3Lp99TMvPv/ZzxmDsZI2ZUYhARCQ29rc+OijD3aebjfTJqHv7x/s7xsogGgEFJ9am7y8CgCk + haFCqQ/URnonMBFJH2ettZQdFF7zKTr0X/6EOQc0nEwMIPgCnn5bzlVVCOjBe+cBI4XhxuQ7Iuly + z5Rfm3JREZD6eEBA1AhFuXPOcAIguWzubbaGAUXqD1qEmQT34WfbxRaNAgp5FK3Qe5dlqU1TFSUK + TUHSwWcDW4V5eTTk5gRAK0UocCsWWAbm8l6n99pyKIJ09VEKAaSygAPQyeBSAFaR2DgFgEZrIFSo + vPPeiuCpVkYXixhQWtoxcCDoeUUISgJ7owmQBTtyhNYzo1YKRXISAEjC49w6aqMVkjYq1mgCwxpC + v5TcNlnPBB5Pz02EUCuHKJVfzKcqnkRA3oFyQKyNxuDxSH9MnatHsjAjMm80oDEaHLP0GeGDg/3Z + e3c/+eTO7u7ht17/3Y64R8cGvJOuIpKDJ585l0GWNq2T9IInb4SXLRk7dWo8OTS3R8xdmSLpV5z0 + BekGW/hrkG+fgmFxuqiklUSApPMqnhwILvRbMQdni4+rHOhXz2r5POPWtFBITvMQuRoDPtt5maRZ + bXChSKqUURlA8NZubW6+9957AwND5Wr1a1//eqmcaANSRSK8UdllkHeTa0X4IXeSThces+hnEZGz + ViG24h0FMIR532SdU8Ior9SWsEo6s6tnOwIppahQqQuTEXIqhVA9MwjvO3BzOV/M8u5816NwOLVm + gGYq6VwstAA0okFAhMy6TKpZUQEReet1Qho0hsRIGAciIAuaQHGOJCJqzQiFcgkioEKROshnNL9e + MKeFYwoABJ4JFKHOZTjkXUzkEU4NSysxwWWZiWKtNaCgt2SMIgZiVs86O3nEU+BUYYYFZAOFkUat + hPCLxCgleUTiTsE/8GrN+yASgM0yzGOAYtGGn4MhODWGOtTVIwqfIv+LJ1F8CyOE+aILclTkyDGh + AiXKn6GTJzOjlu4LQupVLXkpZmHogiZPWTNl7zVgkCSTsUGlgEHzafqiWO2Y56GYgXIiXkFDDNYR + URtQeTMSPH1UPj0l8ntCkApDAfFDThJAqiVC+a8CAHCOmXwcaQBi9t5arRG1RrExSgML2i9Huxwl + wAgh5OFTRq3ITxVnYjEu+SO2nKG5lcOc/AXsMfA3vSxDVIp9Njw8/O1vfevSxUul/omJyclKu2II + ZwQwA1kAkn5v0tJMMRjM9Q0ACZjJK/EWVBDsEdYwEiOw1iIhzQAEyLHRkUYmSFPbtKHoRCOAhly1 + EIITFUYftVaMws3I54GYGRnQ5N1FChoo5wJ/RmtoMWIyDsEyAqDUzCoFzNIFRf5aILDUohXLzEU2 + rlhQzCyybUVUaZ0DgEgToLQocYDR/u7T9999d/vIXz7i73zzO+TigDoLyQxgfGLi61//2sBg/+j5 + K1eeu1qulgunV+UPBQF/f4ZV2rpDix9aGX/F7+VflWO1RHTa7gwRpXDnWY6qeDWQR9StK61YbHza + bOAU1S38NGi5jUL1Jf8qzLLm8fF+ltbb26uTU1MDg51d3QAEkWkHY5h39/d35+YevvnWm5XO4amx + i56ndSg6w/xkxrD88jhftWg+tg6UeDKtE4otBOHTYyU3NaecMqWAgIlYY76KgmiZmCilJBXDmMeq + YlNOe5fxM4nhVk/gV+YuHLtFf4WWjVx8NkxKyxfqFn9G8EGVM3Bb1kB4GOSwEeI4fu2117q6utIs + feWVV3p6egore9oZLPdeii/hvLivcKKKycUWBRJoAV8k/825tEtwjoh8C0W6dSieWVreF9eCZ8eh + sG/yXwHiZRuqFtEMlQsuFfulINeDFANJJW+eBivewOJtIp5OQJ4dkdsuMGIleD0FlRUpNlItkYs8 + VHFXRe1w68PKiKm8CjL3yhg0GmRylgHBxFEJtAGRUT1/fuqfvP76mcGx8edfPn95JkkChGFy70CF + pchAnslZVqgTlANYSV9Ryi2hTHyosg9lOjkGjYjEIML0uVpfcLRV4VKGHenSk6ePN1fnFh5ZLF2c + +Uxvd1+iAQm6OqsTUyNXrl74+M7B1tb2wsJiEo+UkhjyPFy+tAAU1k6Otx9vrSwvVTv7z52fPjMw + EJeiYK9CBCluG4JIHhBkFowCo8E7AvIIbHT+XqlntRZCnVOrAxpunhkIi6gip+mFS1FLhAsIoJSp + lMvnJifHR0fbKvHmxubyytr+AXe1YxTLxwmAtQlFD97TxvrG8spK7aQ+NjZ2+dJlqdujXLKPpYEz + glIFeQmL+jTIVyYX8m55n5VgN3K/GnPJlGDlCt0WAETlPXkAo5VSmomYwBMwk+j9CeFGEIAA6nsL + yEpJTxNWChQoQWRFJRrByw6FPL5mhlAnKb/JhbACV1yoW7LglBbMoSDkntqB/OAIDyXmIpc2Kn5p + jAmgc+EdhgkKK0p7F9xm1ADgPDgCE4XNqJA0OpQcnpf2N0DM3jkdmZA1zRF716yvrS79/S/+7vbt + O4ilH/zgv37us9NT54d7B3oPjmoraxszV5/3Xvf3D5RKZQBwkrdmB5wqtEPD3WdnXrv84pd6u8tA + NXAn9nD76MnqrZu3FhYXVw5+1nn2Slfn589PlAA8KIJIRQoVIINCnSgT2vQhA6NCbVTxrPnohwgV + QaFWSIgAilFH3V2dYyPDZ7o7dtbWHt6fXdvc6q9d6WgHRlBgFBAxKcGC2NrDvbm7tzc3NqFU/swX + XhmeOKcQiPM29OIUs8pshnmsGqQ5WuwhtMxm8LFbEnj5XGMwIAoAwDt23mmtpQcSkVcKFCoKoMFp + JJJ74C0uHwIBeCcMH2FdYugRrJCJbZp6FaEKddgiNxyDNwoBub2j7ezwwOBg38bh4a1P77z29f3e + M0PlKI8P+VQkN0SNrRHOMy/+h37563/9pli3xRnMLDNQrVYnJiZeeOGFiYmJycnJLG0aIB3hb732 + 6uj41NO9g+s3Pt7d3f3k1q2LM1fPjvShlGIAtGQU8Zlh4iKWxV8jenv65UrrcgnISjOlgf4zk+Nj + pSRGgFq9dvv27ZcXFg4Oj9raqrpkYkStIw0W2LGzmxtrH3/04dHRgVLKxMn4xNTI6GhkItc8qp00 + jjJqOmCAKDLIHFEWURYxQUmVuzolhjHGgLO1ht0/spkzkjPX0uuZWCkdx3FHR7sO5VBFD5p//HE4 + T0YpAiBg36jVGs2mc4opIUqYNSploqS9o2RiZVQE4A8PD/f295OkWkq6SklHWRhXBEkpkQwWIHuX + pc1mvVEDhlKpVK1WQalGvd5optYSoQJWhBpQewZPQMwdnV2dbacJ81NPl0OXVQk4A6YtLImCXpTj + trn3pqLImEgDcJamzUYjy7KSibVWJJ4BgNa/OjAKVTiYpecPAkSR8pA5J1y5wtKoFkbDqdcFAIhc + ZEHFgxRczxgE8mlmIq10rHN9axPHgGCUShvNZr3hrdfaKG2KYwJAErsMjCx4PZFE8i6zJvHAzntr + nbceCLQykTZgnSdCVujZA3mQ0tFIl7RG0DozsQYNRN41M+soXMgDAAFZZ8AbhbExspWIGVGOSSbv + mEgZyUoTe+e9s4wOFBOAiXLnELwnViqOQghEnq3NwKDRWkStgBV7ajYa+/v7T5487urq3dvbO3um + WmYEzxwDAkQKVGQUUNqo1Y+Pjk/qcnA45xQwq8CbppZkR0gmICoFzoegUWgXnBd+FkSPwmku8Fyt + c7JJ7jEL0UMISlEcG60lFhXzJYyMIrYTMDc4UgAYReJCmWdp8C3+P7QueGttcW/aGJ1X7TGz0Li8 + 86C8NjJVQNKhhwlRM1Oj0dje3vaen25v1+sNEyfahFwXEYh6sOROpJpDaaNaor7itkMFcR60e+8z + a0ulUkFQAgCBZcWp9FlW7IXWYENrLck5IoqljLdVkOtZUT+lFGNgzwWXXCYFSLUooTCLuyK5hqB3 + ERnDCNZRo9EolcuRiQBICROSCJz3zhMFvgQAg3eZIx8lPjhyhEAhoUrsrNUIqBiVIg6V+8yimwWg + oXBGifMGTa0mVZQTmAEkycfknANFyKgjUIGaSUTkfRwZYaGKTAwgArD3vtlsllBFkVJKWZcRMaqE + GcCT9H/F/IRjAPAEjkRrzoMHoCAZjaDiKI41IjhP1pETpR2l2DmAHNEv1mHhK+erFBGZqJmmBfsb + WpsXFTBngW0AKqURkARXC7KosmcBI0AEEf0OoxXqmAjIOw+OESNl0CCC9+zIM7FGI0otRkWodF4d + ElJ6SKCUYSJnLTIYrZM4jnLuYYHvs1R1QlhbiJAXn4cvYs4FoCV5SWQ9sTY6xhan/dSrYOnbg5JX + 0GEQiLyzRGS01pEGUMDE1npSYErF0EorKqNLCoG8t1kGRpuipTETEwBqrY3kWqRFOOQkFfIkrUi0 + 1mFSnjUpxTnYOlOtbwjEwCxDJiJK08xTSEt7S2eHh85+77uAyqr2QzAuAg+giuf3DhSA0QzsPJD1 + GlEwG2ExCBZstMZQghkaHLED75w2gmgTkAP0oKBSTkqxcQ5O6vWTetPmeURhIBEBE2sgjQwGJfoy + cRSC/BxUZyIvae5ESlRFXgZQa2Z23mdZBnFsokhKoSHPUXEOebeeBdZaozWIHVYiO3jafVHeI33h + hFhXAEY+b38kp4A0O9IJKOWBMttsREk1bdbW11Y291zX0DR5oJBiAWbwCMgwOXXu4sTAd37wfYIk + hQRQkxeGdWhqWFRzF1BQkDLMbTK0CPXIXamid+KvqBYginpPUa+qWg7KotBE5cI+gigVX3KaBM3X + WIFntUK3ci0vshItLWeLsw9EQ8il1noAH8ex6AOSA63Et6Bmo7Z3sHvweGv/4LDezKgg26ECDikw + D4qAnXWJiWU0sizz3hfMVpl6RiwOccrFbVUUcQ49Q0AEwk2SB0BQCoxGVto5J8isDv3oApytc5V0 + ABblbuL8hzwTDDk8wblQYzGMwtmUES6O3ai4mRygLGjLAjKKiQ6EO62FcyffmaapeA7qV1qPMmNe + T+u9Y0ZtzOvf+Mbrr79+Wq8nWVKxsUq1FpuHDoTM1lkklRe7MOfSBIUvIQok8ozyc5HN9d7H8ekc + 8bNlankmhLjlfz5nH2PegA5bivBOR5jZey+M5sgYFUUFflrIChfmMWzYOJYHsM5Jj8HWnQ553Te3 + ZCYQsegVKU/UbDaVUjqOI6WazWZxCfmrzieLcn0keYq02RSj1OoQCpbdKhARUEoFURC6UmiSKAYN + oEAB8cj58yOT47/z+38AuqNhlAOwDMTOqLzLloZwunmXObAEURIbjTnUJlcp9I0lpZjJpLD3nhgA + y+USAFjPaZbFcWLEIIM0PoJQESgmWQGgrR1srC4vbD5+MjT6mfMXrpZKFfCAAEk5npwae/XV31ra + +GDn6f7HH98aHKj0dJ9BZQCFFZyHekod7O093to8PjqaufLZ555/vrunV2vwAJEk7zyBc42ardWd + R+0RHUDmbLVc7uxoZ++QSSMbZXLHBm3WPDo6QlQE6D2D0iZKknLFxIk22ihwAp6GXKUkUoFPi60L + xAgQwSgd6crw0PDE+Njg4MDK9sn65tbm1uPE9JUiozEkeIyJEFCKqFbX1pYeLTWbzfHxicszM9W2 + ihK/KEQ3vl6vOZd5ckROcNI4jpOkHEdlQFT5qvPee+e81s1m8/j4WAyItbYkryQpiiS01t7aWq1G + JB3mVJpZAOhob0/ixBjjPTvnmcmUYmZIU7u/v5eU4s6Odq2VyFoBkIm00kbEFRlof3+fVdLR2WEi + xUah1ajFLRcfSawHBvGsIO7IQF66nAHkLpkyoCHIYBVZqJwLUsT+xdYrckIFkB3A92egoMDzBQBH + YJxFDWAM6BgYrANLIItCadQKNHqpaLGOvQRITN57NvwMPMdQqx2vrDx65+03d3b42me+9r/8z//r + +Ln+Uht78J6V9fyd79rHj/dqddvX36ONtCkjooypGUcwMTT221/7xuvf/W+nJkoxgoHMnmzvrS3+ + 8f/xr//i53dnP/n0vQ9ujo10jo89X1JOOshU4qhcKkNdeQ6ACSAIkwGLan4AAJSGQ4iBOKUUgtCg + 2AFQpZwM9p0Z7j+zCH5h7uHi8srIPnR1FYwE9OJyGwLrmvtPP/3ow42tzbj93Itf/NLQ2JQHQAee + vGYnTY2aabazd1Ckq2XuOjo6itQU5CQb8j7NsizLJCTkvEKiXK4kcQlR2kNClvHBwb61zd4zPVpp + yRoE5BDIWe8c2cxZ6531noJqY7lcieOSiSMVATE466tRpJUGcGBT8F4w1mYj29470uVqXO0sV2NE + 8ABpmpkIIEJghiTq7ek8f+7cxu3lh3OLm4+fjo4OlbqKHI4UZTMWrJFfgZAYgszmrxV3/M+8fnOC + CQWMIntvbHS0p6dHa12pVBAxMoZs09VTk8QDAwOf+9znHi4uNdL06fa2OMGOQGErbvubeBGH5FBe + 9CkZZwCmvjNnLly8cPHSpRN7/8ne0fHh4c7u7v7BQWdnh6RCMmcZbAQuy9K9vd211ZXjo0YSdw2O + jl+8dHlsdETp9O033/n5O9ffvH4jY83AChUCVTT3VJJzoyMzn/2dr33rD6rdGBtw1i48mH33+u2/ + /smbRzWvUKdpqrXR2mSZ7erqvnzp8v/wz//F2OiQdRDHOSH8/xvBRgAGb9Nm/YMPrr/73vs3btw+ + PnLex0olcZycm57+l//T/zg6PhiXWCv86d/97E/+5E+bTf/CZ17+2le//dLnvzDQE4k4klQvaKNX + V1beeOMXb7/1VpqmM1dmvv/971fb2t98++13fvnLxUcrnpBBgTYmKmWOUOm2js4f/OD3f+/rX+nt + qsaqhQ/YQo3BvHYpjmMpdvPOScKtqBETx8g7K7FHZiGOo2q1Wi6XUCnrbJp58fvb2juiSIVok4VI + RAootNnKCchE4D0AOc79P2OMKOxA7leJGTJRJB5q4ZahUlGQVkRkb8plIEfkQQUBbSZGy1mW2iyL + o7ir1IWI1lodRULoA1AQGfAO0lSVdBxrD+r4KCMf91RiUCowZhABNedN2IyJWIEPXTYw5JGdy5wC + cKpRazZqQB7BMIM2EQCkBOBAERsA50kBojJAREzeszaIgD50asYgmud8o1HXSukoQR1ZVt6HnK3S + 4B177y1AZBQGwdMSgmdniUgpjUbL8BFRFMXlcrlaqWilgDxQ0PFMCWLnFGK5VHKlcpSUUFdfeTYA + ACAASURBVIFB0FrbZsNR00PZAagETJwrYzKD96AVttQMhkAoyxqNBhOJr0xEtVqtUqkI3hpq/Qqu + XP5BndeTFmGDVsp56beWiMteShIG8M5FOd8KAJz31rkkSU4RT2ZtjIT99XodmE0UtVWrgpdxS6Uh + FerJiDoHixFAa0XeUppFsQEAJhKpLgAWvSFgNsYkSalcqRgNzkOz6eLYGANetOkCzM1MLH3ROc+C + kvdHR0eIGMdxoSHVmjWV8W3l2MppHUcR5Wl/pVQcx81mE5jLlYpWSvBrJVRiSWu3tBd31jaazTRN + S+VykpRNZJwP1DyllCefZVkpiZnB2SwyRtpGeWeddY6o3mgg6o6ubtGebpVoEMuXZWnabDhnK9Vq + XCoHDM7okilZXRDtkcFbzxFoUJFJSqAdoCWiRrNBmJTLkewha12MJtAinx0WKBp0qFB9GLImRMyg + tAGlG82m1qokherCEMnXms0yCeZ1sGbGOtdoNEBpaV1vnUuSUqQ1eBeIJgAOwHuONILWoAnQW86a + teO0zpEul9oAALyzadoEAB1FUZIwABEZoxGeWercIowAAYBzQugQwQoEcDkaKPQiZs7S1KiiUoSZ + XKORps57higpmSgxsbCCII4DEueck5DRO6sDGOkAVRQpZynLsjRNlTaRiaLIcJFRD9ETEzuFbEBD + oIQYANRKJVEMxOQ8E0cm0lqlWSo7lpnTZkpEcRTFcayV9kQaZZoY8nUjdjJtNMJ204Y1kTcmNpLn + oyAALm3WQIUOanJ3AMCgMIqjAIgjAzmfZda6zKMDZ5JqHJvIQBwZNiERqbUplcsIki8UNVXWWlpg + 0clJzTp5T0UYInK/pVKpsAnUguYUZcUCjgg4JbhVgUs65yLFLrNZlkaRwaQUJbEnFnlZHUdAAOAB + 0SgTq0BUCksVEYwBdgBssyzzzJ51FGfWg6VyJVIAqLTWIPr7WikiZu+RjVKgI8Mu8zbztolgI82o + mb1DZKOhvb29rb2j8FmE52sQtEEkBeyBgWxG7EypBIjMvtnMADUq4zx7S8wYR3HQacxfYpFEAKEg + 2UlMW6vXszRlgDiOZalLhkwAiwISEhRY52isILZFuFiMKuSFnIJ1RsYInUphBsigVVQtAyiNEJkI + 0XvLjUbGVAUEdsARMIDzEKGCoLCMAGgJNEIcn5b3QYsigXMuTVPZquVyWWsNgkAZAwBFt27B0ZRS + 5XJZUpHEbEKFApoo0nmzTXnGNE29921tbRJ3F32c5YNApPLKp1b2E+QSwPBstkBWIxHFcSwHovxJ + 5ep43tp6/eT4+BAAojiKktg68AyJ0NaIOJwbBErpKDJRlCfAgKyPIgZm5z0bNHAqxZCm6cnJibW2 + p6dHijcLl6Bw4WT6bE7SRMR6ve69R9TOchSV2tsjpU/NPTN475UGrVUUix5lXsKPSlBCZlaoms00 + y7JKpRrHiVK6OB+VUpLUL0IhMVMAcHh46KxNSqVyuWyMKZfLRSFOMWLW2mazKdBnkiSnJzWEFplR + FGVZ1mw2kyRRuaBB68N6743WTJylaRTHUr9cUCWKQ0FWvicyQTgLsizN0kzWntIqS1OtTZBNaMHo + 1bOnMOZK0Jgv3YIPK16ZqGe0pgGY2TvXTFP5pbj3AFCv1yNjSuWyfFZigeLYKsACGTqdJ8NqtZo0 + rmxraxNjKO8WU1AsYKVUkiSUc/o41y5ohddbc/CyAeXbijuUvS8IWrPZLJfLxUqT/VWI70mEIgoe + xSYttjMzd3R0tGLNignSlLwtJ0lNqcz5egoVAx4JEEFrIAs2A/QiyKgRFBqxpSFlaDOwDa2BSecm + S2ulQpuvXFxAQhNUqpSUQCuX2eOTEyKO4qS9s1OiSaV0DqYRKNBKUS4DSZgLjFD6dGPxwf07tUba + 1jM0Mn6ulKhEg9YA5Nq72i/OXOroePBkd/+jGx//1suXAc5oVASgFEQxACtwDN7NPXxw7+4dIj8x + MXHx8mUTn3pfxN7VTrbW12/evHfj4zuzDxdO0qYplc6OjV574YWXv/D5c5OTHW0VZHIuA/IAlDYb + CwsL779//f79+2vrm/uHhwODw5Pnzl99/oWZK1dHxyc6utrgH309Q6oBBmKwzrJrxMoPDQ68+NkX + t968s7n5+M6du/09L/d2thNL41CwNtPKECMzPXjwYG5urq2tbWpqampyUmySpH2s5d3d3dn79+7e + uT17/97S8iIzdXd3Xr58+aWXXrn24ud7enrFCMS5iM3KysrNmzfffe+9x48fHx4eWmsvX748MzNz + ZebK5ZnLfX19kTGPnzy5efPmj370oyzLAKUpgO7s7LwwfeHKzMz589MDAwNJYgBAKdjbPZqfn/ub + v/nr8YmxL//2l4aGBsqlpFRKACkQwb07OjxY3dz+4Y9+klS6vve97w0ODlYr4hWCJ8jSLI4jozF0 + fjh1j0UpXGlltCmsKqaprdUaqMRFTYoDulDmkb0cjAxz4X+KAUnTNE3Tzo7OUlz2FrQGUpBRypo0 + RLkZAsngg3OWUlJGa2AEx+DSRrNRczbVCsrlclIqy9IWSisW/Mzg7XGWpicnR7u7++1to0NDwwjK + Wqct6wits5mlSqU6Mlp1TsUxIEIUgQYFQM41mk1InFXKKB3UPBh8uZScPTv4W6984eETNXv/3eWV + jeXVNcTnEQhcBseH3lrJFTJokvZCp60gxIUmzMsRi8fNSQcM5IAdGFZJMtDf98JzV7cWF5c/nl9a + WRnffHx+crBgGRMRgNdAYJtHO09mb9+u13Tv+NDY1Pn2LgMA2oAmA94C0OHO7t355b/7xRv3799/ + /Pix1vrs2bMzMzOf//znL164MHz2rJhWSfsdHR8vLS3duHHjzqefPpx7aK1rb2+fmpp6+eWXX3jh + 2vlzFwCgVktXVpbefPONnd2n3/ved8bHxzo625QKKVGFanVzdfbu/QcP5+bnF1eW1xqNNI5LPd09 + ly7NXLv2uc+99IX2rk4VgwnyxAzWBR6eUnuPtz+9d//vfvE2R6XRqQtf/frrZ/p6kxiSONbKAzvw + DpjaqpXnn796b+Pk3sbB0srquXMTvV0dudELA86AQDlFvzAMvwqyMfzjf/t1vX5D0K24AuLbiY9Y + rlTKlQoUufdccguA4zjq7OiIoqiRhWYGCDkM9Zu53eIVkpIAAMAE1oIiKRTS1erAwMClS5dWHu88 + 2TsCazc2Nh/OzQ0ND1WT2DEpBAT0zm9vb29ubmxvH6Up9A52Xbp0uafnTJwAAO7v7j18+PDdd94B + HcswgdFlxVXNC/19S5uNJndde+Vrl8+XYqRGo7a1ufnhhx/s7DWV0kWbB/JcbWtberScJOXf/d2v + XLt2DaIWV/8fWzyytxVylu3sbd1/OPuzn/70rbffmZ1dSJuaqMSstYkWHi21tVd+79tffenlF1iB + te7w+PjB/YXjY1cu956bnD7TOaANAHkIHa5pfW3tZz/92xs3Puzu6blydQYA6vXaysrKxx/fvHPv + PqAmAkCj4hI5BqWrnV2v/NarRY493F2OimIeNR0eHm4/eTI7O/t0Z6fZbDrnosi0tbVPTk5OTk6O + j40JQsTFggEAgFrtZG5ubn5xeX3jca2RptZFcXlkdGxmZmZycrJSNpK38t6BqFYjnOzvb21uLCwu + Pn66d3jSIA5JpO7u7tGR0XPnz/X19VUrlUILj4hWVlYWFhbm5uauXr168eLFvr6+VqaDbaQ7m+tz + s3eX9p4OXjo/dGl6unsEUbJSSo6i4PcLLSwnU4Fzzc2NOwsPmiV83DxcXd85OUGmSk/FXhpVo71N + a3qFRuAICADUqR40wWmnNen9HdIO3iOQvKfeaM492l94cG9naz09OTTgNLuhwf7PXL1ydniwvb2j + VVlCKWTitFFfXV1ZWlxYXJjz3rOOvY5Zx+1tHdPnpycnJgcHerXWRaMzCCIADBS4EgcH++trW/dm + H3744UeLi4vHx0crKyt/8Rd/MdAZd5W4EVUmXvpyz+UXB6tGKRVpZbRirZmhVodbS4uP73+wu7nR + sIawGpero5PDUxcnx8eG20wsLAImZvSolHOuVqstLy8vLy+vra3V6/UigOw7c2bq3LmxsbHe3l7T + ArnKsMt9ttJwsiy7devW/Pz85OTk2bNnq9Xq/fv3l5eXnzx5kiSxMVG5XLp48ZL8tcBQMA9irbW7 + u7tLS0urq6tPnz6t1+vMnCRxZ2fnyMjoxMTE1NSUcLgajcatW7fu3bsHAJcuXbpy5UqlXIbQBhCd + s7s7jz/44L1GPe3vH/jsi5+r19KbN2+vrq5f/+BGM0339vZv3br1x3/0x9KUQBvzyisvn58+b6Jw + rFtPiBxwp/z+jo6Pt7a2bt68ubOzk6apRL9xHE1MTE5OTo6NjUEe1d++dWtpefng4ODll1+enJzs + 6CgONsXMe3t78/PziwsLDHDt2rXBwcGOjg6ttfd+Z2fngw8+IKLe3t7p6emT4+PV1bX5hfnDw0Pn + nImi/v7B8cnJS5cu9/R0Q5F+V+rx4yeLC/O3bt360pdeGzk74py9efOTldXVRrPZaDS1iXrP9F2+ + cmViYrKzq0spVWTJUXp/o2DcmhU2iLZXHm3M3l5Y3xm58PzA5MzZs13lKHQszUtgeGttbe7R/U/v + PTx/8fnxc5dHR8eiSBK3qrCmXLjxCJKgVyrEP67e2N/fW1le2tjY2Nl5mlnv0BCaZuZ6e3smJybO + nzvX19dbKZdyGgI5ax89enT9gw9ktAcHh+/Nzi48erT9dEcIL4Dq6pUrVy9dGO7rU0YzM+UeDSBC + s9nY2763/nB2Y259tW4bnbEZHuxOn7tYUXbFeSfkzSyznigAW6eLnU9HGxFySdBmms7Pzy8sLGxu + bgo2QUTt7e2Dg4PPPfdcf39/uVxGRCJva43F+fmV1bWNrceNZtbMnPWko6Szu3to+Oz56QtDQ0Md + bbH1wJ6V1mmWbayt/PLtN8dHRybGxwb6+5YeLc4vLT/e2a+lloErlerU1Lnz588Pnz2bxEIy59Aa + 4xTJzRUPCABVKUmEkYoMaZZurG88mH+4vb19dHRks8xa297WPj09fWF6emhwyGix58HWgveNeuPx + 48erqyuLC4tHR0feexMnGCdJtf3chQsTExNnh/tDxhZD3R+CwPKsVdHSCIChWas9efJ4ZXlpc3Nz + b2/PWmtZOzaltq7+/jMzly6NjJ7t7elkIkQGhcjqcH9/fX397t27fX19V68+7zytr288eDi/u3fQ + TC0q3d3dMz4xefXKTFdneymJCsYZA7z//vurq6vt7e0zMzMd7e1z8/Mry8vbT58KktLW1jY1OTkx + OTk8PCzzpZRCJGO0SNKT9NRUyACeWSGe7O4uzd1fWl5W3WNXXv1G3KljBQjgCYyz4G19benO6s7N + +c3dTLOn2ETA3FatnJ8+d25ycmho0MiJyjmih8pau7Wx+Whhbn11+fjwwLuGRt9/pmtqciRJtM1S + YbV7QN/CaxKtIK0gP6xYKWRCdu7x9vbK6urcwuLRcS3LPBEQoVamu7v73NTkhYvTPV2dcZIUa9ta + e/fOnc2trTRNv/CFL5TL5ZWVldl7s4+fPC5Ymc650dHR8+fPX7p0qZQkjEhEN27cmJ2dZebnn39+ + ZmbG5AoVOm9GT0Szs7Mff/yRUvrixYvXrl0Tv4UKOIwIkGs72w9m76+ubH54/eODvb20oVdW1//s + z/7tSE9bZ8Ie6MrnX5m8erlShp2dvacr9+7c/XRw4sK5K9c6e/pMZADAE8/en529c6e9rW18bGxs + fHx5eXlhYeHRo0dEJKrrL7zwwuTERHdPT6PR2NzcvPPpp3v7+/V63TlXLpeHh4evXbvW1dUVx7FC + JGbIK0+dcwcHByvLy0vLy0+ePKnX68xULleq1WpXV9elS5eGh4e7u7tPsew8dVeA10Vqs9Fo7O/v + r62tbW5u7uzsyJlL5K11/f394+PjFy5c6O7ulqbqu7u7Kysr9+7c+PTmz+/cuVWrw/Ly8l/91V+9 + /+Hcma5Sb1fp2mfPn9TXbnz004XlB7OzS8D8cG7uJ3/7txvLTyM2ve147mzXlYuj3cMDSmkPmNrs + YGdv4f7c0vLS0dFho9Ek8pVKtaenZ3h4eOby5d7e3lK5jDlDk5nn5+dv3rzZ0dExOjo6Pj5+/fr1 + 5eXl4+O6VtHk5PnXXn2tvaM9jsE5EDAwj+cZAJx16xtrb7755uDg4Pnz54eGhpeWlh7cf7C7u1uv + N5zzSak0Njo2PT09MTHZ1tbWamm3trbm5+fv37//2muvdXd37+zs3Lz58ZMn23EcT05OXpienrly + RRK3aZqurKysrKysra3VajUB1qvVauHDDAwMIKKzdv/g4Je//OXOztMkKX35y18eGhwMRWACoyMS + UbPR+PDDDzfWN7x3r7766sTEZBRFt27dWlp6dHR0/IWXXpqentZGI0i1iwaAeq2+tbX18OGDpaXl + LEslS9RsptW2tsHBwStXr/T398uEYr7dNjc3Hzx4sLW11dfX9/LLL5dKpSCUH5LQ+tGjR4uLi4uL + C5cvzVy4eKG/v9/kgOnOzs76+vrc3Nzu7m6z2RS2RFtb28TExPj4+PDwcFFtULwKX4tyxc/1tbXl + lZVHjx4dHOzX6w1m6ujo6O8fmJqaGh8f7+3tBQBPtLOz8/777x8fH3d2dr788stdXV0hLZ2fhqur + q/fv319fXz979uxLL73U0dERaO/WLj56dP369ampqdHR0eGhoXuzs0tLS0+fPnX5a2Zm5tKlS+Pj + 40UnAESs1WpLS0uPHj1K0/QrX/kKEW2sr8/Nz+/v76dp6pyN46S7u/ull14aHRmpSmofAhSgQwU5 + E4cqfoUIzOnT7c35Bx98Mjt85eWRqy93D1UF2ZEEmHWwt3e0+ODG8tzNZqPWcMZyAirp6uwYHBy8 + eGF6cKC/vb1NytjJO610s9nc39+fnZ1dXll5urPDAFGUVNraRkbHxscnJqemAPJuxgpC5wuBiYJe + H4Nr7G49Wpy/bz13nBk5OzoVR6C9JFups6frwuWLvWfOLK+fPLj/8OnTp/XG2XJFhWYACsABEIG1 + jxYWFxcWSkkyNjY2Pj4uiXN5ra+tPbpz85OPPp6bX918vM8qKpVKbMyTx4/ffe+9tbWVr3/1K1cu + Xxzo70MP9WbzcH/vgw/ev/XJrdn7s9ZaVKavry/L0ocPHy6trD1+uvP5z7/02RevmVhyDx7yELKF + EZ23SA2rDgBB0jb9/X0zMzNv31zZ2z+4c+/eC89ND/W1xVHuLoj/nKYHB8ebGxv1Rv3ChQujo6Od + XZ1yCU9Qq6UP5x7cvn3rww+v7+49TdNGpVIhcvV6/c6dO7u7+yvLa9/+9ncGBgaUUsS89/Tp0tLS + W2+9dffu3fX1dUnklEqlra2tg4ODu3fvfvvb337xxRcHBgbSNF1fX3/jjTc6Ozu7e85EcWLi5PDw + cG1t/c6du5cvXXr99W+MjIy0VWPvIG2mu7u7773/fq128rnPvUjUp5QKYj1AAIQI1tvDw8NPPrlZ + 7ej72tdft84TREQgsvjYIlCDGMj7gQMryhXW7e/vbm5srK+tbz3ZPq43U+s9Q5IkHR0d4+PjY6Oj + g0NDSZKoXMem2WweHBy8++67wHzt2rW29vadnZ0bN24cHR01GnVrXUd7x9nhsedmXhgcPJt0aUBp + W+UVGKWAiXl/9/HDT24+erp2DAdQBWNK1crwyMhUj82yVHqlkkTgeYolBIYcGswG15OJyEstBREo + rRUqRM/AkuY0WmsNeclA/g8SggMGRNYmLjxwBEajoFKanBwfGX0Myjzd2X+yvW0dc+REAUjU2bxn + z4pz55ODjBIHMVYUQa4AkAWflCCgu8EPQVVKnr9y+dGdT3/24dzSysro6tqrXxzUADFL1k0hELCD + g72n66sbKxuVzovj56c7+zqiBLwUfhH5g/35h7Mf3rn/0d2HS2sbaZpWq1Wt9fHx8ccff7y2tvbF + L37xW9/6liQgBRu58+mn779/fWlp6ej4MElK5bICgMXFxaPDo82Nx7/3e+rs8AgDp8109v79paWF + L33pi8PDg0JXAqB6o764sHD9+gcfffTx4eFR2rQm0t2lLvL/L29vGhxXdt15nnvvey/3BbkhAST2 + fSMAEiRBkAB3FslisapYi1SSrPEmWxqPwu5xh2d6wh0atxwx0VKMNW6rJbVtqWSppJJqYxWLO0iQ + AAgCJLHv+57YkZnI9a33zocHUrJj5pvt/EBGACQReMx7cc7//M/vD5HIbkdHx9zc/Mzc/NHmE3mF + Rfr29t4ZxTi5G1lYXOp62vO0t79vaNRod9XK9OSZs/pj5HTmDKOgqQDMZDLk5ATsdkdscnthYXlr + O1RSYN/Dhb0Y3eip3v8ClbB3Az6/B9GLP/5vpdvCv6d0q2nai6Qg7Tm4EP/WOScEA2cATRVFMRqN + qooqCEJamlMfXSK0dx7wv3wav3VK/lVf7IVkrDd6lKqSyAkY9HwrwHabvby8rH9sanRqHgAtLS/1 + 9w8cPHjQYRFA00wc4YApsra0tLi0uBCJAEPg9XprauqMRrMigyAgnud5jgMGwPO8IAiCYDWbBE1O + RbYWF1ZXNlvngimNc2VnHHbbkFEQjEaBMSrLEs8bfOl+RpkkKRiTZCI1MjI2MzMXDu9WVFQKgvm3 + 9tL+f95Cz8HbspRaXly4e/tWR3v7zMyc0Wi02RxUM0YiCUlWZufm/u+/+RvBhGr2VxCGcvPyjjU1 + bW5G1jc2e3r6Lp6/lJ+TLnBAVRUjQBynicngynLX4654IlZeXt7U1ORL921v71BK9XAhk8WGCY8I + jziDqgFD2GiymC1Wo9H027kglFJGKXkelBmPx5eXl/v6+n784x9PT0/rLYTBYEhLS2tqajp37pzf + 79fvevp81I8xJFPJhYX56Zn5G7fu9vQOJkVZY8hqc5aUlr711lsmkymQlWUUEEYgaxQTAECaJM7P + z3W0t924eXN8cnYnEge8h+jKycmpr68/c+bMwYMHc3NyzBYL0pNqKB0cGPzkk48/+vjj3//933/n + nXfcbvdeuUYpYywejw0NDb3303fbB3vPvvnahXfeKjkYAISAIEHgdW0uEY/rc34OELdHJNWSodDQ + QP8Hn1+NYmVsbaGrexBhBwK7CSKnGgKnDweqDp5JSRIwpFFg8BsfHwNgmrZH6GQMMBZ4jIBnHOHw + HlhUUdTl4OrQ1trNzz6ZHB0Kb64xJYWpUlNd8cW33jx18kRpaanFatHXAHThVxSljfW1tgetLS13 + uzofpVIpFXEq4kEw+n3+s2fOXrz4ssPeaDYK+AUkck8foXoqEea47e3ttva2X/7yg5GRcVFUKMM7 + O9H5yWEDS1kJMJv1rW/KTfYMf0k2z3EcIQiAajSeSC4tr/a23Gz/9J8WpyZjKcSw1epwHmk6/NKl + szbrabPXp28CMqqpqkY4LhaLLSws3Lx5o6O9o39gYC8cnBBZUcrLy7/0pS8xxgRBcOqS34uN4xcr + /Izpzm5KaTQavfbZtV9/8OvLly+fOH48Myvr/V/+svPx47m5OcaYwWDweDwXL168ePGiz+d7YVMC + 2FssjUajo6OjV69e1TtDvb3XHV4NDQ3nzp11uVwul0v3Are0tLz77ruxWOwLX/jC1772tZKSEj3a + wiBwiUR8anryO9/5r7FovLn5hN+fEQxu/PCHP5ientvaCsmymkikNjY32zseIUwsVmu6P91gNGYG + spwGMwLQGCiywgucbsPbWyhW1ZWVle7u7g8//HBiYmJnZ0fvyS0Wy5EjR65cuZKbm6ufQVEUH7a1 + ff755wMDA9/+9rftdrvD4dCbJZ7nVVVdXV29fv16S0sLxvgb3/jGkSNH7Dab/iUWFha+//3vI4Rq + a2ovv3p5fn6+paWltbU1EokghERRzCsoPNbU/LWvfc1qtfAmo6pRjJDA84uLC5988smPfvSj//Jf + /qqhoSEWjb3703e7u58kUylZVjDhrDb7m2+/ffnyqwcPHUYIUabtRfogZDYaeY7XR8oaZclUcnRs + 9LOfvvvpnfaXrnz59OUvOByHDQ6MsR63jUEDTZYHh4Y+uvr+T3/+8Te++aevvGb3eP0IC4QA4TD8 + BqzM9C78eV1EkW7kBBYK7YyODLfcvdPT0zM1NZ2SZJkihWFZowV5eQ0NDa+9evnA/jpzIGtP9qVU + UdWBwcFvfetb586ea2pu3n/gwO07t++23BscGtHoXjrQ66+//oUrr6c1N1ssZt1mCfouCGPaztbg + ZP8nrddanj4YHlwnkE5YuseeeOPSvsoiQxxn6DDteDKpUYr2GHXP25Ln5rjfGMZVNZ5IbG9v37hx + 49q1a3Nzc/q9pCiK3++vra390pe+VF9fn5OTw3OcmJTWV9du3Lz54GHb4NAwIKxQJimapGger6+q + et/rV944evSotSRPkhTEmNUixKKpnt6eb/2f3zrZ3Hz27On9tTXXb1y/fuvu7NJyNCkyAJvVfvzk + iZdffvnUyVNen5fDiDGqR2BhIADaP/uJTxkgYuANiAFVKaNsc2MzriSu37o+ODC4uLSUSiY1Vc3K + yjp/7qVLly6ZzWaXM03/vnWXoiiKK8GV7sddDx8+fPz4cSgUopQSXkC8wZLmOnX23NmzZ+324xar + wJG9In6v3VJVBIwIPGMUAQOENUVeW1t91NHeev9+f3/f+vq6RpkGnMyIYLIXFOS98frrp8+cslur + MGJkLwibbmxsdHZ2fv/739+/f//Xv/4/h0LhtvaOq59eC0eiyZSkqtThTDvW1Px7v/vVutpqr8et + KLIOMGGUXrt27f79+4WFhW++8Ua63//xxx93dnZOT0/rjhWXy3X06NHz5883NzdnZWUZBEEX3YxG + g8VippqmyJKqabpIo2kaj9ny8tJnn129du2Gr2T//5Zb5Rdy9SBGTaViMgmxnSfdpq6jnAAAIABJ + REFU3Z/c7/rw7qOwwiGGGGUYsNfrbmo69vLFC01NRz1uF/ccbIwRqAzC4XB3d/enn3zU86Q7vLNJ + MCVILSnKO3b0cG1NRSQcohpIkiRKsrInCeyVJ88R488/xHGEskQyMTw02HL//sO29uDqRjyRAiCM + IYx5p8N57tyZt968UldX63qePqRpWjweb7l3r+vx43Ak8ud//udpaWl37ty5d+/e9PS0Tp/QlyEO + Hz584cJ5p8PhS083GAyyLN+5c+enP/1pMpn8+te/7vf7M/z+F847ypjurXvw4MH3/uZ7Zov5C1/4 + QlVVle4EfOHdA1UlvBYMLn169ePWe+3TU1txFUSwx6dm/p/v/a0FKxasII58/T/+xdvZBRazIRhc + vXfr1g9/+P2TF17/6h+7qh0uxHMUQFXljo6OH/3gBzmBwJkzZ06ePNnScvfevfudnZ36dW0QhD/4 + wz+8dOnSwYMH19bWHnd2/uKXv5yent7a2lIUxev1Hjp0CCFUU1MTCAQIxoqq4/UxpTQWi42Ojt69 + e7e1tXV+bj4lpvTCJs3lKiwsfPXVV5ubm2022wsn8osFlBeexBeD6nA4PDw8fO/evZ6enpmZmXg8 + rkvYyWSyoKDg0KFDly9frqury83NRQCrq6sPHz782U9/uLIwyxQAgK3Rid6Rvwfsdlq53ID7z//D + 11bXhv/rd7/DMERVAArTvX3TvRO/Yh8S4MsL0l+/2OS0vuT0+wjHy0wNhUKjQ8Mfvv/re/fvxWIx + XVCWZVm/uH7nK1+pravLysrCz4nYDKC3t/e73/1uVlZWc3Pz2bNnP/jgg/b29rXVDbPZdvr0ubzc + vPz8fJ43KYqqQ8t4ngdgjGlU0yRZmpyc/Pa3v11bW/vKK5caG4+2PXz44UcfTU5MSZIEgGRZPnCg + /tKlV956883ns669ucvMzMyvf/3r995776//+q8LCwoHBgeuXr06OTmJMW5sbHz11culZWU8z0uS + FAwGHz58eP/+/fb2dt09rUu3gUCgqanp/PnzDofDZDIpirK8vPyDH/z3sbHx9PR0n89nt9msNtue + 417fnFDVaDT23s/f6+zsNBmNDocjMyOTF/gHra1XP/10fm7ur/7qr3Jyc8ycRd/GxQSSifjKykpn + 5+Pbt289ftwVj8cRAMJYkkSnM624tOTLX/5yY2NjcXGxfjR0XsHU5OSvfvWr1tbWffv2BQKBrMxM + vYnTnkurQ4NDVz+9evPmzXfeeeftt97yeDyI5zVVTSaTk5OTHR0dLS0tCwsLu5EIIMTzXHq6/8qV + K6dOnXK5XPp/657F+3mNxZ5Lt3qt3tfff/v27Vu3bunpbbIsGwyG/Pz8c+fOXbp0SS/5VFWdn5v7 + 3ve+t7i4WFhY6Pf7Kyoq9jRojDFjmqaNjIy8++67nZ2dJ06cyMrKEgTBZDJJkpRMJjs6Ov7yL//y + lVdeOXHiRH19/eeff37/3v2R0RH9RMiyfOHChVdffdVqtbrdbn1ZECEUi8VaW+/fuHEzGo1mZ2eL + otjW1nbt2rWVlRXdcpuWllZcXEwp5Y4dy8vLe25CRMBzHMFUVSllDGHCAYeBAAGNLi0utty88d2/ + +/Glr/zxZXN6jbeKcAAAKqNUw4moNDoycuPTj+7f+jAS2hE1TkUmWUP+9PSqysrXX3+tseFwaXGx + jkZQZFng+e2d7f6+vl/84pfPenpC4TBCGBCmgI40Hr1w4WJWIGA0GhkwXcjTmfj6rjBFwBDwlIKc + CK3PL83PIGyze7J8GTbCAFQAgQEBm82eV5CRkZlJhuaWlpbX1tYi4RzC8ZzAvdDIQFUhlVpaXFwN + BtOczkAgkJ6R8aKXVQFGRoZvf/zxo4dtnMFWVFx95vzLbr8vIct9/f0D/b19vT0Wk9FmMaX7PBih + eCw6PjH+s5/9bHR01GQyNTcfrz94qKp6X//A4JOe3o7H3SplRpOptLzCxlt/2zb+W030C8joC0cX + xYTwggGo7HG7i4uLXa4ni9uJ0bHxjc3GwhyfkTfrdYr+DyYSiaWlxe2dHaPBWF9fn5mRwfN7FYUk + q6tra62trbdu3RwdGyktLTrSeLi5+ZgsS4uL88+e9Tx7+mygf7iubr/X6zUajaIoTk9P37x589q1 + a7Isl5eXNzY25ufnWyyWR48e9fb2tre3e71ej8fj8/kAQJbl7a2tnJyc8vJym93JGwyhnfDjx4/b + 2x/5vF6n0yUIBktelqJolFFN01ZXgzk5Ad2ToSt28IIXgfX4BBrZ3VXBqPsQNQqKwjBCBIMgcM+B + uZpeFFNKQbeyYQIIUsnE+Ohoe0dH56NHA0PDoqIRwbTHcLDbm5qaTp061dTUlJWVZTab9dMUj8cX + FhZ+8IMfqKr6zW9+0+fzjY6O/t3f/V04HBZFEQAQwlUVNV//2p80HjkWcPg5zEmgqKASMCAMkiQu + TI7f++ijX995PL0l7lAz4jiTzdrYfOy15lIxGkFMYwwkWZb1SBUKDChPCAJg2m9yVgEYR7DRwFut + Qiom7uyEkomURm1638FxPAc8A1AYaBR+A+UCQIgRQjEBgjHheHiOz8X674i50hxpzjTAfCwu7u7G + FFWmvAoYQOAIAqpRVaUa26O7639XpYCQ7iVAe0LVC1c/2ksT0MEKAASoAowCRmUlxSWF+QRgcWlp + emExLh/kEJgwCARhQhBQ0LTIxmpwfjayBb5iX0lllckGFIHKABDIorS2vHj144/udnRNr2xU1dU3 + NjbW1tYSQsbGxvR7W1GUQwcP+nw+g9EoimJnZ+ft27dbW1vT09P379//yqVXrDbr5uZmR0dHf//A + 8nIwOzvPbLa63S6jyRjd3V1dXZVlmcHeNE6jLLQTun37Tmtr68zMbGlpWU1NXc2+Wp83IxyJjo6M + ffbZtaGh4YftjzREjBZbWknm3rsVE1CUlZWVGzdu3Lx9d2RiUqbYlZFdGIsaTSa9PMQ6d4Xq27oM + DILP67VYLaIkLS2vbO+EKeTpx53AHuYXI4ThRXDH/8c98fwT/+Yu038n6VZfsVAUWV/UIhhJoiwr + ssVs0benEdMYlRGTgLGV5eX7re2bm9HyqqrmppNet49SkBTgeUAYKFAEGtaZoQy/mP7pM4w9tehf + 46V3DTrEhwIAGMHA9NVUAAqKbBVQY11V1+PH7VTSkGFrc2d8eiGSUNL1tTimApP5ZHhjamR9bUfC + wIA4fDn1B2uzfGYLT4FFiBJiqgjAp3kLmo8e+Z++8mUBs2R4eWlq4ManH3SNbIxMjw1MTdQvZ3hL + 04gksYRmwg4O+IxAxv/xrf/dn+tnqWRkff32p59+cO2myNDQ0sKttrYzTcezvGZAOgXgxfdCfytq + BZ4zVxHHCxwhYkq5cPHKH+YUBTIDJo5fXVz8xS/e7xsZX9nc1ihZWt8YmlrOzwtk+NLrywruIWk7 + FF9Z3Qxu7u7GZatJAKYBKEC1teDc/MrKRpIy3mPy5ucV77PZnKHNDSSFTXQ3N9N1uPmlw01nigpL + kM5xQwxzJK8g32jeSx5BwEDVCDAgmCEkA1Ok5PbqYtvNq7/88GpKw43HzzU2HEBaand7bXFlY31z + e3x29QwYMRAeAcchDsuExqgKnZ1dI5OrY+NTZpPpwP5DLpcjuLa4uro4M9lz/bqQFOGtt97OynAa + eWowMASqFAs/evTo+q2HLa2Pd2OprKzSkxeqMtK9qVhoaXZ8anL8cdvdsZHhV6+8derM2frDBwEB + hyhHVKxFkSYaeY7nDBRxUVk1CQYjAoIxphoBFWiSaVFKFUKMAHYZOAKaQFQNa5qqcBoIRGAIKXrH + y0SjKlGRfXT1s5ab9zsH+hIcU8x8VnF1dVmNw5KW3JxMBHtvfzR4p+Vp37IRhHJkszPBwPT9VgAe + ABEBAAEigAEw/+L9TIBxDJKx5PTE7NT3/15TUmIysq+uNk2oZvHtqeH+jaXZn/zkxzvh6LmXzh9r + OoYRYCoxKcaoNDM1/7P3P378tC+yHdp/+HhhXr7X72WI9Q/2jwxP3Ltxg6UUzFBNfb01zSIQTIAh + PYwSGRA2IqQBZka7Lb8w+9yphnQb7h2a2YpSqyf/8P5qh6DYeFkTjOWlJel2k5UA1mTGVIMgrO+E + 1zu6Z2YWktvToGr1zccERuI7u8MjU08edmxvJmzmzKOHhdysNMKpmMoClUGUB572/NMvr/YNTRCe + nDj3anZOVppdQCzV3dm2vLj10394Nzi/eeqlMyfOnkBkr7DEwAgGnhDCABjjeMIQIFGikU2btGsF + tL4a+flH9yO721vbQVt6+kvlxVSKry/NzcyvXr9xW2Lm9Mzigtwsh8UIVAJOQ1RUU8rVDz+4dedB + 3+BoTm7+5SvNZSUFoCW2Vuef9fRMTYzvRlO8wXasqbGoON/htJ5oPChurXzy6bXe3l6jJ+OPvv6N + gkyLAalMSQwNjbz/0c3VHbmqovb8K29507M1Cs3NB/Ny08fHFgf6pwEZfRme6rpK3sDb7K50fyAr + p1jXvTBQDgHmOcKwjlZkACAnQmtLHdd+/uG1lqhEavYfLy7Js9j4pLi7tR6aGp+bndlQFczzFCGZ + sASWImYlZhcIxgYJ2ZIMMCYEAQGVQykPjnoMKtPUXc2UwhbKmRDmkCYbmGRjSV6ORWQ0MLkw+t/+ + IbK1Ho/s1NXV2a1WVVUGBvp3wzsdD+5ZzaYLL18+eeoUJoRgFWlxzMIYyRzHP3k6ODIxPTQ2hDlU + WVNbnFe0uboxvzg7OTN58/Or4XDEanfnFpS4HAJCKgGKgSbFlEw1RHiGeMZ4M4HqvOwpn/0GVQbH + JvyHwietZg0DA4lpMkIAlMlicnhwdHJixWiwZfrzM9OzjZzAA3AAlIBCQQHgiB6VqwcoIQDMcQZ4 + PqsYHZm4+vEnT590Wez2cxcvBHJyCRGi0djY+OTi0vLD1gepZCoRS7391ls8hwFTzCmUxjQ1CZq2 + sxVub+/69NqdncguZYZjjacMAh+Lbo0MPe1++JmUiFhd6fkl+5xuA0fADEBUiYa32u7d+MdPr/Yt + LUYFS+WBY+WFVVqS7awM93V3jXSsR4h3eR3clfmCmWCeo4xImsoRQEgDTWOqRgAQz1NKFYQwMDkZ + nxrs+x//8JO+0cntaKqgrHZfRVHA71pYWBifmu8ZHGPcpxpDmZnpHGLzMxMPWlru3ryxmxTrGxpL + KyrSXA7G1L7e3sXp+ZmeJx/thLbXgn/wZ3/GeM6MNKameCXKa9SArdubcntb72ef3VrfXJapeuz4 + UUVTdze3Z0fGxlpbUtth3uCsOnK8KMMkIJ6nKkWUIayTqzBjmDLEVEAYkMKozCNAKg3tRN771Yeq + Ca2vBbO8vrLCYrPAjY30b66vPLx7fTeRWgpJly697LRwApIJUpVYLDg1+/FHVx8+7Z9b3corrz1X + nJcf8Iup+Mzs7Nj4+KN7N6LhkKzihsajmV4joipilGGBIdAwr2dKqorKMQkJsLs2/7Sr6/s/+omo + YX9u2cXX3rZZjaoqbW2t9/f3zizN/NP7H4ZkAzLYy4oCNh6AyaAksRJCmsghfmZ6/f1fXx+dmIgl + Ev6cgtpDPkVJbawEV+YWhjru/01w+Q+++WcNJ854vTaNYwApTVFBUiCmxTYT7//q0xRVl5cX/S7v + 5YvlZiOZnR5ZnJ981nlXVNSwanrt9VyvHYEiWg1MU0VZjDMmAwKGCAVeF1SAYUCMB8mIEgTJFFEV + gACYAYDFl5YWPv/kw87Ojun1SFF5TUF1fW5WOijS0tz03Oxid3uHGEtFI9FLl192e10CaAQU0MTQ + 5u7f/+Mv2zv65mcneYPjUEN5WVneTii4ujr/6c1rPb1dqWgImA6+MXMAPIARgNerat08QjDDRgQa + AiUejQ6PDn9+/cbDR50en/9cXUNWdq5J4HdWlxYmRwcHh+/fv7O4Hf7jb/xJw/6aDJcdM5UgxYZT + BmWXKlI8xT641iqLsd6exwV52efOnuYxHhsZ2dxYMziMa/Pjt24yFZlfuvT6wX0FVqxWF3hqKko6 + nowsrKZmFrecHi9vUPQjjwjCTNtaWtxZmEvF46X79nsKK1WTneOAAGAGjCqYUcRxAMxq8RQXlnEq + ynENdfTNbkic4M1qOHTIxklWkrJYTHW11W6ngaPAawkTxHkmU6aIFMmIZwAcKAgUpChqXJai6rPu + gfHxuZHxYZ6D116/FN7ZXF1cWpqbv/XRr0JbW7GUev1Oy9jQQDQcLikpqqzMj0Q2NjdX+weerX0n + 9vt/8GeXLmW40gghvAGLAPHY+mJv3/iPfvL+6NQKQ/TlK2+n+9NNHCSjoanRoaHRyfd+9svN7YTJ + 5vb5fWaLgeibc3vYU0appmoq4ngMQABGB3sf3L7e9rDd7HAfPX6ipKTUZjbGIqFnT7pWlhe72+5s + rMy/87t/5MjIIxyYHe7ikpLjx45OObS1xYWtHbCkOd35B90ZZf40Q2aa0e932QzZX36leTm4MLAs + zi8m+ZzKQr8/3+szI8jKcJdUV1m9fswBpvHtiaH7j/p//uvri2u7jvTcV99oSPc4eaRub64MD/T0 + PeuKxtRX32Cvve5zpAk8VnlQkSyZY9tsNxS32h/3jo7NBbue9YNgbD59ZnsrZLLaJEWhVNUThxE8 + B5IDYjrvUUrycjzNxG9tbt2813n9Xuf2xlpK0U6fPctzOBIO9/UNTM/Mf/DRDcI7z5w+UVdTjinF + WCQsTpR1nsZMhA4PDI2MzXc8GRAVS2ZxjcspOP1OzKsEJ6ikTo9N/MM//mx4eCKaiB0+cqS4rNjv + 80uJ1NTE5PzM9INbt2gsKqfE/Y3HTGlp3oyM5obDLLw1t7E+ObfgK60qc7spAMcYBxowmQuvbcwu + BFfXU5yjurbO7c8wGhFQ2UA4gQmpmJJMqUkNDAgRqgJVAZPOjo5Pb97petYTjcXSAjmn9u1LdzuJ + psxMjc3OTs6MPP7h3y5Mz775xju/l19UZhGwmlIMmDMgRKSUiak85hgxKbxV4wQNgCGVUAUoM0EU + MTGhyAkkqMTAADFNie+Gp6cnb37+Ucv9dkmBxmNnamoqeEK3tlZX13bGJ+czstera3kGCGMVIaxq + DBhwBHE8j5EGIHFEieysjU/MfvbJJ896R80W97FjjYWFeRzBi/MLmxvrg4NDNfv3l9Xus2BkBNWu + STZNZTKLybzCORlvRxg0TUNERUgjhPKqbExoaZrZyEySyiUZEQjwBgqJBGIyT7i14Ob1m3d//v6H + kd2I1Wj64ltfiEVCa2tLc3MT44MdsprSBFN946myfL+ACWFANFVL7KLkTmhj6+NPPl1a3xkbG/Sn + e8uqShxm09L87Oriwszo6PvvfRwK4Stveh1pZqOFmRCAbtCgekunqRRUAgogDmGmpYgSIhpoiKaI + UURgBDCBgkBeWFx92t33i/d+sbQyb3AGXr/wpt+fQQi3tLA0NTkxMdT/XngntBN2/G6GzW438dgA + 6s7m2oPWth/+6CeRaLKgrOoPz56Q5KQYTca2I8vzy5Mjo5FojLNYLIRDxERAhxwwHjGGdDwAgKoq + cRpeVzc3k2ZXnuC2KvzzkSdCwIyAHEZCjtSWbMxttD8dnZifzduoOpptAQCBAgcASITU5szcwvxG + OM75ag40+HMKLAbQNFVAGpUTm+vrjx93dfaPB8oPnjhxurnpVLrfL5g4hUqV1SUvnT0Z3g77PC6f + 0wFUQqo4OjLy9z/++ezKduWBI1/60hcLCvMzfRl2iyOQWdDQcPyttzZSsuxyu01GA8HwXKkEACAM + kL4fTXVAE34eIwMchxnec5PZXWmF+TTHnba5vBycmlpb29xMqmY7GIAQpmJEAdTo9lx7W0twK2Tw + 5O9rOJXm9SGqIaQBY+LWUvv9Oy3tHQtx9c0/+tPj9bX7ywq8TmtSTpWXFZ04cXx+fmlnO+J1pxEt + hRQpurHe3dX1wad3MgKlTY2HX75w1uOyWy0mgUNFBblnz5yZW1jKDmR7nDbMUoK6i5nGm53l+49f + vHAuI91rNnCKlHjlfPP7v/hZd8/IzTstNncgkJNlMGg8CslKTCOCzLsp5wRmAo3sGaExApABJIFF + MZMoEAmsEjVQwBwGLLA9jMbzXzjCMY0yRgnhATQADagMiIU2l3/x85+OTszLGjl24uWcnCyPz62I + yYnR4eG+nr7OB/FEKkYNL128HDAAk1QLj4yI2pBk1uLLoXjP0NjgxLX14DITzAcOl9oMeGd9eWF2 + ampi+Dv/7ftf00yveU6nuQUBEFEVE5eMboeG+/t/9N//x/jI8E6SBopLDhWWez3uyamx5YmBvx+8 + ZVY3lW2VAWiMV/f4P0CoxjSmt8+IBwaAGABBNpvN7/PnZmbNz+5Oj/f/7d/8X2995Y2mU0cEs0Fj + WAWmLzQSAozpyiAA1TADggXKQKJaUpNkDCoAUECYA4pBZVhSmCoCSAYLttrNZpOBBxWoCBpSNUQp + RlhA8FuYeL0NAQ2/cF2w3/ocPBcCdEmXEcAGAB440ZLuzc5Nz8pyL61vLo7P766Dyw1GIzA1yThG + QeUIG19Y7h1fTGlQk1uyr6zKYQSeAVaA8LC8GnzydKClrduU5vvq+SsHjzQW5eele9wYaFVp4cmj + B1aDq2abG5CAOEsqGV+cn2q5fW1qauFQ44nzFy/XH9gXyPLzHCiiWFdTFVxaSkqaLyMgmExAVS0V + E9SUAQPmOAk4WVUFApHgUm/P4LVbD5hgf+Xtr5450VSYm+mwpXHEoCmstqLsYHXJvTs3Pr5+t73t + odHpzy3MFAjwhMMU2h529XR1jo+OvnLmxKsnG+92PtvSDEnOvEs5KwPLXqoKIEqANwMSgePTPB6n + 3SEAjm5up8IRwgAjIHoGAwKMADFtLy8GfuOcfv78n39wL2zqn1Hp/tVf/9bS7d4bCiGEMcL0eVY4 + QoQgoiFZFpORZCwaw0jT5FgytjU/P9/S2jM5MZedXXz4UFPtvv12m4M9T7ABAAYyA5mBgCivr1HC + 86+xN5KAfx3xdq9CBdDp6RpGwJsJUhGoADIA43kozPDk+tK8VuOOCJFIdHZxbXEt7Pd5PVZADEBK + QnQjODawurTBsBOcWa6swty8TJeNAkmCGuHVMNIkAAELLr+/6OiRZisPSjy4XuCcHml7OhlMJCLz + m5urmxu4yGbWqEHjiGLkmMFq85fvP1BYlWthYnJ1Ob48/HTAPR+R50M7/ZNTh/cfArdZn+moe6dY + QSADcAgM/3wkgAjH+TzeI4ePZeVVBPKrfC6HQMRIwBGc6dsJLQU31xloMUneTrAAMvvcnqr8DL8F + L6jyTjgxNb9eXhLO9KZjBIAUoPHN1anljTWFGgy+0rTsCqcvl8MKYZqNKHYcp2ZLbn5uzcGG/ftK + BQSIgr69zwDI87ke0b3+lOnSiMQglYjNjA/NDnZvLi80XXjj0OlXGg9WmSGeCi/Pr4QWNkV//j4m + EEqAIuAQICYSFkUUJqfn3ClbdsG+msrS4vxsj8sYXBkfGuq8fa9jemrMYMlpPvWyx+MwCBRAkuLh + 4OLEw3s3HneNrG3LhxrPNjTsr6ut8LnMNLm5uVjQ+9T56Onok6Fhi9NntKfllVeaLSY7RwFJHI1j + TURUA4Y14BRi0BHivKbxoHJI5bCEUQIxjWATgEMDkBAwIklMlhUJyxoBBAirCDFQjVSC8MbK8k5H + 5+Mn43MJ3q6ZjJklhceONNSUFLutJrpdPv+MX+6//XRmazfhAg+fwkTBiAJw8OKCRwwLe2Rt3S3I + ANheY5mMJna1Dc5rzi/IajzWUFkSyDJrQmJtJM/W/mzsQffww0dP7O7MffsbzSZsQpRgcSs4OTjQ + f/fBfRFZSyvrXjrzUllhkddjI0gsKfAHPN57d9vG+4eMNrs3L9+fZrFjYJJkYQoQIzAeKDCEGKHm + NEdJWYFTULx8IhLeFVckV6Ck4fTlLLfgtlBGUE5RqdduNiOVUklVJEmSIvFwVF5XKCorKawoO1Jd + kOGkqri60mY3dQ1vTI2s9jyZ96f7vRl2A04KIIISW52a7uvu7HrcY3BkVtbWnjl/LhBId1pkrG7n + ZJo624Zufv5ooGfM4cko33/I6jYLehEKYATE7Q0wKQCjiPFMtjHRpcQgnpycWEyYs8wWUlF/tKQ0 + syTTxYnhuaEnLR1D/ZNbPSPz3b3jTqvTIRgBU6ApKbQ4OrHQ/ahtZmrB58tpbHqp/vDR4ny/QMPR + remS/MzP73ROLW5cv3Xf4XH7czLMhFYW5UDj/rHeJ6PboXtP+mtPzZlNJGBhG8HFwaGRrr5xe3pR + 5f7m6rqjNqeDgXys6cBWSZaRt48OrVjM6eVl5a9feY0YkcGYZrP5ArmFvMEEQBkkMeN4xANDjIGC + QAGgqd3NhZGlwYfB6ZHapisHTlw+cKjcYFZSylZoPZGXM1deXE+woKlJwiUMKGXQoiY5QuQUA07E + dgnt/fzgQQMt5lA2zWqEMTVFzJJg14gRMADVgIkWdRclQ5vb2mKMUGIoy00/dbC+przIaberilhS + mNf5uLN/YKCrs83jyyytqne77bxBBbaLtG2gCU2jQ6Mzrgynw59eUVVWXVBRGigKr26PTg5azMLM + wlR/X19H51Nk9DjtfgQAoCKgGtNUBAzr2EzBQpgnzVaZbs/2Oqe2dkbXd9eTYHKABQCDBiCCKqbi + 8aWFlfCOEsgozc4s8rkzDQQEBIgxgkDFiCIdJA17m1QUAcOAMDBQGCAGgsEayMwUjjb6c3JKqqvT + /RlGwonxZHlRYWvbo/ttjwb6h/Jyis6dSTgdNt7IAEkM4oxKwNjiwsrGdkxFfGlFVWlhUXZmloBg + e2PSZ1VGhh8PDve2dPcddZYdTDcLAALIWjy0Pjc+1N81MDCM/IVVh04eO7ivJNuHxEhoIWOmt3N+ + MDE2v6Uwg4rjCqT01EKEOH38SRAliAJjQDFgQhEGSuemp562P+hua+Ncgbov6JGUAAAgAElEQVSG + 04cO19eVZWW5hLXV1f6xhbHZNUw4hYKiSjyPeMIcFlND/QFideaWVQYKCtxpJoKVonxfX9uTR7ce + bE9OjGVnLW6u27L8Zg44KlpxyogQUoXlpVgkKiosVlhcUFJeUlRaCEiLLwV7TPxc39D04MiTnjGc + dygzw8QDIEoIBgWBqg9SEcO6rwCpwBSgMmiKmpJ3E0p0dsFVHGg8cbo6Py/X7bEb0FhhRu+Ttjud + PY+f9sYtuSWHjxcLVo9JA1AiG0uzA91PH7SERJJduu/4+Qu15Tm5PhuTYiuLRSW53s8+vz09OXG7 + pS09uyTNlm03aBzIMkUS5XXADgXACCNQgYlWFHc5rMUVNRZfUVlR9oHaMruZIC0aCy1nebSb7ZOd + Q6udg4tFpQvZ2ek2EwaQACcF2MVaiqpsaSmiwLhgNpVUVtbUlWVkOBlNRoLBvraO6b6B4adPHj16 + yvnLmr3lGMAAEkaaAAji2trcumIJGzPctYeP1ZWWlGRlWgVteiyj7xm639kzODKasJSUHn6ZN1jT + OA5AAqpQLYWYgoAxwBRxVG+tEEbAeCYaIMFhGXGgASAKIEdhc2FudPjmrfvhpOwNFB89f6moui43 + 3clpiZW5nL6esTu32xamFtr49urafYLN4DZiYHFpN7g0v9H1+Nn45JbZ6Dp16mh9XUVugS8SW52Z + Hx7tezY9OLi1HMcAgHgNmRAAB2DSq1LGAEADxPSZMyMcUxEwgeOyc3IPHUFFZZX5RaUZgVyrgMWN + ueC418FLjyY3ukcmGxa3cvLiHrvNACqAZEQpM40pycT6Vjw5suj3WQ4dbTpYW5abkWFAeLy4YHN1 + iSD1yZOupaWl1o6eQOXxipI8hyCVZ9uqSgsfPZ2bC4pj08GyikKzWQUgBPEMsJpKLk6OhRfnBYRz + Cssc+eUyv1flEwRYpwYzAIod9vSD+w9X5mQHLNzEdHAXDO6s/LOX3/BaFCuOCzyUlBZYBBCYYmBJ + I4sJAIypEhAZYQZAQCZMEhgQhWwHw7GYbHE78wvLystyKspzE7tb04PDXa1sYW6ps609gSyzq9s2 + s+PMwfrCHK/FqiUSwY5HrU97Nnv6Jw+M7dTWixa7YOIAqALyzvJoW+f97uGBAcFVXH/owEsvv5SR + 5bcQRYttTWS7LSZr57Px7ifDgYJnp84dz7QadQsW0dfmCMKYYcJRhBUAoqoWI1cUcKuHa7x5ZVkl + NUVFxTYjSUa2CwLe9taW/p7usaHeofHxio1wekaa2eUtLSsxsZdGPNxA152n/UFLRkZN09GCqoZc + t9FjpAWZDpSOPfjC6Oiw2LM6H5x151Qd2F96qq7UgWWz3eHNyDB500GTIbE2393Seffp6PhMdnXz + qaMHzjQd9KcZBUjEwytZaeqtBxOjo/POjNmc4sXqmsw0J+VBBSXhFCMmObW9vrUYQ0bzel5ZdXlJ + flVF5dbGdron3ePzGI08MIoRRQgzQIzu7aJyCAlMNWopI6hraxvrKd7qSMsP5JYV5tRVlxsFbje0 + HcgKdD0ZmZ5dbbn/xJWWXpxfbDFQQCnQdsywY0JxrIpDfQOcM9fgyK+tO1yQa3E6FIdFKc3JQBBb + D84P9z953NbGcY7S8rLTF88WlhWluzxUVKaLJnq7H9+9fn382TPObAuU73O70wwWW21F6Vpvx/jM + zOj0rKcunF2YYwRACHFUATWurU1P9fZs7+wiZ6B4/xGHz0d4DVTKUcarPKECIIOKeRWAMA0Su+Ht + 0JOurgePHq9HouWVlYeOHDm0vyYrzSooqaWZvKEB10Dvo77hhbb2VsFXcNGaUZyZZsJGA6a8Rlls + V5BFRJlMOZmYNQwaAMYMgwxMNGhhoKIMSOTMKidQhBCjUjI6OTYwPtIb2tk+eeby8VOXDtSVYkhG + QsGV9djyajwzrwrzJlWTOSwibESI1xhoe/kRGoAENJXYnh3s6ZgaH6PMcObsuebjjUWFOTxBa8Hg + +srK2tZGemamSjBDgEB1aqJNVZCKRWpOgF2B51R1UBCIBGmcJBljql0UjMwMnEXmDBoGAakEJwFk + TVGXFleNoSglpLisoq687OC+KnE3tBqcGhvzdXW3DQ73ytZ0SK/IzvMTACJrTErZiGZBqeTuTkdn + l9GTW15z4EBtaV6O1202bCzO9nd2tD4an50KPvMuFlesVdXlua2cSdOAMswAM4qAIqTpsHsZwAQE + U0nQIhwDFWlxIogYGAAnR2kqOtn76MGNm+PD/VmFpQ0nzjY1HQukOw1I2Vpd6XuW0dnWMT4129Pb + V1rfWF1bm+UQOExXV+ZGh0cHB6fqjxw/cvzI8YunKUvhqCxvxeZHp5HDxhuMCuGUPRWTEAAMKmYK + RYSBQBEwqklxSIYNYoqzp3kEt5WZgen/RRgATAAuE5H3Fftn80jn053RuUnvwk5NPTgBBA2AaQBJ + KRocHulfCSVUe0FB3SmnL4tDqgEljKDEdoNjTx9PTEzsqsL5xpcOnXipqq6Iw8AQUCZ5vbbCnBwx + oVBJcpoxKMmd4OLYyGjP4Gh+WXXDyZOHT55Jc9qtnEBUsFkdGZlZJaVV61vrFKhB4DDSFQqmM+ew + BkRvDDAP2ABAXrRSaC9pXgMA3mLJ9KHqgtzN6c2p9dWFxZW8UDzTb+cYEI0BKEAT0e25vt7HcUlL + zynNKau3OZwAImgiiInoynh/14PtWMxWWLXv1OW6yoJijxGo6qSihzk5oyE7Oye0E/W6nDxINBqZ + HOqeGB8Px9mZ+tNHT5/cV1/GA2CmEqZ43PZAdm5RcQUDlGZQgSXNLM4hSpHRmJbjzy4tyvVYOEA0 + XpLrCM48XVldHxmbqF8JKxSMnMTDDmUpBfMpLk3FDsY4UPU5Ks8YRUgCEA1slwORApGQTQYTBUyA + YqzBnly5B9DAAAwwY4hpGiIMkAZUAabxRPW6nftq9zu8hWUVVTkBr9tpAiUxlevPsuCWhx2TUzOa + e6js2CueDOAxD0zhNNGkxkxaNLS58bD72S41ZgQKD1SXF+f4XQZV3FnqfHD7YX9waGr28dh8ac3a + AXPAxiOeUpDjO3PDT590PewboSZX1ZGqQ4cOFhUWuO2WhZnc8aH+yYHI6owkhUCzgoYFDWPdq8ox + fU14L++MMcAYOMR4oyknK/fcqVO3U53Tkyvdj1uJkS6vB3OLCgL5ed7MDD0qFZ4/AQzAASWIECww + AIlpCSpKmFE93E/jQAVISCvT0ysLswCiJ8Phz/DwABg4oAQQD1gAzCO019mj33gKVQwK2vsi+F/Y + PNlvGccRInpSIYAGZmN6lntfdfnWUCyyurM0Ecys9PE+oCBRYAoomipOrqxOrISIBbICBQWBHAsG + I4ABKUyV52ZnnvQNh+Pawfry4+cullVW+px2EwGgisdlzs9OE0tz44pRQXbCCevBnWfdHcHFKbvd + c+7Ca0eOny8qSOM5AE3mqDXL7ynOydxNqgkVDBYLUlM8lc1U4oGqlEmIZ4gAlTfnZwZ7BzbDYnH9 + kcMnXq49WOF3Chg40DBiBHyOQBoH0fnxidHlpcX+0ekzYXA5wCyAgDmDzeHPzrNw+GR9FYpvz0xN + RndxnFhi2CghMOu3EUPAOMAIQAPCm6w2s9HMM0iGwuLuLlKAEOBehMuDhpAGwPb4rbp5FMFv+AkM + 9rjf7DfS7r/R69/HdbunSPM8D4wyqiGCOZ4nGIVCodnZ2dnZWZORk5LbqytTn3322djEtqylvfH2 + mfPnX8nP96oaUACDsPd8EKgAIgOEGLcnt+jhu893Wf/1HhbDTANGGREogAL6DIbjAe9FZfNgsHDZ + Xmeu35NaCe0mkstrOxOzK3lZWS6rBWkAYgJ2gssjfStLO0CyzYEDvuxSh9NiFlKAosDCBrqLqQKM + F1WTKHFSEtIcYHY6Hca8QKbVZCWJKNtJJUORMCiKFZAFGVmKx2AhnC2h0RjIVkR9PmN1kWdfdcHK + wNy6lFrc2hIVVbeAsb39UgogY0gBGAD2nqOqAUPAIwBM/Nk5b+SXA1hSGqgiCJrkNKqHq7OfDljw + EFDMKCdQgxMZDB6jy+R35Xsso8boVkIenlioqSjcX5mOOAyggry7vjq1srkB2Oos3J9eWMebgYk8 + YZrbAnaSiKtYUeRQTNwOaRaOCAwYD8QIOsqb6ZvIOp+FaUCBYU5iNJ7YnRjqCS/PZHqcl199var5 + st+BXHwMsPcAcirIEXu+VUkBADTEUoRGCUB4N5Zbnf2n//E/15TlZbgxIEncrerrtS0tTT8djk1M + L2+FxJSi2UDTaDK0szQ19vRR252VVS636Pjvff0/NTbkupyANTDSCBwpPn2oxPvh3cGJhb7+QYc/ + s/nCy5mBDDvHACSBJTBNqZKkKlRFBEycrB8tVeVBIUjhicIREYGGkAGBgwLIgFQkpaioyBLIKkIM + MFYAUZAxUtWN5eEngyNjk2GNKzvYwKWlVTc0fPWdC+lmSCNgZXWpAxlj922xX92bWhBiiOxqTKQ6 + DZ4SfcVF52H9Nl5El24ZJYyJCTEqJw4dLr985fzl1xudJvCBiJTVs7VZLk/bk8GZ4fFpf/bwq6F4 + usduMgHwanCmr+dpx9j01MlXf/eNN754pumExwpGAgCpitL0urKqxFb0affovbutRy+/aizMMWGQ + kikBqbzFAhRAAZkhxCOT2VFcXFCcbnRKwaGxuWAy7gqU1Bw9V1HgzHQBv3fGGaYp0GRFEWOxaHyX + ciZUUVr1xa9ePHmq1gmyGyKwvlCbk8P94snHrYtDA8GyyrWq+hzKxQWWhNT6yJOWgae929u7Vy7+ + 7oVXLx09UcwTMICC5IUD+/x5mcUT/cGtYGRocGpheTPLnJdm1RdYQAAAgvWQZcoUDQMPitUAHi2h + RiIT23MZDbVnTjdeeeNEYbErA8tGCK1V+Zze7OC7d5c3Eo+6h/aXV+Z73WBAAKnQ6njr7ZtTY8MW + c8Zrb3zl1EuvFJS7jBhsOBOQ+8jxRhUswV/cuvfgUUVtZV1Drc+MPV5HY3Xx46rS6a7x4dnlu0/6 + 023YXeiaHOkbHBpeWts998rb9Y1n/QG/AYPB4HU6qpOxQGSbctDqsmdWVta9/sbrlFM0alJVMBjA + wAOAxiCJqAGAA6orjSABKInwxsKItDZmJ9qJphMHTr1eUolUYBS2eUi7fJ7jVUAIRElBKMZzookl + jXKYJhRNwzI2SL+5blXQomZxjUttIqbJgl3mHQo2MgBAFKhkkiMQ31lfS8XNxuyq/S9fefuPvnjJ + ylGEGKjSiWONaQ7r3PTE/Oz04NDIoZnlKnOFzaAguovotqbEZVlZXN7MLMn7xv/69bKq4oK0bJvK + QRwOLFVl+B3vf/ze+Nz2nZaHGfl1lSV+hBggBYGGCKIEUyCUcRjAhBESuCqfo7Iov3c0Mrq5O7G2 + 6zZYvUYMBIBKIO9Ew7vbmyFGLWVlldlZRWlOzO8Bc2TAmCAe/caSoe7RtCgAwXuhBzIUF1fkZ7pd + bitvczCjSVIUIzDCoKq4kOOE+cXgxNTC/Nzy5kbEbLDwRg1ARCiJkIwYXZhd9GTlNZ+9+NY7v3P0 + YLndAFoKttfGK3K4n//T3O2hnduPntpqr+zfBwiAl1OJ8NbkcM/C9JAoa41Hzh97509fOeU1A1hg + TUjUL/dV3//AM/vBZ2tJTYFYQolKVKUAPAHKMALKYwAO6XkgmBCGEAXof/ak486tVCR84uybF7/6 + vzQeLUk3gsBiwOqOneUXN6WhkdFAullVZRBwTma649TxL7z5JtjditGaYkzACSOIVSX+UqeLW916 + 0tkX2dqYWV4KpKdl8ALCMs/JZoypzC0tRL2Zxobm2i985VLT8QZeYASrLLhR7067Fo2+3780ODJr + P5o8Ai4bBdD0MEamAOMAEQCEGYAMTAEkYqZSWVISYkKTHQFv9eEj/+kv/iLTIJgBgCZO7S9qC5gG + RscGV9Z2h2ZPL++4XLzLxADE9aXJiScdCyODBSdeO/PVPzp69mi6BQxy1GHQqquLmg+U7W6t3+ic + bGntaDjxclGOy2XCADJTSDwJRivPY9CYXtUA0ISQRo41HsqqPsP7Mj12EBAIwHjYAcjITxexPfvp + 7PWp1WT/xOKp4/v9QABJwMkmEidUVCW6tSWCkPydP3j79MvnD+5PxwAYkgY1+TQn8y5mE/M3up8M + QO6hfQ3lPMcEkAQemxBBMbocC/rLK/YdPPonf/L1Yq/DTgC05LGajP2F/Or68v3J0FLPZPPMTqbX + mu7ngKYQUzAoCKmAGEOYAtbnuxoAAOMgJTCVQzLhsArAZAVSocjs4ERfT2/fcG3zhbOX3nzty18x + ODgrAiskq/Kc+Rm5TCJ3bj/uedo7OTXlzHS5M2yg7UY2JqbHF1aD64h4Kqor//CP/0NtlUdFgA2p + uNy8tbjwnb/8z12rnQiAAq+i/5e39wqyLLuuxNY+51z3/Ev70vuszKzK8tXeG7QBGgCHaMJqSMRw + hjEKRShiQhOhUSg0GulDHwwqRDEmRjMi+KMRySE1wAC0QBNgN9ANtK+u6i6fmZVZaV665901x+jj + vqyqBsk/gi+qIiujXrz77rnH7L322ms5BrAAoUIgAjGAgSwFFnVb21gi4c7OTA9NzETcS2R7YdmG + IUVILA7ieE9/iqrfffe7H+6uHraKldb8sHRYCOYDzYRpBq3m3k4pSE49+eyFf/Xf/ZPeNKWFYFJ9 + 5pEHW9U9v1X6t1Ft+/Xrl2+sX9uslaqNbE9rtuAen52yncz6Tnj52p1nnm1nc5yRTZwppduN2q3L + H9U3N3LJ1NjsQnps3u+mahDxISI1lIFCMju0+GAvOvvZqPSfvvNXOzLRPzL99EsPTwwgxRC12zaz + LYKlQ8e0PdOwDAAVwQoRR7ghmcBjzIW9tb6fHuobm1v89d/452dPTyXcwGbh2gfHCsJ8+4+/9/ba + 6rXtPzr7whc+87nnvvkrr/QkNLcqwEFvn19vX7l1e+tOUa1vNadmMw4A1UGrePO9v3jztZ9omX30 + iRe//qtfm10oeC5SgGWa56f7ji2c2tz9nZXbu9/78x8unjvdP9IXExqYVMYoRpw42Y4VGPgKPAyX + l+YuTOcUc0xuTKX7OWADXDbPLk7lXY3m3g9/9uHG5vbV9d1EIT+ctgdTs8em+ifz3G7tr9zczg+P + nHv80eVHHpnJIQckTAdBYnIkOZHN3Kzd+Iu3q/nRpVPnL/zy5x/M8nbEvDbIAKq1q3dv3/jJn176 + 6fVUavG5z3/jm19+brQHSWhuymBDM4NRKj//b/73P734ycHoW5d6Rj0nZ6VJQzb6ZDNr1Mr2bjlj + j09PfPXX/unTTzyYzzg6BAdSNrgBVEhGEQlDUApaagKExTwyCROIqHOw7xv0nnrsM1988amnHz7T + n/VcbiK/ceHCA27yv9z8ve+8/d7V2anZZx57IjmchPAhD9NWzWNt1cH1K9cmz0y8+NVvPv9LT52Y + gQUIVDw0SDVWrr3z0Xtv7W9vP/f8o5/75c8/88pzdtpxDHcMOz5zbHF8rHj9+s3LF3/6458899Vf + ZXqiT4j5ybGVvgyF/sc3bua3Dx4EKA5pVICwHmxevfTmjxqNduL43NyZR1I9vaAQYBQpIUXCSltO + ytiOBBxo1MtX3nv7ow8/2NjZnVha/swXf/lzX/zicG8iT3BV86HlyQdPjb4/nykd/l/XV64dfvfP + J049Pdyf70kRJOdRpOtVEbQhdTsin0F2jQcNeAjVdFQZpm0s4VsJyW1DBGYiv7ly4+PywdZAX8/X + vv6rJ8481JuDjpo269diMCIWApZB1FECLcuxGLelQiTBSdo8BPOhaq3S2s2P323WalNzT/zaN//r + 0dG+VIZIYWl+ngjg6BA6MAYRjMypIB2FwoiQ0k2d9A00QMRA0qBtIHnou02VbHNHe9zOSEdoKFAg + hA8TBr6/dac4cWz+qeeff+lzLz94fKkv5cJEpr6+ujInOxuf/MWVv/7T708//tVnHj/tCVA7NH6n + JyF6LKn8YGX19iuPf/E3fv3VseF0LqXTiFjr1OKA53cSP3pnb2W1/t4Hq2PzY1kiqaQwMXRrCBpQ + cbEtAhRxjtA1dQFII5uwgrh5sVUOD7cvvfnaT37wvUR68NnnX/6Vf/ovevuRY3AR4fjM0tT4TGHw + t377362ubfzwzXd7J+cGsjbTweb6yvbWNijx8GMvPv3C48OzhYSFXti8jaeeegk2q5PxOaIjuIgB + gAL5DNxAGXBo4zeNbCZhUl6ux+pNUKxY3hXmTAAOY5X50fziiEk64ZWVm+5K8fMKaQAaUB2wVrN6 + 5/2Lb+81Qj5wbur0U6l8P1QnwX2Yti6vv/v6n+/s7OaGZ88/8/mxhTElYAy0MjAdzxKJdDKb4JAA + mghKq1cvX792tVL3v/b0S48//6zX1xdHayTBAAi4LvXm+xQiwclAGSgNbWC4NkwSNGDBCCbh8rjJ + 3UgQGRhtjIHiBDCrJ5t+8MTi3qXbV65fX11dH92tnFkadg0QaVCIoFLfX71+7aK25vPjy71jQ14a + QBmqispuef3ytcvvJGceHX3yxf7FJS8HxLrvgtvCBtDX29vXOwgdorPfLt/54M3Xtu4cjk4ff/Dp + Ly6eHjJxc63UOvIdmycSKTeZ0hJC12GqKWpZZHzJ6zLha4cLwBhmQidFy8cKxxenL33v/b1KGCoN + tG0qEQskE22WD5E+gqYBQLNYatgXps5NYIgHlA7JM8QYQgOpIUzXXrQbIhMDGZKR5EaSJUESOhzI + J7/+lS/ZudlMYVq4cBksMkBraSR3ajRbKm795fXKmxdvfKGBEwTXAYWGhW0rqHpRvXxY3Xrvo+d+ + 9b/58isvvvLcaVcjqyqg6nRetfjFGz+69clm+cMrawvjAz1ZBjII6ltX3n377bf2O+r4o898/mv/ + 6KWnHhpIOyJqOU+eW7+2/NZfj/zH3/vdleqegqOZo1gXqAdpMqQNZBzwGzCmOQxxPjk2+bVf+fLe + ZnlnfatZ3ftPf/D73/v+Xz3yzDMvf+Fzjzz5WL6nx7WYReAxW9OAAEGMdbUUTFuHAUUaNmNAwNEM + sF/68K2fXr10GUJOzAxNTI3FJHtoDuYw4TDuxBXhu/AsAXTExruvnHCU6NM9LMUgZpERAYwsMNM7 + mHvoofNXi1ealc7V9y4t9p1EPsMcaaADtFut2q3t3Y3DTnpgamh4qtADF0gAnghls3bj5o0PL1/P + FyYXT104dvJcJm/zeM1qBUjYyu3xXNMXGVcRtja3Xv/h90l3lk+efPnzX0r0WEYgNJBh4BppuZaT + 9gbSTkcLIzjVtUc6hUhABZEMmMW4hbBVXLn+8UefpHtGJpcfnz/1sJsB0DZGsZg3YaSd5qdn+595 + 9Ozv/cl7N9eL67sl2D1kE4AzDz185tRZu3nAhS8/+kmWSyKnxb22hegodWVAzKkFBLiwXNexbKHg + V2thvQEfzAUjcAZ054K6q6V6ZPx9RJb7mxoJv0DSLf42VZdfwEsrJaW8J/WrFLT2O/6ljy5959vf + /p3f+Z3f/M3f/D9++//8f/7j/3vz5nYul33qyUdPnzoxXBiOZfmIdScgAxg4g4hFKnCXdBt/cFfc + 8+9VaILu/bwrrgQceWEmkrOzc6dPnXQdG1rKTnPl+pWD3W0BcMZ0298sHhQPK/VWB0IcOzY3NzeT + TqVY7OMEBdKcc9ieZScs27WdWGya4HmMCWMMoIVFnKHVbMgwZIwJYQnYXNiGKF6WwrKJURTFLjRM + 3PUk+vRN0P0TyUAwWAQYAy4gPECEIXSEhA1wYyK/VC75oSQb4JaXyOTSWW5gpEw41vmzp2emxlXQ + unnz6u2N200fMBxSB5XqRxcv3rxxHYTjiwvHjs1rgAuAWW1fdkJ9Z6v23f/ynX/9P/6rX/vGV77x + 5S9/87/6x//6f/qf3/3g4/26HwMiUikZht3ONGJKK2LMcVzLsqSMarVao9GIpLRtUkoiCgETSUQK + 0kADGgijiMAF2TB47PFHXvmll+cWppNpphVgmJvMTI5NP/HoE+NjY52gub65WqocGCjBeaVUXbmx + Xiu3JiemXnjxhcnpiWQqxpBjCzA3PTS9fPz44xeW864sHe5d29gptnQIBi0zJrSZUQxtziURB+K9 + 1hgT0/OIDIM2xviBChSLdSFsGNcWqVTSTSSZsJUhAybAAV093P348sVqvT48Of3KL33piSefWlxY + zKfh8HjFkjc0fPbs+c9+9nMTk1MQwvNcLrg2iMJQKUXotvX8jaXQhdpy+Z6lE8uf/8IXn3jkkawH + rhHKEOBez+Ds7NxTD53vtbFfLF6+sVZswYAh9O9cuXjjk8vExcTCiaVTZ5JJcEBGCFttaOTz+XPn + z45NDAVRsL5+5+CgRUAqnbZcGyoCAAHHYbFRJsCQcDyPM6aCwA/DSAhLa0gFAFLJMAqgIsZZwkvk + c7mxsbFzF85/7etfW14+SUCESEcRBJ+cnhgcHIDBbrFYrzccx7W5DQL89vXrV7e3dtKp3Injp+Zm + 5wQ/6mQwHLAG+gefevpxx6Vicbu4u99py6PxkQYKsW+O1izWN4VBGJIxnucNDQ+fvXDhmeeeGxnp + 91i3vDpQGDx79tzI8LCRenNj0293AEArRLJcLl29cuWgVMrke555/rnCcA8xMAHDBbQdNIPJyfEL + p+Yt1SrtFXeL+4a5sFPW4Ng/+vLXHjx7GtW9n/3Fd9/78Rs3b6x++3t/efHS9Wyu/8EHHllcOs4Y + jAHnJpFwXMcSQsAwIoszWwhh2a7jwPMQq54pBPruaXU0J6Q0URgSM8w2TEApGUVKSXCQBYdDKAMJ + GAbHs4lR0O6QIYtsQTBax7u5PEJ8wDmEDcY4I26iMOj4QdiRiMsySYv6ssmennxhduHrv/ZPHnjo + YQboKDBRAGPyudzpE0svPP1YX8arVqsrm8VyW0lwcMbJcEvYicy5h+PSZ/EAACAASURBVB57/Mmn + j83N9OVSNmdggIOx4aEXn3lsfrQ/aDc/uXZz67DuKyhjQbsA58IQ9w060EHXBdlLDswsnD33UG8i + VV1Ze/uHP9rf32/FI6L8yu7Gxffe3t4u2sne8w893ts/cE/vX3fFbbvnTKy7znicMQAggmAQHNlc + pjA87KazxEUklRAWIwatkpn06MjI7Mx0KpVkjGliihhiSgKMNvAjle8vnD134dVXv3Rsfs61AYDb + GOzvf+D82fGRArQ+PCg1W373UDJ+s9n4+PL17e1aOpV/4KHzcwv9rBuOpGAnx47NPvTImSeeemRg + cNCx08lklsCUAgBGphsGEocRJlJQEVedqFW9eWvlyupWsqewuLBw6th0yoIGlOHKCNvxhgdz504e + n5oYs2wLxJ10ZqAwbFkOQIxgMWLgCkZwa2Bw4Mzp0+lUwg+CZrutFAgMMKbdrDfqYSSzuZ4TJ09/ + +atfOXHyhOu6glkcIpFOnVxemhgbFpyVy5Vmo2M0ohBQEke9SRTHAoZ11adIgYxlC9f1ksnkq196 + 9dVXX02lbCEABXQCSnrz81MvvfSZicmpdju6cXPtsFRSCJXy11ZXL310OQz01NTU+Qvn0mkwBmIx + aMgcxzu2sDhQGKjWDje3bx8c7KtIQwvB7UTCis0owkBBSxjAcPCE5yUz2ZTr3bWLJBgGqfM9A1OT + M735XovxIJAaVggbysAoLjs6CsHFyPSxJ599/vHHHzs2Ndg1zwQYZ1NTY7PTo2nb3t8vbm3tRLLr + 2wZGvu+HQZgWmRdfePFLX3o1l88aExeZYfXkjx2bf/yxxyYnJ7Uf3Lp1a3e/rA1kpx2E4acOBNyN + rABAE1cETcxAM4LNDWwqbqytra0psqcWTy+fOZ9MCpcgAA3let7Y+NhTTzwxPTnRbneu3VjZLB6E + WoGhtLd1+aOLlWptZuHEq7/y1cJAHzMgowBw28339H7h81947pkH0T2qGO6eVveCrk93pnGeSafz + uVwum3Fd2+YQ8ThHEZQaHR3p6elFJGv1ZhBGrmuBM8gQ7aaOfALISz37/MvPPf9CPpe1LWG0hjFe + Nts3Ojw6Mzk5OZrLZmrVWr3RavsSipzBkWPH5ubHB3Vt69rHF7f2K2WZIJ4QkE5w0KruX756Y6uu + BsZm52ZnxwecWF5XdkvI1I0VWWys3v1VShn7mykVt5/B81zLFoyOGuyOAtqfi2S11CpSyUTq3Lnz + X/3G1yenp10vIZgN8MLAwKMPP9Tfm89ls7PHl194+ZXHn3wqkfLQZSPSzNT0sYUFCFGp1/dLJT9A + ECFqd6J6Y39vt1JuDBUKs/Pz09MF2zq6qJQsmZyYmBgfH+eM3769XiqXW5EMlDIACc5iNX+llZKM + 4HAkXCeVSlmZnJvNe65jAQRoaA3GvcTQ0NDE2EgsGkjCvtsgB4I2OopCKSEET6dTtt3twTJSwhjY + QmsVRT5C33GsZNITAnedWQzQbre3tzf3d3cYMw8//MjC4lI2C6VgtI6dZ/sKIydOLA8PD/uBv76x + ARCHA23AuMUZY2QJ+/jJ0//sN/750tLxZMrhgG0jlsPTykBpxnjsysY5OGcsbqvWyigFYwYLhbPn + zn/2c6+cPn0mnU6HYdgJfGI0MTZ8dnn2weVxx9QOD3c29varkoElwL1QW76xIoGppeUnn3niC597 + anIIHFBGccCDINibV1evXLoWGHts6fTi2YdsL81hw3C/HXBQodD/1LOPjE31l2t7V69f2d9re15i + bHx8bGIsl+vZvL2zcXOrVjuSBmcGUbC9t3fx8mUQmxifWFpayGRTMdveKMmkik1wFEEZQIWtyv7H + H72/s11MZfpfePmL5y880Neb4AQDBeLwkhOTUw8/8ODJEyeSyfTW7kHxsFpvaQNAaiMDZiLPYo5j + gXPN4n5paGhoBSOFjkhrGAokgkhpDUgpo1BGkYqiMAgqlUqjKZWCJSxmWQRICaUAguu6lm0DkMoY + A25BCAFiUBpMWLZj27ZWUafVaNQrUismwAUonouhis8MCYLRHBFMSNBgTIPi5k7ByMBEiBhiMxJL + axWGYRCGR7a1WitJRK7r9Q8UTpxYfumll2Zn5zzPjTo+pKRkcnZu7tSpkwvH5pBK7ewUr93YDxXs + pOd5bthqGz/ozac++4XPP/rc02OTk7l0Jslsxjg8d3J+9vGnnkgmkrtbxcsfXSqXyhrgtgNmSbIM + MQZtmcDqhtEASJIVMC8iaICZ0AJcGDJBvVquNjvKzS2ef2x+abk/D4fi6MUCrGw6MT2cH8rbfrt5 + 9ebaXjXwJVSkyEijo0h26o1S0GllrYQLQeCw7BjAMEeXvq/jNeaddXcvrVWz0eh0WtpoSziCu93Y + WkPFK9oQGB8YHZ2enhoayNcPy8W1O5U9BEHMwZGI/L39vfc+eFfqcHx6dH5xprc/Y3Mb4GgE5Z3D + 1WtrjOypucX+kVHbg5TgBFuQbdkMPFIqCJXWEUwE1dkvru/v7YWSBoemh4YnHIIAjEYk7501yYRI + JlwCYoyMweKwWQw6dPFaAJaB0Mrcp8LOGVndqoQlFpdmJqb7lGpev/rJ6vXVMD4JGINBab+8dmd3 + c7s0MjJ64sRCLgfbjj+Atxv13d3iwd5ef1/fyRPL/f1wnHgcJbQBmAFpA921voKMwtXVW7VatTA0 + 1Nvb5zjwoziPF7Zta6mUjD5F2DHaGG0MbNtxXIe6yaFBJOu1er1WT6VSjmMpGakwMEZzHndmMhMn + kZ+Cn2KDJnP00XTUk3+3b5zuP8BjoVhhWyQEWIzcMKSSMzMzhaGC44HHYgwgKINkYnRkeHx8NJlM + 1OrNVtsPFYDYDxNaK0APFfJPPPeZ55974dTp0xwQDOAEJWemZ44fP845Pzg4KBaLRALMgpSoVjZW + rt+6ecP2knMnzpx/8OFEwmHKh5bE+fjk9JNPP/PoY4/OTKe75tIwMYwA4iBGgCBYDDaHIA0oFYRg + Ynh86pu//s/+5X//P5x/4MHC4KDfar771k9++7d+81/+i//2W//3v//wwyuNDvwIMq4ARKHf6XTa + HQBcWMRFJ4xqPg4P/fXba2+9/sa//w+/++ff/+FBqTR7avmhB84tHJtjACMOwSFYFAWB3zEGf9vr + LrHzU+jO3w0YMjC7p2/o9OkzvdlE7WD9rZ+8tr1X9A0Htzk0a5f3b69tbB6UZXLm9OOjk3OZJISG + AChqNKp7m5sbxf3S1NzS1PRcJmOLOAeMYTcwGBuwQMoCTEcd7u9dW9thyaGBkcl8j+UIMMAmJF3X + ddwugQjggt81ZjdGa62VVsYYrVXUaN65c+f27bVsNjs0PNzbB8GgYZRSRmlIgygEY339fUtLS47r + tVqdUqmqlCYgggbBdiyeTgBKycC2BGOs65t+/2Ad+c2D4HluwvNszpu1eqvR1BFIgx3Blp8m034a + Gfxb//wiX/9AWrd05Gd+L6IlwxglkomBwcHp6WkGpWTT7/QmEkWlUn67/vGl94lzXz1cGM0lk1AK + lhUnbcRgAfze6NwX4Rr61A7y9/C9u3xouocSGx2HHWAcjI9PTC4tHf/LN94DQh00V65eKp45pi/M + a633Dssf37y9X+tEBvCc+bmpqckJz2UwDOZIopcx4pYBM0TCQaTRqpVK21eKxcMgkDDasZjrWJwR + qGsVq2GU0kpqDRNC7u7tr9/Z3NrZ0ZFKu14mnRZHLkl/+0SKx0qhy23hwoAbcHRJuEDol8uHFz+6 + vFkskePl+6dGRiYGerIuBxkNxz179szPbjbe+uSDrTsrd+6sl2ttu0fwUB2Wayura/vFItyhxdmJ + 6fFRFXVTLl8S2YlItldXVtTqHqQWSntM9N+YaTM888orj5471ZsUpJSMImHHZ1fsicETXmJycurm + YCFYOXjzzTfbbsGcn5vooXxSI/YnMFASpqs8zRgEJ0dwLCzOnzyzkMyC8xh/YeBeNtk3PjqVya5v + NwI/qkeyo5GSSh3slTdWd8K2mR0aPX/hdG8fBIdWEKQ0LIYkku742PijZxb2dtY77frK9v7AotDg + kCqtA5u0tFjL5pIxruHymHrDQVJBxwr+6FKchAEIyoI0nGzbAhdhoKWO2ygYorByULx541qr0ykM + DF146OFKu5HIZZxYcxMwfkC2S0MjJ0/bfe9UcChjn0s6so8/kmO6R0a/fz0YIi+Z6hsdO7a4ODGG + JI5o8cKj3ODg0PCJqbE7N9da9erqzv7AEuYMc9rN8sbK4fZWIjVIXqYTqb3ddlsrQlNFVdeRjbov + bE5Cd/x2sbhXLTfNUNIWAmBQR5ksBwxpYkorrrUhCSOVDLVUrEuy6u6QXcUgAxgwYulMZmR89PiJ + ycF+cEDEd+nY2YGBnr6ehOeEvh+FQXfha93pdDY3N8vliuv025YThv7egaXRcmTZiiopJjttv68/ + RyxoNKqlg0rQuSvwHnZZU4bB3JWJhomk1sa27aHhwtyx2YWFfCoZp5caWvFkanjEzWay3JSatZqJ + 17UxCILyYXlldS1SwkmluC1avu8fOowCm9qkWkGrRgz9GW7pRu1wd2/3YGl2Hpwj2Te/fPahM6sf + Xrm+d/vaT/9KdXbWfvyzi8oZeOSRJ0+cODk4kCAA0EQKBKUjrRXnltEsCnXgw+LEOO4z5JUEBQYo + FgfvxCCIyHEyPdlELhHqxrvvv9vxlqQ+NjaVTuc0IxhC3ARlCWLgmjg0J20zguA8rnqKuwcfExAu + s1xGhuuAqUAbEwEgC8ZwE9lMe66bGhg6efaB8THPYoZrFWNkzLKGB/uW56fef/fter22UTyshSRh + 2YwRjDYkSUzMLx0/cWJooNcTisc3wMBT7tjkyFhvymJm96C0XW5XW0hnwI0Hw4hpw1pAh0yLaWlI + kOXaozOLJ6zRH968Vdy7+vbPDh+eaYy7HmCZoFxcf/+dt8rVWs/kqeWzD2R68kd7qOmqItxbUNQ9 + gDjBaBOjDhzEwZkgOGDQWkdKMqahpYhCv90O/I7gjDFoQGpz1HhDRhutYUj09Bdm5uZOnTyZTIu4 + fMAM4DrZwcGebM6xbS11FMgYg1em02g2r1+/XS7L/Mjo7OzEwOBRZKHTgEIqMTzRu7Q8n7pRbMGz + RZJRt4LBoHn8Xs0AZpgho1jYaJYruwelw445Njk1Njoy2iNIxi0bniEDIGnDK+QYiwQPAQlhI2kh + VESkgchoqUKmwlazWq83iVOXk6P03RBJGa1hmBCZXG5sfPzkyZO5XksbLeIDVgivMJjvzVqWCDq+ + DCQMVNxEeTT+AEwsN6kJzADakOZCuJ6Xtdnx40sLC/M27spMERy7b6D3+Iml3uudjd1o4/aden3Q + wPWD1vb2zvr6tuMkEl7S6GhvjzVNM6kbTd22tZKthu0kbMcKgsb+wValMmfMMBSBc8uC7HIxYiQf + 8A2MDWGnbbdtQRqoEBpa60g2W/VmGIbK5iJQKgojqXkE14aA0Y4JORlNPFsYO3n2/PTUWH8m7lKQ + ElqTGSwMDA8PJBP2YbNeLpc6HURuqCwNgDFmO07eTSwuLJw4Pm07oAhaGUaA7aTzPXPz8/0f+9gL + NtbXDg9GQT3EWGxvERuMxLP7KD8FQJJExChUpt1uZzVsBjDcub26sroGOyHSfUY4e7tlYcExbaGb + lul0GswS3BYsiqLN7eJhrRVvPaXd7etXrzTbnd7BoeMnT6bTXUDOwBDsZCq7tHR8feYi4Z0j9DY+ + iO4LWExsxAEGkNEgkGN5sDlzFNDW6EQwFOp6gyq1MFJGA8oEHV9GknN029lIMwYuuLDdpROnlpaO + 2wICxAzBEGwL5IEwPDQwONCHzT0ltdRMMlek+0aGxIXjM/XDK3ubqzfXtxPTUz2uC91E5U5p587q + 5m6V55ePLY+ODBUScI/CKx0vsvguGIuzd8Qd98Z0Pb6oe8OCMQIojk+I7rmYG0Pm7kCQ0Qba5HO5 + +WPHLjxwIZFzQJrAIbVjuyNDQ5l0KpuN+kZG544tjk8OMN4tOIGor3ewMNgkziu1ykHpQBkoZVrt + TmNv/7BU8QMUslnLEs2mrjUDw1TKNJOy5kXVaiWKDVcrlXK1XO602sl0knC0ukz3ucXy+hYjshyw + FLgNxoxSfiiZkUaGsl0LglBw1o1MiKS+azhopJRBGGgDzrlt24LDxMTCmLXBmTZK6RA64FxzRtrA + SCW5jo1JOx1/c2uzVC0DYqC/nxOvVtAM601TdanhUUPIDsCExWu1w9u3/U7HB9IwHIxLo4MgTGd6 + lhaWnn36mcKAK+6qS93LAAhExhijiRgYv4/XZLSUMpVNjY6NLi4uDg0lbAatGSPNGU8m+EQhszSR + vX2t1qgfbJcrE0rkeJKxTEe5be2Ewp49efb8g2cW50A89kKRFgGhRkeW13f3imU706ez/T7ziqW2 + YNKKItNqeUCnUcn0uFZKNTerGxu3x0sVaziRzOdHpybnZo+9td48uL2/u37YP9eHhAFpWa2u7+1u + 7JTzJ0/NTEwMDcAmYSCJBIPhWkMqrYw0MAzQstMord28VilXUr3z584/Mjk56RIYJMWVI01IpIdH + RuZmZnvW9fZBuFeq1OpNM5iBUYy0axEnxZmxHDuuE3aJYFrDaIuMIAbDtOEmVr0juK47Njra09Nz + c73yw796rRW5D56dHR1KptwogjRkd+k6jI54UhQvLwKHZvFD8RKZqamZTPrO/v7mn/zJdx6rP7pw + YqHQm7ctDmhmDAANSBwhRiowkCBDjOjI74fATHwpzpjgGkYbbYzG3auCEYgx1tvbOzk1eeLEiXTW + sQgkGUhDWMjmRkfHR0d2P7qzUTk8LBZ3wrkBuGCCG6Up1J7rnTp3ZnJxPuXChhAqnnOUKwwsLCcy + mTfv7NQ31taqlUqkhohbYEKSpcGY0ZYOLRPnwDCgiJwOSwUMIO4gcgAHIfzG2sqtncNax8plRuaE + k2iUfKMlTMhIJrlk9apQjbQIOs329Vt3DmtRoJBh1vDgwPBQv+vS1asX+94Qnu2PjQ7w3GDSSYFi + zQOyfg667dbXuhVArVWr3fCDplbxhnwEMpDR0BwMWkMbpDKF4fFjswurl0u1nd3NW3cml8aQI5DW + jVpxd3d9Yys1OzUzNzowhKQHBgvGgo9WJTgoVu3CyNDYRDJLlgBTIA3GNIu1rIgZRiCCkZCtamm3 + 3WknM72ZfCGViontgIG+75xhQjPwo0xFMAKRBsFwEKDZ3RInunmBYUfZlugyH0kPjfWNTvfke739 + 4tbWykbjEPlsnLOxtc3irdu7zTbGx8cX5qcSzlGhknij2SpXK74vM8nU8EB/WtwFZdRR1oRYrSWe + 4UEYlcsVop6x0ZFM2rIsMBnnjYw415HRSjNx1G+pVCRVl80DxCyB2JKuXa2srm5sb+2MjgwP9PdY + Fo+pmTgiaf5ttJ9PwfW498tdDPfTwEv3kppiUeBY05Jzlk04xm0bhCGkAlctW7e532w060pLGBil + oczdAMUcmcSl0pm52bnpqZmBvqMvxwhgff2FoaGaxXkUtDudDnEBxqB0p1ErHxSrlXJuZKIwNlkY + sF3AVpIYYAxPZgrDozMzsyuXP7yyV9RKaq1Nl1dpgR0V4LtRtSJoYwxxmyVTJ85cyObGrUTPwsra + yvr69bWVO+u3Vm9f29/fLZfq1bp/7vRZO0ug2KOM2ZZgwO7B4fsfflhVqVFPuM0qVff3Vj5evfjT + eq0yMnNm6TMvnju9PDyYQcz60hpGMWhG9wqdPz+4PwfwmE89kr8BNHKQLdJ9U1Pu0GDuk1srV68c + bu8/U46WCrAZGijvbV27tr/fiBIjs2efHBid8DiYgdAdCqrNyn61XG4HqjA62TdQcPndbB2GcYr7 + uU0sYlandqNeLe8cdgZnJ7IDo5bAvaVCgpiBDADSjLp1kO4ea2DAGUNM4Wq1arV6u92eyObyuYzb + lSIwjFj3uWgDzkQyPTA4lPCSFT/c3d0NFoYY4gRZMWZgM/g6MkpqpQ3nBvznoNv4s4wGM8K1E55r + C6vR7AQtPxa2PQrI4r3//gcRbzJ/B9z4C0Zv/6GgW875EamCiMXN3I7nXTh/fuHYwqtfetV1ReDX + Dw82f/zG6z/64TtvvPHm66//9MTZR7/yj/3nX35lclx0OmE6zYjH9hUCYCbO5e7itoQucBUXT/9+ + vnc34aS7kbiB0ZrUEWuaWGF4eH5+3nUsImPC9uq1j7fXzwftyGLRne3iOxc/KTU1CdtLebPTE2Mj + w7aIlXJ1NzZnZIgFofLDQGrUO+31G9f/+i++/ckn19stwKaka6VTCTed8oVQSkUqChD6ftBstlpB + pxI0bn5w8e133rt6dQ/pZC6bKxQKsZ3r37yZez81EPowAYQHWCGIAMuODdh8tGobG+uv/eivr28p + lh9aPvvwwuJyoRcpBkiC65w9fWr6nXWoNxt7m1s7m3uHpXSqn/vRQamyu7vfaTUTheTcxOhIwY5C + KBuahOZOtneor7/YKgVK2K4x5Ac67Kyt3Fr7d/+hZSdHBgfTM6OW1ubu2Jruk/SSiXPnL2xf+fD7 + 71z7g9//g/dXS0HlxZcfP54bSxgE5IAzhCEgwAhCOAwWg2NbGCj09w1lAxUluUXxlzeCw3GspBCc + WdpNCuGQhmy3O/t7pe07B0aKwf7BmZkpNwGloMPAdRnBUdLiUPl8z4Xl2Q/etm6o4PZuaVFxAJAy + GXUEKW3xjm1JxriBG6OwlmVMJLVSSsEYxjizHMMdA0ArzkJmFAih1u0gDCPd7U3x2+XD3Tvra37o + pHI941NWvt1z7zxXaDRbGR7CcgaHhhOJJHQ1Zn8wQFgirhHTzx+83dUXkw6E46SyWS/tuRw2wJkG + 2QqMu3Y6kxvOp3q43A06xUqjrBAoOPWav7cdNFV2uLdYbX5w+ZN+6H4G21ZAm/OoXmuurq8elvfa + fqtUKjfqnThB74YBUsUhiDHQhoIgSkTtIGwpEwI6VpeyBCwOpcA4J2IwUinld/xO22cZ5iUTjgen + K0drk7DhOEixTC6bz2WVI+IMUAolo6jdbh+WK61WR7jW1mYxdeUTnpRS11zVSlHgGVk6aFQq+2FU + j4BarR4GcUAfUVc8CDGkqLTSXMNAa6O0YYL3DA4ODg/mM9AKCkZCBmHkELmJhC2EMIBSgnNYgELU + 9svlyuZWw8oPgfNLn1zO9eSEJfygxbkhpnjUKu3uBPWipVqt2uHBXilUXMHhXFPafuDMqYOdO3/4 + R3/01g9+8Mb3fxDC+swXnvzyV74xPTXgefFCVoAEok6nFQahZTlSot0Oa9V2Sjiux+/aBhAUg4lR + fShoA+LwOEQuNzw23DfcF+q9/+8///HFNdo/fOT5Fx6YnM5lUq7nuBowElwoAWF7CRhuJGcQtmML + C/FDtbpTiynuMNsjGK4CC4pxoQWM4aS1CVrKbzLmOclMMuu5HuwYNyQOw0zQ9mw+1Jt2SJYbzZ3D + alNxDQ5DRutQ6ijU+YHhoeHRjOUx1EhFsfIDoODQYNrOJr39Q7lb8/erKCRhE4fhIGlY25g2dJtp + XyPFmIWBsen59OzQaPGjrfVLl8ulw5oZzpGxdFgubnzwzk87fr5vePLY8mwqC+AuYNgtwrOuWQ1R + nDdxQMNvdwQ8iwtmQfmRDH0ueDuSDT+IIulAChlurq/funXzYH8vDHyldSiVjENhxo3WBsRtL99f + GCgM5fNCKUQBiINrcCMBeI6dTqQiN6GV6XSgPS11u9Fqrt3eabfEaP9Q/0DW45Bd6BaQAqFvJfTw + 6ICwbK0EGZtzwWLAGXHfJYsUNLjjetAN065U97danQCJ3oGJub6+3hRHs9MyXgIOaRBpsFAlHU5C + KGiplNAAGBiU0o1GsxZ0SDZJtfZur2x/fH1tdaUTdIQlBLcIIp6JwhKO53rJRDqbzeZzqUwa6Ph+ + kPBsY5StFBzLTTiOawfGwBx5VUGjq991FCh1NxcDo7RWnDPP9UTaTaYStnUUXjHAccFatmf19fd4 + roeO3N3eazdbgGy16weHh5Vqp2dwvNlqXrr0gc+ox1J5K4pqJRva0mp/v+QHHSCo1vYbzQZgQ0oN + AotbQ+HYHEYhNGjJmFTiuryhUG/5Yb3pMuKyWj/c3d1av3WrHLR9wwIZykgxCYA7UPBIubaQGm6u + f2JmJpWwbYKCCUwYkZbG2PlMX182mXR0I2q3m61m03dDKSJo7nluPp83XiqXy6U8GIBzsLh4rTXA + env7Mqk0VGtne6taKTOAu57tOPcgwaMQp2uuBSiIiGw/UrVatSAVMQMjN9dW1tbWuTt22JI3V9c3 + N1ddSzosEvAh20ED5b1WtXSotSlV6q1QczgwprK/u7pyM5IFO5lOpmFbcbQdaQXiluMk0ul0MuEd + lenuhnJHDGDTzQWPomvd1Q8gY7SKDMrleqnWythGVLbDnY3bG9uVSg1gKlKqm4UTBIdjuY4lLIsJ + MzA41NsbnzKgmAwrNYwC6b7e/NBwIXGtIYRlmKU5g0jlcvqJCye2r936ycb2xzfWkicfOt4HRG21 + v7Kzvr5brrOB0zMnzg/09uTR7fvp0nbivYOj2zJm4uiUg0gIYVsiHg2tANHNeo+A9KOGGWPuO8LJ + aEOgvt6+4ZHhgSGnGSGMlG0x6UsVSs5ZIuGm0ulMNpdMZ2w7lkuK/xrPSSZTaTBU6pXD0mGcl7c7 + nTubW5VqAwQhrP29/Q8vfqARaSbTptUjAtbYWyu2qtWqUjIKw1q11mm3RS5D8ewyOmbacWJAXHVW + 4DzWtZdRp+G3K7Um4ywIwr07t+6s3a6UDrUEYJRBpOIM2cCYSEZB6IOBWHcINBCGhgSH4JBGGaWN + BJRRgZRBFBk/CCNh8YRDgO/7m1tbzXZkwDudzp2NDU9XXFXl4YGQlYToOGje2raajUbtMLxtsXqt + Lk1fTLwIwrDRavUN98/MzM5MulpDqS7mYwiOFe/6HAZaa0VcondMBAAAIABJREFUMDDW5RrF8UwY + hpyzVDKVTifsuBvE8TgiQoCgnXX1RD/PiEYYVPdqjZriAbjD0772WtoJeHJu+ezi8fkEP4JMGDcS + aIaoNNp7tbCtM6Ojxba8eON2yjEek66WVuiLwG+1t3b21uvhQahb+wfFRr1FAGxndHLy3PkHLm6/ + U9mprl1dnRlMmIQNmDu7xbWdYrWDxbGxualJjwBoCWlxYTESBioMoyje3QCosF3d2brdanVyE70T + U8dyGaER2ogAbpSR7dCyJIQ9Pjo2MNhAubVfqlVqdSADKFtQ0rOgQ62V43rgsX5bjEcoQNkMFucw + DGQTt0AEzvP5/AMXLly/9vFHV1//1rd+d2WzKv3PPPrg4kBewLadTG9cAdEqZiLSEUHlvm0s0ql0 + /vyFB19/++a11y//1m/+bxvbX3nhlc8+ev6clc8kXQtCUHeFxlVMqbWvtQEzPKZkdbedGEiPGzyI + GGOcCSFYjAuCcc4BklJlstnBwcH+XsfEdE3Pho4AQOpMJtfb04NwpVWvNxr1UJsQMMYI4lwZTlQY + GU72ZRRgtEIggcgg4qlEYTyXy2dJFQ/39uq1uh+EOkGMYuiWMyjbBLGdlwAAisjusFTIwIXwuHIM + iHzZKH986aP14kEDyQ5Pb+8dOhffhQwDbSSoN8my/nawdYOF5VYj2A12Kk0lNYTtHl84tnyi2D+Q + ffvt1++sX97duP7SC8+eOvNAYSRBgjEG+/6E+15Wye6lyFr7QSuQLaVlGEgVUffNjGKNYaMVRREs + 1tNXOHP63Lu33+0clK9d/OjkUFL19HJu9koHW9vb1Rpmh/vmFsa9ZHweMBjbRFx2EDRl0s30DAyR + BQ44HBQFFBPqQIwJcsBAiBRkq1k/AMzg0Ljt5anbeQ4NkIDi4AB0AFIwjpKcBDiBVBybAVxJSA0e + 2zqQQczZjQH8LhwGAcWglZN1hidy07PDH6zU9jZ29nf2C04+mSZwfnPlzs21HW7zyampmalREU9j + pcCo1e40m23O4dq2J6xPsa5Yt0iA2NkeCkr5QRBJmUylxkZHXQccsMW97um7X4uxOA6UURRJqYgg + w7DT6fiBY1lR0G7fvr3x0Uef3L69/uRnn5qcGE14gmsBsHuU2ruVw7twivkUYh/jifG7jOmyhu6H + XmKF1cAPLBges3i1glLgWkZBw/eabaX8sgmqCeb7pY2tm5e2drY6fsexCxa3uhBb3B1DZIiEsFLJ + tGs7BEQamikAEJbwkgkvaQluccZZzFsBlG43G0GzBqMHBodS+f6unBE3IBYFoVJQyuRyuXQyoWSo + pIxFBxWgSAhBMKC4HZhFIAUYLuJmEgueOzbX842JOd9vr66u/OF//qPXfvzDj65efv/NNza3Dje2 + K2Nj072ZPDHAEqlkMpvJMIbV1YPV7e/85HtvIGohaGa072k/YXD69Py5Z5599qtfzo4PxY1uUkoR + hZChJchxLIr+Luj2qNHufrlVuofb3uWHkwEMgxFwsn39iYmR/lzi+o3VlZ2Dvf2W7M0lnShS+8W1 + y5ertcDqXZw582R+sJ8DHkHotg6qreq+73fI9nJ9hUQyfe9anAABRVAEKFAA3ab2XrtVrwXM6ZlJ + 5QbDAEkH9lE4Z5QJgshxLEMURhGzLAZ0yeEEISxGkFK2222plOM4+Vw2mXABKAMDCG7B8Lh8BhCE + 5SWSXiJ50FY72zud1gKQsMAAqU3EocChodt+W0oW9yv8DYBQgzTIQFie4ziWXfJrURBB36dLcFR1 + Pvrl6H/+Ltz2F/z6B4Juu6+u1EDcPq61UpzzdDrteR7n0NrNZLxcrmdx4eyD5x/5/T/47vrt9T/8 + o2/3jczmcsu9edviIaCklBbjYMyAFAxXhsVRKr+7l/xCfN26BSkCY12yEowAkZPJjYyMTk9OlJrh + YbNR3buzu7la3NwYG8hv75U+uLZWDZHI9fSNjUyMDPbmbDJAVzeaNEEqgyDyDys/eO21X9254aAW + 1jcPNi6X9/1czu6dO/nIQ+dOLR+HHUVR5IeBNlpB3dm887/8m//VHWI9qh3trFdXbnGBVP/gA+fO + f+6ll/v7UvdPHcLRdo44DyYAsO3430rJiHMLTEaRiCRZ+oMPL/7ZD360W1bCEWPTx1795S+fO3nW + YTFZVMBK8FS2pyfT15sotf3Swc7HV67k8xdYp3V7c7cT6Hy+Z/TYXKE3k/LgaRiJ/sLQL/3K155/ + 4dlKxzRCESi7Uy5t37r1gz/9s7/+5Bakunxt9afvfjA93D+YsjhjdxeJJXgEEGhoePipp589lMnv + vnFxY7f4rW/97mvfoeWp7OzyY+ef+tLgzEIigTCEIe3a5Pth6EvLQiRlKCPbsziOSpgGnEQ6mbZt + W6paJ+xILTm4k0xGgdwv7neaHcZ4IuVRLGJJGiAiwbmAisJQtjrNVqdRD6oHe4dhpzutAxkEYWi0 + 4WRx4kZDaRCBLGMMlNSBH0gZmbggTIIAwRjXUkVBJKUE4jph95QkIqMiGRLzJKjeBAgOR1zrFhyJ + TBZawrc7YYsLAdsyKlIybgYzRzLx9z/9T00H0w1JgS59D4JiQxpO0MKy873ZZNqxGJeRMrIbZIQq + ajaxs7ld+sGP1t9+225U0tInColCy1JK6f39/VK57GSGM+lM0ktbBK0BrWOsCApKwggIzj0nAe5a + Fge0xcm1Lc9xBIPWCIPAcgUjBiLT5WkYx3O9VIIJ3CPvxc8yCv3Ql5HPLJe0NtpwCCl1o9XhwlJa + 729v/+Ef/H7i+8zYHRKdFEVpptBpdlpRs+bvFMsDE0syDFUUAY6A6NZZu/ESKa0V1yDiiSRxyw+j + tt8KZECAy+GAHFiW68J4zCJGxI1mRisloQHOtTFKGq1RKpd/9t47azt7jiUYQZrIcGW49kyE2oE8 + KJJCPpvJ5RJSBlI5nHkgvTA3Hzz+0Buv/dleERpsZHjy+NLyyROzjgstQQKs6/BnkqlkIpHQWsPA + Fk42k7Bs8HuVmphqe4+JTYCKYGxYjjsyOf3SF79EvY9857W1tb3yH//h7//49W9PTGTn5k898+wX + pmeW+npgwA0YaTLGGKW0lkpKre6bV9ogiNqhVIa0NsSUbXErJv/HATXnMW05lUo5DqREo9NIWxKW + C8aJKJ1MDPX3eBZTWnYiGQEhEPqScceyHRBJJZVSALTRWkkeo01Kot3oSSeGCgO3mlJ4Kcu9S96G + UWCaGBgBhqQEBBNI5Hr62NLM4PbGxkZ9/3Bn7+AwLPR7qurv7x0Wdw97x4+NzU1n+9FlIXRLyxTP + PQGAwOKjXmkoRVq5nm2MVKHilmWgKvXG++9/8NN3/3/e3izIsuy6Dlt773PuvW/MqXKsea7urp6B + RgNoNgCChAAYpESDkghJHGCKdjhCEfaPfi3/2V+OcIQtWSFbNhWWTYVMS6SIsRvz0OhGT9XdVV3z + XFlZlXO+fMO995y9/XHvq6pm6JPmjYrMrMh8L2+ec+45e6+91tq/PPPBWY3qtXBajPq769u79zd2 + er0RiExcaQhGnsRLwi6JJP0iDEa5RqSuJmGRAeoQJWFxTAHGdfumyBoV5JNW1vRZo1UUIwOSyuRa + AcmQpHAIFrxPhJIiLzWqRhRastN6eYgbY6GGctTb3syLQl3i0qYwA9pOXe5pBBiQOCQmFkPQMlBw + TDBor//22+/+6O13fnLmzHY+Qtl3mnM+1I2t0fL99fvbswcOh1JR0z5Mq/XgRImCahFC6r1PmAGi + BGkKREkYDFNlJcdIMyBytYNTXc4TIoAETIihCGURiqjKLEJ1zlI7XUQFG1I/s2cmS1PEElFNY7Bi + MBiAhcQtr6wuf+tb33z/XM5oWdFGLsXAQ53GrV7/2tYAgDh2zokQyIs8aFVX7U8KEbQ7MC12d3/5 + waVvv/7O+fc+6K1tcBk4blqxauXW3d7EykqxeOyxdrvjvK8fnKIMIZqa1Y8Jh7IoBlpKyQ3xcEIB + 0GarcejwgSuXdmJZ5KOhsCNgd6e3u7ubF3mzOzkajnb7aLfg3JiMwIJGa2p6T6PZAGLmmaB5aR7B + NFbtbgxSkq+VtgAsilXmzcgazdmFfa4hMRTS2wXATL2d7e9+6xvvvPED78rUBU8F68hTicLnPV25 + vWnCabMlSdPAMPOENPFZI0uajTSr5LbRC5VMBoqGwWCYj0aOH4ZsBoAYxmOeQ43QM2p5DTReu3rp + l+9f+Mnrb127fXdtu5+imLGtbrl6f23zzFoCnncsTFQRVwEDQ7Usy5DnttvP8xxTnXEzTyY4gREQ + WRQWh4P+aDjMgwbKEksajeZzp0+8tXfiJ5cuvv3OuzOf+HxxagJm925de+vNt3aLcPjA8See/Vi7 + 1XQoFD6ixim0qmkQI8SqrRXEmUhQK8silrnp+EcfnswMYqMKeyeCjUsQBBAzM0lR5Lv9Xm8AbsKR + B8inHZ+NSucqTlAElYqxAVCVYCT5MA76IzBxypJwiPCOqNFk8Wq0vY13z5z5YBnf/E5TEYxCU3tt + 7afF1lae3FwttsvWxEwn8c6xCFCWJauKd2QBMCIuNUaIZ4LpcOXOz994+0dvnXvv6vLObl8sUCzC + YCv01gfrd7eHiGoWyoThACIBOxIhx0Yoiry/u6sBDkg8AQ5lxGhggiQVJIAOLRaJpwa1WNKqdqhm + u4PBsMTq+ugHP/h+/s79rhtktpXFLW+7CQaJ9ddGM1evl5wd3Lu04MUjOrAhKDlpdztD00F/sDtA + K0NSoTkJSBEiGEamIBGua88YB2mVBdyePXuG7TYRqda2AGMKKIGQeepmlHBRxlGvGI0YETAi5xNy + GZDu5DoYDWFwKKr8xUkK8shjFjmM4s1bK7f+/Fs//vH3UxumNmzEIgtFFvJgm73h8trmts+a3W63 + lSYAQDKztO/pp0dzP/pw+96t9375sxefXbSFxTzaW2cvvnf1Djdw6rEnTh49wqjiB4DgHCfC1clS + 87tikY96uzubTM0k6/R38xhd0yGBOYCc960uTDEg7zKQIC8HwzwvSwBANCtNCwLMQhEKFxDdWK1S + ga9qZISqXzULERAK79ypUye/+p9+tT19+E//4scXL57/n/+ni//2//JPnFh87NnPPfvil+YP7Jvp + YJTnLNGljLqTLMzUEUg8kkZ7duF0Y/63//bf8RMHvvHtV95667Ur1z78N53Os489/slnn//Eyy83 + Fhd8RgkAplK4FFbkpENHpRBMEQI0ISYXrRyO8t1hP0DZsZMKtNdo0bQaK1YzVaPxnQBVzcwgMj0z + Oz+/AO8RQywLZmIBMRNR4pMksaIsyhAIzrGDS0FKDMQQVFudVpLIcLibJi7zidkIGrnGlaSkJD5A + Da0UxGrSWDwkGeZQLpl5Zma6kWW99Xvf/OY33vpxOpUCsSxBkbmbYiJfSbav37zVC9Sd2Ts12UnT + BGAk7c7HP/bCP/6vG9/87o8uXT7z6qt/ce7DN/btf+zwkSc/+enPn37qsYWlbrW5jJnPVRm1NlGo + zPoM0agEKZOwpQ+KhCRciz3EgWxmbu8nX3jxO69d/+D2vdd/+J3PPLNvdLjb8v7C1dsfXrpthIOH + Dx89dmCMTgEKC1HLoCEmaZq12kWsG3ELoimpISogTMwK45CH/vb62spgMGi0OwavEeTAZiAiX1ew + YQWbAt6MSYkIVhoxkECBArWOrjrxSegBOayq3jAx4OESmC3sX3zxxY9du/9Gf+3u5bPvHZh8YqrZ + gfHZSzev3dle2HtscXFxZqICEAFziPkwL4b5CEAi3OD6lIMAqBj+MkbeiFR3+4O19Y2d3m7olI7J + Ym0uqIZokQnsfWWragYCwSdpo+G9L8vi7Ln3v/sd+3A2Xb11dvna+dXbV3a37j/zzHOf/ezLhw/t + g6EY5WrsXcJUpb8R8I/gthUWSwCNcUIjewBoPZSI/KUzLc0y4hJWWF4QG6L27q/89N3Xf/zm1XMX + rg6371HZyzDgfC32lq8vb27FuXTx5Ggw3O2h00STTZywE/HeJYl3iRfvgYRRlIM89NOkzqfTxKee + nRujz4BqjKGMMSZZQ1yqQDSgHALO+dSEA0mI0Uy9k1ar0chS1CYy5B5gdBbrf2TknBmHoA4EIva+ + Ka1jRw79/b/7288+f/qNM2/+2bdevbvef+fMez/5+WsZf+yxw3OUj3q9na3tbSIcPDTbfeqLi8ef + O9J2c5R3wmCmne7bMzU7N9s4cLK9dzH4+skS5+AFAUxKdX+Nv4TePoLbPpriP/K5YsQ+8j0BJfCd + rBM/9uxj165evXDlnZs3L126fuPI0hPJMK6vbL739rkQGov7jy3sPdRoVu+uoMCIZTE0KDtvXFk7 + QSOIzMQMIGJwAkSggIWi7I9Go6KwrNFtNFuGKuB0FrSSpIo4YjaD1X4LYBZiV3kTMbMwi3Mkjpmz + NElkzLSlRwagNuJw3mdOPBuFIpRFMearG7NBI7ywdxEGM4KxfdTspX6UFRSrzrios+RHgMQK+B6L + hh6QCj7CEqX6Bx/87/9X/PavFbqtxGLjYgAAArOwiHNgAzhpJJ3pmfmZpb1zS2fePXvnp+fOvH/2 + 8rXbTz99cH62S8iruSATBVcjLdV8jhHJ+Mha/qu4CDCzGhCmmtBIEIG6enIyPzs39/RTp++ubax/ + eDmWg9U7169dOt+U43fubVy8tbZZYGLv7KlTx/cuTHda1Y1VaGrdrxzRMCpWr69+59rbJLuZ9lyJ + x4+1P/bMZ46/8Osff/b0npku4jpqVTkpNN/pnX/tF8h2EYYywvFpvPCJpw48+5lPvfTysYOTTQPK + B7+m/uLhkDxYXEZVba1SYpAVu9vrd65feuX7P/jRL97eLXH4xOMvvPS5Fz/24oHFLDFQAIxACRqd + paX50yf3vXPu2urKzXffe++pZ57UXv+D81e3+8XMzNLHnnl6bqqTEhIBAprtztFTT8I9DmkAaYg0 + XFu9c+nw9vKNC2s7y1vl8ur2tZt38iIweXaEMqDeDKjyJqFW+9Rjp7+SzfL0oTcv31u/c3751vtb + d86+f3nt9qY8/5mvvvTpE7Cqdw28eMdeI8oylBoEiIYQ4ImgRgrvPBErLJpWOihhx0YIEAjMylhG + ZMQQJ2Y6HjpvRBExIpoaB5MqUWPkFINGU4iyEIMQFTB1RgTmah9iNlBRauXo6kCwaBqiRXPs0lTE + 18oxL05INZAwWKJCCPKow1SaIqQgPwoaosKChbJCRR5duPjLm33FuiUDRDhJPFfIH1W8UtOowmJE + kTRwMFIBV8S6SIAT75H5dGF67sjSjNvttMOAOBICcQDZ/kMHWJDtOfr0U8/Mz8zIowuOACDGCBEG + iD2IFFo5prIpYoR5AqiWKplpJGZxnohZiBxVkJmrqsEAmE0sWtRQiAaGMRFBDKxGaiTOd9L2vn2L + k/ON6AdG/RZC1xlGfQ1E6p5Dsmf/qVMnT0x22zSGCepxokqYR3W+4RIiF1QjVClQpQ/F2E2PnJEK + c8rkGSGUQeEcGZjIiYDFNycnjhw7IoCYKcfgQpCYadkp5iaOnhAnT7706cOHFpOUxggFQ4m1oDhA + BEAaqBiFwS7SrIYGxo7pJk5Y2MyIWMQnHkKAVa8DED5y8I9xezJAHLozR5964YtdQ/v6G+9vX7t2 + Zm3t8tv3Pvzw3LXN7fDy58qXX3qq2zYAVpQwcwxHiKGMITLk4ftWNQlxBoJpZQpDqAIeVHZd1XNQ + FoihivgNIRozMTsmBxWLMA2KSJXBiYckYAeg7gkBtkdb71lALBybEwFiCQ5VQ4zqipDoHDliAlkA + lB0nrXY3PP3Y3hvn7Nqd5esXr+w9/bHHp2dXbm3cvLnS6+PIoYMHTxzxtaItgNQgCqnysgdEHzWo + EgPCRI4oRoQSobhy+cov3nzrxz/5+dVrN3Z2+gtzs61mO6HYbjYgyTAv+6OSmCNJJBgJwBW7ozQu + ySkRAZ4BQwhwXB9BtU+IRuHahNEAkEiSiXck3hANuSHFg5kVp2xB1QwWLRQRDzqj1uuBaNxQmc3Y + ooVCzSJEiQkGinBEXPfMSAhOgKCxfi3fu7N88d0PXn31e29euHB9Y707u6eTJl6k02izZINgW9s7 + CsCYTcZrUUvVPAQIi/cgYmIGBRQeDBFQJWVmMkKscdHaXwhClV6qPtUqYpRGC2oVD6t+aO2Rp7gK + sVjYOQ9xVXG92vmYnfjMke9MT3cP7ouJNGKRxTy1kmPgGPeJO+waoT31wgsvLC4tVR7yj1JBCWYx + kBqSBKv3379w7d9994c//eDizur60p65dpKkWZsbSpr1uenchjBX5EJCzWFRpaBmqIA6rVxQCEqV + TrtGodVMvWNhFKOBaYuJwGxmUWMIwWA8vqsKIQczXJKkDWGGRi9wXEcdbCYW2VTBJWcFP4BuS2dl + 9S0iIZ8FoDQRciwiTI50drJzaP9io8nCpSAXHXkKTjMq3RMnvE1NHfvEJxb3HTQwFJVaIZopje33 + KUKIqbKZIGIZU90wxllRk9rHMXrVxJdNAcNouHzn9o9++PNXf/rGucvXJWu3pvY0neuwdmPMVbJ+ + xC48s7DYg6GIoSzyGCNLxpIJgRXjWgxBKi6fMiuzmQYmYkmUHbiBVPfvnz+5f2qqk1y9evXS9Tvr + 2wcXJNy7c/P9sx+Yb88eOn701MlWE4n2Aikos/H+wKjtGsZBvUUjcJWd16TTR9MQgIxEqd5quFaS + 42FIa6ZRFWqMKp9TE6YU4j2zaYgajVjZjUeyGsSE1KuSwZSikYLgHMz5qAiRSDA1PdVeWpjZM2Ec + QbGp/UbsNePMiDqHMBGTPd2ZzpHDh9utVpV3mdWFi7GQk6JRGcL9yxc/fP2Hf/bt771/a2s9ZouL + iy0pMxNp8W5CsSxocyUaWcgrEn5UODA5J4lXQGPUEEghFbSh1QMOYyOOQEE6IkTHAPvq5WDAqIgh + Ai7B9PQUzy/ONMtMuw10vY2cjbyNZjC/dGrOT544emxuYW7BcQYzRCXnmu32rlpFTHtwSNZy+tIi + Rcc1KEG15KL6i6ly5hvP3SMh1wPHD+bEoeEtc2oURxZyqoxWKszUg7PckhjVWenQN0oiPMhXlk2p + kifv01Z7dmlpcaKJYWb9Zhg1Qt6Mufo9kRfVD9OZ489//OOL83sAgKU1PX/ksC5Odzav3730Xthe + f3lUTuWj8syFa1dWdibm9h45dvLgUqvOZQBoJJiQMcDEqNpgxTKUw6IYErW9b4QI04pbWBgISOAa + 0AFMADGtdhCp8GtoUC2j5sQAaRmKEOteR5V+GEZCwiwwqjpvxRDByt41GtPPPfdc0lmibPaDi3dX + bn949crFjXtXLt/avbMaXnj5N198bq8DKrJV1YmX6x2ZAQE7ZK200frEpz4VGnO+2VhbXb6/cuv8 + 2Surd+7evnHv3m7+/EsvHnr8hPcRQMFiaQIZsg6djqqdqHI7IQhRNCIThpBCYywsAl7JrApGiLn6 + qw1QRdRHOjSBo1GMBpgwmKExBEXQWIGsLEoVtFS9qLp5SWAStQSZUTRE58Q7ITNAxQJgkXzOWeVm + 6gBYKRbY6jyyVI4EI1eBxAwF2+xkZ9/89Gw7I4slEJkyjhNlq1NMHjlJvYmjtP/5w3tnvMA0UtY4 + cuhou7WvlU6+/cH05Rtnbt299su3Xj/z7o17d8v19c2XP//JielmM/EfhYsqkFEqZ0SXECeR2ISc + WPaRJ6MK8sXD1Hf3PHaqdXRp/uq1Dy+deWN15Qu90eG05S5evX352lpnornvwOG9++YfwYirWY91 + qwXmutJg6siqtaQgAysQoczGbJU5oEgikgqBDawGosoGwcyYFVRFjnWxftzhmxUo4YjFIuQB044U + NgbuQ0wEIA+JQJxZnH/qmSdf+fG5wfrK2bdff+6xudGM9AbDq7dWt4fu5BPP7Nu7d6o9ticiqdTD + CooRnqgp7CsoloylGq+Hiu0KHrXaeEctlhUI9ZFLBEoxggwCQITEgTjGuL62eu3alcEG1m9f2F69 + 68k988wLx5/5leeff2ZyzgFKTESOIWQgU4KCAIo1e7ZapeDK+Qe1bcoDg8qPQl0YTzlATlC5rBBB + rb+2/sqrP3nl5x++eX7FKJloyESrnYDTRol0tNrrp4OkpNprlgCQWVVqpiqeE1Lm2ogiElnl4UEs + XN09U7SqhEYwmEbTcY1wXKIGCYkDe2NXRo2xJJhzIsSVaKZW/tbHgVZFA6hCnBIXasbsa+DUNSY6 + jz/x2NL++bnF6XtrW6/+7L07y3ffP3fu9PH9jx2Zq4x6QxkImJmZPfHk08+//MUn59sLNGqVu51G + Njs1ya2WZZ0eMBzXQMbuLQorVUs86I788HqA245VSg+PomrobQz+PMA7CUghjLR47OShJ4/PNKW4 + cf3ihStXX/74E+gV9+5uXbp4s7H44uFjT8zMSZpWU16CAkgdVysTRdSoOp5vVaig0o8/iI+VSCue + hSoB5gREEaBaaU4izqlptCjixuumrm7FEEwji/dJpqA8LzQG0siAMEwRtHTEIKn1TGCIhKBMPNHp + Js7X8RZCjLkLQyDCMQkTjfcI1MjrOH6p5jwiFmUoYozOeVc1irFxKlHr0/QB8Gv1QD/8+tEv+C9N + yF/19dcM3Y5hF1UQcdU8KGrUKKiUSAJYNjnx2KljT58++cHVjY0Lm3dXN9c2NtSaZAOx1LlWJdKt + ni6WypSxDlP1wS7yV3C/NJ60qrhUybkqJbwDoeaGCCYnJz/14guXLl85e/aCIW6s3D5/9ky3md65 + v768NRgFPji7+OyzT8/vmcgErIBSHYrXZBIGORBBg4VhCTRS/PoXvvKlv/cPT33i80NCzPvg6L1P + s5TdOMD0GWhU8StOP/n4V/7BHz37a7/V3rtXHjzHD8/V/xgNuQzQAC8sUpn8O7H7K7f+5b/8F9// + 4feuXF/LusmnfuXzv/XbX9u/2Gl5SIDliBaFBEnj8OE7PIVdAAAgAElEQVQDL33i9I2bV+/dvf7W + O+/81t/5u4Ot/ptnPlzf6h85PfvSJ1/Y021ShbIwDC7AOaolPo6pM9E+dfLIpz7+9HsrO8tvnB8G + GuSh1HH9xR7cvQnIiSAAc3PPLB4/9rFfvbEVVq5/8Mq//+PXf/DvfvKTt35+5s6Xl/Nnnv5vp7rI + nMDKbmei05oY9JGXIUAVyEulXH0mUNMyaohmZozKxypYUI1Z2pienN7cGMYQNzc3mrMdx0jYaxhF + VWEGw7lEUu8y16LGzMR0JXOD4yikRBbBkblqJWBVax1PLGmStlvtNMtANBjmeRGppu9Vp6ORdwka + PkkcwCAkSeIrGytm79MMMR+7c9Vzz4DApIgYjXIMBxZLIciD0/NhLbReCDR+aVWFcN43GxmN+asR + IZYWSk3StCzD+s7G9nC7TOcznyQEgYDFt5p7Zn1r7tjv/P7Xf+dLH+8Ckw7kAYLmo6CjpOHAcYSJ + rRKFA1cu8uA6JScdl2sAEMpQlnkIhcUyFvlwt6chcwxKEyOUCEVZJuLSJBNxQWMRcwM8kBEQFGwQ + oYZnJxoLtigEYQFInE/SZlFqs9k8cerJf/xP/pvnPzVvjN0yT0PeTSiB1W62SMCcEwqq7M9CnYiO + xQGOfKjz34q+yZIIuXoCLMeoHFFTiVGqeZGGc5lzoSzysnAJEYuTNGtwNr3w6S9/8b/77/9JRnAG + JeTACBCMZpQkV5QjSzBKmakhVjFOcfXS1V/+/Eeb91ZUAdid5ZV33zrz85++/rlf+8RkCyFGJ6Ug + AlqWZRkCQMy1B60pzBAU4mEUxqa1tYcwBInU+nNAML1wdGLpH5749S/clavX3v35z77xg+//u5/8 + 4q33zt1Y2YzHTywkSdOcFv0hgdLEZYnXEGIIDmKAVjhR2kgabZc0WBhRNQaLUQ0hhKQMZTQFqWpZ + 5JsbA93TbLfbyLdjCKVZlknIR9vrq1rm5ADmilTis7bBRQVQ6aUIICEnNdoCWAlBMdzd6e2gkN28 + HBRjwNpgARK9o5KZIaZACU4ldQ3/sacOXnnDffMH98698/7ik79uTx2/cP7WlSs3FTh66sShU0cr + sJKoAGBj3PYBDqOGaDAjESdiQAApKIZB//s/eOWf/ot/df3m8nPPPv+1r/39z3/2M4f3zXcyGQ13 + X3v9jT/787/4wc9eJ+FgpFXvFDAUIaJQorSRNBqVDlQVoxyJR4KIENiMYRZD4iRzYBCYSBxLGkEh + qnPMKAKSus8WAFAki6ZFUZYaNGgVmHv2XEu6qYIGy4CUVNiy1LNIMBqVUS2igk6RBKAEsuowdOKJ + mJVAZz/48P/83/+PH/34J52lhc996Yuf/9KXju7fnzE3hXrLty6//ct//s//eU/ES8J1gspBdVjm + O/3+nEij3XKJI1iEFnlBXhIDQhEpihNhpoBQQBMAsSqh1YBmHU5VMkoFg4VYRMTVJYEHCYXzINJY + 7Oz2mChJGwJmIk+cZSmLc0lj39L+3/qD3/9P/ugPfcZNIAPEIorcioIajcKlfUCBBmpJgz2C2xJQ + hjIJJRK5efnqt7/93X/2v/xvzYX9L7/4qf/i6//Z8YOHJrtey+2t9bs/fWd5+X/4vyPzaDSyyt+L + GElG7EJUIyg0aCmOfOq9k13LA2m0wGT94eDm7ZtEM43U56NhKL2A04mJrJEZbDAcpN53m4/UZ+pK + NhUhxhigpSB6J6kHzAmhhm6JC0pzgqJ6kgtnQSwyrAhxa3foC2jDozshzjvhyXbz67/3tb/7O1+d + mU0AkAWxgjX3mjC1UGIoGKTYrcpGCi2KfDTqD/qDPA9akcEDhKgSpxm1Wq1GI4tatQCsn+lIcMYg + rQ6OuiRlCou9jY0f//CH/+ZP/vS1d84+9vTzv/lbf+vXvvSVPRPNdrlB27dvL9//H//kR1f//A03 + 5kiCCKYoRqNhX6O12hPdiZlGA7EEJIAkgkSqjDgyqxM4x81GI2tmkRDRlDRgIjt1YPrI/vmzl+9f + vH7n5u3lY7OD1bt3rly53jrwmYUjp5aW0AAQC2em5KoU0QhWObL5BAC0RIiFmvjEe++EKjkKfyQy + IwMppC6pj+Wp9cYTTWNkpjRNGg30YHkwb+wCQ0mYNRYhRrBjn42FBxViJqlrpUkD0DzmZSzEgQFT + LYpQRpqYxN/44hc/+7f+80988iQxmNECnI0Q+6B2tHSrwKhAqwvPIECcdxWSXt+9MTtTDAej77/6 + yvf+9I9f+emlwx//+N/4ja/+g7/3tb0ddGwXYfT2mfdf+d4Pl//k34M4FiMEREVRhtQTO+dSX6X2 + qfNu3JEYxHAOWWaCEHOUu0KFYyNAgxWKHPAZqvo4HJb2zfz2137nuS/8wbPHkQFeC1hReQoB0/0o + I4F/0CMjMBTkJWs1tVQm7jRQFigCvFTAMTQGJ1XR6JG0eZzIEVOIcWNjA8mCwSpnb65MJ6v4Xtiz + eRSNxELCUbhglHXGExUC11RpskjCARgRmJGoMhvDZZlyu9E+duLx3/xH/9Vvf+nArEcLaCpcbrAC + SQGXG/oDTERMVh2ewB7tqdm5uLhn4uKFazcvrGyt3u3tLsXh8NyV23d3wt4jTx44dGRuBmQ1ixAx + WAykKkTMTHXNJZgVTMosLJlwygCQKwYaWS1jMMiDfAwWI0Cu2e4kaRoiEi1VC9VCBCwWYhn0wXnD + YIE475ywA1gVIcSyLJERnIOWzT0zL8wefvqFX710Y+Psuz975Zv/9s1fvPrd73z7F+9e3Rz6x479 + /sK0d75hoBhhXFU+qTYBioARPO87cvjL+0/9yudeunvz0ntv/fwv/vw7b7598c++/f3Xzpz7R7Gc + nZ+amW0DVoijZpPdDsWB6KA63Flg5ABi+KzZbHbbnLgQy0G/H8qCMhMWjRrVWJz4hJ1UPhBaNcUQ + hgnUVtc2Vu7dQ1GmzmWJL8t8ONK8yK2uxcEnnmB5hFZBphKEDKxm/WG/DKO0453U/BWYSiVIJpdz + o2QAcKawatMOZBgV5aBUSSFoBFCv1ytHw6XZ6T/8g9/9G597+ckT8wQUhgLwilYcQPsAw0+vC5UA + A6NQNsQlE5P72snvLh74zb/56bu9y//6//lX3/3Ge2/87Mr1K//+yvUrU/Pd088ea83M4QH8Uacm + AhAZmLnRTHxGzOQ4cWPW7biYBCKG8xgVSDvzSzPH9i2+k5x9/9KF1fu3N3pbjWTy/JXbN5e355eO + 7t23f890UkG3bEDUKmmGaV4UwzwXDwY0FBAQCcEloEAogQjznrnbnJrsNBrciyaukfixcRvVzjZM + Rg6AQq1W6BPgGFYAiOBYSVkeojwYW/e4qNFCSMiBXcWQ7c5Mnzh5bGGm++GH9955/adf+eKL24ut + a7fv3V3rcTb7/Asv79+/r+sr96hY8ZB9miVZFgIE1hDKqNYoAhHmqFIfViMo0my1J6emW632Nigf + DoTGcRWBSSr4SU3LAsIspBAK0aJG1P72qmoHDu6fe/qJ0yeOnj55YubgqdJnQ4BhPmuWLo1RyR6o + DQAOlWPMmHjI44JjbfhN9iA8p3F+N94pbdz7jwAWShvYza9cvfpP/9k/e/fivWzP0a//4X/52U+/ + cOrI/sT6Lqzt3L/4v/7xv/4Pb9y/ObJOs91tI2GYatAQTPMQQogw0lIVTEBDfCINaA72IDaNlRIx + xhhNhIiYGGaGMsRoJEBCQAXhEhsJiQ8hhrKMIVoMqiEgSaz+28Y1OQMUGhCCsURyERB2VlF7AqAR + nidnp5+kk5//7MtX7+4sv3np5u3l9c1NAih1aZomSWJAkmazcwunHj/11BxmAVf0NS9GedEkVx3V + CWpxrZpCQ9VfK4R8DHd9JFp4oEd6kMt99Brzsh59RVViYT20f/bEgW4nw43rF89dujTI43BzcG95 + 887N3pNP7X/siec6E3Cu4pcWoAhBM0tFpAxhmOdliKiZ4wwLIFaFBbjKSY4tzXyapcK+3xsOB8Mk + YRn3+2EC2BFZMeoHIMmyatUaCCRqNhqNYgjM3Gg2o9r2zs5gdzeWeeXOp6ajUdFKPaFqE62IUMVw + MHLiDu4/0G13PECwMoyKUS8rd7yEQOaSRIQZD6HbR64KnS9RhNFwWBZlmjaSNKv47Ky14/QYwa2E + BdXm9xD+ffRjdf3VUUj/I9dfK3RbNWatymXAR2p2DwunMaDIB72dYb8XQwnv2TliAswxCximKMsc + yMGUSFaVyCzA2CJpHbP/1VxV0WjMshlTGeoCWIWRKjT6JD1+7OjehT0tQRmxeufGL1/7Wb/XO3vh + cl8TyrKFffuffvqJyY6r5hxaibJrPAjOYWr6hRee+72//+utZLdpZdPKY/v3Th88nhAMSBNG5a1p + MWgE/PzBA1/7o99eOjE7m8RW6B+YzCb3P96dmY0P7vuRsSXgUcu4+vIJLAEUmjsqLJbff+V7r37j + W9/78U9u3lvfc2D+H/z+H730uS+fOHzIRoiGrKICoGFUkssXluafeeLwt76hd9fu37h++fzFizv3 + Lp+9dGMY3Z6FfaefOD3VzSpzG6EIIXAKRI0WQ+nFVdvO8vKtK1cuIapzzjlfC5IMEKn2nBiCOqn/ + IiOAksTvmfFT2cmZr33t2N5ONvmD969srt2/98H7554+faQ5k8FsOBgMR0PnkWZNn7QM8J59ZIQc + pM5VEblLkmYZYCqOUhYk3qWeQzns7+5s72wvxOrXkpDU218st3Z2btxc6/WQdVsH9s12mtWASqOz + p92ZJuLBbq+3swWFE4AEBIs2ysvtXr8Mlvg08c5h3CvUKETNy3JYjEbFiMy4OrPzIpA02h1dD4Pd + 7Z1NxGJILccdjwqXUCVjkM8jmBmJ6zRTIZQBrIWIo3HF9uE+Un9FRqSgvBjt9LZUi4hkVMSWF+/J + i8ZY9Iej7Z1RUUo20VhcmG41AFKjJFozz21rY70c7pBB5KHrC/vEo4K1lICqSzUBIUDMIAwjMPsE + kREN0AiDuKzTnmg2KPVMCBo0BiZXUfyIql9AzMx5DHk50kopbbAyRlHxBO/ES+JZSIXBzMFU4LJG + J220Q1xdX1/pDzbLct6nyHyaOBIqYQVUYAJwjBgWKB3EwYkTJAQZd5WpQiYGM0IsYoVjaYh5YcgU + TSBpNMGDCGekoSxRFmKapYlPE1DO4kUSIj8c5Lu93cEufAueAUCABHDw0UoxRZKSp6rRZhzFso8w + 2P3lu+9+84e/bOzZ9xufPL3/0Mn/9z+8unzr6ne++efHjh+e6sxlSayq6oCvSOppIxExtaARAnBV + IKcqseGH50jN6YLGnDWQy4AJUCNJkvk5dFsn9y/y4f3J3NKRV3567ubte7986/128/G980m72213 + G0lmIZaqpekYH6r8hojyolxd3yijuaRJxEJW8+6TlLM2fCMMo+b9iYb3gjKqd4k4ZjBYd/rD5fvr + YD89Nbl3foYjCoMRDwstyxIUxUrTWAAOaUIOqogFQomIjX7ZH+Yytbgw052aqNrIgAgIJkoMZ5BA + 8jD/9rT/4OKxo3sXZtorV69cf+/s8nPH33n3wrV7/em9C0dOnlzaNzEuARYARUgJcXXBpN5VuSIp + EQEBiKCIon9v5c6Nm9fXtzZPPX76C1/44le+/BuLc7OtFIy81Wm3Wy3nmMxijHkIpSIavBqUiLwk + WSRXlKHfL9KGsJOsgfrtyxJqDNOQaxwCIDiihpHLtdjoreJ+czgYMXwKIkIEJALsIrIyMkBZwp1W + 5kUMKGMkJqExqUOhIUCApNmdmmk2MgrDrbW7Ozs7KFRJ4xjvqMPDqotNGXo7W1euXrlw4VKnM/HS + S7/ye7/3uzOLC92slUJSU+r3XJYoA4Q0yWIeRwYQN1qtZqtlhFExGg4HlaBF4LK06QDEETSUFoyM + DFaGcgSrmpLWrpNEJGN+qsIrmFziWSSalsOhRnVEnsEKBOhwh9N8MArrm1s729uOsuNHDk1PTAIu + SVvk/LAsw9ZGUeSNlB/UfWEGFkorzPihjZgqBLHqXSIAE0U171NAUQ4/vHD2/IXzjbT5q5/5/N/8 + 8pceO3VyutPOfCRjprI7OQQTCSeeBcEhQSwBLrmp7M2U4kDLkZqCUiB68kAQYmz3tnp9I+73+07w + 2KkTUxMjYD2OhqrKwlYRAA2o/JAYcA6Ksj9avnuv3+shldnpiXarYQCilsqBkkiezBLLMxtHgSSR + fEFpSUmTpeW5QZXVMiWtrmu0d9bLPJIRa0QiYCImMTizGjypDvYq0YEk7YmZubn5e9akOOrtWEgp + EQCWl3kh5EKMQc1o7FNjDzJ80LjgZwBV/H2F6fbW5i9ee+3O7dt7Fxf+8Otff/6Tn17Yu5SytiN8 + Evs5Go0WKouisdktRJAm3icsHIP2dvvDQWuyCYDBTCTBoosB5SgvhiEUsFiMhsNBGaNXRwIGy95D + h555auuHt1/fuXnhnTeks5c/XO7lnDz55JPHDy3WG2tVdNLSQxyTGiLEMSwGqhtXUjQ2YtVIWjpC + ZZyqVueABCi4Yt3S2Dai3m6IiImIYZaX+W4RKRHviBWwFErD4aDCUXcHgzJqAIZWJqQAo1Qyz+xA + 5hL4hKniqxJP75lvdaYM9zc3N0ejgR+zTPRhqGskSFNIUvvQAGBCjBrzPEmqeDyAgCLmg971m7dW + NnqHjy986YtffOmLn1/YM9VyZWLCaLXa3cy7UObeJ52JSfFwDO89bFjGUIQQDcyciJBWNGjUfr0i + Jgw2oHRUCGm1CzEh8yCG98nE1DSnKHbCaDSMRWnwMcCTwghFABE8iYCAEOGqijt5uGRYlmsbGzI9 + 60SGI2QOqYwxTYFPvYdW+WHQMsCx0NhrCkmz2e10nXNwzjuPR3r0GCovHb29sv7u+evrfZtrdRcW + ZhsJPDSlEYWeFgOEIOwIkueaOoH4ADeKoRsjhMxRDMXO2j2M+hX6VRrKAEcBFmCCmJacgBrVrOUB + DWa4Vrs9ePqJQ3dunHv30rVL599zXYeA5fVhOnXguU/+6vTMnOeaJFnVIdUsIioiLFIEPHyadadm + 5paWzl+x9dW7G2sro/2znJCDF3EIjBBghlzvLK/t7Oyike2Z6HTbDREg9c1OZ2J6OgLD0aAY7U4R + 0hppqNztZWs37+0OIS5h8cKJ95AARJihKBW5+Obi4kwnfeHg0sQTJ/Z/6/u/fOvcrTt3bt66daPt + 57IJJiF29SKNVsVUUps5ggFNXUQrTQ4fnJpsnjj51Df+w/d+/P3Xz128dHd5ZWVtZ3ay3TJzHpOT + jbQhQcvd/m5RgFMwodJ0lrEcFUWhwRyRMFGVgRqBhR1Ao7woyjJGhcEJ2KBFzmJgBrsiWJ4XsDg1 + 0V6Y29NuNJpJGRJP3o3I8rIk1QZxU0AYm1opFf1ic2Nzu7clDT5weK8Ix6KsmkVGiBIBxhblIWG/ + YmKOY8HqeIZBku70bNZs2eYoHw7y4aAsQADJuDJBSU14YqpcdhyQUDWmAeThreU7i51jX/3q108e + uvbD42++9pMzW5t3z1+4NH9o38LMOKCsOEyPyLKZudVpZU0PttFglA/KOD4U1KKrBD0VXQkEkSdO + Hjp/dPbCxd2rF99/+625rf2Hrt/ZDDz9/Mc/t7B4KB2DFFrmzIpuNr0wOTM/eW/z/ofnPvhC/zOS + IpEEmsPULBg7dWRAiDFTwCXTc4tJcvPO9eu3r19aP3ayMzsOZewBslXnm+N21gCNUGwVZZq3JgrU + NBSKDx7vUseRLzup0QzzIPPZzOweOn7kwN0b929cef/6tQvKu2+9f3mjV+xZOPqxT/zKnukZAcxK + tcjGYD81M7tnfkEE95eXb125vDR/jHw1VsoENoRQnwceZmDns8mp6fW14trlS4Od3VC2o0LJIFGE + KgJHkjBXoVrU3eFolOdpkjz95OmvfOnTh5daTRk0xU13OpPtdlVoJMAsAjYclf3+QGNki7XaiuIj + aSQBYsRWo7Ram7aNbY1ovP3ZA0RHKZa5OIMQ1G7evPXB+x9sb2099vhjz3/mNz7/a587cWj/VDMT + TRKDx2aSpWZWjEZ5v58Pu7FZaRgomEmSplkjS7JExka+GGqMTBwjhaAao8WSTJ2rTNOp0eo0u1NJ + muxsbe5uro30YGExVYJj1arkLy5JJcnYCREYWlHH6zi/dvyz2svd1+1vkyRhgxqkVnkzyhFYiXlm + ZrrdbMHiaLA7GvaLEBwFAMQSIkI00/r9K9oGZ1maNNWn+ojxQbSK4s3wAjKzCIxpxx+Bdj5Kuf3I + ZY/85Pg0R1UxgBjSRrI0N/HkqfnL2zt3rly4dvnq5oeX3ru6UfrG4cPHnjq+vyNIADKUMXoiuMbk + 9Oz0zFSa8s2bV+7dP1Xq3oQhtTa6YjRWqZcDt/3U4szs3MJUa3v58sqNpRg+GRyzcYjmiByMBE7o + YfnXTNWijllnMCL2SdqdmJrodlfvreysrxIOVdsLiasttljAyU5v99z5S4PhsD3XnJ+dbaQpAQRN + nJNmIrGBMAiwIpZmjk1rU4SHBaWKeaPQOBzm/VG/iKE9MdHsdNg/RNDqCQMq7c14CupP9tGPfw3X + X7vXLQGAxRhCUFXvE2IifgBPC0jLotjZ3treXO/3dyFJ0sjSLOOKS6QRIR8Owk6JAVza6TYdvFXQ + raix4q8cuq0C5wqVHzeOIKo7jJsCkCTdu7i4f3F+Ycqvb5TbaysffnBmc7t38/4WuJFNzS/sP3D0 + 6MFWiod0IRKAIkhBIMlarWPHj/7G3/zyRFq0YA4lyhA5rYjyQhXv3yrwiGBTU5O/+tnPPf7x43Oe + G9h15c4I7dInw7E1Duo7/yhe+2jlsI7EGRrC7t3r16/98NVvffd7r1y5tbx46MiLn/21X//Nv33i + 6KmJhh/24eJYsceI3HbCU9OTpw4vLE66CzfKjdW7b739Vm/t1u172zOTe+aXDi4tLToPAVijFf2V + 1fvnLpw/cuzY/PyCE68GGuU7a6u37txeubcC7U5PTS0tLvjaAdBAVbJWrZYYVaUecHaMVgKfdRcm + npJy/frd3tWVn/d2tu/dXR4dXQAyqIZQxFiKgNmDUgWY4DxQKigyB6KqFYUz8wTH8ECYmZ48eGDh + /IUL6+v3rl6+uv/EaWtVLvGeKJoN82Jn5f798x/e2t7CxEL35PF93W5FZOVGa67dnWGSfm9re3M9 + hsCpkDAMRRHWN7fPfXjx7v01IGkkPhPUxggQI1ZCrBI9U7HK9U+y7tS+Q4fP9Va31+9fOvchWb53 + Yfrg/IFqKqOai9bb6r9/7sL6xgagCduYbFmVwY3qutAjS6EqNRMr0VZvS29cvn37+sHZE9NZZThm + EBuN+qtraxcuXB8Osac7cfDAfLMJkLpssj2xP8uWd7Y21+/f3N7cmd7TrVcUoeKxgcpKvuMqrRHG + zuhaHzjMNSkeZBDvk1bWaHs3IASLBSyOy1lmAIlEtRBi7eeAUC/bCEalsSYlgI05QnMzZSKARZKs + 0Z2ZXUjS7bX1leU71zc3js4vegGYRDEQCqAU5KuGXRiTZQQMuEcKcmPoljioxQrDJQMFArjCO8gF + iMJD1DQiFGTRe2YHRPVJ1u1Oz80uXNvUjdXN5dvL6f7FrE0awYIUEIihVDZOErAwmMxIh8P++vlz + 5954592zt3ZeevlXfu1XP/P4scPLd+689t61d95+7cyZT++Zfv7Y4Zm6MoIkSVpJkjFbGQdlOYgK + X4VRD08WethDvloIpKy5mZGlQAJqkKDdRLfVnp8/mvAzm328/t6drZ3i1p21wVCJMp+mnW4za6KM + 2N3dGfSHQFZvklGx01u+u/zh+Qsb2z2e26cgQUxRZRwSpFFyOhgOdXX57o3L2xOHZ6YTLw4sBFie + L99bPXf5+s6wnJronji4NJlCDAYpVUwVFm5dPX/50sGjJ/Z32mmDHUWDBeRFf33j1mqvPypm90ws + Tjcn2xCu4WlSc0oMiSYFXO3/aCUoYLJ14PC+048d/8X5rTsfvH/2zcMfnLu2OnDzR44vHjg0s6fa + IFVtNBwW/XJUUquRNFpOKovYB2x2AxTGiLASOhrsbm1tbQyGo/nFvcdPnDpx/GB9ZsXKcUHz0dAs + gsmYtNb6MWnl284BiDWhoy78VNIpiINCQ8kIpCOtfDSlnTXbU3um7l5dXt9YvXP77vTJY1UXVgPU + Si7i8sru+2cv7/Z2fSt4UbJYK/NpDOVX90UAC3yr0Z3utJqpjdbu3Fi5d3+jl2edpqlWJEYG8hLb + GxtJxllTRoPBxtrGvfurs7Pzhw8fefr0UwXMQ1KAItRofWsrjwWYyMBGZKhEU8ZwiShp0KovRNUN + 2tVmRICygcwJe+Lak5cMgEIrzPxB0FXNBnuKiIPRYFD0b964fvDOsQNLS1XoqjBWbGz3Pzh7fn3t + fjM9dOTg3qmJCUHis87E5HR7sru60bu/dn9tdb07O1PPbH1TggcEyDoWjrDCkNWdhxUwJnKVIHZl + 9c7dlWWLOH741LNPPze7ZyZzRlSCUulJiHFQ5JKZOBLLEyMggtyIGwGOTDdXbv5/vL1XkB1Zeuf3 + /845mXn9Le+9QxkUTMM30GgzM909ljNcDrnLDVEP2tCzHqTVix4Ukp4UoY3QrrgRDAUpLZczHMfp + 7pl2QDe8NwVTBZT3vuqWvT4zj9FD3gKaG3wTyYyKQKHqolA3zTmf+X+//8vhJ/0dVVWJejvMCTIw + Ddnc3FpZ30pni+FoeXVVRVUFC8MhGN+XBkZqlc9mFubn52fTjc0JJ2AXMwaJ/Wzh5djExuZ62GZt + zXUV5QmlocEkWR4L+WQzmJDKR1TgNAiQ5ZHjsrDLQgAc40aNckgCKK9pqKhtLGyur2ztr61vViQa + LO4TjDJBWsmDeT5zsNAEtdZosrq5pW1ydze9szY/O9EUa4mEXlfBfc+fmJicnZ07UEjr16Xb0q2v + D3q2GtCQfjqdnpmezmWzLV3Np0680dnZScIySvqaQBoAACAASURBVNlWGCbq+kZJDRBUQEJCSaXN + GOecGDeA9JVSEMFDQgQEzwKBYIwxRnNGRkvle0ZbpbfG7Krmtv6+QvX1R/nlseGHadYQnVzJS6fs + 8JHBnpaqUiRFFkDcaK5dIlsbUkG3AcFENUFYPBSJxOJWxhjpFnOe9G3YKOl7SgImFmRtwKtHtHQ2 + iDjnXGmtlK+0FK9g5gYAD/SSxECM6SALP9ibAaElaQ0IbpjSRgbBh2XZNbUN8bIqX40vLC5sbKy6 + xeMBjcfooDxKMNpwcI6AOlVivBGoFMkGZ1ALSCELsphf39xa3yvUN7f19R061tsRCgsODiNgfKUh + 3TzJIhkNEkFZmjFAQWsttaKglq4116/N2oICgB0JhyM2mJLFtFfIGgUiEgTDoQDHCTe2tEYT0fxm + YW5uund3G7rOBOPORCZQruHAvyfIxAlQBG4rYkXfD9xpKEjaSwaUAMA5SFPJ3tzwV8VZEyxI2gBk + W/ZONru8vLy4uJaw66sD8buB0UYWvMX1vRezm9sFtMbLW5oaYhYs5EEFx+RtuIBm2hjFjLFhtISV + B3xisARCVqKqLJ4ILW5vZFYXs9t9ydqgueGDSWgfyjGIKSq5jzOAjFGGOItEYpGjg60zo6Hhsd2J + sadZGM6jqX2/rrrt8BtvJsoqOALdn6bSHU6aGwUJLbmGAGDZ0bLK1o7uyNrS1s7m/PR4Tws1liUC + g+hSElvw11P707NLmf10ebKlrjKZjEcYAzi3I+F4ZSXZKBTyO6m1mq4BqxTaabgS+9nZpc3l1Q0o + I4JaCTuw6j5oETKGWBTJcF1TbcK46YX1/JOx5b29ne3tLb+5Aib091LvoKnPOIKRKmVgPMEMc1jI + ScaT5S1th3Y206n5pZHnQ7t76e2M7xo7yphjm/JkyHF4WnqZXLZQ8FTY5hwM5Buztb61sLy8tLaa + LeYMM7YlSnYqxgTCag3a2NqaX1xYWtqsri53HKs03aQUPH91bWNtbR2clZfFqyvKwxZzOOdCaMFc + Rpl8dvzly2T7YFeiUzOAExTBsMz2/uzU9O7+jh0PN3c0RaIhDhYMH0qyNBiDtowblG41EQPXEArC + AJxgkxQGAJgdbWjpSJSNFGdmZ2emUgOHdE97STVfCgR5MHYWWJtagGUMh4DSIAnKwyLBQhEe7e1u + KA+12D4tTT6f39la20yl86RKt9DBdfiGTJEYRWNhJ2IBJpfNutmi8mDs1y8tBU/MghHQ8lBnY39H + ZZiZmfFhkYxm9oormzkWaRg88W5VbUvJlR6GwQc8OEhURdp6mpeXirOT46m1/WI4STaDEUG3zxgd + PKqBDyxEpKmtq6Iyuzc0NTc1stKbbK2pexUWB4sQILRmRuuS8Z1xIfdNZmNHRT0VVolQ4Ppdymgo + IDVS4GbHA6aVr402ZDvCqiorEz2dbdMjw3NzK9PTL1OZjbuPJ1zJOhu7OrsH4jEwKA6/NFUAkSiv + rK6pi8acteWl8efPjhzuUpXBTWYIByxZA2OgibhlR+OJ+oam2a31qYnx9ZXltqbeAwwjDABtDDTn + TCuQNjAwxIkJS4ia6srOzvbejsoIL5Rmf/XB4wYAWvlSg1uWzQi8BEzQJaOu1/JNrvEKmKAIuoQG + LQV4B2f21SkmcxBDMYDt7e2vra3ncrnm/qqjRwY6Oturym2hwXQEJs+EcKXvex60NtIPYGtgMESq + hIJmDCToQLYcaFE1aSMAzhmDlkp5HIYMgfNooiyarBSWs7O1ubO+nN59ozKmwUojh4Vcdnd9fWZu + fn17F4xLJY3yOEKvSqjfuE0YiIHzTCaT96RlRSN2uSO+WT0jGBSL7uZmKpfLCM4qSs5aGsaAGDFe + clsjXir1GwXS4DbhwG/gAKGqA0/poL5EOiCygF7Deej1L3ZwF39DrvcPHwYgKIIEODGE7Ia6ihNH + +lbvjG8vzYyPvJgdHptazDmV7a2trd31oTiVlKYGAsaCiCbKqiurqsJhPj39YnGpr1A4wcLEWbAk + qtITYgCyQDETjlRUVHc3V6dTM8sz1bvbO4mKZMhiAVxHA1xrRsQBpXUJgANoEIgJK5DxgXNRVVNX + X9+wvrayuryQzp4qi8IhVnrodCmVXdvcevT4ie/7TeVl1ZWVIef1TDtnABPQkCiBL4XRFLQkDjSB + ZBQo4PqpQrFQcIs+TDQZjyRizIbhr+FlBzVZ+sZ1+Icm2v/+i/6Jjn+wYP9PcxijlDJaQxvX8/b3 + 91OpVD6f11qXGuLBXciE9NX+zs7G2trO7jaMiiViiUScg2mpvGLRLeT2drY2Nzc3Ujv7ac/1DIyG + 8WFUQKV9VZ/8//v7AgdYDAAGRkMr6MC2iUAcJEqIItuJJ5OtDbVdLfXJENycWZ5fffz40czCMqxo + eUN7fXNrTXXM5q8kbxxMAExqo7QBIITFOHN9V8LXMNAMrAQ/5QCMhJY62B9JEzdC8Gg4YjOhYTxf + gYRthYOATOA1awv/5Rr0+tC61KwGqcz67KWPfn7z2qXJ6VUlxPs//ul/89/9j52Hj8TjtkVIROAE + 1TgNTfA482CHopHmumRrbbQ8CreQvnnj5v2HT1zXVDZ01De3hx3YLBiv893s7oO7t/+H//7fXrt+ + K5MtCDtkQDt7u89HhpdXlnL5LHy/raXlyOCRcMh+fem0gdFCcDJG+j6IgQswFrTbpATjoqKquudQ + r2PbvluUvgetDVDCpzIQQSr4fqlXyjhgMZCC8QWHktJ1FWchxuyg4Nja0nT61PFkIryysvTw4cPd + nb0AasKIiLRCPp1LLSwuDT2Z3N5SyUT5saM95UkYuFAUjlbHE1Wci0x6d2d7w/PygAoENfmCu7S0 + evnKtRdj267nRUNOzBFW6XII4kI4tuVYQnBozTQEBJxIdWPrsROnkmWJ1NrSzWtfPbx3e3Z6UikE + MZ4xpFw1Pb/ym49+PzU5Bb+gvSIZZXEmbAuc/QMPQelvpEGasLm5MfTkwcMHdxbm5x2LwQitldJu + vri/sLB09dq99L6qqqru7GoMRwDSKK9rbj5cW9uSTe/Pz4zOz0yUbqZXjWiQATcH8NMg7bQEQBak + wQEJAwEyhRGcsBNK2HZYKyW9vPKLnIzgpYwTIEbCkzLvulob4gGsvFS6tawQE44Bd7X0la+173sF + 5bsEWHC4EwmF461tXWUVZds762NjTxfnZ0slb6mLbl7DK6m8JIxBNIKIA4eBAUqT76ngPJnX7EWm + DDPcYpYFZrhlQoSQAAy0VBqkYXHLhgFJ30gvcMjxfQ/haH1Dc3//EYvbG6vroy9e7u9taw3fzTEl + bUAAhsgVpBhTYAi6ExZ0Yf2rL3/98Omzgl155v0/vvCt7x490v/he+c6W2vXV2ZvXv969OWwbQmj + g9XJSZTVxOIJzy9m83u5/L5SJkhmXi3uAb4tsE5BaQDPI+4zLoyyoRMwdjCgo/0CyK2uCHW0NUej + cUMhqS3GwwwOGEuURWMJIQ1SqY3t1K452KyLnr+ysjI8PHLr9r2FpdW8r5UBGcWDlVmbvOZFLdLp + 9OL0+PXLn01NjCmQCoJhpff305PTc/ceP19NFeKx2JG+zqYqRBkMMXCHMc60d/PKF198/vnyeirr + mpJFMDd+vjA0Mj6xtJkt+tWVZbXlkbgdKKqC6224IjJcGeaByVJo60IVob3G1oZ33jpXZvH1sbHb + l69MTS8XeVlL77Hy2tpQqQHme24mlVpfWllaXFndTG0X8m6pRKVL66cO0lGjAnEswTdGacAKhS0r + RAQjATDYFpTKZjPb2ymlJBdC2DaJgCdjMcOVQtGVrjJgLBoNwSJo4/pGGkBwRKMw8N2iYxEZ1/eg + AMtKllXWHBo4VFEXT2f3XgyPrsynSrUeBqm9TKbw5Pn03/3207XVNaOK0i9I3zUalmAA1+AAaSmZ + gmULcFtbESsSTyaiEeavLUzNzc8vb+0byzGALuYcaAZk8t7zlxNLK6sBSU0r5bnSckKWbRuURPcw + gMLu7v7o5Hi2mAOR73qOYGHGAJbN5bP5ghMOWY4gTsoog2DdDhzrGQQ3goiRLUTMCUXDgaW78pWv + tDLfQBkTO8CCMeZ63u7e3vL68u1btx89eOgWiloBFkQyDi7WNra/+vra2upqMhZqbaoriycFQiEn + 3tTa3t7dWZTu1PTk8LMnhWzm9TYZFO8JxIItGDBgzID5IAmUAgEiphUADUd4upjNZbPpXEhEk7Ek + wZRIGjD7mcx6amM3ve9KjzFNqsh1AQwgUaSIBCfS06NPf/3Ln01OTe/nXalJa80goc3i4urE9MLa + Vq6lpbWnuzO4iQEEQtuiV1zeWrl169atGzcK+dIobtDD20vn7t57OD87G7ZZ/6HO2upK39fELS1C + HoUkOczosM5HVcEuvWXLZ7bLwh6FtTZw01GTB1MgNLV3t3T1SeaMjM88fT4M5QtI0r5XLGpjwHjR + 9z0fvgIBNsCI6YIfS1R1dHUz6KXZ8ccP76Sz+2AMRI4VsoXwCsXPP//iqyvXpAron/rgNL+q3h7U + 0Y2G0dpzc7lsOp22LVFRXnaAgCzB7LRvFpfXdnb3BOfMGNKvGSd45VXAuBBWgOl6tX0ZcAgLtiMs + YQnuWEJwYgTOgr6dgLBFQ1NXV3tt3FYbU8OP739968GzpRyP1xweHOxsCpGCklA60GEbMh60b7SW + BhogwQOCM5xQLFleU98Qi0aV76bWV3OZQDREr7AJGkxDBHPbger2oAVLjHMhhJS+gXFCJWKYChYe + bsUSCSJDjBKJRFCkdsgOINoQId+H7/l2yFLaLbg5YzRnCEejDU0tyfLqoofhkZGJibGt1J6SgcZI + B/+x0upVGHHQ4AQMGGdOOFJ6ADkHM47x4Bf39jObGc+DFQmFkmFuG0lEPrNcJfb20/vbm0x5nlvc + z+Z9DRU0PxgMQWrNLDBAeS4LdEnsoKpASFaUV1QkYenM7ubu1oaUgdgWBBiFcCTaO3C4vKY2nfHu + 3LmzsjRPGlppoyQMUSgKKxrM13OCLcADRItvIGzhhCzbKRRdJWU0BBgE9psButQYKK2gNUDCErZN + r2g2MDqfyWbSGScUXl5evn379q1bt5aX1oAgDiUY7GfyC+u7E0u7O0UeS1Z1trfEHVjIweQiwo0K + zQVpz9cSwrLAoq4W+0VfC454FLFIU3dbS3NDdnt9cfT5/PgEFAQgLIBrqCI8bQ78gqSEgHQsKsko + I6FjR9oPd8dDHBOjT+/ee/DoyYvdnIlVdXQPnAxHLahSWkGGYDnCtiBIQxktuYYFgFuRRHnv4cHq + msp8dvv5k/trK8sELo0JogsIvp/OT0wvjrycSu9lGqorm2oqyhN20Hrjth0vr7QioUIxvzg/5WV3 + GWCMVL7MZ3KLc0tPhsdHx6dRKEL6ZLRRutRF5xy2w5xQcAmMAbfssmR5TXWNbQkp3WKhwDkPAB0G + 3/wgQMAKgznak8YvMpUTkArGBZfgNdXlLbVlNlOe62ddUpzDssMOK0uGHJt50t/LpLO5rO+W6ge+ + 609MTD0eGno89WTN3VRGRSNhBqOVhNRaaca47YQmp6fv3L17587dna0twcEcGyBV9LZ39p4Nj46M + vHQcu6oiWVmWCMatGJEichm2dtMf/+bvHt28ld3L+xpeMIIJvrm88ejBw+29rVDSaelsrqgoi9jB + NsclWZoYQVnGE+ZgZSjRsQVKtVgVgK5ZNNHTf6Sqpm5/f//WzRuz05OcjC1g8ZK4xzdU1EIy7hso + X1uADQPDoDmMD+QgioaY5wslWSwU7+msrUwWYXKuJz0TPai/6leB/etPCKGIY4W4MSqzn8nvF5RX + +l1ZiZoaFFUdMAdad7bW9raVJ2xMjj6/c/v246EXqV0vVN4+cPxiRWU5AwTgBG9PFcG8aLlz5OTh + SMxamp+ZGR/fWs8oDzAC3CbxipsZRGgcdqS7/0hLa6tfzEyOPp2bGQeChlBpNSNAg3uKpFSl+pcu + IL+1s724tra6sZnO5L+xMwUpFNeMG20koAJHZen56YyrfRssGYuW93a3d7YkSWFiYvjeg/sPnzwn + K1rXfKiyCpYFjqJDmjECCRAPJcqq6uqrqqqXFxeG7t7Z3dz2/UAjFmwo4BxcBGOOnELhisrqjs4u + 27Imx0anJ0Z3tnbDDhybBBcAeVL6vgTge1L7HohF4ol4Ik4ELX0pPSV9E6ijNEEHQvVS8a/ouk4o + WlVdawvBEBjxBNVb841LzDT4a9atCVS3r+MmvLoRDjzRhWUR50FtRynjup7ruYxghywqef0CYPDl + Xjad2t7K5XM25zbnNg+Ye6XSbdGXRdfzPT/QzQiQA844h6/BbNsJOY5ttO+7BRhFAIRARVU0WcW4 + 2FpbW1ucTa2vaWPALGgwYrtbqaGhoS8uXX46nNJgbrGo/GIAYS9VzIN8+4CGAdDKyur4+Pj83Fw2 + kw4i7Vf0ExDb2du7cfPm3OxMLBI6crivtaXJFhY4K2FSCUQlqJcBlHSD9MAjFDWkApXeFywiThzG + wHdBhgsi0q962/9lTfmbj97r45uVsNdFR10CPwvYoqGu8vypY9WJUG5j+dnQk1sPX7xYLdR0Hm1s + bK6PI2ZgG3ACFxHwEEREJCura6qiUfHyxdDU1Ph+JuNLaK2NklA+tAQpMAOyihTNIpasqHyjv13t + LS1MvliYX8jkPQMIK4BbELQEGUbwPdcYMM5KrWHGI5GI4NwYA8Ybmpq7urvWVpanx18uLywW8nmA + hLDAGJSC60Hq+aWVazduwKCutray3ArZpe6U0r7SXhBASoAJwRlxY5jCKye7kutzCZigXK/oSl8z + RJKJcDzO7deuo/r1RvP645tFXODvfe+f+vhnUt0apbXWjDFiZJTyXPfK1atffvlleXl5X1/f0aNH + 6+vrQ9Gw1Gpxfnbk/r07X116MfKyuqrhzKnv9PV3l1dECOBkuX5uJ7X9s7/5xc2h8SJP/vG//jc/ + fvtkLBlUFvnrEPgf6TjYBg5SCAoaZcGlYRogJkgbEIdjt7W1Hh3sX5hb3MuDM0hjjCGEon2Dxzo6 + OwXBJlDA3SKAM4AbJgwISnJmxGvePTcBLcvAkGRBr59zz8BT0jBjoIIJJ6ZAnBETIPnKA73UUw1e + RazU6FSKjAKzoTUMw8HCzAnIZVbnp+7cuLK8vO5reJ7+7NKVZ7PrUScc1zoqpW1EJFbT3nH4p3/6 + JxXNwSLAYPFEMnZssH901V96vrEwOy2lByt69OT53oFjnkaQNwCKlOsWsjtbqb/6y7/64ovLsXjS + 932Z2cqlVuanRi3bDtc3DB7q6u1qd0RQwCcoA2WU0WQYoLd3tn/3i184Srb1Hqvr6A9XNkRsuZfd + uHf/wS9/9dv9/b2+tiNtLc2RcIgAJizGBcCVBoFxxl/LkAlgZMh4MphyCuzZSmCyiorKnkM9vf19 + d6Y3b9+6YSWrvnX+9JkjA5Vxu5DbWFx4ef3KpXu3n63v5QfPvnXhwluVYcsOGBLCjjS0NrStNlbX + rE2OX/lC1yQj/X3dFYmyvdT2nZs37926tJ7aUQRGzM9nqZgVCAMMzNHM0jAK0kAyo7iC4QYk4jWN + /ceOV958sTC7eO3S741RS7MDibDd39MRC1v7G0v3r3359O7VxZV1zzNwDEmXGU2EILImgLgI2kS6 + ZMsTTCaQJqbBuOBGup98/JvU4sTMhbOnjvZWxEUxs3718ueXLt9MS3Pu7OlTZ8/WJCLcRkhzKLtl + 8OTRpezdqbUnN6/x/Z3s+srRvv66+lpDxhidc7MLKwsTU+N7WfmtD39c21jvlKRcFjhADMHJNtIE + A+bg4UhlU1On46ysrSxcvvRZxPqWLFSvb68n6ypjVeW2zTRAXHDb5pwTtPZBpoR2AkiDCdsCg5TF + cDjEGYwOxi+4iMRPnj43vV6cXr3+1eUvdtPprd2f9Pb1VJYZ7aeL3M/t763MZ54+HrcT1o//+A/C + ZWHBuDaG4aChF6i2KMgBNbiQYL7WjEOQKiWVBMYFQUmQ50sihGwei4S1kcpox7ahZE1tw49+8AdT + K5nnM7P/95//+eL0t948e7q7qyUa5pZQ2pi5xbXJqYWx8ck3z58/e/Zswmbp1aUXQ48f3L1veOjk + xbd6jp9I1lRz7J85e3Jkfv3u84knT+63tSRPn+irrY5bYSdIN8ORSGNL/fLi7uzc+JUrXxwa6IrG + Y5uprabmhurqqMUdelXL5QBpaA/kb25sf/rFtaJLNVV1bxw7UZ6stEXG3Vq+d/fGrz55vLa22nX8 + ZGdnVzgSM+BgVlNrS2Nrs2PP37x5PWW1xiL/qrk2LHR6Z2n86kc/H77zFbNtYYnSiqkllB9M7ykw + cAug3N7O9StfeTvLS+PPLp47WVVZkc1mvvrys2uXryxvZTv6e48eP95aVxm3YEFbWnKjyWhjdC6f + e/To0f/yP/+vH3z4/tuDg7011fuLC/eG7v7tr3/+YnKhvrHjpz/54WBHPX8NjWfEIY3rK8k5WVww + QBkPRoJb0OHKqs7Bw6iruDsxM3bvZmoltTFw8o0T598rr6zhpVVUpVKbN2/evXF/NG9i77317tun + TpW1NgfeKOwgZFJGQylOGoyikUgsFjPAo0ePD7V3D/T0VSbitpC53NblL39/9erVZ8+eb+3npPKd + sMM4pIaVKxJEyAmHIxGtle97pTCCGS4YMQSLIWOOZVl53+XwbQEGSClsJ3zq7KnluckX1+/97uNP + 9pSw/B+0N9YW9rfmxp89un155PEtXzEhLEHKL+ZgVMn5AaRASioRgBMAEIcTiZWJM6dPry+ufn75 + 2tUrV7I+ffDd99taqpJxVigUhobGHg2NZvbT333/wqGu5mg4lIjHQ5Hw8vLKs2fPHj5+WNfUVBZK + mgKe3n9w8+onl69cXdvYbWtQBMNL6QETVogLnitky2QRpDkLptGMYMxoA61h2+BCKpnN7Gu3YHMo + DUWaC85KOyO0BJXma5iGKbi+rxQXLEKRZ0+f7pt8amXlwhsnOhsbLSreu/Plla8/ml9Yqas/cfzE + wKGu1rJEhFBgMId6+89dPP90dOzJ06HMX7G1jc0zR492tzRzow2U6xXGp2ZfTK0vpwo/+oOfHGpP + Su4L0sH2LziCN0WMQxF8v7q+vqm55dnk9qOHD1sbK97/zvlIyBRy+4sL05cvf/HxleHi/lZZk7KE + 1jIPFeDaSBmuDDHGlZ9fWlz49//h34++fPvDD9+prUm4hd3VmanPf/XboVsPDHD69OlTJ98wGuCK + gwnbUVq5vkegly9fpH+tV1YXLp57o6+zmWRmbPjBvZtfjI5PVFY0Hzp1qq25LpmIWRbThkCMCxsB + gIIocCsKSGNGw0iPG0lGKt/XxoBsUKipZ/DwkWLT/fH5l48/8lb9vYU3Tx9ubWvxlW/btvKzywub + L0amUtnMxfe/U9PalHAUC8UbOvqPv2FVXR1dXJm6crlQHVMXTh9uam/e2N96OPz07qXP558/yReU + JSAYcVNaV0tyRqWYUfQKsUVgworFEs0trduZiYX5hWtXr54uyubObtJqevrFi4e3Ll2+9mhk2Sgr + bAvHtl6tAyALzNLaeMUCQXEWOE0YgAjch7SVAeOB0VaxmGdG2pwxHbyGAQKxivqGhosnD6s79yZn + JzeceKKqfmDwcG1dbUUEDgc3ANmARMkOQQvO1YH/W6mHTswKR2vqG/hMen116db1K2F+ivzyrc21 + 2urGmqqGsEMazDdBYMkszshoZYxU0mKCODcwjJcs3IMTFTBCwYTRRiolpVRSMhaIklBSLksjuCOE + 5bkFRjpk85KfCBNwogODb5y/sHH10cSVK5eUzH/7W+91d3XUV8QNfC+9vbqTm1zY2MpJW7C3Lpxr + qC0L1nZtjJY+56xkJWMoAK1XVVVFw87U1OTDx0/qWrpaWtsAs7W19eLZ4yuff3z3+u3drLRsJxFx + RLDGMgCcmCBhB3mgxShg3ZrSlCqBWXY4FgqFQHplYerlyLPxsfG6eKXiztZ+rr6pvjoSKquoGjj6 + Rs8iPZtd/dUvf5ZLTX349snGypAdijBmZXKF5Y3th8+HeTjW3tp5dHAwFhAzwDQJTUxrbYwMIgt2 + EJ6b0iesxEZ7lTEH3hGahBCMM9/3tTb7qa2f/exnG/MT375w6uSR3pAwqbWlzz/79MrVWxklzr37 + vdOnz1dFrDBgg6CNUVorT/u5iGVCjg2CMQwc4ZDtGe37vmVbXceOHVvNf/Z08smtS+SvbW++MzDY + 1V1TGVde2Pe2inJ0afHR+Houv/pHP/1OY1MMECUzQPBYebylqaqlyZrfXE/vGDu6HYomGlo7q+ui + oXDJGdNIA3BwXvRlxs/nZV5wRAW4Bgznieq+oycOje5MbLy8d/NyiG9l9+beOHq4LFqpi5n1peWH + t76+cfmz5bXtzr5Tb7//Xk9LXSxUKnmUVdcOvHH6y2vXny8uffzrX4hwAqeP11ckd9cWJ548uPr7 + 386OT4HZFHIsSOMXjZYwZmNx8Zc//1lZMt526GhH/3E7Xs1VMbO1dvvmnStff62kX1VVUVtTZVkW + qNTv0IBRsBiMgeu6DsPIs+f/+W/+U+9A76GB3p7+w9KIvKcL6dyNW7fvPHpSlLqqqqK5tsomALDi + 5f3HTjTOZseHl//2r//S3dj43sVvlSfCa1vTw2P3v/ris5knsxEr4foaBCV9TsSJjNQMDMSC6Zj5 + +YX//Nf/aWp8+M2TJ948+YYq5iYnhz/99Df3HzyOxWLnz7872N9bnoxxBsAorXLFgiYCYXlx8dOP + P0qnVr7zzoXu9qaEw148vn/rqy+uXX+kjDk00P3Ou2/VVFdxA/gSUoFg2w5A2mh6VbFhHFox5QoD + wUBEroRn2aFIRVmNc/TI4OkXU7MLS59+/Ot8Zu/tixebmhtj8ahliWwmvb668uTpM82d5o6eI4MD + kRhHfvfWpd/Pb6SsRLLv+Mn6+k7LTubzBxQ0VAAAIABJREFU3tTLJ59/8vOJmdlwtLnnUG95Mll6 + GIw+yIi/USkSIhyLVdfW1tbVLaYzmd0dvwgTBl5P0GijwYLCE+MiEW1urOo7VDWxmVuanctmhG9C + tS0dFXUVoRAOVLf6QFzNncqKE+fO3JzJPb0+/Zf/8T8Wvvtu6P23mmqSoVgYHLuZzJORsaHhsdrq + ilP9TUeOttY2dQ8e2T11bHxufOjjj7bIbA8e7m5pbC9PxPM5vbS2MPzy2dLSQnVZ+Y8//H4iFvMz + 22PDQ1e//v3jBb/i0Ntv/uDf1J2MU+DJS4AO6lPE6EB/asAtO0IWcQsAcaujvbWtucESePnyRZ47 + qW1z5FRn96E+qQOoRdCnDDTcBCfc1NL2gx/+8JOrw8NPH//Fn/+HDz44feZcT1V5GVO8mKXN9a1H + jx9Mz05+7/13BrvrE+HIiVOnxxbyI9M3f/fR3+UzGz/5w+9WVyXiUVtwk97bmZ6af3B/qLmhsb+7 + 7siRjrzrFYouYAQnizMumIEx2hCzDkaHQQROBMvOeXJ/b096LrSnlZ/O7JliTmmX8yptyGIyGjOG + uCGmlIJX8L1CPpvNay2V4uGY4rbneWHHdmzihMAhN7CmDur8sViiqromFouPjY+J33/S0NTG2zrC + XLjZrccPvvj6y589HHqyvRXiZc3RkOXYkAoWUxowTGjixJgthPGV0txmuiQTEo4ynpRGa21xFrK4 + IEWB07EI9Rw5efGd7OJXj57euf7XtO/98L2+pkrB+dzC/J07d+9cvby3nxFhZJUxMMwoUfKgNq9F + riYwNzKASqVSd+/cenj/SXfn4SN9J/v7+sIhiyCLfn5ydvLhk0f3Hjxyi6qzs/vkscNN9bUGCgRt + 4EqjNLOEHXHCInDB8z1Y2gDSBCUZcABaajBiTGvDQLAcDfjSl8qzLXIcSB9cHCB9AGgoX3Hw0pav + g24xDqYRDqq2B4qqg4KuJkMiUt7Z1dtUWz+/Onv76pWVLTdW0dJ3/FRdQ20ocEJW8AmaMW4sUBhC + Dxw+/K13U5u//d3tW1el7/3we9892tfVWJ30ivns7ubm6trd+49MpKz72KnOnkMtLW0fvv/u7OjT + 6dnJf/d//LsPf/xHZ06dam5qijjMLeZ3NpYf3b+9srlT3dAyeOxUS2V5KBwxjPtSGZTsyg2os/vQ + W28Vrz0cefb44V//v/oPf/x+b3djZbwiKsLKk9up1KVLH3/91acbm1vf+dGP3n77QjwGu4Szf11X + hYEEI2ExYhaZsAXBQAbGQPqStGtzDSLjq9XV9dTOtmKob2sqr63SAlKXLOK1ARnDyLzKoV5VBl+V + Hc03PvmnLuD+8wITAGhjjGGM7+zsDD8fdj13YmJiZmamobExEov4Ws1NT08+fTL6+HF5smrg9OkL + f/CD7kPNkQh8pQSEViq9vz00dO/LL+5pXnXizAfFM0eR4EFriA52kH+CIxCCHFyR0qgsCODEwRQg + GhsbBvv7b9+4vp0uFjSU0uCMIrHu3v6mluZAEhs4v5eCXQVNgnEORkZ5WnnsQMKtDXHDYQAtiVMg + uYdlM8syDMyCdSDS5CDGLEPSoDQ+HQwmwUgQKQXFg5nkA5TcAfMhABIYDS+f202tz02vpdNQBK0w + MzY+M7kApeG6YePasKsqO0+cyX7r+z9INkZLjR7GEI4cPny4Y3z3+tNluZOCsEV5ZcehI02tXTpQ + zRpF2nOEaa6vfffiWw+ejDx99sLX5EvFZd4BmmvDJ06eaD128cyxgbpKxgFj9AGDi8Ao4Ny5rjv0 + 5One+nr9+EJT13SsuiFs6/z+6vNH15+PjDS0DA4eHmhrbQmHQzAA48YwZQKRJpHWQYSnFTgBrORo + QyzwIjcwOgCT2bF4W3vnm29eWNPPbk6u3LhxJZNaWZufrkqE3PTa8tzIg7u31ne8qvaOM9/+4OzZ + sxUW56pITEE4rLaxpavn3BtvPHz0fHTo8e+i9thcb02ifHd1fXJ4ZG19rb2rh/joTg6Qni3zgfxa + ka2Z5WkttQcjBbRlgovH7Yq67n7rxIlj6+lHU5MvoLRbyEYdMXWoIxGxdzeWR4buZbc3+waP7U1u + rBS5KHWGUBruCy61NtoczB2WHj74CsSt6uqqqqqOjPbHxsfS+1uLi9P1Mab31q5d+mxiOVXT0TF4 + 8e1jJ0+U22AKzBhwu+rQ4Ik9eX5mdfjl5JO7t22jZqYmGxrrAW20yhby8yuL80sL3I6cOf92nTkg + AB7w+nTwJynAhZYAj8VqOzv7q6vGV6d3b9742mGFpvrKzd3No2dP9Az2l9dWEuMkBEoE5BKm4CAh + p4BHpsmApBCGyCipLJsABivUO3D4zGZhannn6cvZO7dvFj05eHSwppJJb9NmfnbPLM/tTYwttnY3 + f/8PP4wYm8AJhlFwEwZnMti9jQEpIsUFcQZoI4vyFZzcICACSGWIQKSV9n3PlUqFHAHPxMsqz549 + e/7Z5E76ztjzZ1xjY3X36GBn2M5b2FOGzy6lp+Z2ZhYW65tbj58uFHw9OvryxtWbs9MLdSfffvNb + 79Z1NjsJoJhv6Wx/48TR488nHj578ezp0L27A+9cfDMWrgBgtKysrD5z5o29vZsLi5OffvbbyZnO + eKJsP5175933ErEeO+YQAu8BTcRAMjAyy+cLY+NTswtbIctaWliuLq+wRdYtrD55+NWTobma6u6B + gb6Ozg4n5GgocNHQ3NrdP9DdO/F0dev+7ZuxiNVSFxXIbC9Nzr0cczX1DhzZndgMPLUCe9eS0JoE + mAhFIjERj4ZDM9NT++tze6nVyoryTCb91eVLiwtzdlnt6Yvvnzp1urrMERw2CiRdy+iAxdXU2hqK + RYefPeeMdmfn+hsbU3OzQyPP7gy9rGqoO3P2zXfOn2mui9sG3EAr+FIpkrClsEFQTGsGaO0b+AQL + lBDx9pYmaqmvWJwZXZxbkrGa8uauvmOn48koK1VP/UwmPTMzc+fu3YKOdrd25QcGSpuBgdYIZOAw + 0FpxKADJZLKjo72zs3NiauX+/fuVsbKaijJLyGwmdevmlcXFxVgstpUuGKMZJ62hA1sVw4yG1oqY + IWYMTOCBywQDA6SBKxWEEBYjxck/WORFKBQ9fGxwZnLw8fT85Owcrty0lN/eUlPIpBanxqZePrMM + nTp9/sHovM0NaZ8fZE+BLgfQJe5ccK8z2w7bAwP9+xsbq6sbU6vbn391Jed7PW2VlXFk0ulHT6fG + JldbWlt9qThjYcdpaWk5evTY0NPnL16+/Oijj1o62sucMpmVw4+fLM6Nh6IxslIASKvA6M3AGHBi + TFiMuAak1grgZAjf8OPQjBGR9j34RaNgGDQzPBh9Dzqa31jUfG1cX1qOHS9L2IzCsdhuaueLzz7f + Xl7saKx3hLp1/dLLkWeWHT1+/Og7b51sbohEQwAcpXVjU+vpN08/G31x+9mLe3fuaNDG/EJ3azM3 + RkMV3cLE9Nz04na6wN668JZpTRpuiFgAtQlWAFMKChjAmto6evs3HwwvT02Mf/ap77u7YUfnsrsL + C9OTk2Pp9B4sMOZrlWel9lUQAQaD7lReVdVQV7O6snrz5s1iYb+hvrKY31ucGH94877OeRfOn3/z + 3Ju9PV2CYKAMNLdsYwyIEtFELBbLpjNffv7F7ubSVE+r9tIvn90fH37oKwz09V5861xzQ008wjjD + QStEi0C8ZkgZUiaoUIIzRLixtcu14kJIcAlL8GhZc8+x4/LDi3P3nr6YGhn6qJBaWlvs6WpjRlqc + ikVaWtoaG19Q0ANHB2tqKphjw4ok6tp7D0dOnzxauPdycvTZF1GsrC20d7Zsbq+NTb2cfPq0o7oq + ySg1vRmyLYvUKxr16xCuJIDRACCsisrqU6fObO7mZhZXv/7q682d/fbuXqPk/Pjw1Mjj1NaeARyb + CaahZTAcBUPQTBoGECfDjF/66VppozS3jGEGRJp8CaU0J8MhuVHMgGkNYoZsCtnJivzJ/s6lkXvD + u3tFriOd57qOnqmoLI+/GhgL+uZUGnlh7PWey0o7MkWSZb19/Y/GUqMLO7dvXjPeZnNjYnN99cKb + 70ROJC3uSA3NBLPJgKTnMa3IsGAOWGkljbIEEYNSknFWQgAQoHSuUDTEuOBa+/yAdykhudTMMGIW + MQbpc1KCg6C1IW4AyxkYPP5+Gut5Pr25ffnSl7nsfk9XZ2NVmdCel91d28mOz634PNza3HTy+CBD + mXnFPAqY+we7oOZ2OBof6Du0MD1+7/Gzew+H8jze2d5u6UI6tTw68nxldY3CZR7LEuMhZiz1uqjt + a/gKCB4srejgPjAEIgYSTixeVVPT3NKUymZeDD/73Scf1yaq7WgSwjnrnKttD0cS5UdPnl3YTyyn + h8ZHh92dBX9/pakyYoWiJOy9bGF5fX3o+XBze0fUCfk9vSxiMSagjG8YCYs4A6RUsAAWBFCvkjN2 + 4H38SgBQ8j0nIQIdtIzF4qKsWms9PDKSTS2vzvWHLKTWlj7//IvNvUJdR//FD/7g2NFTcQbuI3Af + ArMImnSBoUBQGlAKmmAzKIIPYxFVdnefelO+Ozb7fHr18e2vfG9rdra3p64m4rtRrbbcyIvF/eez + O46d+/CD06QcxkEM2oATQyTS3FDf19M9c3dqa2udIjh+sq+961AsAaZhgqFhw0gLaPKN8YVhDgck + 8zUXDIYhXN52aODEqe2F7fzj4ZHH9+/lMqnlxbXyaFIV/OX5+eePH06+GK9paDl/7tx3Lp5rrg6H + OYIfHSmrbDnU39F/ZCL14PnjB1XVtfvL0w3VlVtrK8sz05ML69HyhsaInZpN2fAFdNC/29nZvXf/ + ARldP7HQMbUYSlRz7aZT6/dv3piZmWlv7zs80NvQWB+y7WDBVaXNN6irM20A0OZm6sat21OLiyMz + M/1Tc5xxr+ju7WRv3by/tJ0eOH6qv/dQS1UiHFzQeGXHkZM9Y5tPZlPDD+8kQCZbjIXt1dT09Nzz + zfVUOBZv6SxbXFjX0FJ6zGhORBQYlUMqk6ysjMdDmXT63t07m0sLm8uLys1PT7/88stLyvgD/ae+ + 9+EHfT1d4VBw92hTEgWwcDhU1dGey2WuXb1aTO91tTUlQvzZgztjzx6vb+50HTl78vSx3r7uRNwO + 7LmgNYwO3B+ICbCA9QlBZJEJwbMNSEmlIQGXmG0lWSL0xtHDW6tLn1++sjgz8au9zOZetqW5MRmP + 2QL57M7G2sKjoSfldd3nnerOLoGogdxfmX9+58n0Wp4NzKfbmsZjjl3MpqemRm/cugsr0TtwdGCg + v6KMH6x5AZyyFA6XpFOMIRSuqqlrbGyc3Spmdrb2d1EZhQmXUt+DlZ2DGJhAONTQUHvy+LG1W08W + l3e2d6f7Bi+2HOqNVQQQTQiAtII8mHaNJzr7B86eyc+si5cvpm5d0+HidnN9RSjmGGZ20+nRiYXZ + hY2zZ08X3CboEJKhvv7+739w8fLVOzPTL371272JuSNdTe2VsfJCJr+4sT4yOa7IHD/UpbUHFDw3 + t7a++mjo6c2x3V5T13dh1yBesiqkV1qYgADAA/9lxoTlsFI/kLP6utqOjra2tsTc1t62By2qm1ta + W1rbOANnQRlaloATYOBWdU3dt7/9/vK+2L49+uDubSU31jc6qysrLcTdHFteXJ+cHs/m0m+9eVpp + wHJ6DvWdO+fOrhReTCzcvH6VUKirr4jHQoKb9N7u4vzyi+HR82fPtTYmQEyDApy1YBCCMUYGxhjz + ys32QElIQlggJqWvtb88P3P92tflUT9iZQlJoMK266or1PGjVRykFQCT2ly7eePabNKusH2lDQtF + eSjmhJ2Bvt6mxjoCGXMAQdIGBkSsoqKqs6O7p+fQk8mle/fuNrV2Tba0Rrid298cf3lzeHRM2E4s + HnWh4LsB9CbADEBYmgkDImgykgwHlIY0xiJuGyYCG2TOyLYYNyow8gVzWg4NXriIJws7L1aWr1xa + C+n8y9a6sGAzE6NLc1O5TLqnr389sfRiPsUYs5gOvLA4JDSBhC71Ja1SlExUzGWmJ0ZXZlemX0xN + DhyJxKOGkC1kJ2bGp+anCq5/qKf33Pnzfd0dFWVxgg+QMiQVM2QRGDOG6UBQDWgptda8NIyNYDaE + UCKIGkAbzThxRlBGu1qVeogUxJ6BxYE52JpMaTMOvmuMLjnHfSOoCl6oIIXhiNW0toXbm9tGXixO + TUwUKJloOdx95EhFdRlIwRhmROmfGxtQ4Lq9o/Ptt4uL6ysjIxNff/UFaX9ppqe5tqqY3d/fTm2u + Lb8cm2zqOdY+eEZJXllR8caJo+fPn8zcHnoy9JSFytaWV9pamsIhS7u5nY2V0ZHnnmFnk1VaSmOM + 0pqY4EIoqYzWRIw4r21oPHaMvXXh/OOXM3du3QxZ/kRHXVW8ImZHdEFtb618eemLzdRa/+CRi29f + PH6sNxwK5qVgoNdX11Pr03sbSyhkl6fHF1fWtndDhfm5a1/dqYqGahKRw4fa4hFlCw0pIYzvqeXl + ta2dHS2otqUxWV0RePMElbODAaHXkenfD1W/8UUETsrf/MI//vHPVLolxjjB83wYzRjF4rHe3t4z + Z07fuXv3wYMH165dI8ZMoAhXqtx2misqP/zge2d++CenvvuOz0Ea+WwxFGIwcL08Md+yuAtLS65k + IAPV38zp/hEP8/c+fXX7k35dui35SdRUV/ce6m5qqF/dmstk4fkSgkXjZc1tHTU1tQxg0DxQRBhA + Qru+BrcsByHbK+YKhawQnEECpA24CdIsA61gFLS2I7FYeRkYnJCIxyNQmqugHGxpeMqYV868WhkG + BSZct6i4ZTuCuIBWKLFvYABwaA3XNV4h7xcy2gdRgCm1EJB8hMV8jynFoGzHdiKxoq98CSugs2kN + Ifp7e9ta5gSMbyRCycrqxoralnh5pWAwGtJ3bVlAPPTmuxfPXXjn3/5P/9vPf/3R6uYODCnGDVMn + z53/4I/+67c+/Kld7lgIqCeKEwO3EDi1QnGQ7dgVlVWjz0ZuPHgu+e892EblSKVDQsXLat57773v + vP9+W0tSKWilwMnzldIsFA4DpKQXiFNcqSJWMGAkQpGIYzucCqSNVlpKWSy6IW6Fmlp+8MMfFZMN + O9btRy+nfjM28slvfilU0ZZ7jlLlZaz92IXT3/nJu9//yfHO5ijTKOQ8QW4oouLVHb39f/ov/lBt + 7X1+7/YnH/0W1xNx4mo/e+7IsW9/69snTg/+8jd/c/3GcFhQhCTT8JTmwtbccqXnqQKMtDgcAgyk + ZiJe0dpd/a/+5R8zK/LnfzGlLWtjde0XP/85h8+NLyCP9Pe8/967P/2z//Z//4uff3FvJCSIQ8OA + hHjVZ1NK+pqEJRiBEWCYJ1XBk5YT7u9r7r/wPRlKPh95fumrLy9/+WnC3avWrioi0d107oc/PPO9 + 7/YfPhwBTC4nmWaxSKyl64xTFo1W/PpvfvHVjRuff/b73/7+YzKGM4JWkohZVmNr25vnz5UlIvEQ + LLyaQoAKzB0YGGkDT2mfEw+V1Q8MhLs6h0fnHz59fP/Fs3thmzmx0J/6f5aoquiuryHbhrB8rX3p + G+XbHIIOkCMWKbCi9JRWwiIhAK2k6xmbQwGGJRtbLrwVUlZC/uKjx89e/vJnf/Ob31iCZYzesziE + CXMd7+ocPHZ6MBYJW5wYwIgBIAOltCACkVQ+cWKM+8rAsp1wWBvpFjOFnDYOg4TWUgsy4IYYY8x3 + CzvbqXwhJ5UEWVppZoWSjTV/8oc/iSeq/tPPfjs6PP7g4XgiqshfYNLXgBKxcPlAV19vNJEk26TT + O7fv3Prko9/n837v4cEL7387lIQC4FiwIidOHv+vJBbXV8bHXv7ib3/V1d7ZUFvLOQP81rb2P/rj + n4xNjN+6c+3v/m5aQ0Vj5XV1LXV1TV2dbbFoOMjXtXQZMYv5AXLAsp26huahkcVnjx787qOPmPRt + no2GtGCgZPUPfvq9s+9/t70jzhl8rcEsp7H52MnTH64Ud79+eX9m7v/5v/5PUI5TzlL5P/vpDy/8 + 4AOKlK/97NOVnGFG80C8pAwYZ8I2xCorKzs7jn3w/R8N3bl6+ZNfPbh93SiltZJSNra0nTpz9gc/ + /dfHjh6N2WBGkszDy4cYLE4gfPf7P6hrrL//8MHTx0M3fvdpuWWpbNZjFC6reu/7/+L7P/hhd1tD + IooQgRm4LvKFoq89J84T3JF+URaKJukwaG0kVwL/H2/vGW3ZeZd5Pv837XjyOffcfG/dVEmVc5Cq + VAqWJUuWsy0ccDcNBgM2sGh6AT2EZkzTDdMzzPQCeoHBdFskB9kI220jOWEbCwdZliUbY5elkird + fOIOb5gP+5SQ6TWfpun96X65YZ2797v/4XmeH5UgSqWwu3Nh6vl/oKtd15xuT6/sm9zRChQApIOe + 5EOd50U5xDn3A19IMbISaOQGyiscvWStNTrjsGGreer06SsdbD340Be/+MUvfe6LHie4hPNsaXFu + z57dc3Oz73voYcYoz9MkjS0DC0p2c73f66ebm1JQFAUOFlZrYkwKC8AY9JM0AxHzJJcsLxQbjCGK + y6Xy4s3nTz/fSbc//Omnn3j66Se+xNBXIqmGwdEDB15y662HT5//hf/9NzdS+ILJF/lSCIxxOdK2 + OaedTcF8RjMzU+fPnPT98N3v//gjX/j6f3vwTwPaDtyWzp2Kx6YXDp06fcuuXbu5ENyKAwcOvOEB + t9Xtf/mbX/vy00/4cSRyYQd2vN48dmzXK171tj/843c7IZzWZDQg8tykqQZRs1UPIumcTpMB4pgz + YY0RxEEcw1QDyvcCSGayNEEQA0Taan5DhMlvpFEa53Ltcm2r9frUzLSV4syd51cH23/8x7//1b/9 + DLdpHMhBb1AtefuPnL73ZXefvfOWcgkCcJalCcWV2qFjh36y/BPs3e99z58/9MlPPPLpj32MdA6r + LRwYVBCPT63sOXBSCcYZBJdFKESh/DXGcljBLGMcXrC0c+/RdfflJ59//BsXP/iBxz/6V3/BkBAz + SuKul95+38vvee+HPs2QDvqbngJEMf4HMc640NbuP3jgda951ROPf+nLj33ht/7jb0kBcjnL0pDo + 7vPn3/5z/3Z8zyGvCQWYPNMuE8onghd4rcbE2Ze+xJWi3/uD3/n2N7/KkJi0Q7mplXD46IkLt56/ + 5dyZqXbkj2wQLM91miS+UpAq10Zrpy0YQQCe4PXY91wqYKMo1iQGhpWZj/rU4SNhs9F4z3v+8AMf + f+Rzn/v8Z/7uS75wHmmXD9NcGhc0WrNHjh7wBYsEFOdwEnFrfqH8xgdeK/iH3vtnf/7opx79xGc+ + LYWzpr+0OHPbmZP3Xrhw9bvf+fZ/eTCOQslcOoTxi4EduBDFSLBgtxfOvfbE5Mtf/srrW/2Lz334 + E5/4xKOf+RvpR8NBT5h0slV505vfOvHM1iN/+w2TJ8lwkGeAIlhCZpPMMcYr5ZhDGwMimCxNnCVP + MiGJKaQuzaxzKMeRIGvyIXdxQatLuQ/BVeDvnht/usZjjoQF4cy+pQMnwigUGALSQWgUaemFEm20 + 83OAsZY5wzgBrNYcO3PLuce+ceWpi4/97Wc/89jnPurJRCmpRLi8tMeT5dw6cOWHZWNsr7MNayST + nFTaGyZJqo0WShC5LB16IecQngfkyJJsbWNDel4USedMMQpMderSIcACP3KOFRE2hBxWO2fyzJDO + hZITNx16RXvJxmN/8bFPfeFv/uaP/+jdzlgFw10ubJ4zRUFp/9FT+/bulkIUCnkwMEZMKZ0NdZ4V + gmLGvXJz7MKt51nafea5y5//28c+9tknQDY0WxVK40jd/co3nLnt7v/427+rjUXWF3qksDaWhqnu + DlLpEeciSwY6tU4zJor5KYNlolRbWlq67cK5Rz77laee/No3H3vCc97U3I6z52+bn5/dObuDR6XD + p24W1b2beeOjjz7xpce+8OQXH/Xc0HJFKkwtjLNBHI1NtFrN+sjexoGBzixJP/CEAsxwABWCE7R2 + TL5gvH4BSeK+vxNzTEoppTF6ZmZ65tht+4+e+PwjH/nLhx/68Pv/REIL0oMk333k7O33vva2e165 + NF0TGUy3B5HAE1IFnhKCMp1spunAERKNHJAKARjzlO72RLt9+ny9Mj71//zuuz/81//9Ex/90Ec+ + /pehzuVw4GsMZc3E0/W5A6eOL8IZMhnjDiDtwK0D4zMT40cOHfzsk1ex3nPG7t23f+fefYwhS8GQ + sUCCSxgPaQ4uVDmstqo6Twab61W/5TQRDyvT1Qu3MRWVCOnXnnr6oQ9+5CMPf5xpgzwjraMgmJ2a + vv/Vbzj3kpfuP7Jsb5AbQUKEcWVs+ubb774+cN/6s4888vAHPv2Rh8g6DlpZXr7vnle0Wu1vfu/a + V779XoU89LgU3BrrQM3W2ONf+cqnv/j4dvJgCqmcCTlxk5fGpu69955zd9w6N9v0brRlLxqngzNS + vg+rozCanJp5/OvfePiTn2TWlD2pnOn1rRXl3QdOv+1Hf/zsyWPTNQnmQByl5uzu4PDxtW9fWn3k + kc995tFPPPaZx/I85XLYnozf8sYHyrL6zNOX1rc/pZ3ReUbWcmIQihEzxuVa71rZuW/34sLc+Ec+ + 9L73v//9f/En72XOcG48j50+c/LWe15+/333iiggWwi/DDESShETzVbrbW/7ka9fvPaJj/zVnzz4 + J9mgJ2yqyFQCMTG79PJX3n/03NkoUqLg8zkCkbM2zVLGuFA+E9AOmYNPFCheUpAOOkvSTEMiBQiq + zNmRg/unY6pI+2cf/8Jnv/q1r33ze4wcWc1swlxPUBZE/olzLeHVHeOABhtOjXlZPnz4v3/5w48+ + 5bmebzvKGlLMiyt33HP/7fe+Zv/+FfJG/k6MovmZZUUUZ/GkELiot9pzs3Off+JbW+vXLz/7/ERt + suxbTjcEcVygWGmRhOXj42Pnz51QKbkiAAAgAElEQVR97Klnv3Fxw2XJwsrKzgMHZIhkAG6zakm5 + LKM8hydABClr7cb9L79/fPrE7/+XP3r6q5977JEPxwFp6EwPc4v5hZtOnb795LEzcwtTnb4txd7O + nbt+6C2tasl76OMfe/ivPvSRRz8eaMYHOTeMlcul8clXvv71J06frdZKYClnmnOmrSPGx9rtUrlM + xWbFjWQkxd3nUESTj8K/cWOLRQRZLu1cWbr1/PnNv/70xlq3Vm+MT0y2xsLALwYuuckzkAADZwIa + KJX3nzr9OtYIa19+6KN/+fCHHnrwT64yQuQ1PFbtbQ9OnT31ilfev3v33motAnrRWPuO229vT9/0 + 2//5D77wxc9+/vOPej7nRZZ7lkyMTR45eHR2dmZmZgZSekHo+b7VmjNIMdrduxc/PLZozQAmPD8I + w5DBfvGTn3jsbz4sqVMO88Bvb2+y9tiuk8cX3vETr56ZZlmuOWPf+8YT7/r6V5Ttl5BwIYeWKs3x + m/bf9GNv++GxVtUJLgXnnMFBa+OsU5I3JqYOHz56223PriWPfOpLT/zWb/4HjwkF7vLenl3tY0cP + lKv1x7/Zf/zp3vbG9V5nsjLGCQxMkFCWCW1tliWKQ3E4mDRPnXG+Col7xIQ1lpGTjDgsmAOTAGvM + LB0/Vbrnejf56Cef/Lsv/sHFbwtPRcKY3sa5E4fe+MDrdywuPfrIJ7/x396nlPL4DX6jy+GYhTD0 + gvpIcripqckjB/cNNrc/9cgXHv34Rz7514+Q8iwXiU5zl5cb5dNnztz3sldcuHDn5HhTsdGg1Tpm + wIl7Rrthr0sGCvB9Zfu9DDm4p1GAETOfLJhAITu1QLfvuAjCQOZ80N/qbpvSOC+Mp9aYAlfOi8hd + OyIFFn4R66w1RhTp3DeGuzdGuHmmU8EkyuNRNLFrcefX209/+5k1RM1Sa3zHrt1RKYTpgTgh5GCM + gIKQB91qt0+Xg3K9/Kd/+sH3vf/hP37PH3GjFcGmA2eSwKNTZ2/ZtXv3sWM3DVMw2MZk64EfeE1t + evEP//yjn3zkMx98/18IbgmZgFOEQ/v3X7jzrjvuuLPRbJted3NrmwlZKpWzLNV5TgCTHkK3sLj4 + 0+98x3/984fe++Af/t7v/o7WPem4D8k1HPJ6s3TbHeceeMvbF3efKjWK1QgAWG2/9Hd/9/CHHvyr + Dz7CcnCDnkGXhH1q428f+SycPnTT7l/75Z87emil1PSzYVc5k6X60nPPr21ukRKt6fG4UdGA48AN + l+0Li/nvGw6+aF5+Yzz4onn6P9vw9n/R6NYaY60VghfCfDC2d8/e8Ad/8I477lhbW9/c2tzc3Ex1 + ToLHQdAM4/FSdWFhubGyW3E4QDCoICSWRXG8uLjw1n/xlhPnXp1g/Njpu+q1KrIehIEAMWYAGMv5 + P1OG7/f9H0bqDRTBGSTKlT037fvRt//4KzYHAysyWemwShq2T5w43qgLAnKbMO2LwuvCJPNLJ07e + XB4/d+YOsHB2fiHyhCDkGJ2sBGKSuCmU7kxWWu2TZ8785n9a2U6DWjVe2jEfqpFGnm4MngoxMBVc + SMY9T+ZMjtROKHL7GBxyjYJZ6EvyG82TN1/4D/9HYz2Pu1RPyddMGBLC2lDngc6ZFdxrVZoLU9Pl + wqvLoQECD8pTC6993Rvm9t06pLIWJRbUDx49WYqRWfgEKQRIgDSIk/AfeP3rD588282cJi5dopAs + zk5M7NhdLXlgUEXMuKWR5MFA6yxzKSlXq9Ze/4YHzp48+/y19eevb/RzJ7n2pG5U1Nj4/NKuo5Mz + y6xQLxED7PETZ8bL5X1nbtt9y4XJyZYClIQkDrJwDH68tLz7TW9qnNsWR0+emp4oSQGpPFgHkuXJ + +XO3lkqLe55ZXd+4em17bU1Bl4SpBtRu1etzO8cW9rRnJj3F4CyUkpzn4NaZuFQ6euJIqMTJe+64 + tN3JpQqJfGv3LS8t7ZyrNuKwGt155/bszE1LM5MFMstA+FHpnvvuO3X4WM7EyuIOReDESChrrbF2 + aWnh9a+6d3FxQQblTmIuP/eszQa+dI1qacfc7MKOubGJyde97rVHzt1VicPdKzvIWZ2lgnMIDgLn + 3HFGDNbCaCuh/bi0uHP3A2/+wYFfbyzu16p0+NC+C+fOXr74bdq8UrODiUY1mF8pL+2dXlmJo8AR + mC8FYB0ZgzAoHdi333uTOH7zmY1+b63TSQYDT0nJhfCDuFprtMdnZifG200gzVLiTrECOMlgbqhv + CYYYwXJ41YmpygMP/MD+UxeuXLmS9LtKoNqq7zt6cH5hwVobhdHegwd/6l//7LA8ES8fKIfgNFK9 + G+NyboRQ5y+cm6gueCJc2Lsj8H2rU+PApQKoPT5x5kxcb888+/yVq6sb253tLN2E7cehqsatemW8 + 3ZqaXZj2lNKZBoxSHo0EdQRiRJBcWlguZKXRuuPul1X2HlTTc409+0oBK6LFGC9UMrJcqrz5LW8e + 3N7lgdh70x6pBByYF8AKkFjcsXDfXeHc7Mrltf617XTQvezhWtnfqlRbzJ/24h31icmlm+aFLPHY + nbv9JYv1tgzLrSOnmq1QG6RkS4yBscZY48TJI7/4Cz/X7w6qpdrE+JS1jDNORJVKbdce7+0/8cN3 + vvTmja3VNEuiqD47u3zs2MlSVM5TKyRnjEhaIofCgsdYvdF8yUvunFve9/zFOztr120yZK7vibRa + Yn5718zec/UdrTAo5AHcWRB51bld990/Prl/+8nL6bXVq2S61RLfMTW2c2F2qlklr+SNLa8l7OC+ + vfVaFY5BW5hcZ4nVmTHcC+J9Bw7tW5699cT+zvq1TqebadOenBqfmp2YnV/YuYcJ2e/3SwpgBsyw + fGjy3JGw0l/ZuevY0UNPPv6V9SvXfSaYgQi8SrOx98C+lcUd1TgQLreZcCAhMDYxfttL7925e3o1 + VXsPHSrVSx7AuXI8AnFkFoKXauWFHfNPjY+Li88sLu+cX1iWCiN7hK98eP1e8tST32Rgc7Ozu3ft + rFarxRuAiRcsySAHJT3iFo5AYnHH4svva8zMrqxevjbY7rksCwNWr4czMxPtsXYcR0u796vmTLtV + D2IoAgz5UeWWszf/xv/5W6Xxmb3zY0JwWE6MjYBlnKNcv/veV7UOnO+GY7OHD0px493DOLhY3rXn + 9WF7/9Hz33lua339Oc6yUsxb9cri3Mzi3Ey9PfuOn/zJ7QST4+1Wo04vslG5G/0viOAsI0dAFMWz + 8/MqbkSTK7fcc+366hVlt2Le86SKG/OtyV0rK7tmxmOrNeN8YmL81OnTUbnyrUvfvbR+xRHFPKr6 + lVpcnp5pLK1M+uU45ZUDB29qVAspJMrlxuHDR37yHaFXGp+bblXLJVirTS65ZAQwjiA6d+GOaOJM + D7Nze06FfhHNTIxxBo6RGQWuWIZzLlVUrcd33nXXyYN5WKmOzU919HDX8ty1KxeH3TVOqFWqY82x + 8ekd00t7fFVwdsAJQobEUuLBjuUdb37zGw+dvKXXT7bWVjsb676Sfhj6ceiFcb05OTG9sLQ4zRny + 3CjBC6+u4CDGGIoBkwB51cbksROluDbznWeurV5/LhluO5v6PmuPN1Z2Lvq1ueX9p4ys7Wj6lUqp + iCpFltp0aIw2IKfCaqP1snvuvuXYge9evKPb64FRxVN139s9P7uwtMxLJVP0FYxxx+AcF1woCUaL + i4s3nTy+Y2FmdfXZbudqnnRKvmjXy8sru6cW9zbHm0UdZR0YY5X62N6Dx37sJ38avDKzsG+yFkqA + CJlBpdE+ceb89Mykas5OtmoiELL4xpwg/Nn5Ha985at2Hz2+kaaX1tYH2+u+cB5z3KuF5fFqY2Zm + qrVreSkSPBsmHFpw6UVy/97d/g+I/QdvurzZ3U41bFqNxfR4fefc7O4d88mORVafc80dlcVDpWAE + THOAtZY5ewN8QSCCBVQwNTv/2te9Yd/hE1dW17e6vSQ3UeA3ytFEq7Zz156jm+nxWzfmdqwsz054 + EjrTwgFR7SX33N8+wC4NW0cPzkcBtINQyiPPjhDCAiI8euJm1Tp46JbuzoPnp9otTxR/CbMcBog9 + b35manasXo29bb85Ob+yvHOvHwqgBzCCGAUi44a//oZjjnEiy0a5yFFpdj564xt/YP+x2y89/6yz + Hd/LKuXyyRO3tMbGfJ9Nz8zfcv62cmOsPbN7Ze+BOPSds1obpbxzF87Xy+Mk5ORNc1EYGDjtwDQU + oMrl+aWVB974pqPDkp04sDBbloAvPI9iYSxyXanXb7n57Lt+rRy0ZnbPjQdKCm4E80AGJEvV2umz + Z0pTc7ffeq6zuanTFDqzWVIO/bje5FG5NTW3vLDQqFXJgBMYwVoYoxkTgnOCc8ZYm5uhnpyauXDX + y0oTixdX+1d71uZJTWTtmCba7ZnFXSKsVJoT47MLS4uLYTgKmuREe2/aH731X50+e6EytnfngYO1 + GntRHjEDBJi/sLjyg2980/ETt17/3vXu9Z5Hfmt8av+hI0vLy1wwaMArzS823vD61pGTd21cvphu + X886a/3csiBSpUoUR6VytLS0uHN5pRyLEcrSD4+evPlnovGOKDdXDoUhCp+D5PSi/uzFxf73XTbP + 8zwnYta6IAiOHj26PN26+ei+wda1POlJ6GZ7vL24d3J5/9h4zeMILbgfw6XQw8n5/a987ez84TuX + 95+an5swFgUAIU9zzyNBnHkxnOahWtm1+Ja3vPrwmSPrg2RjMGSDQYXzEMiDpteai8YX5qdKs9MT + nrDOGZBwBIDDeuHU7pe+dCqYPvYPmyaj8pGTt88t1HIDX8JjzDlD2sIJKHXzrRcmduxYX988euRE + s1oFgYQHAIwmJsdvOXtsbKxx8fnrz69uD/oDPewLp8fqjWqlWq839+zZOzk7owjDTGtoCHLMQii/ + XDty6mxjfOaWW85vrG/2Ov3hMJmcmJ7fsbC8skd6we6N7szy3l179s1OTxIjxuTs3Pxb/8UPXbnz + uUurG8+tbSWWec555FqlUmN6fvng4dbszMi2eMObx4BRZHyxKrFucWXXO975U89cef7Stctr1675 + XIRCChkFcWNidunokWNjzaYF2I0FURBGx4+fjCsTx4+d63cyp7nOs0rNm5qtH9i310PQOdI7e/72 + 6sTY1NSEybNkYHxFVls4Un5AnI9PTrzsnrt3Lkxf+t53t9bWONkgkPV6eXJ6YmJ+b6AU41CFcczm + xprIDxUJa2wYhxfuuu3E0UNXvntxuLFl9CCKZKXsl8emdh060ZieDLyRsRdCgaLdR0//4i/96oZs + lRaORIAiOILRvDw2ffb2l/3G3P7qrjON5ZkSQQCWkEPIoDQ+s/Cyl79i4dC5+5/fvLy2naYJrFPc + 1iLWrMgoLjfmDkwt7ytXipdv/dipO9X06eMv3b54tWM71yMMQ254VAvqY0t7D84v7w09OECODDLW + OMv4iOt540FxIDk+MbdnT1r+9LOba89986mv3bSzxigs9E7Omjy3FooY9ziHZai3j584+xO8dc+1 + QerVZ/Ycn1iZZ4QwhGelgCXGwBXIwqochphXq5aPHmjFP/Yj154/v7X6TK+7aslIT0TlWqMxNzGx + vLy0s1zxGNMaWgg90azc+5JzC3sX73rN/Z1hyrqp6KVj5QarNk1UWbrppvmpks5Tge7GxuaTT/9D + p+8arfau3UtjzYC9+ABgYgS5gbCjNO4XsBKGYMA0hJyeXbnr7vv3nLxtQzvN64eO3zI1McqBgbWc + 8SIBwFlNjAMKXC4tLb7MH9tzYM/a5vc2O891u91Q1StRO46qUzPT8wvz9WaThIaTIKpU4r27yj/8 + Q2+99947ekl3Y/P6IOk5m02Mt8caY81qc2lhR7MZQZtSpXby1Klf+qXSvmO3VCtl+ickHAcUYYuc + wJgfhCsry7/yy794bcNZvaXT9cjXUTDW7wopmuNjYmZ2vlZNl1fqP/7jb7/7asbzzNf9GIlQfmoZ + C0q1enX37l2e8hhzI6UAgXNh4WAMwGut9t133zO168Ddl65v99J8kPDctRulqalocjLkQh1fV1fW + 1fEj+8slbp3lRGFcmt2x9CM/+uPdtLS895Z2y7MGijMufUbCJJlQ/oFDh37lV385597SeCUcwXkA + x+CXpqb9V9x379KuvX//D3evbW0Zx+q+W2hXliZrk9Oz5VozqjRn9hzdffjUxETbFREYdjR3ueEf + HE1hxsbGDh080ChVz544t3Z1+/mr60565IdOMOHzUjWenZtd3rHcbtY5OeesI+ucG5+auXDH3ZXW + fFKZb+05266NMi2ZpwSXBSdQMEgpmSMHpjWccwoMpcptL72nuuvWjppeOnZ7rcoxCrzEyDD3oqFh + noM4OEOep45yxhgKl7uxnBgAo0HSMKTWaEecEIDY6Ztva4/N3fWabs9vRjv27dk5WYsB3i8U7/TC + usISjHYKYRAsLe544A2vPXb05Oq19c7GVtZPQl+VS7JWC2dm52bm93GNmEMxCSZbs/O33tGcWDh4 + ZXV7de3yxuZlwW3kh/VqfaI9PjUzG8Ux58yPouWVnfe/4lVHt7f27NlTrZRvnOnM99XM1OSrXnHf + vr0L65vX1tYvd9e3lOO1oFxvVqqN8vTc5PzSSlz2CdB54TuBEuLQgcPViJ84fFDCCmcSFw9Ye8ja + xH1u81a1tLi4Iwg8kFO+77Lu+lrnme9dHiZprVmfW5itN8svbKPcPyZfvdA1fX9d8E+/KAZu/2PV + 8D/tIvc/X6j64ms0lza5ttbKgixgrTWGMQbGrNHDwaDT6a5trKd5RpyXoqjqhRXpKxmkcSULkAE+ + EADcaGAANkg6W9vDSorxsERVCTboMtWDX7bM74GT0QEX//9n0u5FRQIhh8sBjFIQSZgCVlL0+VbD + pbAJzAD9HqAgwpTH24i2wbwYEaAAl3U8E3hCwgK8B1oFz42d7OrYiFF4IsdQgbMcHhSKyBKXw6Vg + Gmk30ZEIG70cJkMcgI/ik3NQyhAwcGdgNXpbV1evXLx09XrOZE5KOC10qlwOkpq83InccOMEkRfK + 5PCuRnOCg0ugolnVMjEaGTh4ruCiI8+RGQgFLsC4YdRH3kG+DS4gqmBlTcHQYWhHXHSrEQrE0NCb + MAMgAItgRU5KCzIMAuDOcOQGnnYEVnTUjkwCyxlT0MhsmtuEKecLjyBcojv95NnL14aZ9ZT1la1W + vFpjklhpxNglEDlhcuQZet21TkeOj5uoYoEACIolb76NfNs5vpEHXarEZREyKGTC5dAEAzgORlvO + 9bTprG9sXl9VQKh4OfLqtYoXV7gXGIBgyRpGzoGBWJblcLlkLkuTzV52bb2XWS7JRh4fb9bK1TC3 + iXapy3yXeb7weYCUkDEttbb9gWcchGek54RkEgzOIHV5roghN/3U8rC6PTDPXXoGehAoNGrVaq2m + lNfPMYRIAGdQU4i5NUlPCgkh4OCYHBkaDay10mlGKUw/XV/vq7Itj+dcSgfSuHLxO+nWNc8NZqfG + Zb2dydj6gaLRBpI5uFzDOAYwJfVg2EuGwzzd2N5OBkMlpZRSBlFcrXtx6As4aGsHlHKfImYBAcug + OUCOoQ90BYBcQEsw31q+meitre1hvysYyvVKWCtzT3EzDJEj6SWdYS+oJ1EjlIgtVAYwaDbo8y1O + khIffZ+soJBYAG2TAJoxA2NAARDkDv003+xsr2+uJ4MerC5FYaVUbtTqoR9wIaxDmucWxvOlQwFP + YZw4cRQwKmkNtEV30HPQldpQ8hIQGyAHFDQzPeTCkeyTl0tIstJpBUmWirBXQyAFzWyGjaG93s02 + 158L+XYtTBqNBskKkxUZl3KBIeAs5PZ6MNhWcSmN6luC93JUmK5zzZBbUGYozWyeOZuzwAs9xYT4 + RzDXcNDb3t5Y27iW5ZnvVdpjc2FY4gJZniuPc0HO5cQcd8ZmCWME4eeQvcxl3V5/Y9MNU7ghR1ot + KVmfSYNW5pOH0W3gmz63CcwQCJJUPNd1q2vXYAa1irc4P0fWMDgmg25qBzngUPJVIIjSLnTv0lc+ + 96M//W8uDsO54y/9t7/y71bGPT7Y7qxd2ewOMu0m53ZE1XrRLbocZtiteJZ4B/naU49+/Hc/+IX/ + +8FH3voz/+4N99919siua89+b2t7SOQx5klPxuUoiv1IccUt8sQYAgTnEiyDG+SD9X7G/ErLigjk + CieND4NhBm0x2PrQg+/+0Af/9GOPfevVb/+3t7z6R86empSAAhR0v3Pl0Y996Jd+9V2V5uzxM3f8 + qx/64emxsYrvOQfDYBgYYK0lmypugAwuhWNgceaCrU7S2+oOtvtZOixFqtGIK+WIKwnrusN0yAIb + +oyjYuHlGjrNyduAGBIihroH7hJLQkNoINR9mAT9beP8TRFncTnmEA7SFkRKA6RwapjRlbXu6tpV + wRFHfrUSVMu+p5yBP8jUMGcmN5WIewJFhJeFY8bJG3meDrkmIwhkHYwD5CDn13v68pXnud0ORRoG + QVSeDOOm54FZMNvlgoEUjLBpdr27eXV7Lbc65lE9rEXK90KSIa1vrqYuCKpjTMJzYOm2Eiaz/vWu + gSwFHiIPTufOOk8pApjtw3aRbORZc4gxreAHYBwMHQHBoGBF8Va2Do5ZJwYOAw7VuZbIPI7qMSQS + h2E6WL9+qd+77oxtNtr1akOF5dSxnAkliAPMABaS5WB9WG2dn2i53Rlsrq52tzaDwA/iUhDFTEk/ + jKNIWoAMoDNPciJmrC32hDTKTbA3sGUCXG10ut2trV6345z2fDE+0QgiZVipm/MMMkQe8VQigUsx + 7Dz39BMP/8033/V7H5jad9tP/chbTu1brEu91emtdweGqVroVxWvSo6olCo5kGCActs+tsj5v/wz + v/6RD3w6KDXf+fM//5JX3ppZbGyub3eumqxfDrxmOa43Wob7mRWW2CiCCCAYmHTQ73IrAhUKEVgB + w5BbuGRo+ld9oUmF5EXgPiOfgHQIZo3yuR0MhjZ3kj9z5bnt9eu+ZIGSImpG1UkvCmIFCbhM6zwj + ckIwxgmwSIfdoXl+a7CROJikVfErAS8pGQQ+ct0bug4vpWFQ8cEB6aAAKkJIGMFoOAvORvJbIeEo + z/Rmp7e+udkbJvVqtVEtVeMQTGyn2E4RRF4k4ANumHJ0IYYYDvt5c93VVRWxgnJWUs/Bd1DWQdoB + XBdITR5d3WY8rFV8BBxw2nKeEGVAabiaXXziA7/9rl//wGPbE8cvvO1dP/svT0wp1NADBKAK3guK + QpEJkMxHdBLHbA6XgyxcDi1SE3X6/PraVed6SuXlcrkUNwPfA2B1J8vWu/2+F44FpTECrM5dPgyU + h0zovk0ybUvES14Cza2UGiGBnIG5is71TdbqRNMmRAUIgQAprLZpwjgfIlodwngy4vDJhUwLsjAa + OgPxlKtt7ZL+YNjpmTQzeWrSpFKKKq02lE9BGHJQDmlBBdvbQRstBGeMyGmYDDqxuWZ+ALDeIF3v + DLYGmc6S2OON2G+2GnAuy/JBDq1K1pMgRAwBgbkh0Iftdja3SI0H5YkCdscAwcBoCLcJyi28FGqj + n/TXh731gaKgVKmOTzYZh0AOs04yBatplHsJdK+fbK6mnY1urhGEqlKtlOIw9H1PSsYJIAtmAJMi + 2TaGdUWUhEEo4QHKjZgkdvTis3A5QCBpbwg9CYDN9LWLX/7kR3/2f/u1pLqw9/bXvPNnf7YRIdvu + DLdXs8E2Rz45MyOrY5YLDcQaQUFEtxp2HSJNSfWcdCpS5AuCIFiDLO2FPhNcwjqnNSGHhBt0Nwd6 + O7HrncQNhlXlhYybqKSaYyipEkHCSNfXLnCQxhW/aBNmC0wOMqxqPjReuV4nCdIIOTzKpLEsByyH + EjZP+nqYpboclKX0R9490tqkgixgTGY3+matqzvdnh72JPRkux3FFaVC35dcAHBJOjBwTDAmCqGW + o0LglQw3Vlc7273+MJ2anqs2xmUQ5gZZ7oZJKpUMJJQwfNROAcNksz+8vL41tOQDyrqxSqU0Nm48 + kREkIC2YdeBkCNnIeA/mnDOm4C6Bu/6gs761du3qGkFKEUZhVIqjUhT5YckS2UIayYbANpwxLuym + YnW1k/UzmxlndLkeNMbKoe+RES4FkdCcaY7hsBez1PNc78rz7/ngoz//739nYc/hV937kp9751vT + /qC7tbGxuioY/ECVK5FUErIMWYIAZ2DIYfubly799Qcf+uj73vfU6uY7fu039t56+45aa3B5zW32 + UjtUsVAlqaKKCGJwKQFlIQsUsEmg+067Ts5yGQcV5QgGkBaie1Wla5Ce8yqZqjqhihtNAh4c0wNY + ay3vpebZy9f6aWaIJGPN2BuvRr4fZH6cEAxQthBmAJeBiczSd597Lt3aDB0CKRBVeLmuKnVPUeGF + kIDHHPRAO8Gkpy24hWBFTnkHrJ9c//an/u75f/Orv7+Vj509vf9n3vkvd660udWSOdJ5pmGhHBMh + aZht2A6YMh3Ts54OaomvUg8eEAEBnHIZGQtTjBizhBviAXMx2WL7mQ37V9fXL4PBC4NypalknfFA + CBCHJeg8D1xKXMPmGYlNsLXukG0NRC+drk+4SnWbQCEqDmp4nQ+ufvnxb/yn/+s/X9/o1hf2vPaH + f2Lh4Im5Mpc5ouL0gwUSkNRMJgBZKAZR4JdtHyyBYzAMvaTX6yOOEyH6mReUYiqOFwvfroJxsEjD + g9bCDYEETMEEA6sMIUm3e4Prne2OEqVSNFarVaWCIzgDDymxIZyFiWC8TMMyWIbnrz7X63cIdnZm + qhSWbK49IUEJdAfcDXW82mEijOMAvgBhwCzn5BXoJWNBDIxr0AB6c5AFjtdTI3SepsMNX+W+amSp + 0plk6DXqhqlubr3NodezZc9ZPx+ENuFC5SRzUo6c73OpUAQzAI4Rg2PWgkxOyEA5GOU51hKz2R1m + 3QHTbnq8GYaa84EFJbqWmv10QZoAACAASURBVLIXQEkQGYY+c4Zc3u8ODdWCuJzkYAy+GnIMYLge + QIko47JrsDlwgelN13xQgpEfmhWQ805/uLrVu7K+nVhWV3rPbNPnGbSDDAYZtlOjSg2nyAciMmR6 + sNLyMGdFtmWxrtAKQ8o7aXfgiVI+pO9dumqE57zQSe5Hnh8rJaUvlGIc1hCzxAzZjFsNk+t+2lfN + LAo1oeQQuy4o11TqQ+obMwqyDo5yC2eh3BZYF5uXh7qq43kdeUrAaUjhBACrmTVwkhiHgbHQFkyA + CWRmCKYFFwzMOec0E0yRhXaOVGbQN7lRCLjzAIbhAEnSc3wgozT04gARoJyGI2iuHUShFLG5s0Mn + AY4cliCtpc317tZmt99NS6WoWgmqVd9TwmQ8GyLwfShYJMQc4MNQkqDTXbu+/pyQCINyrdYSwiPG + rLNSCEUM1mVra5t5FrRazlcBwHXOrQWTDqwgB/TT3trGta1r69JSM6422w0v8p1wuZXgSrsCfgZJ + 8JSD6ep8Oxt0BAOHzVw4pEbKqlzAaTALX1pfZlJkYHm6ufrk419+12/+9rcuDxs7Dv/Cr/77m/aM + ezcyiPkICTeClo2yUdyNWe4/maEWTQHd0OP/81z/i1S3nLMifNRZq/MsSRJPKRUETIioVIriuD3e + toAlx4lxy2EYiHkS+sZHBsBq56zmXPtx5MWtxJIlkAUxPhoZwgmAc/HP8HERvv+f8H0LDyZgDZgA + JOIKnIDlVlvlsZo3wpIVj/8LLixYDuLgxJnwFRI7+mlFb0UvpPYWK/QiJNuPfEQa8DwwBW7BLZwA + QVjoUSqzRZYkT33j6x98/399z4N/lpMy3JewQmfSZpakhjTMY8wDSTghaPBbv/6vf+C1d5AnwQQc + 3fi0HRVRalSoCyEMtAa3YFwDOYx1KZEUIAIDWSeIPA5zYxM+ctMYgzQF4/AjGKedTq0kD0TQFsw5 + RlZxXmjMrdHOuRE0V0CRpxjTdpjnWjJOyq+oYHelZgEiS5RylhN5aeasJcZAEs7aLMuUJfiVZrmu + FbYxSLNcicgxARAsBzwKwmocKXBtYY22KJosCVcQk10knIRsxhNsahwWzjo7ktg6OC1snjukDuDC + cySJecyz1mwn2zKSzXis2ZwyKRyc9BwTxsISlwJcCh9KFutAzsAghBCixGFyQHAmrYPWMIIsPCG5 + SQcCJi5FBohDvry44HGjKLcAE8oSIwGPQxA44APMgSmv0NBZbR0fEToZB+eMOQlrQMobaysR90kC + 8C1CjsbiorWT1gyFx8H9gOQwNxnZVDIG7sFRqn2uoBgIIgoqkV+Ga7VbRdSOszBMkKRiUqzzgeSZ + H5ShgQxOAx6sg3VaMMPxomkuOeaJUkl6nhCsyQmGnOVkAckV4KCUX/McjyAhAFcAtRUYF5xkqrOA + +WEkdQYNZw1UkThlU+jMQoM7zimOZRA32hNVspxsAVZxsAkTOYgxsEBJRxIwqR7qHIwUClxrIfgr + 2rggipUacp4BVr/wbAJFSC6gFC9GIzTqWwgFDTDVkBKMsYCaEY9acm5up0+OoweXI8+BPpgy8DSQ + awRRTYXCpYM8G3Aq1SQUhIbl4AAEh1PkSV+QLEYZWQqlwAgOOohEEDbHxqtwAHwGlWXQOQDuHDPW + ZjqVEpxJpgIYa7XNXSKVKjXK7UoZBnAaMCAD4RdhanTjBGa8KPUYSPqRPx2JsWbAoQUzjDFD3JLg + JEIfSujBMCEow0h4EXgeSEY6EywWXpBoxxiq5ajqT0yRMtx3gnIgtWAMnkQgYso2kaewqdApwUEG + zisRly7X8+PNfDLKiHSBFyTkqUvTofIZmObEis8CBPBIxEHJ6DzLWbKphXJBbEAS4MLC9pF1n3zq + 6b//7hXH/OXl3Ys7JkfwHFgg//bf/8NXv/rkd79z5dXHL9x85vTs9JTHWG6cNparEXnBGgOtQYRC + OkEMxCWxeiWsl0I3CWfAmeHcWp3pXDuHMIo5l30Lo4ucPgFOUvCSgCpIygCIO9AolZwYDEGVuRdV + ZdAvKgm6kbQLXqgwlKSpierkeLX4AYxpznODgbY5IxUIMMnl6A6FcdY568CMG9mLiJGEddai6H+F + DHyMB6rV2EHOMAwF40AAwBkwApfyxh/ojHOVaqUy3rBwlFgkNvQCSGtMUqm3NFRiHAdJAlchoJVQ + zQZPLApdseKCxA3/niNoCxlIvywd+hpGg/hIGjJSCwMF4kTDGQvLCJbCKFImKMoZDvhSzc3NMNaC + I5MTnGJceYzEjZe3zpGngG+lsmk6ZEJ4MogDvzo35y0tEpElZhlSDcYdAc7lnLhUihyMtlk2LA5V + wTkYwZDOWJ4aiDwo8WrVq5THnZkkMDDNeMH1pVjyDODWsuJuMRnyLBKoVkokvYR5mWOSsyhWUTTW + mlIpg+egRkntvKih0yT1PRDjsM4YrY1hnOVZlieQAdrtRnuizEhLBwEHUJYkSW6EFxQBC5kGY5yL + MKoE0mQwGm7IEBgAHDIKgnDa5R3mDCGD0WDkmMd9cMcBsCCMyIKbxekxM17zlOSMaVbSjBebbeYA + zjkPQGQB2ARkoFzJi2arzQZjCvDgpBlynYNxKBl7nhJyUMCpDKwt9qd0A1pW3KBFWH8BqubSk81m + UKs3jHOCc+asc8YYwzmPIqkUJMAs4HlwFgA8EQUlLpDeKLAMCAXzTsMY4pYgPe5F7TG/m0KnBh4D + rCXmOGlgkCTPfOc7z1+6lCXZ7PTs1NR0rEbPToEwvkEOYyBZFEE3jggNWMYFYJBm252eH0X1GqrV + cRAYS4iYc9JYOOuEkKGqBnHFIhwpPqwrQjyhuOA8NjJXMLAeOGdgokA1OTigUq3xak6FXbr45Dio + KAmgmKwr5EXFn78Q98UAAWJCiEiIku+zep1y60xutJaCMc8fGFM4ZmxBYBccBEaQXFhXQDwcIwdB + TPpgniXlV6KpUm3KamMNY4IxDgY96JBOy0GcebIHbHfAlYt8wGjAgUS53gaVrYPNYW0RVAhGAhQU + gWjaZOUgqE1W+bgSThADcWgD68BlAFYMe6EE/NAv8abXalpPZVIMCWStJ3gRKfaPdbxx4AGPgpIQ + jqBNUeD8f13/JDABjHEhhVRSS8k5tw6hj5pfFq0ysxl3OQRPiacF94aAHMgBKRC0wXNGWrrMsZxB + FIWa4vADiaJtZlILxTFklFDE62FcRtjWinLDtVWMW58lAikAaGtTchCMhrkbYXdNCTkAE8bBmJQ5 + VGphHQIBZ4y2A+YE48EI9eh5ymdeCJ4zaAdJADLHk0xHwnBhuCdbXrlUF8aBaTCbKclB3ALGgiwY + 077PrEMGB+IORUBrxowTRJMT45NTCuBwPCcvM8gdmKSa7/PRPsmN4BsgeEHNi7xKPSPmOfKLBkPw + EQXc3pAL2hFjacQ2LGh+UlqCNakSYrJVn2pPZdbPjAeTx9Jwljrdc7JkRskmHE4jyxwP/aA0NVMS + FqI4F7h1vEi55OQxgDgD4/BEzB2H6XPGCSzPdZZrYzSAKAx82aqUYsGJCA5WSEkisoTMjGJGwLgj + 2t7cotxGQZiavKcTSxhvNVW5CQktdKo0wAdpxpwLhHKmOINhNGMsYjGvOA2XwlAm5LBgSJTa8H24 + nKz19BZYZFiUAAZIQYxFNk8URznmNy1NZSRTElqbwFofBM4EHOA0bGJ4bEMgBFml+stzVT5Zh+Yg + H8ofSjYAMg3SIK2JGT8Q7gWF0wszCiryOLlfbc/NyvZY/eq3V//+W090OptpUiebMSUkY56nDFhq + kabW4x54DBK8rCrka8VByIAccHAMicszKrozQ1Ax42LEI7YgBhHKMKo0Wp4jBiJjJMErXhcGyB1y + wzxYznKkHagoUPVWrezHmewkgYpdgJwjQeEjVcla5+LFZz/3hccPn7pw62137N27FJWZAJQE5cWp + yWCYBpw3si6NAi1gwBKgA+sBMfxGHDQhSHJ4lhsaZdsLBpAEMaDI/y+qGgIIgnsES1AqLsVscmyC + 4DsntIG2AEMy1ODa9xmcg9YmY0pJSBiGVqvVGmv4vnLWGF1UlhaMoBTI+TIY86Um3EB2v0h1+wKA + pzjehAyErx3jAAWejZqMZZyiMIAzIBczOQRJwT3PU2CIOQtMTLmEdkr5RTKe1vh/eXu7Xtuy4zps + jKqac+19zv3o72azm81ukk02u9VUSyQlUWJgy4aAwIgVyIKZBAjgwPCzH53/lCBB8g+CvArRZ2xR + YExaYmzZpBRRZPc9e685q8oPNde5l1SSB0Pkfjh9+tx99tl7rTlrVo0aY9Sce2/mMTOitw2E1Aef + Dh+gtK0/Plm7ebC9oifRJvD4kfvo29b44OzYJxBQk+tlZs6mvHn0PPmoOmrrY0pINm1GbWa46Whn + nvMhchxphE1HzNnJR+e23bzy4idfuQNuJjZ8jBxoZ8jGSIzdjCLoT60v1nWq7C0AZHQmmm2PHyFO + rbd3bh+HbHfgj/a9n1vbOOfI8IgwKc5xHdICmj16eMubSPhEIrDGquQzLGgUAmSFF+aGvOC5l875 + +KORTAigC1qZ++Wum6kpAB/Yp283Wu3j1lq9UNaguONemxBwxUTbEjcZwgDOD9FvHqSfersq3MNJ + p1VZa34sDFXaiTIdM3wKskl/5aXnX3rhVU+AEK2Wrse48x99DH0BrV+lBbQB6jhJbs8/eOWlz6Z4 + 8pQ8/diB6gDYn3/xVeKJZFXYmUtM7ftIMWm4OZ9ff/2NNz/xeqsEXQlFMkkFoUTrkDp+goBZu7Hn + KwmMM282PL4CCcwdCJw3US7ztbu7/fvf+8H/9a0/1cdvvPf+ey+89Hx76gV9f2/iGbyWP/ZvP5Ep + 4NnP9lN5/KzGlFFY3t5ks8YTVWsFurtHRu9dVBPp03M6o+ZlLsx7Sc+MyAbpEMDpO8QgAvYTZCK5 + +x62YMO/tTf+k98+3WVPYdiqEyBAQ/EM1U7aStxJFKWU0rp5W1TeyvKVEChgUg2w5QiqSsyaafcU + OQF1IkdEimhF4QQCTneGIeBSY0Z97k/uPvrBX+/OHXIB0qY3ILAPIGQT3ZBCmMm4m9jlZrMbcLMy + DK5xVpFkT6kROsiEScVfAAq74blDDNoBzrE7NrFiSK1rlAlqw3YLngGDtQbxA9aiKtEYiOkG5Rra + Xokn4AikECoU1Zp/jZqvQbYmgEXOfR/CXrZoGQCitQ1BhECh9A2uvUnmdAhBa5DuYwaiqarUrKvj + BC7TCZJwYiKpoiLLip4FL+QEpog1tqAxgBkgxPSkZwoFoorWECSViUgAkOu8Xi/xoD+uFXPZfbY0 + ha3+jABgwCPEVsywZth93j3JJk3bti3bB8mSp6luymOP+MjdL73dzwxlICsZXi9HIgL7gDAkRdGA + nLh7cne7dbEuJnNe4NNOrRlStNa1B+Fx9E+QRGYEQxSihiASU9bYVAG6mWJixmpWyiEkhSbgCGZK + DSiQBlIV29b0cGoeiMic6e1AiYzWAdSQel1zPpS2GVsaHEaIcArmPshpAlgXNJDD4T5Dppiu8dwB + IamaeUUopZe5c4qbqHQleibmTJEQAlToWtY5RzPbdJ3nOfxJ7HOTk2zUta8pEDKRrAka24bhcIdu + 6GIGKJhE1CBMIgNzTt1cYR2SgjgTPGnnmlvoFx+bChDhU2kA3CGEHW4o0yNib40UkZIdh6SDgAqa + CbUaR8fgTQooIqkZZCoAIzIRAlFkIGnGksUNZGYGVbmhjjpik2wqhAkKD297ymWfJ5Ou5Knvc86L + PWxARISbqe9xue6qBiLmEFWlOpckWomxQwXdCGsYxD5Op9O2neHx5Hq9Xq8Ih7AZj+GyEEA6LRoY + AMGADOTFo6efk2yyqV0QV299B+4AC7+RHT/87jf/5Nv/8lvf+chvP//+h299+jMvP0ZDTTR2Qf7B + 7/3Bt7/93S998MGv/vLXvvTeFzcTIYJcBjkV7lWRzedQIdhAYoTnlbqRoCENMbGPvamoWCZnIhOb + IoFeKWEKHJmwVmBVzsyBuWeKKEShp5JNhmfqYSlzLx0tgIbexYE2HUiIGDBq4leDVA8uBlyGNUsC + FK7xaGDUNFdnrXYxlF9mohsimVBIqzZGVNkE5hxMAGzdxBhUh2tTQ0JrPqwmRSgb11CjKvJCV1NJ + S34BZiIyE1QKtEa6CIimCAFrqCMCM3TqOlBZ54UlThSzzeBrsqwRIkadIJEiZkDDIRT0gOYh+g40 + qm0nJJHzvDUTBbhfJ0zU0FplkFdjltU2ABXp3cggEemSCjFtjXKCDCABP26KQcRjT2YgE26EiRzj + 64ntlHP+4Ad/vfu8hl/GJbI6ASZyoPMOROYYA42K09aRT3IOOkiKSmRYs60vfR9ECK15OEL23tSU + KtWRKLumWA3aUvcTjjEQdTMo0jrSK9Zn4G6HNGjFt8T0Ma8XbdzO5xoErJA40qFMMAKZUek8gLsn + kIHz2dZM6zL4LR5RAglhjhrBAyOaQgTpWVNBUENhKllKLDsCoSQqM6jViKAyG6V+Zwz4ZZ6aQQ3Y + 6mo3QRRAjNgz6N5rKekJkohrIqXh3KEV8EOKNpHIv/7RD3/vj/74u//hhw8ePf/hlz74zOuvHIn9 + sRXXLLIaOofqcQFxDKwAILB+86DXBW8N08N9iijZVJAkxAKyz51wqUaLmcm2bhsRgkzH0cmr2VYq + AmtgQthW4xs5asZJQgWMyLlflZ0qUFtw2QKahZkZHAgIaCrUnq0J6SI5Z2aQ0ppyAo6xz1BaUxDT + cbm7e9ix2mieA5mkCkVbU5tjTJ/am7UOAVpHgMDDM07FyGaB3UcmDXRF6iqgZwIUoiXQRIWqohJr + fEoElKAauCFiRgSnirWmwjMAaSrl8aUlH43pIdTlvKQNVFCzco9C9AJx6PGPx0+CtuvNus85M2Cm + Zs1nFq44xuwCMQMB7A4QHb6auVXPhDRAjVViJgAPWOxgTbbIxemRDuxzXseAWm/SrStVkQgCCGIK + oknCgfCm5nUu0KCPIAMiWtq9SELMIJoqaqFIqcA6gTSqqqRiLL4xhX3blKsjUxkyE6po1jPTAw5o + icDngETg8AMFExBpraUK4QNJtA6XTI4JGKyMDhKZQWLl11E+BtrVSOlJBnCdw/c89aez49b1z9RF + hlGSZonMhKhSOing1mMTAa2pCLizRpcnpsMUyg2tCbfqhrKwFMlqFF33XZitbSh4Lg6Qa3oGQIpa + P51a3+pdmameTyQSOcZIrlOwsezCcmBG+oMHD5s2j+vDxw9vHt6YoBuw112ThBHStiYgAVVoAglr + 3WPMu4u11X+IiQF4oglgG5KIWTE60q+p03FSbAKKhA+NQGtdFUAT7cvFpSjIaDCxdTgCCb+qOGwD + NsSpOEcKqK3jVShgUlSgdaCQy9wbAMKgD194QX/ll7/8Fx/9zvf+/Z9+59vfeuOTL7z+yksmgRgz + J6yLQlqHB7KBBukQFRZfGIJscENSa/IiAUu2e5iRQO5wfJy8aychFRBTi5RMjLn4Ua2rhgE7Wu/W + BmBgb+10Q6TE6gmDACL+6A/+8P/43T+4fe7l937hV7781a89//iRIhS65rKtWC6ExvEmlt3v+q+D + wASyrSwFaMdoKQOYCWdmRnFDVBENMTAdFlmtFJCpKpJk/ZWC5LaTGRO41j7UbhDExMVna01NVHC3 + XzXy3LfKdeYc1pUq8mNdq5pU5lwjwRcBDUdBgpzIToFadbvq/kK0zt8GaGstK5gdvxeOALTBDIvR + sjx0OSfC0QBW3XfE1N6bBWvWHaWZ3lRColKZJ8bY1bpIZ2Wk4RRtCoqKGGCgqm3u2AeikuX6OGMi + A1tTMQHCQxDQZoJyUGQYovAjad0eaqeielFHxiH3C0OOk341jEQwEwG0LsoNgHW2AqlUE1ZeEYyE + rwvvhDQlToQpTpWRz0hMlV5t2gzQV3GGSvzurpCAsW+NuuqdiD199mYqAiaCauiqXCLsEGBg+nAT + U1FRnRMCaFvhMwEfjhArNikVSRMXugsyNMJEKwDd5zhxDOTVpj1nxpzN2pJZAx4Y7ufG1nt7GMgd + U7D1AdChmZSkCkSI8ONt1LILD5+hNbDXFhoGIMH0QEwt40cC1SiUXI43lLpsZV9fL8oDGYMo2MHA + 3AGitfCscFJrkIIIhw+F/9/f/fM/+eZ35uA7n/7sV778iw9vN33m7j+z0fMYDvv/8fgpI7b3j58V + dJv3fQxC1YSI8DGQiIyIWM/JzEg/uJ/3wNPKkqWyVy0DEuaq1iECOSEzYnDxT/9/L+5/yoMHB2S1 + F5/FbTOQEIXVMqzql9oMGA7VytZS2coLHgCgQKsRMTUhpLCgSvyFBAORUC2ySTAm0jM9J7Pjfpkm + sMaZZc6JMBO88Pyjd7/4+V//e18d3FzOLVPn3mKm2KANNtIYojSzfOm1T+1y2/WGLggvb7ZyE0wu + ZhYBJXTNTxbAIA3tyP6DmSlZ+PXiiaOQaGkQIjakQUQJK7CgMFKISGLGYhmTPGa+JRAZMYdYiDaf + CzzNCJCRJFdJa1rJIsbMRFBsUbATiNkEDeYB9wgTNUXYvA5G1H0RSHXJnyG3B9KZzpQEURNG7u+4 + JDIFbNBIMOEs0DI33WoInM9VyNTKScDT55yYjNXwR7pTJkSXS1Si6KtIFISWyz1ePaZmWh6QdjrE + MnMeEb6Gh6QPiUBKfZAqqvNYoizAJQt8YuUrUu/Dd4Ssqcc+JgMxUlSg98ErzVKXOJjIZALhGQkW + ksijOSkYnSKpVeevf8oC2qSWUhZkoFrgRO3gjIUsCCiZVaEqCREBDQiH1HIbiEgVVYiQYE2thxI+ + mUlUHwCKzMxMeEZkSmIdGrVEM+tGIx3BKYSKKlpA3FE+RYXBQgRRt8VbsskKm5EZkYwDjGGCkSQK + NE8qBaKYA+npA7kJACIyIVMIsC+bjoNFkgCyw5rCNXaIuk84aiZ0NaIj02NqMyGOzkpg4SaZEZRi + KrqIrF2FGrpjIAJS9txAaKkbEfBc9ykNqchUpq2E1gMMilZzJgbcCVfJ4zCThAQxE9PDJE1k5PSY + UCAiEq31zBz7Xn/Rp0snyAO9QQMcyIADpg3ekCp2EmuIIq6ANV4tjxCfKPBYaMAADdyBPbkHLPKg + 36JDpkrj4qgF5PqDv/r2H/7x7//p9/6KDz71/odff+3V15/bljQm43K5XL73vb80u/2N3/gHX/nF + r7z5+ifXthXAmEd2pyRUY0QGqTUoMxEhMpMWBBKBiEyqJTijZvN6bS4lluk4QjGlcHBEgI6MDIJB + FelVxyMTnpmCI/tFolQPpCNHBuit6kwoqqCvXDYciEWkLrMscEmDmeVk7KBAmMdgWUYimMigVt16 + TOcMEJmJDDJgTbSGlFAI2lHisFXQbszpIz0BW2w/TUEusUzUGZbVvYLUSARBwgjXMoUFQbnvDiVA + z/SkZMm4TY8rUikGAqw4BRXy6F4l6Ov4VgVEIlV1i2D6NNOCi8KziL0iyGRmKHlvs5hIladKqMwV + 3VQAacUWqh8mQUimLvN6QJRaizeL1dAjeN33iAi458y6C8VYLSxLAMZMj2xCdBIzwqem1cE1xiDR + Gpyrf1bxh6BQ1KhgRAz3CWWZJ/oksQZ8BxFgLOs+yljZPBRQR5t5VOcA0hEe4UqjauVsoANWUFpt + kgxHdQaIyJCVqdQpWzQlox5mCAnGUGg1ZctvdEZkutESshrYK0mQApQ9XOpwOO56AkAQQSgj0gNq + EAM2UEHRGg5HOMKLp1HvSwies+i3hlaw6Fo6IXCPj/7iL7//u//nN//sL+9uH3/qgy+++5lXW8GN + fgi+gAqE94nC0lnKAVAnkqLt3GNnVlMzPdNBKZlV1n1IelCZzGSdJaxonRAmE0wevYdIhEBJsBUt + qk4my2qSS0qUCiTT4aGzazsuZR5XlRnhIcEkUghSJCMLr6EQCE/2exg7Mg6z7MyMQCRl3RcHBqUF + 6KyuTOi8QhOqsNtMy6iBeOirfKt8kvCIQGI0bUXBdFSq0YrAZMxD2JKorb0OAgLm2T2rYe2CFQKA + jIzkIVFYTB5f+bwcM2meqdBWq/1pgp/PfP2xR2ZGhIcDxURhRYKIyDoiYgIhTMkUHEYEQCRm9dcW + YFhsicwc9L1iejWaHAqxa4pnMrwzl2hoYq4A43JAsERoEfjWRVWoBoZjEGiZLAkyQrDsXir6Brjy + wUKWC0lBdtU1ZFYUmQzPAFUpiDgObkVmznDj0xQ6ETOyiWpNwpyx2CrCupX3NQLWoEwmRDLvjTir + zXx0KBlxjPbOp8XDItRDgSShKtMnCFLADlhmk8S2QiiTChUhWiBGBCF6CwGxFX00ApGBTFbTyhO2 + jshC5Vka8HJJo1CEqpS6FIEskDGQoFquMVzrvApkwCOzbz0hY8zeWu/Nnllra6cDCkm4w9t9dCnN + b3hmJw1sEahR9coJBqp5nInUmbIYyjW0R7J6p4gEoQIhRBNyBZN50rD64dTi0U9LRwZySW0iAYRg + ClSgTSEihbeRGkAQwmLBOBJIBW8ePWq/9Esf/st//e0//c4f/vG/+qO3P/2pT736yhHp0osW2YA0 + zIasCnMt3g1hyFZwzVHOgRaH8KZOnsAIH8mAC1RArfyj+LYFPcnKuQWyVQe6BqKjG+b9iYkM4Lr/ + +z///kdPrl/6ytc++MqvvPW5z2+mgOv9LTqKQGEVu+UIWoVPyDqJige4aiImlIgAqqmMQGoEIiQK + ZxRBCMIDUWekZFrBVLKWeM0nMINiJRj3e42JCO9qugAvgqVZSWRGpHsmY7VR14NVX2uBX/VX1zSu + PBpCZYDPhEasdLd4JhQBVY/ycF0WVtZzhFkmlnqYuXrziIRKRd2s0qzmBmQiEKRQTln5earVmIwI + aw1gpEcmkasXKRBaZiMbRdMPhCmOQioZK58hqXOOqOO+LC7XbVLMhKaanIz7isL1uQ1UHrevCofV + JF63WlabNqHEqRUzzoMdTgAAIABJREFU1w0UCtYqlUQQmplIYTYCHdDE0/L4qZhoXf5a2CJAygwx + apJqrGrCY/+z73zrz//dv2Nd0Gwqp3Cb8CQmPLDTfI+7uc9TP6kYozO3117+9DtfeJ0GkTUvXFaN + UJCZGIfgIpTMHllFznqLZIKFPxEUowVnxKzk1wNURCKCHtLUcLNhH7kIqlXgJ1D0L2ZKjUx9piOa + z560vL8aKx6mCCEp6/2wynqu45pOLFpB5X5571XfACAdmUEX6Oo+YkHSiQQ8M+Z1/zff+bff/ONv + n/ujz3/2Cx++//5tX9GDh2ECEWtV8cg579/+fbLwE9/8NGHcnxF06+7ubqZlmxDu18tljPng4YPe + TkCCkuHuYdpolXXVMARIW6BV5O5zzH2ctzNVbk8YEz5raxkamjLWUSt/25ftSKZ+7EcAMB3pcWrt + IL0cHg8ZTLFcKIrnVB7RUwDZIA8go3IoW5z8eObPxDP+2BbMUVC2InP4VKuETaAqs1pN4Zgiivfe + e/dzX3jtv/2n/2zwPHCyCJujp6fYELtCxh5GNZqYhMRd6IZTXu/auMrNCZokm8nI3NMB6cXmSCQx + k9OlQZUNYzoDgt56Aa4xq+AMtUhipGQonEy04tskciIkYIUB05oWeHFUd1mJJVM+/vhJ3/x02qow + E2LbNAI+B9Uh0nqr8JqE+4wcImhykBX3mTbMdEZEMEUCFJF+PkVoepHDBDRkrqOrUh5400qshWDG + oXhaCHOWQIthkGTTJ5c7DD+duorEjCcff7z1277VIhGPfHL3xLqeHjy8fhw9Rc+4PffQpQKtSU4M + CrQ1SSQwAzMhbG2zE9IQmNeryqQGxLZzj8B1h6Ca7E8enGzbNvhY2KSqUtZxFoiomUYdvIXQtDuK + /Sfb44eYs9h/2h8Q+4+uH9t5I8SdIhSB3fZwyGq8UVQpeYkdM8+2ivaR+86B8F7j6dTuk845MQSb + QNGIk1JhrSDMI2PGHIMZbeuibFSiHUkRi9rWdTkve66he4kMzHQoN4ACbN0kBSiodHqEtW7aApqg + B/YdvSOJ6S6LEpU+p2Nsra2cpnRYKkxin+6hrVZgYVohlYmIqNqDzWaJ0CNMAiruc0QQ7GpI5pjs + DSo5VipUJbMzGcHySVTbDA14cvWWeiJEOMa8zB/289bstOkNQVIpRoAiMIKYjjkCoBl72yL2sc9x + 3c/nGxppVI8Z2PcIkJq29UR4JgL0cIY0rX07r7tBUa4IVCjSBzUVTWXxDVPKHd/meIK5m4lnUoW2 + +KPbZrGPy92dkL2du5rvH6uH0KxtogX7Byq3JKBq4L0tw21HpQIhKrphu50hYwbABw9uHj182KsX + nwuwRqVxyEA4UlSJdNwpdtHnFpbkwMXBHXJTyaSpAfv3/59//Yff/P0noS+88aX3P/z6wweP20Rr + 8NiffPSD733vL1995bVXXvrU3/27v/7aa69uKsNHWiuObMEuADOCAWkn3IODEpYOJuEj6BEqPJ1v + AFwu+4+e3D149EiI6/6x9Q2uuCZUoHJq4tgd4iBoiqRqwPcZ21RCIWhK85nhRENt7Vx9QTAwn4w9 + Wn88J67XbDfhGD4uGx7GhddL3D6ENkV6IovdUHn7oQJxoNBKBGGEOa7jyqYqfe2LifQKBJTWELWF + I1NBKEQQiAEQ1lRlBhAT4vALo8E2UEURmImBQuw9M9OazojwkOIAFWyUSMfUEYSiWznYE0B4XK4R + qTZAjqlNleUEwRofVoGdKJ3ZglSQ7MqyqjjfINFG7CoUQCQAh8eccjq1EMwoT6PqGCxVzBjIvKpO + Eaco1ebw6ZPoYveWWBQ1yOISmrRIBKBSAraiZxIgdjfbHj58XPSI3k0kQYeUYRFWVdzYWsdB1kWG + UNA6hcOH33089h2BNXMLBJTCxQ/ARMxx3S9ug6fzTRcAMc1qIB+q/XquTDDmvDyxjdCWKckOle0M + d0RUOyzNmlGd4e6IqcKkT+cMRWR2UskiFCJAyM0NeAVyxp6yHEECECqEiImM3tUOwux6Qni6i5TR + FkGZ0wM0EsD0uHtyd9ratnUAyAz33ecVY9DP281pO5GHvo0d1qv8l/CpM5hEa3ayAAYw4cHkmZpk + pg+fqVkoQCrm3Ud/8d1/+93f/1ff+uFfXV969YXPfPrNT71YvDA41vtDHsTBdegdbS0iweEzIijs + xtUsA8zUpITkiMAsmYxJ72dBl0R4jLFHzLZ10YV62aIfyyzG5tEUQBDhKgNoGmgCgUEYeZcMFXl0 + 0yoeRuxiARqyqDXuEaLoagYyicgnd3dqvd/0Zm3mfvfk0mQzNCj6qUU5ADpE+ODRI8mJuMIdYJcs + A5zSPJ5bg+yIHTw7bAREcCLG1auEfbqwFl9vouQ+kQFQRXiu+T+6VDzAklhBFOk5Z0KFctMUQIy5 + z5HGDS5JnxLOCBgzDaJNgEDMwjMPSDaBkYA7LE1N/Gmanwe0+5MPa61ZG2NgOhLbBhLhOJ16YyL2 + cb2y8dZsEdaKyO64luSGiIimWVlzE6U7nnwEM7QHqA6ppG2q7UFvpmmSiw/sa3hOEk5kzF0T0Iw5 + IK343oXDXoaPuDRtZ+uGJHzsl5m7kCZbgQ91/K7k7ukHdiDmcM7UTSGySXJGgYFabebCpzw8wpqp + WocEZPi8XK56ugkkR9BOcFw+vjvdPLQNN4ZrIOYi3uZiqZAk14SBHDGiLYwFTTdRFzwlONb1V00s + lknl5QWee8lo0RZ9LYHEnjEFm6nCNWG5B2zHGaAldK7em6qC8HD3OJ3OEHXgOnejbGar96TWrKlq + AJfr9XK5+ITnJFKE7jNIa1vBQNVcTzoYAqHwct0v1/267x9//PF+vaA9WoeaFbdTAuGYjqvv0uWB + 5gHvK/rtLWCDzIQZbglLqDtigIAYwMuI6OyCrujVLJWEGFJjd2RIKfMwwQsA8rwBnjXZDFfHGbs1 + wYglUiBEofBL/mBebMxN2630qkwFWBKoMskAJ1JKwXHa2pc++OI7v/Pq//6/XX/vd3/n7U+//eF7 + 79qpiaqpXofPVDOoGYL7dW8mxfMIv6OOja0BiPR9EALKBJEGlGEA0r11Kk8AMXcgYXX8InjYEgBz + XiKGlPGUQBSB4Q6wQZmCWVDvmPnRkxdfePkrv/yrL3/2F9/64Je3k+LQYC1eCQhPUEuswuMsC0Dr + aUWJ0D5R3agjTI07MqxvJKAnVZ3B4UhCIyUJNQgDiEyNWJ1dkPB9n8EuRiFszXkn3HG9oJ9peNA3 + X6ZS2E5n8bnvezdFa73dXq9PxvXaz63iRQKEQIp1AlbZe9+fYgJpUik2AxiBGLk1CpDE5bqrpG1V + pXIhFUioirALErheR+B6e3OK8MxUNTWoVNdckatvpZTCQ9UQIyMAiKhmpM/RWjOF6BaIfc67y35z + vmlqDPhw0K0L2Mt+eBHJiMuO3UfrRD8J5egUAxBKKb3rdAZIzNzHVTcjHWI+AYEplukMiyn0bGOP + Nf8lIsU6cAKACS+tcwyPq6glOymyeoqSyKTmQWnQwLzMybveHKYm4nCtvj8BwAPuaB1i3R4+D+5E + H3OHnZDjyUc/+F//l//5f/of/sfv/4fv7Zdrop9Pj8fOu3EJyUCG7DRPDkLO2zk8x4XPPXz1t3/r + v/kX//0/b9veT9jU1JZz48VxEXSFcQJPkI3Si+mfdSQLhJ4Y7jtcJXuNvBZRkHPsl539XDerxQyE + QxJdqBoBFbTKMqpfTSFFoPOZdqipspLdkT4jy6QMUJPFiiojLRIkISpCFgmSID3TYyeGSSjPcu+k + UwgTSnYaQFOtvsFKziJGIyDy5LJ/59t/9iff/DevvPzGFz//7ufefu3Okb6OuYLziCKDLL7k2jC8 + h7f/xtef8uNn53VLPk3MRaT1rqrVu8sMKgsRWk86GqsNcMfkTO4qrmYCBbe69sYl+Ds6a+QiqP+/ + NMn/Ex4LsDggux//8cpyVJCUJMaeOWe/ZytmKmKjzpmQUBGAi6GyXtHgDnjyCmkk9CnzM9fKOz5H + whIgU0CWa8mhzwgNp0tiE4MKlCp6tpszz3duk+eTWFtDVMTFdjATVbel4G5iB4bjpCZVoPvcmbpt + SQhDiFZZEzgcd1CxG60JZtJVMiQpy/FBql9EByMoKZsrpXJhgkQrdqSUSOL4eO6l2o0o56hNFCI8 + nTe1HQDlgMsAJHxOUyGElP06Ja13NLNkaDWSAACmXSiENUWIJrDnNXFp3IhFMls0gTkIQHpZWAhk + jglCVess5kGXSLCa4yoE4Ix9TDETGFKYoiKnE0Qign5gn6ftJAZJpa6lJIDvT3YP2zZQpTUJQTn9 + +UzdkTkiDCqixas04yJk+ZwwJ6xBAQmCWzcc3NoD+490shqrpf3IAgTKAqecFupAFkUQnjCKWtdt + ZBBTtT8NTBV/Ayi3HkmRis4pSTGYZCBMqn6VtXoTANQwBRNOpCQyohWiwrUqhGitIUOEi4SHmJik + G0xY6msAgMA6JyWQ1bEUI5HT053NygR0dVVVCLh7OrKeZx2L9iZGdEkBwxrumfERmD7FLAGMyYRq + yWpISGNxj6PysumMZK24Gn8CgVBKkQ5qAqm2GNAF2dQXRgBOWgqocIShAbebnuZir6s0sxtVkyR9 + IpIKCvf9mqCokdQ68QmhgiliNBU0Qg4XOpoIRSZKfiwH7RQRkZmEIDM9zA59l69bo5Qo9yIIUooK + qQqhGK0ugiKT2H3skVO6KbSV90UxE4NMiDz/ymu/+dv/+P0fNXnt/Qdnk4RYx7xerk+uadv5JFLN + 0Uyp8k2FBp5eev3tX/vP+vfbJ7/y8+89fvTQAUVDgtM30aKKEHBKUnYEIQpLeCKPFjpgDXkqclIq + PB2Uh49feueLH/zD57763Btf/+rXvvrSiw+2irvM29P5lZde+bVf/Tvk+fVPvrE1wF1V59HbNUKY + iOAKDQJfLA8rQebYJ8VFoUYiMn16Us63D9U0YsB3zEDelHckMrFfpHuyO1qsgyAIiNkqLwKeqRqA + 7PuUNCW0ythwxBXw8kupt+DhIammEjSDnkUVSA/3oKXIQdo/YOci5iB8UYggGWYaWqBmMqtloBAi + h49BqGgPd0e6GjI14yiXS3oGoSiGKKRw4mVOMgVT0Yq5I/A5Rgi1cLBaagcfX4gJjJgt7HCMS9Vs + oi4tYIqQYiFqjQ5fESsAzygsqljaUnx0YsHMBNE9rmRKVZ7SCiD2gHONvqwoAQRYgUFYQQRMT9LM + Wu34AOb0SnaELMQzSz5R89WCmK4Y4IQQtt0+98pn35H/+r/6xvbWL3z2M2/2U0deEeEsgPa+KsaM + cEpjqhlD4fNXvva1hzdvtP7onXfeGTsiUGUsVnp6iMiYW9O0LuwVbAUSEdXjS0EOzDtvmlS3tqH4 + GOSYI2t2gACBnGAmJNBQ+lCqgFSgl4Ii6HFPqUukIx0+IJEtRZav2B6LDLWWYDgIoXuEg2W9amZl + llSv5Z4pWhM8hBCV7XQyJTLnfgVJETNNSZFUOGLCW50XdcogAb+aepBXYACMyau1WG7+IUUBChWn + aCkhYo5s1webvP32W//Fb/1j/siff+vdz771VgfuLrBTFThcYvjjyh/VcBzZW4IiJiUyWGnwnmgO + QWZEZAZFkGJVLiUy3AXSzAIiqo7wmCZlbpcUKFGqWwBIgRgoTbDqleo1IIY6zA3BRCsqjcoCvBZK + J6o6MT0HQyQFlG3bKC3LqATZWlMcjIqZQ5BFNny2OgYpGpR95iSnVNuj6tEJBu3AuQma6pIQ6VoC + bJUjF/uBXMVhADNpkSJgRqZHgmxyqItK/06WYzZMoXYo80RVUGFbCjPPdN+JKbI9W3SxxmuIwGPu + if6US308frKmYO9vfvrNb3zjG9fnP/vKl756Pt9T/DDnhA9VE0Ei3XdzIw0NFKiunAfS6rgt1TlE + SsZfF60LhjLRroDOeauKuESeoVKQu8AC3f0iseoKOcgeo6zHFCpG6QaqO2dkelNCW6LVWbZsSyLz + YJY54HMAT3pV+DWaBSNzNlGylelBAXdqEBVyg3K4X+e1bZsKb7bNRCQJ3UCB8nSyCoIiaLJcnkjn + WhCEVDDKQPhyXzjYaQcnLTPcpy4z7KyfFAeLIkIJRt6zdxM5wQAMpprK1R6gtNamWJlDYEIczKW4 + 2ecOkJQE55q/Qa60NjAn3LVv7733/j/5J//dc6+//Qs/91lVYEQgRUypSE4PyhJFZQLpwjTw4cMH + X3jvi/23f+ur4V94552H28nz0JOV7XMrfgsDYt0YXKoT7JAddk5yVtQc0AwtV+9IUBDpIWxbhdVD + RuXMFZTFNDNiFwjEnFQAAZkBD0BhAhoMHYiUYNhKWRPE6AS7qW5VZCSfBfpxtBrL67YhO228+OLj + 3/iNX3v+ucevvvzmu+9+2Ps2x97d0dVMS2x2mdBIOZ3y8Efa1DZGA3JGeKr1lGKySlE2ENXvDtRE + YgfQkQbXVXzwHnZGsxRXpEIUVCI30KoQy5zJ6aChNzndPvi593/+5Xd6+8Rn9IUbL4IkuyKZ4/BB + qnYZ8mAVBsASXLgiOrRDmx/89yKyb5bkTiCn0C215lGVuY6ul+U9VZXwYupCjK1LgCnHJgCXlWL1 + Eva4i6E3mxzmByJKLX8mB1OtlSPNPbhQQXlxQ+/pUk+bWJU37cMF2gmYcXkHAK312hr11OvczZ0R + 0AWpZ6C1lvX5luHQumYr0K6PXYHeGWW8QU+thFEkqdPnHVRFLQGKbScVbQBEUiwjMeeMCJDWDkOw + LNdwAxcRNMeFYtAmanF/+AbGdDNAN6aK6hFKPFMBBGUpchOSB4q+GocMGEyul2R43xQKSyCzM1SR + mrXvVsa5APj7MQsAsFnhgYg5XYdqGxmRy1bj/ogES4LmkVSTigs3p+3dL3zu7//9X/cxx3XMqVt/ + OAbvxjWYdrJ2kuD+ZP/R9bI/evCoaU/vEqcPPviStZM2S9kjID7hBqHYaopO0HIJeKvcWPNoFgqV + NFE2iY60qhISKZStiwo8lmu9U7VmCCQaYWXq7AOxl93CsQ1X9Ig45iqVXgx82hRJpIMxRQu9RajU + jIxlRb6eSlUTTMH0uMaAZV/QZihASGUPUquWxPCcOU1LvI/Tdvr61//Oay++vZ0ff+7Dn98UHrBE + TWhTpN7zKIt7gXv6xU+Ctvc76aeN4v7svG5FsxorICFqjbAGYTFyjVLgB46gUFvdiJzI9JChdJEN + bUNYnejk/aG+3BVwDMr6W/8AP/Ga9/9fUakmNkRQzZTlDJWFe6bPFBYpPnAgUABgyIFMyGRCWE8g + Dt3DSqNq7SzmfhbTQstts7ZUpjM0uK6fHE1ahPsMWUr7OmAjMpVlfJNFBTIYkLMc0wSZ4dhFGpQI + xVSOQ0hgE9udsOZimdf1J4RZB+osNazXABpSE1Z9mxoQfV+KK3MiS1pTGub6JqMqygBFFb1vB14q + CaymZmFQx84plV0mVEusW0rCOkxNaMgFcCTCOSKvWt4PeSjnKBFBmVJtTlJKccnlW5dyUP8TGbai + siU4kJHhqq2cZjKFzNYCOSIlIklV6mZbKXhq5A4EiBC/pmdmS2mqAoKBBJCuOUGJxID0EpzkgXUm + lqxLaWWUTJgZwpGOQ9WIAoyCRX49cvr1zwXaKSF5CC+P6guk0WaORCqXT0DeRyMBPJZ6haJqGMSy + KA7FNFhG0I+Wd/2eAuUHEkQYIpoWdr7u4oGh131KZCbTM1NDgBXp43iDBpCRIdX+qqUxMzxSDYmy + SgCTmhkzg+FCE0rZMCEBYZOsCnbA8uDVSWkxSjSZkaRAFxWJICmIGuRlYFUYuoLV/b5jQRIH4UMk + Cejyva4TORCABJliTM7CnQQbcJIFEIqq2AMI6KnzDuFlxBqeiRRdZU/p9Y7IaRRqB2YidmDCAGkq + AkigWNgCggxo0JVpLMezMiLGUgYColQHpydwqHWAJExCKIjlrsiM9Mwos0qQQGsC0Cd8Bx2i7aVP + /L3//B/+3H56sr30+KZ8Mtr0MTymj+10kqJnuocZVQMCdOjNg0+8/Us3bz3/ua/cvvrW40cPZ5WL + AYshvIJW25zCACaKrH1e8uyKfBV686aSfiubHdleePGdD7/8iXfsk9tL77312s2jEs76hKL12+f6 + c889/qQnEGA44loO1PcyJwFYHKgaZZeYASGsRmfGBDWFlCz9pWeKttbEgcxQxvLiXxaiiRyMKWo8 + fIBw9DXKRqdkZcqkyHX3yBQ9uqHl2yprn5MQpa+GgqmsqqyWZIn585kjbFmpHLk7l7YyAappcduR + AEzuSQie4S4kZKn8srTTpYqKQEZkeQiRCNZIkTVrJokQlNVa7QrJmGTZ1T0N9JXIlr1DYaDr0jBB + KDVhCpge5GEesvpEkpkSOckqo0gsI4jVx0J9tcRk7YEca8KmH92vLJMXW1QCOmu4yMrsmZGiDbIa + shlwV6aKaAgSkcGMxcZMKRGBICZkL5fP9vjVt995+bc+8W688Kk3X35gek2MZAaGZJeV0pTdBUGh + plKgghlf/vKX3/3cL1l/9OD5FzIRtcOXbluOYadFRJPOdh9EQY2YqEZJWVaMayagynZyODKIQExC + NXtReK8JoimGxSD3WmdIIkOZIoBg7IDUoKNEjnVasC7UYQwVCKLdh8iVsweLbSSGLG9SKX1FAmWS + ksR0GGGC1k0SGSPcKTU3SExKKxNIRxxlx3F2w6/QELSirGpm+LHN5H7tJBnQYigiwhnzwSbbm2/+ + g9/8R6d4eHrxlUevPvgYGPuUU7HE9PC3PSLD+ntY13+Je0o6urZshjOW/ro+piwZiFRWQw+1rqpK + nRkR4TVOPFnOSCxqdS12EFRIBcTQQ0KUcl9tOMOZWnBnPhvLQBElZngJWgBq660w1EUWbsaa8UxE + tUK5rKUTWLIHCtQcNuZSMx4i3erjJqufVymtQeKgKheXZXkml4IiSeM9PyFESpDmmYjMUmmXZLuk + LPdXPLQMWedKhgnJteIKuY3MKTIh2wKasnJQl0ohgciQ/JvQ7d949P7KG2/8l7/5mz+8fTNe/kLv + QHngBCKSkbpZCmZGuntOO2j5hsNagzZdQ1jmGqEmvaP0Z2UiJNihMwwxaI4cmZo4OVebldFjunAA + V+QUblmHUdkGEiC0TNTD4cEIqEKUtFhAZh2Usq42EYJIl7ikdMEtpCOQeSUukI7s7uaVu3GZ2kJ6 + oD7ntLAmRtMF7R8ayuXvnmA54QAz4xCzVfHO9GQyScpSjD2LKxG5aJlPkabIMigAV12A4gOu2JJH + 1iuk1ZpIAEY7oF9f6OqhsfWMQZqoRSKQniGmLPeDSpU9sG2f//wXvvH4U7x94ZPPbZW8l3+GqErK + 9JRj4jIByYX/2O3t59/74mfe+PSTbXvwxpuzbZyILBdRZEIDKetwXolk3VFMYCJzCvai3STErzA5 + jCOQAaeKav1+zqpIQB6mLSr0yTkWSV9PDg5wCDzRy1wi4dKukKH9lP2YRJaS3qiqTdToK4HIRWRY + UTaXS0xfiRntfOpf/vL7737hvW7P9/bIFDmzmCh6sOhnOiR751z0VXS2QhVzIlPQjDIDY02yixVb + yEBOBOAGbQdZRMo0J5bX4m4F9NaWQP5H9t612ZbkuA5bK7Oqe58zd+bOE5wBCOJBwCBFCAJomrRk + hSUGJQcdoj84/If4Fxz+A4pwhEXRlimFwhIVfChIS3gTpAGQAAiCBPEYDDDvua+zd1dl+kNWdfd+ + nHMvgCEFROyMO3PO2bu7q7oqK6tqVeZKumWKopFfGCJCByrAsHnip376ieHWveHWW0ABkiE5JOY/ + 7ABrmImpAd4pzhiLmTqiCLiZs+oljQglTxrxHXDLZhmrBPVxhhNnVBXeIu5hqA51AqnnOW2+Tuz8 + filFtHcpRXyYkZNwgkMtbmY0zYnIZd77teEW6jbzkKBPJLEQNLfipghmu27w3JFSBqR2f1urxc3a + ohNNA1ICmBylp1npRApEO5fsa08zqwaNjVV3bgNdFVYnN3MVd6pozwYEwqlOQymw2krwruBJkAId + DieUWugRcKpBAq6AmtdaLCVJg1IpgphY2Q5KAJApkG02lNrA0iP9EpkLzCuHMDTu8AlSVQwQZyPF + 7NtlV4LSeGFa2EC4q1e4uah7LWYZmWCnT2wzc6ouNcANmNLTmD/ydz/8wnPv2IwXdaqliMhlrbKz + YvR0kccLNZnuPHjj/t37t249sRkuEy+39+yp2y/kkRyyIdW6kzqhbiEXMmoYlwkpMcMFZig7mDCn + IvOLWI5gMg/SMzGIiQdoBLgbWF1EChlkj+rsx4MlotM9uGz7tqwpoXcfupjN+wohmorBOxOaa2HQ + 2iIl9NebMgfiXd2LW4p1e18TiDsb14P3xaBX9xo6S+G42XzsY7/wsZ/77yCDb1AMA1v6d7fwX1wm + oT5brHDbvn9a/5O/GSBylr8t6BbuZqWUpMp2PNSgdQ//qPjN0I/ZY/MBFQwKZ1CkTkRzTgTQ9n2A + e2QzCJMUzNB6TTV+0Nqvj6wCnll+hwHTBE1pHBMZiV8KA62sU1ZxkTLvmrqvU2P6CbVgiZWvhUdk + eB94X1kqqqCGHcCUASC1AH8iSTifdZsedPr06mUcbhVkArVWNYPmeVMcW+DJGkHemIDS4tBk3OSk + BihcUHy631bYciG6MeAK8An1Tnn8MoGYglOuTHjwoDmR0aAAcgGuWlIZoCI5UgK8UK2rOs1cJIH0 + OqmKjmOt4nMAotdaK8SC+NsdQg7jUDEZXMHNZmDtPdFsLcFaJ1cdYvSYo9CopfkowiRw7lgE5aTD + BlKdZaoleRYZc744nteETJIYpMbYuV8BOg7jrno1ZG2bLpsepARJF6MORoBKRHaiFkWYAFjVhMfG + jUm6gliFVCTv62q6gZbG4mk7lY0IULHbIQHiEGZl7E2swsyQaGXnteiQezuEM+Uat49Fdu4bBxMI + zbArmApSwjja/ZO8AAAgAElEQVSAsFp3ZUqbDGqNeYYoBWQngE8kuN1tZdQUWTAq4F64NVw5NnWi + 2NBOrMLqO4wuYJJRJYtXoMILHBFHgk725m4RJCtMwFDhdaaj6/NZNVS4a+SqsV3ZZZWUhzTvtoy1 + VGoVCbavoVqeI+1qa0K0cDy7glTYUHfiGFw5DLkSqC45QuQECE4kEzrKZNVlFKQU308FU6kySNAt + TtNESkpjLM6871xkCNonC7cAQwK0MjHBgVpQa91kZamYJqSRwmDPGhn8ZAYA1TfjpbMaChFMA1rN + CYiKW2drb2uzAGVRK6gDKaUCrd2qCEQSYqRKBktj0WrboQwkutDR8HDE2ezkVkbrLjokRLOoIMco + LIAZBmCok9iDyCODy9vveM8zt/nYlapkZMCMOl5ejhwdzVtTkVQLcWWuQsiI/ASeuHjhifHxd+Wa + N4H77IALBqfoXUzizBwuTXJEvSVcVNBQARPZJR9a6zsAZMGGGDTDLodnPvDhpy/upttvSi4TSEN9 + gFqB3BwyBbVi9wCPjYzkj57yvOqMvEjtiCiYznuWG5gha9LklG2dnDpKzmOKNtvtXGmPbQaBRbA2 + gLZNF5duJbpHnRswFQwOJmRRxwTiYpNhaHMNTAUYMnbbun0g6YIqw4CJyZCar0a4TIpBqSmJ5NrZ + nuZFSHMfBpXBtEUE70Mt1aecBlWgYJpAFlXLw2jVd7tdHjfUBMCotKD8mpyJST3AS+xEyhJlEYtl + lMZcDIDMQ7aoivU2hAY0QEKRhzTOKXPQpsZGwVodWk1bZi1veQAFwpQoBaViUjAzCRHtFhR1pcLI + rBvBFbBFqfAgMGlId6lOkFRUAgVpquVKXKmNfbiTR0fBVMEwZAnaJjMKcmZwBE3BZK8YhwFlC3+A + SmwrNk++88knbnG8p7wA3DBBw7mypbdBLahTrZouZFZlEHl47vnbzz19y1xkBBKKogoKvKBmaDeG + FXUC6GkTWFoFzGzQVAumHSRDEsZbG9iEpBW6daAUqdsxJ0o7gStsJNhquU53NW2hI+BeaqkFYqIO + hp9m4xgDCoSy2VRYMSSYohCJCRWojmQRzKCRBENExMQjMoXwartpSnkQ1SBTDkimsU9WVCtidRhy + IB/b6cqHQlbAyQxtpAXVgBy6sgMqQUN2JNU8jm0AVoN1qCv27NHGGptKWL547Kc/9HNql55QE24J + uElxFtHQob4kZFtezWv9UDfurBqoksJ8MJITmVFzorg31sUCz+Fdas09wdy3ux0SUyTL6fxQjhTc + 0dUtmXUqvuql+DQGUZeknNJQcMXGyxAL7srmINo8AAgoNCVVENYx7NiBCAER0kthVQiTimmjyavh + XF+KekHeBHc/U2TFQ2NUj2xgBpt2TIPHNijmnbZ5UhWtFlOloe5ckqhOVowJRFJmHekTbCKRcgIJ + q1aqiFKSJljs7WsRmYiExvsPwAuKwB0pbE8SBcs8L5ihenUGN58g6RDHK3iYpIQnn3zfxVMPLt71 + xma4qigABCLQIdMAGsFE1SGjutsVVYP1XpHAAdAE1j4JGJIMCdO2gXEGA4oi6a3NsIU/gE5K3zoK + GmefGDIvRCv8LsqERBiKgzl0yXa7q0QMeYQkqCOlSIMWNHPtGEqDXBXmqAJRjMzimKYHFRvEOVVK + EAcmn7a7KeURqamJlciMA9E0PBae8FatTAKhDBS1AgJMs6sEUKvTNbVzMIMJWM2n7W7UQQcdoFMj + 8OmQvBnFk6p6oyqd98sawGdz/6ZQBG2vze7uUGsFrkSSmbiZiBSDuQuYEjpxKSAYNZKVqjtEWk4l + h9d2VEmASOm555768HNy1/FYTEo5ebH79+5tLi7TsMkipaAassaRilSvQuMwPvuud+InvEi6klSg + FwnSd/kDIY4rVIftUAlc+kCwLaLgsLr1/CDhgrgckKvAJ1i4wTh0ZBqtT5JJoOG67kDZoUzIOdJC + AA4mQ9oZg3yDqRNkb3fbQXcpT8gpIe0a+KJx1hT05QbvcWYGL/NpQxyGmM/pjartNtk3Tz1uflF3 + 2G3tMg8g3cy1revzqAqtwFWBEZcalLlxTjmEUSuYJkwE4EmqpsYsvXNWSRtws6Bd0ib3vvnYCSbY + gCKQDKtCCytVDAZCuAErUMsub3eQhJR2sC0k3GKkrdAUPqEUCGFeXaq2zCUeqBKIOmAaIENVTM2Z + tp0XgbEVdtERTBZ0r7GirpbNkVlhU5DMBokfCiLIgB4xh9stKJaGoF8RVAfJYXj8YtgJ6ryQC7uq + QgQ7Fh1WSiMoasDKAo6tgcLlkFNVxzQ0h2/0MWA16Ko7foFhHCToPTqwxTaHhie8A6i1CpP0qRG1 + pbElKCIqEOe0myiDZNQK0kRqHqQ6d9NO8gYN4ge9UgwopOY85CRhCap1iIJAy7cRsTlD8DNUR2FE + I2IUs0EhWiOktU7hZzZkdWBXkQWg5Lk9wpRicrNKqUyKlC4gQ28tL/BdG4niiBPdNqZlqjtnTgp3 + pALUCVJAQ9KURiAZjF6DxTecguNE2RUiWpmdVsqk2qDfn3r3O9/1/PNeIVT3oVYFRiQaffJSsNUR + JpMbYBSmhEvUpIDkgGiZPEEqpgfYFRueLIQBAwbHSBjMYDtwDC3bAY4q2DXHpzhGEEKzaJyubAkZ + ZBiyGHEF3i0chnzLgLJD7DCTCNUxRMqZPlIrECfyDkRmO4hgW+okZq6jiCaI5/DhhYoK65x9CNVK + MR28OfwXRR10xDg0re1eFhQ6OO3qqBoeZTkJoeY7pYGCrLANkOMUXg0bbcGLbiYyn+wtUFA/fWjz + kPXh1AcVsBqOfxPytwPdBq4oGrHDqw8Ra6mc0VnzYw0sLQIBaHM3I5UXo2ln9LMD37HrZPM7krel + ydj/37FOzmW6teN+NE97tHzQbBXuedjD4b6lfIjjz9nTCASY0XzeLNKHKMN/w/rtnGFjabNS0rZP + bK4/hCQMKXbBEtTcCbgQKlqaM0okZKAIG/VywDuK1uo6tyMPUiuSmruDRmJnbs4J40WKP9qLq2JI + EEfQ1RPoDNwxjYv2FVI7dWnOrKLonikB+0okZGrtzSTacnTNvrZk8O+zzwCzPoRC0ChGa0sDQB0F + BV5IIUZiaIhc7icjFLASVRVsR3ZcNKBvcQK9nEckRcIjLImEQwAiE0MsBlsYQIx1VriZJZF28kZG + 7pRSq6nObC8rLSKhTmWEN6p1PxeHKHs/iiDWDyLa/vb22ioycLEwvdZ9Ldw3ltAIQ25bdJGWPNTh + OveWtBeJSBtCBlG0/BjN+0ahFsxFmuFDK7JrrbbEZEjwniLDgriKi05w9pCOxaEjeQ9d6fBzrBoB + 0RKeMppIZZxzdX9FSTnyqwBOkcg/FF8pfOFuYaivQagpwSUCLBBv2amhGnNJ95wQt4ByqUSE6ItS + EMM1aeJqEXRkUqyhYo3qr42+rGja1dbxcQI5K7b0pgxoHz3xmDucCom0LbL4JrdEQyRAFYZHisrc + 95HLWFet30ecKtn2PjKz2q2MoXY0p1vE0I5mtoBmoaVljUDEbYJ54JKGgm0Uh/NDPxgjFJIiaQ+U + kkFV5seQSwfpfLZNcBBUxcr1I16GSAIqXeqE2okgANhMFjZAb2vaDMgjMAyRZck7u3XkUoASw4CI + CkcfSr1nNaqAaoCgk6K2lXH44IMqWVvsU7s3JSam5g0obCccwr61belP2TZ0s4Vsy+I2AloMV8Tn + SnjhIF0ocrD/EkjQiiGoCSFgSzsRsxC514FhAHMfscuawwERyS3GF90HXSmAtJxt7JWIxTjcQoUi + wEIYJ+19GutzVjCJr6Zwzr+tT6sZvC7xVjKnDIg1grQPWrlxdN+mAPQgv4hAlpleGc22Ei0BRZ9d + Y2ejc1MLkKTTP8QED1NVuK7G4zIUODvfhwmihLd6GxHsxkkASfAEJAwZadA0XoCMOHdJbAsK9pcB + EYHfbfYLzyRIxjBCRbzVJWy/gKn3FxDak9H9GdrcJkJSlfR59gwINfA0Vc0i3l7Gl+8j2FFT7nxD + QtVEgBq+nBHE2WeLtpyQ2AN3h7i5ZyGAsbuseow7dvdXiqSU2Mk4W29Kn7EVpIikeB+AKeXW3PEo + 7cG7s5FFRjPYkW9xcY1YhgTQ1jiMFVMCVJE9jUkuYc3+yHKSHyv81VBaa8TqXx+Xi5o3e961qGuh + EmjzCVuP55RcYwB498xpoUcESIFksAWcqZAxi8beEdoS5Aj7yrM3ymLRmtuxrGpIn0eFC0jtzSIz + Kt7aXlMKp5zo1YbbzhABWj7S2bJw+a97kAHSVnICTUL1eJHV1BPhll09CFK6ZZ6nLxFtZCZ9kiI4 + QHKsK+bRiP6isTihxKF5s/IrOz+PGGCpTB+UCfkSOW/yeCGQgN7QTUpbiTVNoQZ/kSF4wVeqIn2F + 3Meut4xHAo3MlcE1yhieLWYuHIjCAx2S4ENQhHe3cziM8JxSaq8QFthBrNj69iY1tnkZBMGUkrql + edXX6NFVMyIx+zwA2MNHw8JYhAg2ahaAqY8Q7V0i0H4XYtUMBmuWzguPrv1tTiCbc/usxn0mIVaW + so3mVde1mZaUhODvgXrb+zQ33f0d5Tw40WNAwitTAIcn5DHWfJcEgEZRQ6qmcRO0RGQ00cyOwnBe + dEAwZCQk6titEGcVQxDZqcMzNKFTLTalTaCoxGDuOyJ0uwyl6tyV2hD53h4R8tB61+eZSriodPPM + 0BUnKJYGBFWRI1tnVImMlgnyLHSLE5lsu2ESJQYgWxxaUdgWVv2guL8fgKQtoLEXvPwRC4am/P3M + oJ3qzpnc+v+7IYW22F1pkdoERHXOmkwE0VgoZlJBHqO3FRwjo9T82DYKY1oW4byGmyeQIEtuea9l + 6dL1KG85tcMPJjMoVuKraMnG/NiWNjF/dtxoSFD2XQBb/dvOahWp3foGAqogR5PEUXAfOsvU0V6g + LU2is1LDCubUorNlaAQIbSWlgWA1Y8tWbK+BNHJAiHRHytZYaZ5x4tBViJTacNceZQWKMGZ3Qbgw + M17f+hBnV8JwNcBcubYXZoVENlzhYpmj8TsUAYG0zWEsowOO57zdXL+RqEDjCLAtOhbUW1psKRfT + GIuyrHm2qzNo0VtMYiwMmlrQdB/QQNt1CpOrzVSIgEBHDa8RCJC1NvYcCJJrDXuM5DrTkqewwLWv + HTVgIRVIAPzzK2eytnr1SVKAcKHk+qMIBIyW7VwY0XNKJJHFjjZCqf6+8wJgblrpi6lualQ0k+qy + rLI8hr1wCawLxaL3no3ZclncdzPVmhRISectQFTFu4q23DxdRWX1DM4xem2D0of7ohc4EPYRePTN + 2yl/09BtX+2QsdDuH6+WQLrwJMQEzCWQcn4KiQFQIi+WfhZpFtbB2R6+PdX35pJggtpn2BlXn9eH + DpMUerssRmCAiKkUK2i2to/eeTXa5q8IVBI0YKs/gYbAnFpG8VCqJFEnNZCx4M0YEgApSAUUYANc + hMYJCiHsOaMCK0AfVvGnwTSWTzKEbdN2rxOJksCguxIFLgAHNoLhslnR1Kd8bEaggAUthWBN0HmD + HdxbsZwNoDMya7YoucVgtDUy2xphlOAM7WY37OyaE2ZlCQI5RhVEO8U/4ZRRzFx0IC6Cp9czmHqH + 9oj9iCfcG3QNfu2LnFVZYByTU3u8ZKA0lHFZzAS8Ay8OVhs1aEeBtjwQryY0lWiVNrM2mx5ufhJH + 7i2LN8DgD4h+VKIttFJqWGsbXHs7n7nHVyuR1jRIhHc3KQBESql4ISIlhYVJjlP1bpE1Q1uGl74e + l+7USN0sfvFSnRqTYmppcWIkpYq+EOijrDcggBpAhyEHr8OCzLFtJdEIF7JwDKCXmHcQXYXjWA+i + Oo/mpZC+Lx7alim3QYC5Ju2hmNwrq0DEBdhAKizoyFqO1r7EFwIaJw89GGm24E2JXWAecESsesOY + 5NliKeFx8NPii53oDJ19fQDpzCthRDruxT7/xeMxtJEl7UBLlhWeGjoaJHPbxBo31h7q3RRjvYAD + hbqaFB0Okh3VmR8UMbGb5Vaq99rJPA/OneF9p00Ms356m5SlU5ojOMA90IoNoEiDL3G58c+15X8F + zN1rWwESXjy5txbmBhgIZGDThnMwXEl4C8RqSedtOymw1I28Lw1T4QaTCKtDY/VWd4VpcFXMCwki + MMjUDZMgzy00tKUY2HI5NQwYovPaevHmW9ZGQAvC0BSMY62Dl/WWzyBlb8h5R9m1Dg3gW2CE3kGJ + 6qvhIhl9W21QSt8t9v4TQJEUUDJWUtI2Qeyom8RacMT8Pee6LPj0LMuWRnoEBwXI0TkRfyUC+HIo + Od9KUCECJ1aryY7Mcm/LPELRLCGaFdaOCrW9Vdt6SVd2Lleu3JdXL9Gx3c5g2S7QBL9oDdWN+aZt + 7zSe3ieCHlqNdvQvCJ29DEfJ1XzUXie16zu0wgTdoB9HtLemEh6I3zK80QxJBoKZdb0Km1dlQiCN + PSZRIDJjwkQPj47rPM+ozzxPzyaqtegMA0TVmgdaK1JT745ld9H7kGA3YHG1amqncADYd2BsfgAA + IBdgIjRD26uz4bTLYTUEyG07p4AkYARIjHNNFTO/CIG8qBaW1lotQZtix6jg+svlvKRdpG0x68IW + jgKQRNYcLHRNiZRhqvsWMYZln4hFkPoRmYBQjVWx9BdoSXaW7az0hHxN6diqJMAMuzXEqDeptdrO + pr51pS5jal7MScyNXDVx04fmvTK3QB8BrZYyz2ZRdWhe9kQxVfV+64qd2lep2UwFN8hpgXLZTj4w + QwRIUGKUWRu46FtTkRkpWHpYIANAMFEwovP6LRop67u66XBQu5Xu70T09SKb7rFzC2jzFJMwUAEx + rbWq7ToUfAwyBGfAfCIlAGVYXjx8GSgt2yzmbUXvr/7CAIFNgCedjklbWh7NeUknEerJ+WwxTG5r + 46iF9zWLL9AtJA7MV0M9KjjIPK4S+qFNGAZ2FeXcyuQcW7A0+uoN5uVInO3hAgCZ46B/tu0xlfX7 + uop3/Q9pbi/u0NyNBRJwOccUgtCUdd5dxUQ/i8xIYB9imvrp43rWIpF7uGlQQ/fNsAADRIf58Du6 + H7kjbDIbRF+V2n5qhqT+jbdjmj6mZ0ddCCCa2Oqa5keIgIMgsbmuL+u3eZUpbTe5gfRFCCEYHfBw + PtauKH2iWU/YDgzdVxFEZ/GfG0wVA4JvTxdCEQId6OoNz1AedM+FQHMyZqiVgXNSmvNVC9pUJgyE + G6gj+jzYZzkQ4BjHagFY5b11ijazPDRXlqHZslnDMvBYpOWOp6XFZEkYBAWHedbWQDE5O3URSKmf + w691vxnwvTZo/zF03AWSu9NGt7XsJ9d9WSUAQ6Nl74R81Uec1xR7NlHnEbGyjwvs1pMqADFmvK2V + dGUik6Z5XdvZ2o2QJMvevx9y9kmvjyR0nZln4IbI+XwyKtIbHG3UrKbrfpApy1he2/k+F0WDzsdR + 3QKD6PvucBeXXuq84NelWHZ1pc/7dEJSB5KW9+vPD/8HEHOYclMr6bwQaTnKUkKQHDaDbrM6sK1s + mmZBE3gBSQHpsK3++4I8obHatRdRYtN0b17Dt3rGGmZuDiTiYq6/9tOT/Qbr/18+nMcSJSo50yT3 + Z7n2nuhrSZUw6zFnCwYCLcWxrJaubK0VeP6MYsii99pecb5+gYfnQRCzyEy40A3NfNXKjjkYyrf6 + /u0Xdt6IH30xrOasGy9ba8gPIQG/GkCvil0Q4gCd/acV4i3wNeqmCqI4popqZRAbkvUDQFkQquUB + c0VlLrIlDHBAIqc7ulvZaooPt/UKHwBpTpyYgCtgBAY0mjMDtkQi8nx/Sw25FF8cVxFJAGvD01FW + 0FOaizdBsD1pHI8d6o4DU48AM0CD63kmGaTvTbFOBOdVD1HUvaXv0k68pjf9+POIbYgo+7SrMk3N + dzN5W30aCmD9YLh1KABeAVcAgEvHYPulrmr9iHVb2qbAHkz3XWzjlyN6ph04dAcRb14KLZ46A4ot + bAsf4WOsd11g2KIZGEVP6sq94v2o5OXLZVIHIhtRrFcyyBahDK+YanExHYXqsjwkeNj7383l8wHw + ALiAbeDiigllwm7EJlXBFC1rxivHJphnkof23QezcdjNa4Z5QLVXMnAH+ha6hSr0EuBuNfrVC6cd + dg5J2IhLvQIxJa2aCWZADhSzv/jhJ11lfKWR/SongFoxAVf2oMpurMMlL5ZH06EGtdLcNWP5hXmw + eGd2kfU7Rq495RT2IlhKSSTZgoyhG5zRqjvCW/DevrITncZthquj9n1y8n1NYMz8XMXxticLAO80 + om799LjhRGtj2oxHvJOvx0GnG+JSfm/BUJyFk3ittOtL50eyaehsfGPJo9YvLwW0khvBvIJia4sD + KLaC++oKH2AJTC6YCDVgu1Ma8tDMrWBLXAEbYHSgbCGAyi76A9DFhXkCvEKD8ZTddIgBbrBdLVfV + p5STtD2Suud5acbeTCvd69ulEz17ODUszg8o4NTtpM5dTezRPfabK1gXiA2rUk6YrH3TfPTd0T2H + g2l1Td9JLm82T2dzH/sqkcMhVnuqZt5O6XyvRfab9Lia3j/1pR9OvQPbZI+DBcbRBLPuLR58geNP + 9x+01M+9BQ/3U6T9+f3EksxXz+6kElyadL8JfLVeXvfsfnMd19BnPVrdeHIS8RXasdLd5V3RRvGq + QMfe70cz17Xqt75olmtUxnqS78OWiW+jZAPnWOq1teJyWe8HzoZozyFgVRnj6Tofd+IK3D+8bK+F + cWpIdDXG+qujzpofdaBtc86e9a3c/+n95sPnH9aPe1/1wvbs0N5dpx6z/9VBxZYhdjSsTpmiw/fx + PhnOA9uXyemaaxzG1rZHanxQhfkh3lcO1M6ZfKzVJ+VwWK1U/9BUruoaqqi+r0i9knWx8yfs1Kw+ + ixU57qn9V52P3+dHnrCQB696ZLuOzOfNs8j+58c9caRAN6jWcaHzcoyHdx4+8PDpWHdr44OWVVT+ + 6crsV8L3axfF8vhqb2XE8eDcog44SJ/53hftWOro+w87UsV1DZdG8N4u6E3Rc6zM88Fc/8Pe9+Wm + me9RuvFEN54y5x1YLj81QvygZlyu7REb65jaPgetl6OrRtkbUEuVDnu+v36MEQcjbrSjlkunrYo7 + bHqXPSt4PDvWPq3outx+gWPu4L3Xn/t+PXGvZon9Nl1dg1XP4GBDdGjWll99xWmyd9d10xv3DMux + Ip+627Feaay/3p9eTkwoB9U9qtTJOrbnHOzoj1/lYZZrvoxH1/h+WctO58QDo6v3VPxUaScG2fHv + J+7t/XFoariqogCN55l0yrJLnQk0HAAhC86AeX48XFadsMCLPWmm8rANbpbr9SZ+cClpT5WtH/3I + +tPri10PEMzOQ9dcf2yrrp0EH1Ls2yR/a1y3P7zIwy/5Pi57ZPFZY476JkaJubE4rJ+CJYAtvb1w + XvXPg+wAPTkcfK2MeXh4zHx7vqDLDGQxImUxAoY9HZ8LXIRrlSeAyhW5W78muGhlbWPQhkX4WuZ2 + vrE3Qrha6rUDqD07sr/e5PzIxVfj2NzdoP+nR5j3Nm8NGDCUF1SfdpN51k1c44AJdI3XrR+yLuNU + Ja6t2/EYTikZXU1nPKo59YFu1shtpB88tlXZ4jXsaE7fPZB2KXtvzXJ9Xfa3guw5MKVU950PSeNA + U5kie1ZwWh2o5crUzd06Hx6CEGk+Ir1+dEdte8J2oR8r5N4044sao4VsLkXFOQrUJt9dcZtxEReo + gkwi1kBpnu6aazRpdWK90gN3lOZAiSSDkIn9DKNVxgGD+ipL695MMjvOHY7uzsOwP/HPyxT2aXa1 + gT+qup/Q2SPpfj3rFpg70ZdeLW1ypx4szdeLqtYTPscrLdQcraZ9UbJSjhVZ/KwUJ0fOHtQw3yHz + Z3MnCSoaaAsHSzVzGLWHzTmB6u5TTTC0XKpaFCrQJEtGvm4H9/ykgL3FmR+0nq+BRp9XoQJNVDik + gOLQ3VSESZW1QKT5xXPvcauTkXUr+zVmZbmGrY77fv04WKDBG9Fyu9FiUJzcKx7V49rCb/hsX8u4 + /6EcXTT7cz98gcP1WOHqs8Nbjx80X3O6jP06640X7JX7CFee+Hrv7feXXvvFP9R2yakmXf46sPZH + 3XFdDQ+a9PBlH9pc+5fxYC/Ha36/aY699pbrZLWxP3GD7P3Yv4xHl/X20OOXPXHxoTziBHSyia67 + 95EG3dF3q7F2fVEnz09uLm//ixt07JEfs/+oU18/1EbxoKnY3OBOFbF3z2E/3tAX7XMurXpqMNyg + sIedfo3uHdSVs0viqWY5tCcntOFRzOUievTlQ4f8yafeVOT1jXvij1NqcYNqHX+kpz++/oGnlbmb + taORf3OPP6JBQJ/vrp3y9ODah9eA131/wvISWBexX/p1j+bxNUsjrUHbw4deV9GjKp84eb1hEjxt + n08Ve9jQ3flfDu86LO7E264+OtKNw0nk+PZThu9kQ522TNeMreua+uSQPWFGrpP9RrvxkhMf8+TX + +3V6hJo/RPZa4Hpg6Fpt3L/mhstODMNrn7a8+vUF3mTVHvL6J3Rz/87VeGqREasuX23el7/3f32Y + xd1X40fvrOufuP/xsYlYVfZm+PXkA0+Ppket1Pd1xdsqP0bQ7X8hIefwohPicHhtCQ5ijvIWy0Cq + NHfckwcA3rX8GlsAgNeBUDdUFyD9VIk32ZLjirCfvFx3BtI/OPxUujPl+iYe/Lr/kNSvf5uVvxEj + CYACMxhKKdWyXtxsO5cG+z5M5v6V6/YXMDNXmAgX3LKh+jT32rJ47T8jyjtA3U9W9CHln7zQ3StJ + c6+lDqqBn2tEsYpV907nfPIpvmqepifBHLCsQVqfdyhwQe/a9XNEyXHdrpnZo1gDzKoVmKaGBueg + 8YLCzecc3jc10bEcNpoT5iYiMZQdmhr5k3X3AoG5G9kOWSNvXGRQwfzyR4Usy3JfPsX+aoY9gvAk + Irvc4x3QEwMAACAASURBVHsf+AINzgVdd//yEO/OtuuZ7xCg9N5l+2WydT73nnj8x+nvcUpV26dc + fbeqSfAmNd5Oh7mbmbnTRZI0ZwgxVzdPAMwNVgkPWp6kKP19Txg+rko/qOoSMLAWNzCISVPqbssE + zMwhJq4N3r92z3v03nhIl63a44YP1p899HFnOctZznKWs5zlLGc5y1nOcpYfaTlDt48gpBx7z3W3 + J6Ekyd7Y9GjVxReAhoC5GQ6x3wMsY++Ywle/31itm+BYv+nLR/hkFr8GF1hDA3N4TQ9LOcQ90Bke + sPKn6262JwIbfyipjkpkgOHwxgorQEUaLh67MF+/0spZ8poa/GCV2r+ruR6690jWoNaQCkI1AbS1 + 5/TpBz0ibvsotXYi0ge5pJQv942Aw8xEZjIrzp83NHYPIFx+Lqx1WLsydjytXbm4e+rsYBhPPPX+ + h952HT4c0lChhDrcKhdeGVmoiL5/2R+h9DzAUc3UamMPAnfKCTpCEwzBdQugu65Pja6ki8A8INwZ + lVs1pwOBRO4Xvw8nPkKlu2frD3TE6Us4cPPMREQ6W3A4djqN2cPTOstR75KjUm+Gm29Gb9sp2V4s + WIduzWstqjqTZ1GYmVL39XUgqpnkQkfCBDUo6laxCGYoFUOQJq3buqc56F1yIx66D5EvDG2B+XIc + cxBgrdJFPbLcBLh3g8nDmuz9fXzec4xFn+UsZznLWc5ylrOc5SxnOctZfkzkDN0+gqxhBBxxFroX + BynaHMLQOBa8bZeVsiaFfRhuuna3XLzAjvbyJ2GQIwey0wUeP+rYA/IIuzhEno8f+yjuXb4ATQfR + yG+TsIGFzfERkZKyGryABgGlkUEEtL7fZEurvr018zmx3dLYDljAf37onclDJoqlhke/ff8S8I/A + S92Ke5ZstRPNR/C/G5e0ux1TPQG5SVNXX/5eKtdGzcrrtrFfGrpOrxral1fef8vDYr36bmujClIB + gqic8WC3OWvFDy8Mv9ugX6CoR4qEDhJ768eOMUZVVxHv/b361Ssjcti3PHrRvWitk6+0mKOHvcYN + Hx+M8LpkafHu4NzKP/Q5XbNpnnLLv85V/0S5q7ZZWcn5wvVBwRwH4DZVEVKEbGERbu5A9VqsSlIN + OnsCAoEsJB0kZo3xlgt4sQDNaK9oVf2EGi52mQDEqxEO8Z5S3QSYzKZJcl4nxH47ZLFYh82/V78D + b+iznOUsZznLWc5ylrOc5SxnOcuPrZyh25tlj0Vw+WyJ/gbcqzm1+2q1/xyAO8E5MeKCBOwBEnMB + 7eca5TnEbfbv4vEFR3/eCBpwLuUkz+x1D+HRn2nvmtOPOQ6Ov/n6H1wCGjVDC/mPQOtglGVzkjsq + eN2jBwjpDwO8BILi3vt9VYLB65x2wI4baIXKHCjED99gkV3PygQIJJvDfQ7r9tW/zvu5wmdX9ZP2 + BX1dvfYlZ/fqtSxUz3tAH9cx+u0RPKE0Diu17sxGlxz9qNpy+7m7vJ0gmQfxucI0wD4CTIC49z9l + Vo5IYRrv6wvj4irZSEMju4fxsdft6tXbZde+yg/3mgvy2J4FrN2hl58xfnTv1iUj2U21OAkp7uO2 + a1dbLip+eOPavzSgWpjZVCZNKQWjhxvc6E53M0zmQs3K1Me5CAFrf4m2lLJsbM4reqdmpw9jLPZM + fkeA0f2cBVYh5lw6vYJWa50maJLVacIj99mphujly955U3x6XVsvl83VPu3cf5aznOUsZznLWc5y + lrOc5Sxn+ZGVM3T7fcgCdQYy4Q5AVRKlAtXNzYbwvhUCASc1CGYFAt7sEMWDX65xvjwC8dZ79T3g + 5Bqsj6vt/AHB88kbD2HFFewTn7QLZmxuBnY6BHdAvbC83zpT69uAKbT+qebSQZicIxNYlDdHY696 + YV2B4zq8PYjgCvVBA2yFDu4M02SbUZbReMLrdh/4+uEqEtmKN2OCZwBUzKAnhQoByvFb75e99tR2 + gPsZQ2v/VRbcq11p4dS5Il7oqLB3SKy/7KLlrZwClDRmSC5I0kP3gYDjpHqhU+QRuUVvFu9UAQVW + gRyZQ4PaIvJVzcQBBDpJhDsKiJaLc0kU1qmDl3Gzd9oxf3Lg5cl97oC3BXlbadJyYrHvV9vHb2cR + 8KWTjgbpIbi+9/HBn4e4rc8/FmLfazFfQqXR7Zq79uum3dZrGcZBhWPKAilENZRtHQEfg+3azM2g + ItINjvX3cUYSiaXNu4O4H9cYOMhXTmgeIQS3mJ3r4Sk1jNh9PrT5PuUA/94z+9zDu08i5Ws5TMX4 + dh5xnOUsZznLWc5ylrOc5SxnOctZ/kblDN3eKCscb4YeGsbgBitwL5qKKgih9CyY3jgTyFWip2s9 + qU7uoq/bie97t3YYhac+OXS8Oipn766jmP2lCr6PJF6DIS64W+2Y1/xk2btsfny7Pmhw45q3BXSD + AFAhgOrYbpEMGlAaqqN2QKp7PM4UGDLDWKs39HV1vx9ZkKgI2QZgFeKAhv5sy+Q+jhBokhUY31Hl + 3gn7ffy2wC5OGMFiZbvzIWcQqJBAeARonsLYY1Bd4XAOeiTjaz3Y7pm5X6/Rq8W5c9XIJ5TdT1KO + Bt5NKbU8ILMMBpQJyUFF93z/wTrrVBWsBB9xe0vPoETDhcq6+SBznulwUK1opLcKZLh23LYf2HAN + 1GJOBbdy6lxqznbQ0N/owB91b4iuQfT1IdGJlujIX7+PCdQTfdAVmB0id4DtdR+VwZXX/L56/voC + zmPmoO7Rio2FWZg0SWQec0tCUkBDLbWgprEqEiFJaXBGGxoIC39pCjzouY0NhMc6Xbisq9b6i/0z + X6Gn/TLpneo14NrdtriopMGPX/IHkz1bjRNt+fDbZwT+DNqe5SxnOctZznKWs5zlLGc5y4+TnKHb + 6yW2u+KHW922Ba6oW5/KK/d3bxQ+fvvJJy6GyyRAxOpXgKTq6b3yyS30AgX48Rf7N/ePuWzJT1+L + DjKsIbiloP6n8AR0O1/v+/cdQbd7KMsMxdoeynCAYex5XAbalU55/n7fwhnAlvDOw7SbRhimq+2d + N+9guxuevbwtOSM1fNRnF7w97HtPTqO3hy5xJ74J2AgzHauZS0BruwcP7r72+laG2yqP3droKXKA + vRIjEdKxglxbpVMVm68yoE7b7SuvbV9+9cEL73zvrctRiCTQKNetNU/3BT0IynbQoCsi28Yg3BPV + Nd5Ux+LZvPrJhhyfYguIceezes9wJABU1K3fn156c/vyJC+8870ilApxiBjDY3jBMG9sg1Oy5yLq + 5nUiKggYtvfuvnXf7hepvNCRF7cGZldnGgY9fDsDJsARbbl6dgC11v50P/SxPYRaOywe3rv7fp9Y + 1Pf0zde8IgFpjs8WgHdQdhydCS0Plo5rGkAol07fq/fNsjoIOXWTe7dRMo9G3xuQ7u5uFVRScs4Q + gRtqZTB92HT12svfu48yPDU8+fRTl7jIUWMG8QUhBlofjPMokHbItLKQy/lCE8Psq4uWJqxf0M0c + 4ailuPtut33xO6+Ol889+ey7U555SH4gOdGdR4b3ke5ayxm9PctZznKWs5zlLGc5y1nOcpYfJ9Ff + //Vf/y9dhx9l8dnrygF3J9lCdssDXN27d//+v/7t3/mX/+bfbad66/LiuaeeEMCtejWKQASkeQNH + 9x+8ggcaeLPgIMew0/z1Cl3igt5ifbfMYMIK/juBSM6ILI8ryOOr1rVbeVPuu6d10LbMIfMAETDp + 8cVEv7j0Z749XrcOWHEhVZhSRtm+8pdf+Y3f/L8+/rkvfuuVO+9+7391cdHi26WxB/iM+jpkRsEC + wlslTdpriAO5BqCfO87FhaAQPm1f/PZffulLf/wnX/zz1+5Onp966vZmRUBgLVI9Iu472IYFGD0o + 8CEI2qmKFZ/uf+UrX/6d3/3D//P//rePPf7Uk7efevzxURQkwQI6GU2hs9PlUoDAwZbAq32hBlQG + T6gBu+jNhSq3aeoETEAGcu3qS9jKlxGRwC2K9q4TDeO0+/bWdz//xS/869/+j7/7nz77E8+/+6nH + bt/eiAoodEYI/OpRN7TBkRydlxT6Dqwo5c1XX/v0pz/zW//23/8fv/Fbv/cHn/yzr/xZYdncGp59 + 8hldsWzQA9LbAlcEgQxPvfsMgK8C8QP0m7FrJ7pHJ2evb6K27t6nWpgbDke2Yu/ND2xHf1EJnmUv + gBq1zM6jswau4GSA4dSKfszSH9mq8jbgtq01QllkTbmy9150aX7V0syw1bLbqgA24f5b/+k//t7/ + 8zt/+IWvfKPI7WeefGYzIAEV5mKOCaAwdwNu8AqpQCUKocDQPKCPz80Ybx19ENh3SzzZUOaWu67U + snv1lZf/7Itf+Be/8S+/+eIrl7eeefyJZ8bh7SGCWR/aHMG362ORE628Mue+piE5y1nOcpaznOUs + ZznLWc5ylrP86MvZ6/ZGIeDu5qBwlYCMMNBhuztvvf6lL33pE5/642eef/cH3/tT1X5S6SSh4RFn + ViO3TtxFuEeyrLZxrgUQpATAHdWcIuAS0Q+gGuiWVODmoHeotiE6sweVOemLn6J5taoarLuspTgk + Ja1mAETEV5v+uM0MmBMsNUdHczeKABUOUML1be2eRuyBHdUqWFUYEEytRUUlCB8Bc9QCVTQy0sXn + kDM65+7WK0nS3QMxB+DuImJmVqv2HEUzN4Xv/yJCc4BQTUh67823Pv3xj79cL99zT//hP/knt21M + Am+R7GuyXTGHzfhG5DeLrPTu7l7NVdWBWk1UDxB5d4eb1aoqIFErhAsqThchHFPZvfy9lz776U98 + 8gt//dF/WPNT73vvu2+nFQDTe7Vjyt6YVQnCK2qFKEhvCkMAtqRCWykvWsvLrDXhQ+vVyu7Om699 + 7Wtf/c8f//hHfv4ffPADH3jh+ScwF+rVQafueeg5UCoEEKl0m3Fb90j+1vwSm9Oug7QOFjng1TRc + h4HqKEQi6uQJRVKGeSMddTP6opze4SargJXd/b/+q7/4kz/5k6++svvHv/Jr23e+W26l3vjRQDNU + 7qv2mbWDs8K0z/rgRBtGqLWqiIpDgN29F7/9ym//+9//9Kf/v89/+esvvnJXLp5618s/8fizjz39 + /JPve+d7ZtQ/hrWoEVZRvHjiBrv64MH9r7/019/83rde+u73dtua0+bpZ556z/t/6oV3veOJx55y + aODNvsLcVtXx5tbpBufd19/43ndeeuONN6+mnZEQqfDiTlWSZn55efHc08+85yffrWF0ThzWOODT + 1b1XX/zWWy9/944Nz77nQxfPvOPxsTm9EzCzvVu9Avb6y6/8xTdevLP1J5574Wc/+K4ByOGsSlnO + iA5OefpD5kdZrW6mKc1d1KQWhEUVAdTYEvdVb3Z0pbqtpyPFmFA0nFrd4PWbf/UXn/r4Z+qtdz/1 + nr/Hj36wuSYLwuvW4NtSlHkjYARGiIH13v3Xvv3im5/+zJ9P5fL973nfz3zw/e94+jbT/Er0xv9i + CheYmwsIbynqnKgOBLpN3r9379vf/uZnPvWpD9zxD334v/3J93zAnHAoLPhzutItv+z1kHutVVXj + c6vugEo7zVjOy8z7SPKlcRCJMVkd1aC6WINuW7tBEwUPleMsZznLWc5ylrOc5SxnOctZzvKjKWfo + 9mHibmZUEazzbIVr5nT/zuvf+973vvXid199/c79B7tazTV8whTuZm5mSgL0DjO6mUoLdfZSHBQR + UNy9VBMKA64VRGryWiq8JkmwahCINvJLX2/Izd0k3MYEcDOvtUwqQyRKKtMEkZSklgJQhgW6XWG/ + gEG0xy4r3dzdejJ5b7HMTrjPlKLAnv9WKU6KDIlwq7a92m42SukQqWNXpkEymzOrkwLkcM90a1yX + pZTAbWckVyQyDRlJM5tKoTTUxnv+J+/QkZuRVGGpKBVKh6hPu2/81V++VB/LL3zoajfVOkYgegvN + 7zCMU8xQDUk7MhLUAU64W7VajSJuvtvthnGkytwG7ghwt5RCqAhrLQqBKObWEsBQ6u7NN1/90y/+ + ye///ucef+ffef9H79cOzmIG5Zurd+35rzoqama1CAmIWY1miqINkJUTdvSZeaA8DQpuKKtXrzsv + u7fefOOb3/rWK6++du/BAyfc0EA0qwa6ptnlOGgw6m6rg8CH6igSymJ0eLgvhkGJniYAt364YA6v + VQF4AlDhRUmgloleRBKsdYjBrWPX7p01Fwiszev2lZdf+s6L33npddy9N9WynBv4ghZGb7pZ1Q76 + d1x3ITL21mXWXFiJ0LFpKshJxSH24O7rX/nyl//5P/8XX/vadyfRp55/fnxCKeX+/bvbBzvr7+oO + t6IsAV+7ybQ18+2d1976y298/ZOf+8RnP/+Zz3/hT+/e3V5ePPH+D7z/H/2jf/Df/NLHfvr9H3r8 + seeG3Hysgb0xtcLuLYDC77z44qc+/omvfvWrb9y5YwRUi/uu1jQMpNRan3nmmY9+5O+98BMvZFFV + UZHV2GyvC/iDu3e/+qUv/tnnPvPiPXzsl/+n937k9k+/MCogbgDN3Z3tUMINVlGnb3zty//uP/zB + y/fsgx/7++9937tUkFEhzeHYfBl9c3GttT2YZAOItFKLiFAkxmlcWmsRVBEA4lTzZILq2BUMGcE5 + 03M+hl7RzCmEiAwDrMAcSe689vLX//Jr061y5942jx0LJzphAndTVcnjAFTQHWpgff2Vlz77mc// + r//b/37v6uJX/+n/8Nj/8j8/88QTSWNQVUDcWUkzE3GHea0NsJ5pdC0Ybinkbnf1xmuvvvjtbz75 + jvc9uNpWM3OFAbB2FmVtSB1iuNHTZqUUkmHczKu7q2R3c6c7QYjDqlHAIIXxhtq6wymgVsNUCphE + F8PRHefdzboX9VnOcpaznOUsZznLWc5ylrOc5cdAztDtQ8S7T17sgRccixWYiGpuxVkhlJSSuFWE + qxeppJDTVI2gJFUIRURbOnc6c2K1ur3SYRTJOWtxmEMU1eAGFaSkAsIq3IRsTl4Obd6EqLUIXSm1 + 1kiVBiuED0PQQcKq5SGDMLdSKynR6w4naD3hVPfc6gS6DlIpaLmhvMKFSEKZilE8Ka3C3ciq2kL5 + c9qQpMMMVirc4Ykk1XfT1t03FwrudqU+uH91cXExjhtArHqt1dxSoqrmnOf2D7wjflfV8MDNOUt3 + gzV3AiTDIbeWIqrhViyKCdhd7YZ65dMukRebcdxsipkZJEEhQgAFgJlXCyZMalp5Erb8YQ53EQ6a + QRY3M4PDDKVMKSUCtRRV0aSq9FotHAzhXq24QZWEObyglG1KHAdNSXdT2VUGmE9vKZM6gWZDzGd0 + 3MzUTVIKN1rV8L21Ug0ipCwAWkcxpfnkekd1HVaBmsQEJRD7YlZrQzebVhFCRk41ay7moO10SIEk + k2pAcbddGQlP/mCHkjlIePY5aC4eSaCm4iTGrDCHJ0gCvJIJGDc5FUMp0AwAbggID77dTm75YuiD + TgEYUYIt1j0DKXDp+Z1nH9jw1FVNIGstu+1uGEdJ3dyF2pBmdrXdDsOYkpp5KcUdwzCKAKjA7rsv + ff0rX/7y66/fe/9Pf/QX//tf/OVf/eXHn3uckm4/9fRTTz8bmHqZUKZysamqW8Cn7RbQzbD50p9+ + 7Q9+/+O/+a9+8/W7r75x99U379wHsuZ7r7/x2pe//IXf/d13/8qv/Oov//Kv/ezPfMAMKlB6w++4 + ELw2r1s6HN/5zosf//h//qPPfvbl1153YSUqaKSk5I5a6+Vjj929c/fX/tk/C6qWa+A5t7q7/9br + X/r85z7x59/9xr30j8fn3/WOnxHHwAmSRKS59lsBAKvf/dY3/ugT/++/+a1/dfH8Bzbv+pl7O1yO + Myet1FIfbLfjOKakWHuOm9Vaa61JVVUBppREpFajOQSlFKvVgc2QRAAvKFsTkZzCE1kTEA7a290o + lkQgMxw7EzXMTtZVvSRSxwsw7QomBc0rr9JQiUpwvBhDNxMVBkwFQ3nz9Ve+8pUvvf7mG/cm+/ZL + 391NJbVSggQYjTpbkmMCPCdFNVgB827C1jFetEs15912+9abryelJjW4UygQou5qBA1Us0BvRSRO + pGqtbkaRMG7DMJB0N3eXloHNeyK25oxsZgpSPNyN4YAoSVIs2k1SMaAiCWxyJYbcnJC5sHSc5Sxn + OctZznKWs5zlLGc5y1l+DOQM3T5EAihYQwUAwEhENgmKUMC8nbxaA74cHv6hAmULx2bQIJibVEuK + gDYDsFEnIgWPS7izzuHiBESgDT92kbZ7d19YKT1wgxa83oqnGFTggAvpFILu7impOYtVSsOi3eHW + HEPpLcR/tbV3wFGnapO0MFsVqjtqDQgP4adJqEOEdEeZAkHTnDZwqcXMd0BVpao7XMRTFpJWw/eO + ZBLW2cfWOyyL7rU3A7jcz80WANxut8s5B4DbMCMIU/i8KipQipfJ1aFCTez+aKUWYpdSaqyqwYVJ + 1AogEO3m6BdFWg/gzzkHi8bsIExhraUWV4EEZiqRnN4FrO7FqjJBAPq0uyrTA2+pooYV4hrR2YHi + zuma1uq4hEiH851HPY6gusUn2tFhWTT1YAUMVuC1RVj7KqOYBzMHARYzMSopAqFAHV7gAooB1Wcu + VoquOHENoJdaalYVUMOh0NWAohD31MieSUK0EQq7VysdK5cgl2ja6IBXoAiLoMLpSO55ndrOm3+4 + o9YYdxHILqSqCunVplJSSpTgKmVzbyTM3cwZatYGoAF2784br7z88t37V+/9wAsf/shHf/4X/usn + nr40sFojTQ2/S1KEwe/sApSd3dne++QnP/0ffu/3P/1Hf/SLf//nf+GXPvr47adEL3aFd+68+alP + /uFnPvXJe3evbt9+1zPPvv/JJ0V17p/lH+df3QFeXT14/dVXrx5cvfOF5//OR/5uGscKbkthyppU + NBH88Ic/rJoowjWm3Z8TvTVmfcczT7LsvvXNbzz43B+/95f+x139mQsBvIGNFli/GWio07e+8fW/ + +PMv/fXX/+rnfurnbj35rA5or24WiGFSJekGtyoq/ZCAJIXi7lZNVEERYeNsoYhquNOamXiB7wAX + ogI7g3eikXmYr/gBmlle/KzdgJq8wuo0TU5NOXKeBfmyO2rQDRthjsjPFor17NO3P/rRj3z3Tb1b + n/zIh372mWffAQK1Mb6gVM8D2uhppDlWixRgzCJgHLbN9XNzq26VAEVKrdWSciFGWJDxHlIAINyQ + Q/1EpMcSwMzcoaoEDSg9T5xKojjMyvZBEiAPcwhGNXgnJzZHtcZVDIdNRVCRMg4sxVnOcpaznOUs + ZznLWc5ylrOc5UdYztDtDeIz2hEOqlxDKqxAIaqoUIepolQP+Mu8FqsB6BFUIVWh2BWgmpgJSa+2 + 2+mYoRmDwlBrLWaSM4mpQrXl9gr/t+5Q2FE87/8LuDQidyl0Da8segUULkDfuMMjM3s17MqkQ8sU + H163QGN3rcWckiI5lIcXpJlNtV6BgyADSKrFUCtSjvjdlvFr5mecyhQB26NKNS+llDIN4xBRwARy + YkqjG2otZaopDzmJQ82q1bqKsA4XZpEVbhvxxR2XpJCllKurq+aNS5ZSai3FRXiBhDQkFBU3m6aJ + 01RNhyH4Nr2ilAm+VRUwBUEoCHOUArKqdq5bZcMWS6GoiOowRN/k1Ahhc0r37+/qtMtJNsMAFZgF + CJkQtZp0SJohygdXd68e3C11kpSYhgWR6iQODmej2dQ5exsprVOcMJumSVOWNGjSmeu2I7tNZpAm + cC6S5qEeFTa5G4XVuQC37oAFWh0OuVqBlCQBSPAJVq2qCSogEZUNBzGmWV0RicxKqSZIgkypmKZS + FBmmZpXJtRGQOCAIxlKYWWWwZwA5BYrVwbs6gUW8EJUAXL0RtO69obuZWSItnBNTImUYB4BlKtur + K7m8TKok3ECRzWas5mYOQFMiUAKQFoCcrnZ3791/sNulWxdPPvcO6kCkBJhN9OrMUFCRKf8/e28a + Y2d2n/k9Z3mXu9/a930jq8gq7k022exudbdaErstWRpbSmzJziQYG/B8CIJMEidABshk8iGYyQAz + gGfijO0gkSW3LFmylm6ydzaX5k4Wa2UVq1j7fuvu977LWfLhrWKz5SXAfLKA+wNB8rIKd3nvuYeo + 5zz/5yGUBaG0jDHPLawubX18+eqdBxOGHf3KhTd//etf6uruBexswV1dWfpX/6r8s7/+wZVPrgwc + eK6n/+TIcCfZn+/H5z7n+7fInpeZEFJXV/vCiy/+49//vVgiKYFcqcQMw7JD4XC4VHYYoYzvJbJ8 + bi975j5DIbu3u6upoQZKPpmfX9/YcDzEbChwrfReLLIU0BJaSc9dePJkaXnFE7Krq7u7pzdkYM+U + DACaMRZiltJaSSGEMMDBGbQmhDDCGGVSCCUl3Q9lJoQSSgkNcmW40lp4ZSI9Bg+mSSmk1J5PCINp + BJZjMMYYVXh6jkKevT5PX6GmWkJJ13FAqGWBKHAEMwZCQkqtpN6PYyYANUAZNBra2r7aOtgx+EJW + VLVU1zYnTFBAiGCHh1SaG8Epyn45o9bSl0IzM2wYRBL4Mjj6YlpqSonBqZI+oBnnvpBCcpN/Nj2w + F84LKCmV1oEVlxAopZ+GxGD/gEoqqZWijBJAayIEKANnYAYhmkAIp1y2Tc5NM8gWCbRaRTllwcQF + pA+TI0gb9z2PQXFuPPWmV6hQoUKFChUqVKhQoUKFf/hUpNu/k323m9ZPDbf7w9h7wZ8QBFJKKSQs + Owawclko6lHOuMFIIDAoxRhDMJoOMMYNgxEtCcAsIEgWoBQalDKDcU2hntFZSCAWaAXhQUtwAsYp + 2XvfhIBW0jRASDDOzyEBqSilYBJaKd8PMksJ04RrSg0ppdbUMIz9sfa9xjClQAkIBWNUq/0nJZVU + ghCfMm3a+0qyooGYyYN4Uq0JeSr8aUIIZcTa+2YtpKSUGgbnnCmlgnYlxjgA3/cZY5yzIAbBF74Q + lSSE7QAAIABJREFUgnPOGPOFCMxoe8EIgNqPxSSECCF83zcMgzHGGAtcuuFwmHOulPI9z+TcME1J + eFHAFWBQFrRl8Fg0vO6IfKkk9xuPOAUzzaD7TUjlC2UYeyX2hgEiIX2PBaKtUkGGsWFQDaKU9lzX + MM0gElQICa05Y5ZlEZNTIFB/3HLZMg1YdlApFoRCBL462zYsk1EKpeErIp9R1vS+VL/3V62erkka + FKYF30upZVkgFEGLkdJKg/F97Qef5T2QwPSn96zjQUgElFDC00oRxgnnCOJH9Z7l9qnX2OCMEgih + TEJBJLQEAaVUaCgCSsEME57re67iBqEU0Mr3qSbgpkmZCNzNkAQ+IQSEg1HKDM60D08oCUEszSjj + QeiwYRiSwIcUvjRpiCr4PpgBQgEpGBMEgmpJELhuOT4LTNCB6k0JY3xPeqWUuI6jAW4YnBucs3A4 + HCw/AGXH0VqHIqHA4h0YX3Ug+O61zCnPcUvlsiDaiIQi8QQIC6R0m3OmlSYQCgrgHHsmaaVAkN5c + v/rJtZnHc8n65t+68Dunz5ytr6tjIK7vc8bbWtte+8IrXjH9/odXl5eWx8enBgY6I9a+i3TfH/vZ + Wti3mEslfN9VUpiWWZ2sMsNhRYlh26CMcm4YnFJOQT5LuH26ieDZ2xoGj9XVHOjvHeh/cnUus7S8 + 8nh+LdbXHDaZUooAROs9w7PnZbOZicmJldW1WCxxYHCos7tbA76EJSU4e7pXaSUJtGUYhBIlpes4 + BjcY4zpI6mBMCIHgGIYxDXi+9HyPcW6apmlaNOgNIwrC9yS4FSIUEvB82IDFmXAdAjCDP31J+92C + n5nJmZaMwLZtbhhKo1wGMzzLVBqKQnPCgk/Z3nYuA33WgeEQHmvt6IjraCjYDzXAKIgEAJNTSn2g + VCraVEaYtgyDmWYQKa7BlIYvwABwQoJGRikYBeOUcW6GLG4AgJSSUsr2r0MQ+BuIuFIK7KXBBLkl + ijFOKQG0wZneyzzf25QUoIJjBQ0QEo1GQRW00r4ApYQzxrmQcMrKDFEAhEEH53MKhmnQp5etQoUK + FSpUqFChQoUKFSr8ilCRbv9/+MytFlhT90thAAUIAqWVljrwbHIp1cbmxtrm2sbOpuM4tcma9ua2 + 5uY2MxqXPIhRBSHEcwXTgjPiFQrpTHZ7J7W1s5stOo4ioWiyqqamsam5pqY2HqZaQSq/mN1deDxt + EB2qqq9p7mThMP/sR28SpEq6ZXdrY7eY9whxu7tb7AhVQgIWAfF9f21lZWNrdWs75XmKG3Y0EWto + rq9pqE7EawxiEUBKEAZKQeheXxk0CMG+f0/5XjmdzmUzwnPNlpYuZpiFYnFiciKfy5qmcWCgv6Gh + LhINSykADaILhfzOTmp1dXVnJ+W5ImSHk1XV9fUNba2ttm1SCiV14D9TWhBoKWU2m83nC5lMZm1t + rVwuU0qrqqoa6uvrGxqSyaTBuX5mplhKGbwVruvm8/nd3d21tbVMJuO5TntLS0tTY1VDizIj4FQr + AkJNRi3OGQ0SOkmhiN2d3eX5qXRmXapiQ1NTXV17VU1bLB4hClLCMsAoJWp/TFvDKRV3M9nNre2N + re18oSCErK2ra2hobG5uCoXClmnsGehAoOXTke58LpfOrS6urW2ldj1ftrS2NjQ2xXhRa0GJ0lop + QIGpZ9YYQPak26CmbP/kYC8nQkjfKad20zup3e1UKpsv+kJaoUhDY1NjU3N9QwM36F5kqoLWe9I8 + 9vvKCMieHw9KS0+rIPaCIbgyGuwZDVlCraxtbS6tbC4tMylDHMmI2dJYH27ppLWNiu29yFyxuJVO + 7eZyZiRcG49XhcMR0wRlDHSvUg/C94qFXWd7JWMhWt1UZSU4iShOOKPMKfmFXGpldXlnZzNTyGmT + hRLRxvrWzqbe2nh0b2qegHECogkEgYQGELhuP3Ng6s/yHjQJjhcIpYwGPXZaa8/zcrncyura1tb2 + bjotfBGNx7q6umvrahOJZCgcCsIwKKWlUimdWd1dfTQ1PrW+sSGh13a374+POcKJx8KxEO/varMs + 6imlqaH3rnBQuKcgvN2t9dH7d1O7mcbe41/44le6upuiYRsAJcTkBreNw4cOrS/PXrl6a2lpeWJy + +sKFL+vYU9n2b3PdQgeypxFonYbBOSMEjLJQyFCEKqVdVxgG588WUJFn7+iZu6QEltnT3XV4aPDG + k0+XF+YfPnzY31Yft4NNCnTP+a2UW0qndubnF9K5Yl1jU3t7e33tZ2m2+7kqwY6otNKagmhKAUap + 57qFwu7mxuZ2aieTzTqOE43GaurqWtvaklVVdjhEKA9iN1iwID03u7627bAyj1e1dIMZ6WxpamrK + z+3URowDXa2N9XX70u1nF+UZFA3iELTyhXBcKNdbfjyxtjFXLKXCUZKsGWrtPG1FmAFwgeCBQSh8 + L1vKLu3kM7q+NhS2Y1bYBCggBSDALMd1tnLllZXFzMaKKGaiplkdTcTMsA+zRMIujxoWb2uON9eF + iJKEwOAUWmmllNZS6e0dJ7X2ZGl+xvf9WCzW0tJSX1eXrKoKEr2llEAwOUGU0hqKELK5tbG+vr68 + tOT7Huc8nohXV9dU1TRX1TUxAwTwfBhUMUZBeW5zdX1zi5tWdU1trLpufXt7bnF1cXk1WV3b1tHV + 190c1A8KIU22Zxr+fPpPhQoVKlSoUKFChQoVKlT4B01Fuv37+BvqwLP/LIGgKAhKE08oT8hCsTAx + Mf7hJx9dvXE9k0kP9Q++cv4L58692NTZayUihO+N/LqOp/yyAbmxtvJoZvbeg9HxyanFta1cyatv + aRscPPTCiy8dOnTIam4wKSC8rY319y5d5Fo2dvU/91I0abQxkwAgdC8Q1BdeOp399NNPN1ZTlo1k + 8vVGK+E52jRNzxO76d3bt25dv3n11u07QpBQOFbXWH/63HNHjg/39x2qijdaHFIh+LmeUNCnPj9K + CeEgSgknn88+ejQ1PbWWy+kvf+lrpmU9np/77nf/fGlpKR5LfPvbv3X69MlINCKV7/tl1ysvLDwZ + H5+4eePW/fujmXShuqrh8KGjp049Z78Yq62zQiEKcIBqLX2/rLVfKDgLi4uzM/OTk5P37t3LZrOc + 8abmpiNHRk6deu7w4cNVVVWBA5dzzjl3ymXP9+H7Ozs7T548GR8fv3b12uLSopbixLFjJ44dHT7+ + XENnf7gmwhWBpgwgWhmcM87LjrOcLT55cOe9t/9q+tGDsps+dfr0medfPXbC5EY3pfB9lzOLMwLO + oASUkkKurK5MTE7dvffg3oPRjc0tQmh3T8/wyMjZs2d7e3rq6mo/Ew6lJATQSkq5vLR0f2zsw0+u + zD5Z8Hxx5NixY8dPHOhM5vJZId1AKlSE/43lte+6JfsN8gAAJVQ5l9tYX52cmh6bmByfmFxYWimU + neqauufPnjtz9twIZVXV1ZbNg5UmlWaM7Cu5CgQskKs0AdHQUimptHr6iFojqKmHFI5f3i0Wbt2+ + c+vKlfuf3hTFYtSi7Y21p08dG3j+pc6jMSQjJiCBVDY7PTU9+vB+dVViYODA4cMjVjjCCQXANTSk + gl/Kpufn1z5472bIqn/+7JG2zuoaboXsmCPlVio9PTl59crHY6P355ef0JDV2t3x4guvnH+OhHpG + IpH9qsBgfh5yr6YMTIM9GzQBAEExlZRaSkYpMahhWYH9uFQubW1uzc0/+eSTT+7dfzAzO2tadnt7 + ++kzZ44dPz4wMNDQ0Mg5p5QxTjKZzNzY5O3Lbz8euzm/uOsTNbnwyP+5d2CytzqWbKmPNX79K9G6 + qJCUhOMAUUGWBbFAFJxcanP10fSk48nqhtaBoSNVUUVZCdAG50FEdXtLa29XFyd0ZXlldnbOcYTW + /Fkr5DM+//3ACGjOmW3b4VCIcy6E0JRSQhnnAHyliqVSLBrn9JmLse+y3YsIeHZL07qtvePIcDb+ + wZ31hdn7d++89vIL1VXc2FPuJYiE9v1Sbntrc2ltoyT5QFdvQ3190gIDKIGmxn4qgwqCOjSUFIJw + gxBCCdlNpR4/fnznzt37Dx7MPp4rlkotra2DQ4eeP/v84KFD7Z2dlmUFtmVGCdMSpcLovTuLGR/x + pgM0JMEfzT35qx/9eHtxpiFu/f7v/lZV4rQVDn1mTP7sKu0pyEQrKCV8r5DP7aSUKGx+9NH7Fy/9 + eGPzSWNjYnDkS19+s6al8wC1QRUiDLAtMBNFf3Nr86MrsylVN9LTG+vrqKmOgmpIH8oFsLGZnlhY + ffjwwYMbVzYXZ6OG2dXS1lhdJ2DnteVbVU2tjS+eHalL9irlU0Isy4CWvvDKTnkntbuzOn/32seX + 37+Yy+cbGupfeeWVEydO9Pf3J5NJxqjnubZtM8YArZTyfd/3vfGxsatXr3788Uf5fN6yrY6OjsHB + oaGRkyPHnq+qrQ4bcB2HmJQxBq1mZx5dv3GzvrHp4NChFmbev3//vY+vXvv0VmNz6xe/fKGj/Te4 + CQUoKUzGgL0BgorvtkKFChUqVKhQoUKFChV+VahIt38fet88+TkpZO9vCpA0qL4BKbv+Bx9++MFf + LU48+HR5fXk3l2GM3c3fXXq88OD+2Ktvfu2LX73geZBAiCMUDj+efvLxB5fu3b45/2RhN53RhHma + ln09OzO7tLRy5+69F86ff+X82ZfOngmZJtF6Y3V1euKBmbiXbGwfsONWfZIFMisAoFQuLi0t/fxn + v0htZrt7ms+dO1bfEDGt0Nrq+uWPb/3lj95a31jOFlKeL6OxKteTiytLa1sr49MPT544+/L5L/d1 + txoGdBBfS/dCb4McXCU9XxS4ISnR6xtr169fm5vdbm3pXVpe/slP/3plZbVYcCLh6Oj9yZbmpta2 + Bs7IzMzsOxd/9unNayvL61Loctn3BdnY2NpNXRsfm7n88c2v//o3zjx/IlkVYhQgyjDI1evXPvzg + yqfX7qRSOcdxnuYhLK8sP3z48MMPP7pw4cILL7wwPDwshKCUcs5Ny0qlUnNzcz/4wQ/u3buXSqXK + 5bKSijPy4fvv37p+NVb7w9/4nX9y9rULffURLqTvOKVcThpWJpe99N67C6P3pm9eK+yuZ/Mbrp9N + ZzKjD+cODN7/5re+fWjoYF1dlDFIX2ivzE2e3tmZmJr+3l/8xejYRL5Y8oUklJmWfffe/YdjY5cu + XXrjjTdefumlIyPDhBCtpOd5jGB7a/PqJ5989OEHt+7d397NFBxHar26tn7z9u3ORrunOZTa2bJs + SyotFPncgnvWSPo0OwEAsLCwePPKu299/3u7mYzr+ZQZZU94nr+0tLixtf3J1WsDBw6++Wu/dv78 + S5GICYKnGcHQUErvVVcFDWFa8cAhLJVQWuk9Vzm0hlbpjfVr96Z++IsPZhfW8zspQ0p47m6huLO2 + MDP1sOr6nWNffPP4K185dqATBI6Qu7upjy5djNnGzvPnOnv6wrX1CuAaVIJAMq43Vhc//PDyxfdv + d/WeOH3+ZMS2IgaUV3r34uX3P75949NPte8It+S6brlc2M6lZ6bnP3z78vPHzn/rW99saq8LxQ0E + +bxEEEgAWjOtn25fn0m4jFDKqFLy6UUTvpfNZn/xi7evf/rpxMTk9vaO63lWKFwoFCYmJmcfz/3k + pz8d6O9//UtfOnP6TFd3p1LIZLKzM48vXXwvs7q8LlD0jeLWyp1sdnZ6ri5SM9Tb+MLRwYZIZyRR + 7VHtg+xNoWsOCVkq5lKbm+urpt0WTtRJQINCKi18MEMTqjVsy0xEo9FIJFuWZcfzPKEkfxrb+8xA + u37mF3EdJ5fNlktFJaXJDWZYEqRcdgzbNgwWi8c5w+f4nOv2mb2LAIzHm5oG+kvN9dVz6dTc3Gwq + W6hpjiQIuAJTHiAg3cz2+syj6VzJTTa1Hzv1fHV1lRVEL1MAHERr4Wutgjoyyhk0g9Y729ujDx5e + uvTu7Vu3tlMpIaSQqlQulx13bWPzyrVr/QcOnDp9+sKFC00tLdygSmsGQMuF+dkrD+c3XX56t7i5 + k/35O+9lMhld3H0iS88fO9zR1hqvSuwv388256fSLYWiFJZlzszOfO97a3evvb3+5MFOarlcLm1u + bjyadz6+tvz6hd//3W+8Fq8GDAPKA5TnOru72fc//HBHNSQse6SrGZwAGpypVG5ycfmPf/DX7995 + mM9nQ8QPU1+WSrdX11XZE7AKNOrZyXhVIh7B4YPtCdtgnAXNj4V8YfrRzM8vXV6enVidn87vbgnf + X1panJ+fv379+rlz595440JXV5dt25TSIKC5WCyOjj74wV/+YHp6eje1a9mW4zi7u6n19bWpqamb + d8dOTM6/+vqbp452hSO2QTSU7xcLU5MT71261NLe/mRhMVJV+/N3P5qYebKzm5lbWK5rbPniK19o + rq+J2jAsC9BQPpQGrbhuK1SoUKFChQoVKlSoUOFXhop0+3ein/n9c7f3GsMUtCJBDmnZnZx6tIZM + yNusq65q6Ww1wxaAhUdzM2PTxYITrW8+cOy5RG2tGQrmjFmhUJifmyuXy/X19QcODkbjSWaFXU23 + UtmFxaXRh2NK6zAnR4YOGMmowVlNdbKQy26t7UxPT9e09dTXJWUQgkggldxJ7Tx+PPvo0SOTRqqr + qi3TAFS5XLp+7drFdz64fu36oeEDBw/1VtfUW1bEFyRTyExMj99/8CCVKtRVd9QkW6uTgTFT7w1B + P22bIoRRQiiUFsVCfmN9fWJy5he/eLtQLOZzhSNHjkZCMdOw29s7wuGIUmptfen2nduX3n0ntbud + TNQMHz5q21GKkOeStdXUyvL6Rx9dTsRrIlH77LmT3NjzeO7u7mxsrBsm7+npqa6uDofDtmVprVdW + V6empsbHxwkh8Xj88OHDQWACgHK5/Pjx44sXL16+fDmfy/X09jY3N8djcQqdSe1sra/OLi2vra+l + MpnumggAqjWUKpWKG4tLpXcuRqXb1NjccnhA01KxvLO6vrGylrp85Upza3c0Gq6rG9JqT0F18rnx + 8fGf/PSn165dA+XHj59IVNVYoRBAd9PphYWF0dHRWCxuW1ZnR3syETMYZYylUztTU5MX33n74eho + rlg+evRIrKqaGaYr/M3t7fX1x/mtfH6zoLXFDINQQz6z1D7nuv288zubyWxvbWWz2eampobGpkR1 + jdLUFarsehOT0wvLy6vrG+0dnQcHh0yrxeAgT5Ngn063B3erNaAZJZQSrbXSWu+bO5VWzHOnpqY+ + +eTyxYsX61t7+vv6Bjo6LWh4RSeXejwzObe6uvH2OyLR0FiVaK+vsiKRSCiU391J59KhaCyVzUSU + jjHwvaevRKmwND977drV1a1sy4AZr64J25bIrs8+Wf3g/fc/uTmR2d09cfRQZ0ujYRsl6W/nMssL + a4sLiyJ3uaO9/Tl6vKO/jTMVnJfsu26ftkb90geXgNDAoA0ptdZbW1vjY2Pvv/fuw7FxX4j+gf7m + ltaq6ppy2UlnsxsbGxOTUxsbm0KqeDxRXVsbiUSisXhXV/eL584vTd9Xa+nN1bTR0jTcNdgYrW2M + 1Pa2xGviCU4J6L4YHjyyAqQSjlvO5dKpjNXWY0aT3A7iUzXRGvsfL4Nx2zTDlqVVqVRysrm861gh + /st+2c9Jt0QTAq1VPp9fWV4eGxujpulKVXTc+ubmZFVNJBqFaRC21y+4fy2CSBU8s5z2CUcb6mt7 + 21vWs/PrqyuzT5ajTQ3Rqr2Qamgf0t1ZX344+qDsitbezoPDRxPxGMeejVcRyqD3Q5Q1SJA6S9Pb + O+NjY3/9kx/fuHEznc4MDg41NjdHYwkhpev5O7u79x7cv3nrViqdaWhqOWmYrS0NQQGkFl4uk1pe + XJjeLOSl6YMLKYdHRmrD1PDyrS0tpmn80v68J2mTvbo7Ai19L5PZffhwdGeF+eVif3/Pc9WHCJyN + jflHT8TExHQ4eeNga339+ZGQAfgShlZSOm55ZW19S6l0LiekBADfhSgvLMz/+Cc/u3b9xm5RHDky + 3NlYVRsxmO+vzC3MTz4anZyv6jzY29ff1tne2trGGCdUKCmF72mtVldXbt66ubqVixs4duxY3DZc + z93Z2ZmYGL93757rur29vYlEIh6PB09fKTU5OfHBBx+88/Y7yapkV1fnocOHfd8rl8uOU15cXFpf + 33j33XcjiYamuqrWhiRhBBKUklKxsLy8lEqn5xcWzWiyWPQOHjxYU99Ucvy+/gFumEpDaXAGqGcC + LipUqFChQoUKFSpUqFChwq8IFen2P41ArZCAAoBsfv76jUgtPT/c9gf/9L88fGw4UZPMpDM//osf + /unuf5x7vDg6+vDeg9EzL7xYG+ME8KXQGpFI9LVXXz0wOHTi5ElmhmDYEmRxdfvSu+/NP/nfHj9+ + fDse+fU3vxxijSHbPHpkeOzujaWxmanpyd6RkwcHevRemCd8319bW5uYmMjlcsODfWfPnW1saIDW + 21tbP/3pT69duR8Ohb/zne986cIrjU2thYIjJOUW/+f/8p//+Gc/+ujjjw70He1oPZg43LYXKqqf + GaVlDMzgVhiqIHxPKaG1ymYzb/3FW4OHhl555ZXf+M3faG9rJ4RQgnDEVErduXP/44+uPrg/9cIL + 5y5cePObv/mtkB3hPKQ1eXB/8qd//bN//a//zeUrl6JxHD85ZFphraE0C9nJvt6Dr7365uGhke6e + niAF0nWcjc3NP//z7/7Jn/zp9evXh4aGlFKWZTHGpJSpVOr27dvf//73i8Xic8899wd/8AfDhw/X + 1Nb6TjmT2nlw587/+8Mfx2Jx1/Wk0iAkCAnNbubWczNrc0v/2bd+8/e++Y2jgz1mWKbSKx9/8smf + f/8XH35855MrV9pamw8dOmgbJMQoMfjq8uaVq1e++93vhqOxN3/ta//9//g/VdfUEsYLhRIIuXLl + yv/yL/7F5NSkbZkvnDtr8o5EIsYta3Nj/c7t2x9++KFtW2fOPP9P/+v/pu/AYDgWyxTy733wwaUf + /+m19y8WtoFa17IsZhhCfU5Q0c+Kd3q/VQ1QStXX1134ypdfee2Lh4ZHDDvs+dKXCpT/4Ic/eusH + P7x67fr8kycrq2t19Q2mwQmBVtB7TWWUBhqe1kH1GWeEUqrJM2P5BFJIt1i4e+f2jRs3tra2Lnz9 + W9/66tdOHzkWsyxQAVH+4K/e+r9+cumtD67HOg8e7O5oqTuRrK1ta2ttqq1d3lhderKwsb0T97xI + KIQgHUCrfDo9P/Po7t27ydYjjV099c0tIauwMb/00cX3rn7yyU6RPv/88//4t7957tSxaDImQJdT + Gzev3fnen7z1eGz+3UuXEnXRhvbaUGTv1GTflEx0IN0GTmE8UyZIAM4hpRS+L8STubm333775q1b + hNCXv/CF73znd0aOHmOMS6V3UqlHM7N/9O//6PLHlz/84MP+/v7u7p7unp6Ojtbetjde/8pLD37x + wz/6yQcTl64dP/XyH3znd08NHGyO0SgHlIQsQBFCKfbeHA3iQLnCE15RF0uwwI2QbUfAAEgCykAp + C5oHBeWUWqYJXSqXyjs7qXJLJBlleNpP9retBcMwbNvOZbKjo6ORn/wYjJd8v+C4h0aO9Pb1t7a1 + J6uq49FoyKKfi7sFQP7GXUoFQmPRyGB/9/Ti2vzO9oOxqUTXke4qTglAFKQH6W2uLt27e9dTrKal + q3dgMBYJMQXhKc2pZCAglPGgynFvnVEsLS5evXLlB2+9xbhx6tRz/+0/+2cHDgxW1dRSRtPZ3NjE + 5H/44z++dv36rTt3O7p7qmpqWloaCCiUEp6rpfDccmpnZ/HjywcPH/36N77+yhdeGWirj8AJUxmy + zM+uCHlGuv0sMEG7rrO8saQKIXag8Xe/+carLxweGuw2ufzk6rvf++Gtn116NPt44ac/e/vMwY7a + aBLShUEoIVppQqnSxPN9qRSU0q7j5LPjY2N/9qd/hqrGF1574w//8L/rqEvETRDHefRw/IO3L80t + /Z+nTp76tW//3vmXT1aFYUBomfU91/McaD0/P7eUM/qHjr355uv/+a+/UV8Vy2YyExPj//bf/du7 + d+/evXt3dHS0oaG+v7/fti1CiZTy8uXL71x8Z2197fUvffEb3/jGqZMnw5EIZwzAX/7wh9996yfv + vHelur69s6W+5qWzdtQEoSwcCYVCSquFhQXHF9Hq+t/87f/i9Te/furM8a2dMmVWdZLChxDY070J + nj3DqVChQoUKFSpUqFChQoUK//CpSLf/yWjofRXJMFFX//rrJ7/95tlDQwOxaFQqGQrZx48fL/5m + 7j/+yf+ztbX9YHRs6OhxVZuUGpTxvoH+b3/729GQGYnGmGGCUmgtFamuqent7Tty5OiDB6P5fN5z + XUqRiMd6ujpbmhrI+MyD+/dHzrws5BmqQSkIATf42urKzZs3SqVSTU1dT0+PHbJ3dpbHHo6tLC/X + 1da9+IUXDhwYiMWiAGw7JCQVEF/+0pdcWfoP//7PHs8+npmZHehri4TAOdnrbsczkpHvg2nOaZCL + ahhmS0v7Sy+9/K1vfau7pysaDREoQBFKyuXS7Vt3xx5OGyx67uxr557/QshOUEoDObijo/nEyeHz + L55aWFycmh5dWp7v6uyMRMNKsOHDp3q6hqLRWCQSJfvKAjeMmpqanp7eQ4cO+b7vOM7q6mpLS0so + FPI8b3FxcW5ubnt7+/jx4+fPnx8ZGYnH45RSy7Jqa2pOnDgeq2uMNXdHGptNCygqKQSBJiDRpubX + 3vj1N155sX/gQCRqUsOtqkoeO3bs5p1HV64/XFlZ3djYcF03ZIYIpcLxJybGZx5NSynefOONN964 + UB1E7hISjUV9IVtbW9+4cOHnP//52tra9PR0LBqOhG3OWRC/WyqXzp4989WvfrW9vd22QyCIRqPP + nX6uJly21Pb47btLJe75vpCK0mdiSD/357NSOvp6+1rrosVCrrau3uAclBoGoZyCsr6+/uHM4FeY + AAAgAElEQVSRkU9v3trd3V1fXz94cDAc4oxAKYCCEzBGn0li0NCSEkLpnqz7VNDxPDedSo2NPUyn + 06+8+ur58y8ODQ2FQ6G96XuCkeGRF9Pu+6Ozc/NPrl3/9NihA+HqaF1t3cmREb22PL+bejQ3Fzow + 2NDSuvcifH9rfXV7Y931vNaurubePisKWfbX5h5//O4lt1waPvrCf/VPfm+wp92yrXK5TGwrmaw6 + deq5yTuPthdSN2/cPHH22CnneDykGFF7DXpAoEL9koFQI+gNFIxSUMoYy2Syj2Zm3n//fQKcOnXq + O9/+dm9vr2VZIJQBtbW1lh168403CaGX3n13YmKyf+BhR2cnITzo6aJEE8oVLEWjzAhxi3IjeBgJ + ARCAQ+4lE3hgLhiEI2SZctBQOG5FIwCkAqQE5VBKEUYpQIltWbU1NcltbVq27/lSSoA9fRXPvCD9 + NPdAa62kdJzyo+npzZ1tSZmv4Sl97cbNSDQejkRefPGlF86de/7MKRBQ8kuC7TP3Sii4CahELDY8 + 2H/34eTM6vzY9EzridS5wQYNQPkQLnLp7bXlxcXFWM+Jps7+ptb6sAUbUAbVFJLAk6BKGJCEU2gN + KZWnpqemxsceSilefe21r33t6/39/YlEglIipAqFwr29vd/85rd8pS+9+971T2/0HTx48swZMMkJ + DE619D3Xkb4YHD74xde/+I/+0W/U19dUWwhrm/kl9jnf+N+yIRNoSjTjdPD4sa9/5fkvvHKyuzVq + cEYg+3t7Xn4xcvXWzuxScXFxZTedRXsSoTCYSwmhjEqtpNaaUhW02tm2s13OZtL5QvnIyZ6jx47V + 1tZZNqXaZQZvbWnp7e6ORiLLyyujo2NHT55IhAlAKKOmadqWZRgsHo+09PX+1m9/+/zJ4cBaG4vF + +gcGzpw5k06n7927t7mxkcvlwuEQZbSQL6ytr46Pj+VyuZdefPHll18eGR62QzbdF+GHh4df3sre + GZ1ZXVu7d+/ec0cPxe1qRiSUcB23XCo7rts70P/CK1+68MaFrv4+z0M8HiIcjIAaQZ0foIPiwiAT + R/8NRb9ChQoVKlSoUKFChQoVKvxDpCLd/j2QvUlgQojGL48bY0/w0gQIhboGBp47ffr06dO1caY5 + 8SFN02xraT5yeKgqHt1xnI3tnZInFSA1DIqqZFVVMhY0/EADhEJrolXEorXVyY72tpmZGSGlL6Wv + dMw2W1qaOzvaqpPJ5ZW1pZX13XQpEQ1zE1qiXMhsri4sLcwnk3XN7R01dQ2GSXdT2xMT47u76abG + A2fOnGttbrNNS0nBmA1GldT9/f0HZgeIxura2uLyki9AKOjf8hM9gdSgmhNNlE+ASDR27MRzp58/ + e+jwYcsGYwCoVsJ1ytn09vzszO5Our6+rb9/pL2jn3Hj6SR+IhltbWvo62tfWprZ3F7d3tlqaGqO + xKKM8MbaBtQzypgvpZIyGL/mlMRCZkNNvKmxYcywHZ+VHCkVlJKe66wsLmwsLynf7+8fOHhouKau + TiutNBjnHGZtfV20rknatkfAAVAQqkBhh0Lxto5XX3t9+PDBmmrOCMBYKBRubW1tbWmuiseL2Vyh + UNgfwtbCLT+aGF9cWOCmPXh45MDBwVDIFkJqgHJGCK2prRk5Mnz5k4+3t7dn5+b6+/uhNDx3deHJ + k7l5yq3OvoNDI8cSVdXMYErDYEZLU2sERxaPH8uujS7NKCmVVJ9d7H0UnnphNbBXHoV4Mh6PUehG + aALC9lVXyhhtqK9pb643GBzXK5Q9X9OnAuee+fWzBbyn6gVKrgYIY6A0uJHP5R7PPlpdWmREnz51 + sq+vt7qmigXPSBGA1La09Pb2RsLhna2tufn5XLFUWx1NJOInhw/v3rv5aGp2cnIyeeTUcEurBChR + 2nefPF7YWNnmzOru7W/p6qAUnlvaWVuZnpiymvp7+g+OHDuRsMCU47gu06bFQk2N0Y72jpqq6qmp + ic3Njd3sbnUixpiC1pRSAqrVZ7kSIIHATREYcJXet+SKVGpjaXlxaXnt4NChAwcPHTo8HA6HGGPB + d1mWGbLNo0eGlpcW3730weLS+uzsk1LZCYUsDg1IQgQAgCttSgXGwCggAyWVQe2VgmmtQBSIAoUQ + UgkQcNOyuWnuvbea7l1ssudENhmtTiRDdpEQqjSUUgjyeQme8b+rp/Vr0KS5ufX8iy81N7VkCgVF + CDiXoK5SO7vp1bXV0ft3y4W877l19Y1NTS2JKNMaVO+vkeBZ7K0uCsrBLCusBno6OhuTDP7c7OOF + 5bWi2yANGFCQ/s7a2uraRqGkDvUMtPcdtG1wgCpBKVWEir0nBQXNgrdBCqfoPJ6de/x40bJjhw4f + OXX6dFVNDTcMEE204gZNJpPHjh65f//erU8/XV1aWFpYTGdyRjIESkAR1OdxI3T8xJnnn39hoLuG + ADZgwaTU3xftKSF4etIh9X5qhlZQijNeXVV99Ojxl15+uaOrNmq5BC6gaqtrBnqjjbV1C0vpXCZT + dhxogLHgvdBKKaWlJprQvevFqOeU3FJRSyQSyeq6BitkEWjAA6PhsB2LhSyLF4qF7dSuL7QCASgB + 5ZSajDKtm5qajp84efLUqc7OapOAamlYRl11YuhA38OHLZ/eup0ulAtll3GTQBUL2bmZqc21JUZw + eHiks6u3qqaOECittFaM0ubmpv6+/qpEIr2bnpubzxeLnkiEmILymfYZgWHaPQeGX339wsHBQ5FE + 3BMwDNAgmJiAaigJCrK3y+/FmVeoUKFChQoVKlSoUKFChV8BKtLt34cG0WAUFJCAAABCNRhAQQxQ + W1JLU4q4dfzk4YODvTU1ESpdTagJToiMmaw+yqpslVHMAS1Tyweo0pz6IBpSglCACqmhQLRPlYCn + DVlKhs2IbRDOXfCcZBHCQg11vb0dbe2dkzemFpYzi8tbAz3tYYMSP59dni4sjon8Tt+Rc039wyya + IEYps7sxOTlRKMtworm1dSBmJ5mLsijqEIRhEwKL8xizwszYTe+u7Gx4gbKkAAVNteaaAEQTCEML + RqgMSceSDic0WtX4wmtvHj5+2owAQKA2aaXcQjq3NlvcfGzA6+rui1Q1KW6UBAhk4JXkIAZhVVHb + or7rOqm8mxNGHQE3FPyCUqZgkaJm8DyqYEABDuAkyG7EIGXPzOkmaTUJFpIoSze/NT9TXl1OGEZX + T29tV28Z0IpwrRilrpAEygrZAqAAlwCTxBbKlLGq2vr2/kNDhxvrEaR2QhNCeCQUaa6q6aqpfpxZ + Ib5kpq0oICUvZFfGR9dXN4x4Pa1qpaG49D0qPF8z3zfATNMyamqT4ZhZXnfnVtayrqKUyWw6vTC3 + sbplJVutluFQY4+yw9COloQxYhGSDMcHe3sna6vU5LbSShEqAbnfDkchOXwOCUkgGQgFgwqESSWg + FBiD0FJBEe0K6YPaXNrErzackC4RSh2zyjFDgsAALKoBDaW1EhIUlHJCAAoCrbXUGoQqbmjOA2Us + m9oav32jnNqsTTQO9nXHwiFHaJ8QpmEIwnxNjZBth01A5bLZ1G62VM5rNEVDJw73r7Ql3x8tTd95 + 2Hpi3TsFB5IZ+bxyH0wsLs2reKhtsO9gT1sNBYrl1HZucyOFQ4fr65q7FaG+VBCe8H3lC20aXLJE + JF5fVWMbPJNPr6U22jpCNtGSEGJYhDAiwOXTviUBGIGmSiRhioJqwIPOrm5MrW9vCB5r7DjS0nHA + MG1Gg8wIIhS4LhPudzXa3W3NlhHb2VKLS6lsvhxNhCzug7hUl5h2DCKI70mnyLUwgjMbaoDvFYsZ + AIHS4EAEpCyIFKSo4DGuOSN6b5O1ITxY8KnmEAyOCZEMhyilroDipqBEakm1AoUBaA0JSKI4KAGD + YpB0aOTY0PBR5XtSa02JaVlKo1Au3bp169IvfvqX3//zyVsfu065tqX73CtfGYjGGMA0KMBIoHaq + QPGEYiA2qAGbdLY2djVGk4bYeDKZmn9UTB1WtRoM0Gxsbn1mrazt+PDJ0/0H+2WwP0gBxoimlIJQ + aEolwCBBNDxPZEtL81uLK051Q09D+8Ga5nZlQFJBISgnQoKCNFYnBlrqDtTH720uZ7a21neysUQ8 + QRm0MBgMM2rHkifPXhgZOWHsHTxoDQ+cQBnaZ4QyQmAFVn/A1xJUGkRBKSqVxUPNDT1DI2cGDzVr + oAzHBoiSBmP10UhfTdWamdFeQRAva+oE80EEVS6EBw0NpjUjxAABfKHdEvcLIQaliSO5D/ggHJxR + KUTB93cYdySnikZUcOwBAk25gikl9VVHe8e5F1+ubqwmHEqDEkK0a5JiT1OsoaHW5+GMtvOKC8CA + LmW2F8Zvk9x6dSTS3NYhzHjWhcWZ8Hyi/LDJbcYS4VA8ZKd2tre2NouOW9YIaQFVrtX5hG35dmPj + odcOn/1iKAwDMA0opRnAKYGGlhAKnBPKjIrbtkKFChUqVKhQoUKFChV+tahIt38nT2vJtCKAhHZA + CGAGTiYQA8T2iSkJQDyhilI5GqAEClSDESkN5YdUMUIcQuyiQlbCBWKcQPvQBFpn05lH84s3bt/f + 2t7xC7uWcna31lZ3smup3MrKRnvfAQdM2DFtalncbGyoOTg09P7dlfmlzNjEbE9HK2NU5lLLk7cK + T0ZDUH0DA3W9Q4gYnlSek3ecsibmrQez/8Mf/q81YZngZc8keUaLJrcoRbGQWVmRJcf33aJ0HC1V + IBASECZ9uBrg2maaEdjQOUOWTVGClL62tJnURlgAUCAEjIAxxrXL3J0Y8sX09o1bd9b+539ZXR0B + HArBFeVgVKpSfnNj5dHGxmpd59B2ppT1uASoKEHnIe3Frd17j9eXJx7uLsyTfE772/ndhd2tmYnN + yG4unkdrxo+5mkSY5NrNri6VlxdpuWwYtgonHIBzcEmFBrFMqeCVSxYPWwTwBdxsztt1dBmGwY04 + FJSAAigFFATVROsIZ/W2nWLUAFypDUXC0ufCCXsl4XgrudL//sff/dEPf5BUhRiTHrGKkgnCXVEo + lNLTM1PcShalTpdFMV+Kea5VKkBQFmlU1f0kGS4DIao5NZmGX4KTKxkgJlVEg1IKxhHEVAQObEgG + jwHQBNJ8+lUNgADCR8kffzR3d/LRnYfjmhtloXbXF5BZKa8/dtJZoXRWh3IENUBYA8oPogUoBQEE + IRqESAHXhVKEMjBelsoVSgWT9ERx4ViiPDM1++/+j38VbviRGU74ilFuhZTLvYIhnc1MaWd1VUha + zucFqEPATV5dn+itteosvr62vbWQ3tkpqKpynm2nc874o7XsbqyzuaW7tas+aSiVL7u7ZZEXFA+n + Hs39329dvXLDlKkIyZu2WfBRVpQLnlncTi9slUtFEA2LCA6A+pR6mmhFuKYhZpqBGCkcTTW4zQg4 + ZdAUygEtg2Qy2aXdfLqsbNhNZrjGNGyoggLXjPu+giowXYywfNzmUas6mzO3d8pgFjhABIhLSZnC + 4fANSBOCaQ9KKsGJtgih4CAEFnxNiOtpn5ghIonFiOVLIJvbyabT0gv2EQuMeBBFeGFoZkjtFuem + p7Y3c7FIL7Mj1LY0FwRaup7wTMKgLakpFxCGplxzEAYpfOUz0+SUamhfSgIdta2Rg/2mez6hcxcv + XtrOpq/cvFs/+HxDSyzBQdl+7obWRGtCQUBAjSCXFlxE4vZIX/PZkb6Ld5Yzi7OLj8a7I+3hsJ/O + l2+NP5nb9EK1HdVNbfHqOAM4BYSC1IQxCiqgNaQHt1wqJqgFz0XR80vMlVXMblFG0qMcVAC+Qsnz + XKptzsIGaJLpei6q4BHPzRa9rIsaCEO4jCjCwzDi4A2UggFMS0o8DaGgCLEIY1CAANHgTPlMKyo9 + KIMBnFqUEc0KBb9YJg5gAj6oAWJTBs2ikNVaVjvFDMlLJgphEjK0qX0qXSIFI5RSk1BTg0IBSjXW + V3c0JC2C9bWN2YXNVAFGBCbh0s9vbi2trEzk8ptVDYcStS3EfPqfBiNCwnG4QMgyQ7G4B/iAQSC1 + oLIImY2SsmlQbYZLVtLjYR+A8LSb5+WUWd6e21r7yx/95Mcf3Y/aJodUXomKskUkU/5OxpmdeOxK + Lv02cFObJrSDYsZIL3u5vNU6JBIHygZCQAjg8JVfYkqA2YBFCOcMksIFABgAqwi4FSpUqFChQoUK + FSpUqPArQkW6/fvQgELg7FOABxCAaUCDEnDAlIQrAhBJmCRUAkppqRUDBQU1iQozYVPP4CEYls8s + BQASyivliiurm/cejN8ZnbzzYIJSHtHFsCpkNpa2Cl5Rcd9zNSEloV1qaUNrSpqb6/v6+iKR6ysr + mw8ejL16/qRKJrxSfm7yQXZ9JREhPf39ta0tmoBQDeUI4UpNSz5LZRzLl5bhuBayVGYMGFJFhayJ + xr9w7rzV0t7Z300tpoJXy6AhJVwJEFgMALWgCZRnKh8aAgasKAw7kBr381MJVR7z86bIUyV9RdJF + TxCpVcFg0lSGoRgRksNrbaxvb47X9Z1qaG1n4ZDUMISjNuYerOY/GF28u5DOLczpnc2IW+Jqs5yd + K2VVupgTus5ljQ6oIAAkg/DzGVrIhyg1rZA0ww4QCmInCDSoYlQTTTWoBJQEEYr5gklfKCEZ+VxO + KtEgVBObsgRnIa2Z0lITRQClIBxbeFCAZDuOTuZKVKRBHJ9HXRou+FqiRLjuP9BT3dDTP3goWlUH + Dbiu5buccNdKulatS2EANjQBJRpMgWnKAA5FCQIHqNpzPAcXU9IgSFVxaBZEoAZfdfLZzJNH90fH + 7j2aG59bml1ZM6NxyXhx64mVWzLSGUNCAyVYZbKXxQoduMUBQgkhAFEa7GnmLSEg1NdEQCtAayjf + U26JCQEPpWxGhbJU0IKgghgR7cWZIOWC0vTk0aNgVvPQYCQakwChFPFQb0tdX1vL0lJpa2l7ZXnZ + jFiSbS5vFZc3spQ0HRgYam5oToQIVSXfy/uyDAZXKFWWu7my5ed9krYjoUxJFgW1tRWPxpv6qzp1 + W29/b7QqLoNmQMYlqNZgiliUGmTvYxq8oYQEzlAFqkF8oOiJtOO7IJagcbAwoYBUSgqhuSaEEQWU + DVKyKDFYyHWsUgmacEk04AM+JS6DzyE5FCeKQQACYIJQUDAKpRUjnobpSICQEDessG1HGTfgukW3 + VIQMMhwAiyvqu/AIPFsL7buF9K7vKWZYoViMmpaETyEJJNeAhiDaDxYnoRwMCpowRRUYZZQSAiUF + A6jB6uprrIO9tnNq/PbVJ/PpsenZM5lSWSECGJ/bxAi0BijAlA566zhs80BPy6mRA9fuLWSW5+cn + H545WC0NfyuTfzi7vFNiLd2Djf8fe28Wa9mRnen9a0XEHs5853meb84jk0zOLA5VXSWW1OqWWm1A + 3TLgFxuG+smvAvqpARs2YD/4oQFBDVlQlcqtUqlm1sSZLBaTySSTycxkTszp5nDnc8+wd0QsP+xz + biar7FbDBtoq4HxI8F6eaceOHbEP7h9//Gt0vFRmavm+s7xUTWDAObgUTsFCNKxF04k1IgXhkkWY + SBbW4RmpcMLQGh5pqtJ6mNYiZ8m5xCIFCSmwQJzzKpU8mS6toYAATYElOA8wKTC8BXsQPJCwIkBc + OziDnIgjEeMkzHZJMNi1B3oEKZLvkrRBqSjXMEiBgDzgFIRB2Zqcz6ItiFDMjfaVJ0eLN9fX33nv + VO/gyOJwqcck6frVq5+89cmv3vSCqZnp5b0HtIHLkjockRN2jj1IIESOdhddPJBC6gWdas2ArnOc + cOAAQyDXRH3DJDVJsV2tJ1yrBs2cJiR1le4YV4vIBRwf3r+fg+L00ny+WBINpA7SLLhtLeLj7kY0 + XANKAODJN5U0IQk8QAqiPZAyEsr2jLRjlTt06NChQ4cOHTp06NChwz96OtLtP4y0tpjS7g9g939b + oaGRMUZrADZNhZm1BjOZIIxipXUYBKVCPh8ZDThr4eyN6zd++OOf/flf/B8fnfvMxKVnn31ucWI8 + cttqZnxls/7JlZtNtRkEYaPRtKlTMLpY6h0Zm51MBkrq0o2PzrzXWF19uW+gUk30u+dXLm+iNDgz + PTM/0gsDBGxznChXF9+Ymh7/g5e/enhhdKik6mhsc7IhDbuz0xPEXSpwQdzMl1T/UCEHWOBBqSRI + lsupAMrUQwYzACIERmsNAlQ7Q9M7750XQTO1+UK8uH//s1//4+npQWe387EKxCDxnLqAq4Uo0SrV + vfOjy4cSBe8A50+f+eg/fP+Nf//tn++o7rmB3kfnZydKuXI8lQ+Wr1/65fY5Xt3IBYEKzIPq6EQU + hEEpF0dRoBgO4CxsEnAiRBRHkXKAANrA5KI4r5Ru7jTrO1WloDWUb11AIiJmpZiYnLfOOyaoLCDW + O2FmpSif+52vfOWFQ9OTcaJqaz6qxN1D97ZqSbptAmd9EuT7+0fne/v6YttM1xJRpANlydnmTtKE + DkFg7z3AKkIhX/QeXlgxnHXOppmaKgIRCGX5wLuuuJbO6oG7Kyuv/fiVf/c//k+rdZvrHVw6dKTS + N5Dv7h4oHPQr5+9/+u79X15hZkYr5qP17gfDlggkWcpnGBGx9wLxBMmettY3m81arWEdhoYLz3zt + a/PHnu4anthOaHOnmad0sq/c3LhXb7g4V9JBzvR2D/VXWkeJir0LB5eO2J/eePvujcvXzn8yN7mY + JFs3r97crtV7hhb3HT9e6e0zoIAUp4lJG7HC8MTEwlMv/v7Xv5J39/P+nheppkgoLJlCnynlU3W/ + ulqZ7y0MdjFSgIgViEUg4iiLuCWCMeBsazi89VJvqBxADFKsjNIaLN4nzqUiIGHrfK2xE+VyOoyA + EKS9iBdPcCAPgvPOe8dMQppYYbd2HhFYswlY4AUCWGtZOeZ2V4sUi8VypZLPASLeppyVKfMCQMEQ + XK2xXfTiPWsTxbEUC7lKuRgEsEhh00DlKQYIHsq3rNjZUPSkEAZBYhMH0UopVlnMCZQqdXfPLyyG + UbS1dWvz5s3GTnW3vp0A3gsTcUvYFnhPAggBhCAenFrce4Dz8c/Wbl05f7a79uXjOwFW7q9funrd + UXBg//6pseFejezNDO3SRBkoiId3sBrI5fJIDcg3/Q6zD7nJrupt3Xo4MEMRgkgHGgbWS622Va2t + 7diag2dlNEUBgkAjCJxHkiRJ0ggCHYVZ1INqzYnWLRfeAQKlCEyKmCGSnZTziXXO+1wuDkPTdpWK + QJx4JQJipZUJTahCxUytyGcF1kppAPBevJMscdhoiO4a6Dly/OCVdy9/+r2/OfX6K4f3LgwWwluX + Prl79byv1ruHBk4+9uQLX5oQgk8hDHhnoVKOU0Y9tfWdLUNoxz4oKAWlgjBSSiFNvLMQIcAoQ6Tq + zcQDPT2Vg48cG9r7+NTocH+lyC7hpErJdjFUOig5RKmYoJgf6O8hArwgiuNCMV8oeK3grU0gBh7e + OaeMAQhiAAWLZtNZr3wA8+v16zp06NChQ4cOHTp06NChwz9qOtLtPwD93/wGoF1EqiW2eWeteE8A + ayWkWmV0vE+StNlMarWd6taWSy1DK+a1lfvvvffeN/76Gza1L7/88h/80b/qHxjojRG5HWV3Lt68 + l3/tnY3X33XOFwtFImqkaUgOUW5iuO+5E/vx+qnq6o3LVy5zobxzb/vDaxuUGzlw+Ine/qFSgFA8 + UIuomQuEYYulwuzS4tj0cH/RpFRPVDNVTSMSWjKprzr4So9UKhpo2+qycyUNxUSt7dbet5J5iUS8 + s9ZZcZ4yxUo8NBMxew8RCsOor7d3YX5u375ZkZpRTnvFqWgPjW0lm4qSND+SbX1uNq1Uq++f+uCd + d3/Z29v34vP/9OtPnpzv7e7TFKkN7e999H75Nq+ce7varFfTBBAw2JigWC5FcZzspFubm7XqNnf3 + ZP7L1HlRkqkT9bpXKQcx0Exr9QRQcS6fz+echXfIXuSds94ykfUuSZNm0nTetsQyZhhjSYgQh8HM + 5MSepaWRsBEk202Vs6bYM2KYU8Vpkta9KsaVviCES2yjWa82a41mLWnUDLnItMVXwXbVRaRIoLQB + Ke8RR5FmSiykpTbJFwYZtWqLeYCcf+edt197/fVqdeeFL3/txLMvLOw/ZAoFmCD21fr1qU8L7tVT + VwDRihRnpckejNVM9qbMIesVhFLrnXMQBEYbrQgQERMEle5uE6pioTy3sDA7N9c7MtlEkHiVk6Qr + BDWGUkdax1CBj4wxmb2XoKJgcmn+EBVf/eT+7asfv/fO0yeG1u7c+NVb767cvz+4rzK9d09YMARo + UMHoPAFNaFZdff3L+2YKbjhv76Q2tSqyOo4kMFUfNWk4HvY9nAZksJNZhrMaYSIuTZppAqQWGhDx + mYypGXEITpHaer0ZRfkoDJE2vUtEPBGgAsMUKdKK4Bwa9UYtaTRSZ213d2lwoJ8AJsVKw8ILgRQz + Q8Q557NjEEHgPLyDZC8BRaGxFmmSGKXDMNQGm9XtzfW1ahWVCNAEnwqgYcKoVF+7f39tc2u7GgRd + XZVSYFgraLDWmrxCiqQJG3kdBAQnzrtGTakQiiGSWquYjdJKKYIHAGudtUIcxflCseBzcS4KjGk5 + tblVUfGhcUUgzoYFAwHnuktdvb1d5Wsrq5cvfLJy+/bWpvvk/GfbtcbA8MShQwe7CjkNeCtOkVaR + GAYxwSt4A2KwzooZWiQgYyhWiauvGfZRDAILtIMhWOUdOVAURrkCxyUJC2EuXyrmgsxWLpI6b611 + 1kK8CFyWuw14eAFYPIGzKmJQBCKB+FbNOAYrsCJmApxNEotQAxAGK9KQNEnTNE1TmzrYJE2cd9TS + b5koU4B9pqVm07Bx8/rpT86cOv3LQwdOLnXN3r6z7nfqK7e3o6jvkRMLixNTRx59dO74kUhDKUSA + Fg8wBzGiUqpCFUSFXBgwADjAiVXOQx5MSW5/pXiI0rpYKrOiYqG4b9++mWPHJocHQ4SkgKsAACAA + SURBVAUDZ3yDk51YeeGcldBThFAHATwAZjiXOp9aW92uOptGIcQDJEppwCKxSD1YgU0UqkQjaY2Y + Dh06dOjQoUOHDh06dOjwW0NHuv1P0TLW7lpuf6MwtwgxERGhbddiVkKt4kmZrkCsiEicJecYmoCN + zc1r1z4/e/bs8t6Dx48d/93f/YoIApfCVoFEl2988OmVIIxEQMQkJACEYYL+7tJjhxc+v3D2/Ut3 + Llw4v6NLja07V1bT2bGFpaNPlis9McFIE75eCDHYWwr0OsiqQOcq5WIPhHLClnQjYIXUo+5CK2mh + 1DRggNpaQmYozFyb4kGSeRsZmcst8xZ6773alXoBGB0UiuVcvkj3G1tbW0brSsUYXTYMAygHLYDk + 4SJQ06rSDgCBTdPq+vqVq1dv3749cfjZkydPvvDii/2GyVtgDfbOzNa1wXMUhlcB5x2chQBa697e + 3kKp1Fi9sba6ur25qdBDLdeqI+yK0C2rKQReWMBMpJiY2+5dDy9eyJNWAJwICMykVKZ1CrQqVMq5 + fI5SH2pVKhT6u8uwRYeg6ozOxaGCgkttvYlINACw4igXBXFECjvVjZ3N1XoVhQIoK+wuAsBad//+ + +tbWjvcQ8QSfPUmtOFtQ280NAJQtEZD37tPz5y9e/CyXyx85cuSF518Ym5v1jATgpFbPu9r1s8QQ + EYbwg5HalnDbRl7ORjJxpsWDoJkUEwBminO5/oHBMMo1vaRJWsjn+/u6UoIAEaIY0L6Qda/1LSXI + AUQECjAwMTpPA0MDn95c/ezjDzdunrh95cq5j87qMN8/NTE62xXEEECDikFYDoOcRpokqZdCBd0U + l/2A9anonIU2AEUwDaAEF6GKJkMRWMCCzCVNgPM+U9wALx5Cme/aaMBCCKR6e/u7u7ogdmPj3vra + WpIgVKSUClSruyG8uVVbW1tvNuuDg11jYyPGaCbONE+BIlbMWfe0+1NaowMAEWcPKAYU2ALG5AvF + 3t6+tbV0/f692zfv9o315vMMSGKt1YgQra5t37h5Z3Nrp3t0ZmpiLBeDGS33qAg8xIPAClogWZQF + yGfV5ii74UDkgc6IWr1xe+VOvZmEYVTo7SnkY8MPrnmmTIpIeylGHpwLGYnK5e6+xfmZzY2PV65f + vXL5Mhl77uKlppPegaGFhflSLgwAL06gUwUgAAnEKnKZd5fBIJYgly9TV6VQDF11c2Vz4+72TlrI + G4b2MA6evMBa7DQ2NrZXqwmiYr5cqZTyhgGx8AJmVkorYoh3SAVhILtGe2kls4CRDUAS8R5eMjVX + adaGlSYmiPMeDDCy+mwtpzYykZYE3sN7YcmyvZkVBAzRijhbj7Lp57duXLj82Y2bzYMvDE8eO7m+ + md6/da+53ShH5dnR2b2z+/YdmUQZiRIFZwAmD2ctyOnY60jA3qXkBWi56LPrIZLdBEQzK2YA3okJ + wv6BwSCMvQfE93R3jQ7F8DBAIAXjS4AFIhF2QMpw2d4IJnixki2uWYYY3S7cRy2jNwTeWtJeKYa0 + 1qs6dOjQoUOHDh06dOjQocNvER3p9v+RtuwHzgQSeVgNbOUXCkgpFRrNmeYCocyaCkBEAGKdyxcL + FBeiUJNkrsp6rdFsJlqbiYnJkZERZ+E8JElUs6ZjLYKdnbp4AaharQIIjYYP4HWQCw/smXl3pPT+ + pxfPfXz6ZpVcWttsqu6JvXuPPBHFRc5SLW2aLxZnZqZyb97Y2Vz5/OqFPfNjFEYMEGkiBQBKIw5y + bDadpA6BenDa1rpU2YCM9x5eaUUgAx2BAyal2GsGk2QSEBGY4a0Pcrmh0bG+waHmZxc+OHXq+rWr + C/Oj+YIqFIIgyx/wACuoACQknCZgDe/cyp27G1s7QRgvLS1NTkwU8owUAMAMHUAHyphCIZ8LFcSn + qThnjdLDI6NdPT07n1y6e2dlc33VYJpbF4uoLULHOYIFQAgiE+Y8uNlspo1aZFrink/FK5+pucTE + mk1ogsAYneWTWiganhzvPX/344trd27cWFudmukfg3LKG4B9q6oYBToEdN2BFEwcYmykf2y4UMpd + uXfn1tWLt6/v618cYigmKhYVHKpryUdnz31+Y8d51KpVsc2cijVaSwOEVhDAroQr4iFKxN+9e6+6 + U5ucmpqYmOzr7+N2kiYTmTAK4jwY4j2c5czWl6080G/KNQSwDqLABCBSrRgFGM3lctfY+GQY51dv + r//q/V/NH31ihoBWcmj21tanJQkSnwZ5wwQmhijku3sG7fT0xNWVU9cvX7xy4fKlzz6/8fnK9PwL + ew4tD49BXEs9DuNiudzb1YXbmxsrt25sriOfhxgEOkjRCiM2MaBbfbC7vZ3IAMpoFYVaK2Jua5Ei + Xrxm9cBsrIM4X5mcmB0evgHyn1+5eOnSxNbWTiWvdKyYIHBQCvnS/dWta9evV3e2Bwa6Z2cnc7mY + YL0Hs/EcgLVW2iitlSJqp1AImMEKDkrA2Y5+raCiCC7q7umdm527eur66t2V85+enSwf6C52g7m6 + U982UsiVbt68c/HSta3tncNjYwcP7CmXwASLJgtgU+V1GMEZSqA80kApFRGsFQcLCoOQmQQ+SZqK + YcIAxmxtV3/1wYc3bt8l0pPjo5VizrRSTlr/IOLFMdpKaBYLwAwYZ0pdPf1PPHZi7dbKqbMffvLJ + 2YTs+c+ukjF9g4OjYyP5UEdIvdEALEEzRIjEgbxClpBMZGLqCioljI72V3Jy4/LVyxfPXr782fye + BWZmBJyFUaT1W1evfnzu4rnLN/J9g5Xu3ko5r2F92oR12kRxLs7nIsXeWySSihZWxFm6CREE6qGU + VhFx3rFWIAUdkAmV0YpJs2iGBlR28waDtTImiEIdKhbWWjPYtaavUcowkSZExmhFIqBG48aN2zdu + 3rcpSoXeQ/tOzC/NBwRykCZihmFAIzWZzO4EcN4l9Z16s27FstHNxG2s1tMGIQdFUNBgBbDLvigg + UaDDrEudC6Lc2MR0lC+t39z44NSpuaPP8lSFGWLhvDdatWY5YJtILLTOJiNB4MiwDqIoigwrQBMA + uNQpeCiNOPRVL9YZcJKIVRSYjnrboUOHDh06dOjQoUOHDr9NdKTb/wxaYtDDWbe060L1zts0IfHZ + xmTvPFiIAWJiJcTNJLWJZvEGkokpcRQZbZqN5q1bt29cv7G+tlUolsIwhC7cu3rx9Tfe/uEPf3Tj + ztrEUnccRlorAkAaZBDo0cHKSE9g0Djzwa+SyxusdVAe6p8+1Dc6owzIAexh9MDQ4P6D0vf9D899 + fv6b3/iLUikUdXJ4MI4MNAHOI3Xpdv366rrNFVHpCouRtHfSei8eAk1EqhXiCQXPtXpSbzS9JYhT + TEaDqbXPOCAGax2Ee/cfPHvLvXr6xi/ffbt/oPDkU4/sygQugUuqNllbX1+pq+6e8QVikNGFQlGb + sLpT+/TT80uff17dsxQHRALs1FevXfzZL9744Y9Or270zUqi2IdGa6Wh1NT0zMT0dPDuqXfeebvR + MzDS3zs72DeQz2mtNra2zn124bWfvn5g4dCe2X2TwwWwSxycJ611YJRN4C2IQJq00l57AKm19Ua9 + mSapbaapNaGGYRRyB48fXfh8+ydnfvLTH/2gm7b7w+d7CoYLPSZX8gwvSJP0zs3rO05VBsegdCg7 + obITc9N79y+f/enpM++/87PBeKzn5aA7Vk4aTf7k9Pl3Xv/Gz37+2o0b0CEFQRCoB7un0XJ30xc0 + y8xWSBRHkXXus0uXV+7c2anu5MplEFyKxvb2z3/0o59+6xtb2xgULz4V2zb6sQJ8O/dUpFWdjQFO + rLepg3O22UybzTSFaORy+eGx8cnp2c/uf/T22++MLPxcxaW5vUvccmTDJs1Gw9XqaaNpSfkuXdaB + EoBUgFDlK+mhQ/suXb3ywfuffPdv/37t/ue1anN8crx3uLuRIgAUAVAoVKanF04+fvQ7ZzbPnT3z + N9/89stPH+qa7oZWwpKJzUkdzXvVG/duVKZ7cv3FBImHOE/1ZtJMms42nW0AHkaDLYRaXupWvAQA + BQ6Lxe7JiYn9h/Zfv7l95sMPfvrTnz92/ODIxBCxALa2tXn388/+47e/98MfndaGp6ZH5+amowAa + mtnAm8RRvZHW602bNL17KB0Zrd7YvUM45xhKkYBoZGz8xZdeOn/vBxdv3/ibv/6rgdD2Rwdz/V35 + fKHa3Llw+dIrP/nFW2+8SyqYmpqcn5sODTTAkHq9/osfvP72zz+2jg8/cfCRZ4539xZjrX1j59zH + Z9e2dyiMp2fnuru7oiAIAsMAnL/62YVXf/aLv/7mt26u3B2YPfLUk08M9ffyF5uX+aIzuy7EwVkw + QAHI+CAqVuzBfXs+euu1t95Zf/ONN7a9u7fWGBtfGp+erpTjSEmAxIETqCT7QC/ap1kusAKJZKGx + ADAzM35oz8T12xfOf/LBq69O5HvKQwO9ORUEiGx9ff3y5e9+++9Onzmr8t2PPfvSvkOHCnkVwjIY + 2qTWNxrNRmPHpQ3FyAdGcSv1gdrS7YMEXwhAgQ4EgBM0kp1m2mimqU3gbEuwhniIh2cPAYGRuKSe + 1ABoVgoKUPCUpE689y6p72x7l5ICorirq69Y6G408IPv/fzj6zQ9tzjYVyoXgoCghYph3D/S3z3e + VxgqBWQIDIYJjQ4NaXIiIpqRa8eEZ5eAQSpJnbUWImmjniZNArQJSuXK+NTM5PTsR3fOvfH66yNL + x3oK0fzMWKihmUEe1u/sbK3c3UydMvmwb6RHFOAsgkhUkDqfps20sdNswIUgAiv2DXv2/V/+6JXX + 7tyrjkwsPPHcc8PTk7mewsOm6w4dOnTo0KFDhw4dOnTo8I+fjnT7n4J29doHv7Z8jG3pVkFErCX4 + 7FEiav9hTALyoMQ6m6ZiU9WWd/P5Ym9v3+DQ0Orq6gcffDD04x8PDg6VQsjO+oWzp986debO3Xv1 + ekICYwImOADCgIZRYXdhcrRrqL/04a3b67cdCuWZ5f19E3vyXdAuu5wCELq65xeKRw/vXVn75P33 + Xh+bGFnb2pieHAq5EXI9IG7sNLZWN6/duT998PDonr3lOJLdU6W2WpgZWCWrbMSpFSI2RjE8iSeo + rF8k2z2uFEX5/YcOn72Vvndh9czpD4LAJelWLtY5FQVepTv1ZuN+0ljbrt7P980+OTBvDAXG9Pb2 + 9fYPhmF86fLld95+ZzAKJrvLeqe6uXrp2qX3f/n+6bv37tXSGD4JNWuV2VJ5fHJyae/eufkzH66s + vPn6qz2lwvLE2EilqJS6t7F25pNPfvz9n+BrPNQzMjGYJ8WetBATiOHEwft26TXFAHmfOu+EoIzy + 4pOkkUMBihCHc3uWDl3emP3V5c8/u/jTV2o9QTLQUwy7BqjQ7chwo+a2N+5evxZWeh995oW4VPaB + B8nE/PShIxuvfnRt5fNLP/9JMtQdDvUWlTeN7eTjD859euZNUkGugE2njVKa/MNDDr8h3SLb9a14 + bHy8f3Do/dNnT536oNQ3NDw1nQJb9cb2vevvvPb62XMXrIfW2nA7DKAldWWe58wIvlu+ilLrRcBa + K3h45x1EIYzigYHhg4ePXby385N3PnzttVfrjvev3IOOcuQKStLtja3t2uZWrVLpGR0fKpdjpY0Q + ExnoICwUlpbmp97rO/VO49S7p2zaMPHQ9Mz00FgvAEXQWc2uIDc0MfvMM8+fWn37/Xsr3/3Ot8P6 + rXvzg2EYQMeWQ07QuL/dvL+9vrN2NDwx278AwIOcJ2uzAFp4nwg8lAE4K7rl/K41ODtrE0fF6anp + p596/Lvfe+3atSt//93vba3dm5gZCWJKXa26evf6Z+d//ou3bt3ePnDgiQMHliYnRk3L0qhB2pH2 + UADR7kb3LB+h5fR9sJoj3nlRUB4ipb6BRx979JX3Ll956/x77779ynA5Wb/RPdTfjIKV2s7n5y+8 + 8frb9++u7d1/cN/+fZPjg5pB8AJXr++cPv3B//mt79TqrkGN6T0zlXKeNZK0cfXqZx9fuLS6VZtf + XO7r683HkWIS75JG4/y5s++99dqZjy+NTwwdPX78xPFjAz1BFmYrQDZRW9JnO1WknaHMDjoFwrgw + MTY8MViJA7p44fyaBeniE0/PTk7P5GIYCCRRZBxUMzNse2hxD4UoKy/sAU2Ym5s8cWT53Gdn7q58 + /tOfveIjMz4yWi4WYpvwvVurn3z0kx+/srpup5cOPPrMC3OLSwFg4MEAKU9KROCtuCYDgQEJZdor + wA8Jty2YWINsloWbWgsmnZVPS7LVimw2iRCEPSAEIefEQjxJa1Z5T6l1RmktSJO6cxYEmGB0dGJ6 + emGg/93LF6+fu/nq6Lkrw4PFcpFhG2zTQhQMjg4Pzk6OLs4sLy/3FEoFHQTGkCIn1nmrdZjPVeDh + fSveAUIQ2CzHwGi41KaJB4g4yBe7h0b2Hjh85lb9J6cuvfHaq0jq+/csFHNhpEjDNXe2VzdqN2/f + 7+sfnVqYqfR3ZQEIYOU58CDvnLgmPEha924PXL5y9W+//Xe3VjaW9x3rHR7uGuovoYBf68QOHTp0 + 6NChQ4cOHTp06PCPm450+w8jbfti+7dMQWjVr2cihtfU2sFKSlM7b9ALUifWw1rrmg32KQPifblU + mZ9ffPqpZ37x+ps/+MEP3nr3/dHR8QjJ3euXapurulBeXNr/yaVrzjlFJE6sJSuiiaFDlCsTi0sH + Dt2/unF2/f6WSs385OjoQH+oEWiwB8iINRT1dk/k/9kf/LPU/eh/+9///O+++Rc/+fH3+/q6mrUN + TbZcyK/dubezuV33+JM//TdfGRwc6umltvlTsTbK0K6H0XuIWM+ko1w+Xy4preBdalPDBorAGi7x + DEaxfODQsSur/NaZmx9dunj6zDt/+Vf/vqenXA4LgaikWkvTDe+3u7uLRx//8r5jz3bpvoJWhdGx + xeW946cuvv3J59/65jdOv/qz5bGR6u0bN66esfWtsSFeWj5656MtliSfYyY4LwoUj4wePX7iy/c3 + b3zne2c//PB/Pne2r5ArKnLONaytp6lt+nqjEUYhRRFMTod5UqFtWJvUFYEI3qPtJJVmvemBuJAr + lkvGqKRRFx+DBIrNyMhjT5z8r7bNn//Vf3z7jTcufvhWT1dBotKOGEsa21u1eysx0ZHHn5pe3DtV + LMa5GJKMz84c2+ZDH1x8/dRn77z6s3On3y7mtJJg4952bPILU8V/9Ud/dPq9H3zr558yhHziH1Kl + aFdebUm4AiYNKFbHjh27d+XyBx+e/c53vvPqu78aGh+vpXZte2v11tWF4VL/4PBK9UY+nyvEYahA + gHft9IHdOlWZbOcBJ4kVVqpUzOfCINCcVTZDGFFv3zPPv3inwb94/5O333r7vdMf5yvfaFrJaxnp + qVRX762ubm5t15597oWv/97X5hdnwUoy0VQQGj01MTIxMVgsV+6ubuggGp0YWV6cmxsLSgYhwAnQ + tDAGY/NPvDj56tX04k/PfPyLV6786id9eckX8jC5VFS6k9TvbxUpnF2a7Z0fmD20ECFmpN4rraN8 + PldMI/jEuxQIMplbvLepsILS3IpghVHKzM7Mfv1rxatXV95+9Rd/881v/fRHPyxVgnzZ1JsbyXa1 + ub1lmzK7eOxf/8kfP3LyseEh41q2RIIw6TiM83EUR4FRzCLkQPAiuy8yu8HKWequhXfIF6fnBo4d + OXJxpfnum6//5V/+h7/7a6viUAqFbcHm3bslh+NzC3/8J//14SeeGOhH4uE5EZ/W6js7O9Vqtbq9 + 01xf29jeqMITEQt8vbHzq1+99/1XfpErluMwCIwJjE6TxvbmZtKoKbhCLvzy177+7Mt/uG/PZNqW + a50HAK1AxC0/svcQgVLtJZdsRwHlcvHgQN/oyPDZ6yvNFOX+3v37983MTLYE0CxzV+AITrJbA+9K + 2SBtnTghrTCzMKMbj168eflv33zvzVd++OYbb5R7+0vFElW36N4Kr66mHovHnnrqy//ksZOPj46W + BAJYACClTBRFUS4yilqmcee989aTYwWIJ/9QXgJze5EpS+emoFAqVbqcUXCJbYKCrHiZUhSAvBMP + JRxwIFrE+dR5JQBbz9b6fC4uJiFnjnUPIt+3MPv4+iNvf3jh++9eubi+Hayt1nbu+ub62so1pDXt + YRlcHp459Ph//6d/enAxN9IXd8fKW5fWt2GrxSJ6B6OmoJ5AB5BW4Up4kDEmV8gFiuBdauGUKBOi + 3P3YE0/dqAcffr7+9huvvvWLV8qFuLtcKsYhw62v3l9f30lSvPSV3/l6/HuzS7P5KIZipJKQIm2Y + ECrEIQy3biTKBNbJ2tr65ub22urazZs3G/U6P1iM7NChQ4cOHTp06NChQ4cOvx2oP/uzP/v/uw3/ + SJHW39qAQMODHJAFFyiCgBzS5uZ27c5mPSj07N+/f3F6fLi3opDFzZJtNpRPDPmVu/cqI1Nz+44s + Lu3pKwY5hmbEcW5gcLhS6SkUy6n1zSTRJNOT488//9zzL/2Tg0eO58qVodGJw8eOd/d0lSKERCQW + SAGrYTmseB8MD07s2bvv2ee+tLzv4FA3DLKdteKNCBNxlI8Ko339+/YsDQ0PaqO3NteYSGnDrKcm + px45fvyFr3zliWefm55fqBTjAFCSKdIirZLuhoTEWqKmkvrG9s52EgT5/pNPPjMy3JeLWDO4XQaI + KAVS0qbcNTS9cGBier6nt5KkdWJI6pD6SrE4MTF89NjBL73w3JPPvDQ9uxyGHBLYN8q5cGhyvmdo + Qky+trFm67VSLlpamn3pxSeffvrk7L6TKj84t/fEgeWprgJikzII1ue06R8dG5qZK4+OknfSbLJL + C4XC1OzsYycf/4N//i+ee+q56cmZKGb4nfrmnRt313vHl+b2PnL06J5KDENZZTYv5Aiorm8l9Wau + UFw+dGjPoUNxLggpgdShKBd19Q5OjI5Mjo/0x5FK06Sa2CZYB9FIX+/x/QdfePrZZ7/0wr4DB0vl + Ymg8qAl2cb4yNjnX1zcYR6ZRqxKkmC/vWdz70otf/r2XX9yzPG2MU/nBxYMnx+cPTgwEIWDQiq8E + HKPlEgQxmB2YgZwxA11d45NTuXK5ad361pYK9MjY6HNPPf7Ss08ePbAnLHSNLRwcmd07OdFf0DAO + ytsHOR8gIWICKIEkzVp9vYGGRMcfe2Jucry3Kx8wlFi4Zs6Yrv7hxX2HRiamonxhp96wzpFLyaa9 + XZXFpeVnn/3Siy++dOz4sZ7+XtZKZQHPXgAXa0nTmgpy/SMz83sfOfLIY4+dPDk4UM4pqATaAUog + DorCfE+pd3RyenFqarwYMbmGta7WSFiZ8dGxfYvLT5184qsvf3Xp4J5CT9EQDJyk9Y31Rt3mSl1j + jz766NhwVz5HIAfSYEXEOssHtgngoBhQbHK5Qtfo6PjC7Ozo0AhE6s2q9TUi29fTe2j/4a999eWX + f/cPHznxRG9f2QRQCkTQsIBtbG5sNLhmzZ6DJ44e2tffWw41IIqzgGAGGA6peK9JG9bEDmyhPHRc + rgwMT8zNTk9UiiFLUm00xASV3v4jBw7/zotf/t2vvnzisSe6h0Y4JKWgYRXVA6O311MkplLqPXHy + xCMnT3R3VwJ2JM1SMd83ODw6Phnn8kSwNmUmJoqi8MQjj7z00pf/6e///uNPPTM+u5QrFJoe4hAo + KIZiMOCcE+8UU8stnMmxpBMoS4iIA3ZBuqPiQq7SOzq7eOjw8S89/9LE5HghgobAN0W0VdoRDCEk + YkngHbI1Bw4ADc7WCVwl9ANDA2PzC70zs16H4qVRT4yXka6uI8uLX/+drz//8j8/8sSzfSO9cQxN + QqgZ30TSvHt3rUHFXPfYs8+/ND6cpQ1YVsSKiYgQPMiqyOq2MXmQgwucBdPGjRvradA7sXDgxFOL + 82XNICQaWflASBM3r981OhyaGjvxzJPdw305hkaTm9ubDb611qwMTh3et7w8M95biiD1a++/+cbP + vvvT198ZWTz81Ff/4F/8y3/5pacf/9KTj33lhadf/sqzzzx+YGR06u6WvraiTTgwMjQyM9kbsGps + 30237wjs+NLe4fmF7sG+UkwBQcOzTyCp8nJnK7273Tx87NH9y/OTY33kvZEU7EthWO4bHp9dGp2Y + 7i6XxKbeps1mPWk2BwcG9h049NTTz77wwotHjh0ud5WV5hAJ0kb97q11n++b3rd09Ml9i91RNnRt + ykzN6k5qEUalpT0HvvT882NTE3E+Qjv+uCPgdujQoUOHDh06dOjQocNvBR3X7T9AO+CWIPTrzyiT + L5SOHjnaM7VvaHist6sLAmmXlvJejAlLfQNPPv3MHRtHQ/NdxRwLwIwwHBweKXf1dfcMzC4sf3zu + wtr6RjFUy7MTjxw91D86sZP63pGJaup7urqjAIpApCAa0IDuGRk7xr2se6tVzeXBmQOHugbbJjvA + kmGUPBCSLfdFh4+V9i0vvvvhuVNnL5z99DPWAdhoYHF2enF2emp+oTQ4aEr5AOBMqJYsDEK5lhsv + 8y8q5Eozc0tVGpzY0GOjg4VYZZJQy9OpFLyG04jU8PTw4NTe2T2ry5/MvntqZGtrzdUSY6m/q3tk + tGd2bnR+aaZveE4FKgvmhdDo/OJLY0v9sweHTp27/PEZldSnB/qW50cP75/uKqp7zUo8uKZ69uRj + aAUmBQWQQ3f39OBIaXpuaO++6bGRlWuXfW2nWCqPTc3ML+85evB4OZ8PNeABy6Wuvqee+dIW9UeD + +4oFKAXyD3KLjTFjE+MnTj42Pb82Mr9QyMWKSABSDCDf3XWgPNrfP35lefLsmcnLVy/e3W40VRTE + hamenkNT08uTk31j4/neLgnQcmMGpm+w8tzgdN/A+PTYwAenx+r1WilXWZrfc+TA8T0L/a5xOwoa + +dEkN7y/qy9vvpB1u+u6Rct1C8l03P6Bge7o+Njk9NT7pz48d/76ykqxuzIxeGF4ewAAIABJREFU + PX3iyP7p/rJJtypDM43CWH5oIDKtIbFb+wxCGQBACiYaHB47fDjmyuTi3Gx3paxbYbEEYZS75soD + k8uHFs5eOP3xuY8+vVitNZA0DNzk8OD0zMLi4t6J8enu/nyWRUrE8AIvINL5eN/eBQ4rt9Y9wr7+ + /q6Rof6CQSAgLxCCDuFTqBLrwpGjg+Mzya2DS6ffH752+dzG1tZ2PQ1yxbnJ2emhsYXx6fk9Cz5H + KTxBCDrOlWfnF62e3KyXx8YGcznVcsETcRbzIYxWbDMDCpBIh/3d4TNPHds3v3Bhz4H33n338xvn + UrtmAjvQM7g0t+fwwePjk0tBFKQerlWWEACDdO/g6MFDeZ8bHZo9PDjQHxgtcETMBBKA21bmlge0 + HWwiGqQXFhe6R5b2L86emRu9fH7q2s3bzkSlgeH9i3uPLC4tjo7H5bxTaAAEKAiBi3Hh4MH9oe1Z + ub2xdHjPYP9woAGxyujxuamu/uHZxX3vnTpz9cql1Xv3IJ4gRqtjR4/u3btndmbGhJEEBQcoD8hD + Ncp2s2Gz8ZAl3mZjK5NemRGF8/NzL0WlkaU724jK3QNzs7OlItoRx9RWqsGt6FluLS0IQ5hVO2RY + A33l5Z4jA3v3T91am3zvw2vXbq6vbReIZirFQ2NDx449WppYoq6gQWDOoi48AATx4vI+V5yf28mP + DvcEBnAgJmKVzQEQcZb88dCduOV5Zo0oN7u458lgtBaNTE2NxXo3XoEABps4l1/au9TfM5KEenCg + P1RQUICmuDgwGJ88+dgm9S1MjZVLBSEh705/8P67b7915+69r72897Hf+71DB8dzgthDqwZk29+/ + 8ub7Vz+799q5N1dPfXDn0aMND4hwV6myvDAbRmx7p4oVZXJegVslxYihg3yle3l56SvNYHL50Pjo + sM6uiCeQQk/fcmm4f3rv8pWbF8+fv3Du7Ob6eqNRg2BqanJudmlqen5ycqZnsJBmF5MZSk/MLT2v + Rtf0SPfslKHsnpwZwnlyavqrX/ud6bmrvX2je/buKRaLvHvh/999HXbo0KFDhw4dOnTo0KFDh//i + kLTjADr8Gpkm5QAFaDhIEwBIAwrwQALfhFc1yVXJkEJMiAGF1jZkEoFrwNeRpKkq1UwsGjkgEIEk + EAbYW0mcJFa8FwMbKVGaoQNPuuGQAhRACFG2J1wSUA1oADW4CA2DNLa6kIZITFZOHVqQElIgQSOP + JIZFmkAUHDU9JZYE2sMwJGAOFJEx1nAKMBAA7DKhwzqkAmaELT2XqpBNOLG+VJMShzD8UPmdlrJS + g9QBD5SAsOGReDRc04s1joyjAETaKuPYAFxwUAIEAkgDUgPlqhJuO+LEBs7G8KFOSKegBqh308V1 + hVgjJIRokjhYD+fBBirYJGWTJqdN7S1IkQ7IhIFilenRAtAO/Dos17i3rgOtEAFhS8lyAkvwSAWJ + h4cLQhcaAQLsEKqAB8rwOefhHZzdsT5pQDc5FDYF70tCDIIhaDgFhRTYBupADOQSa1JrkzQVgEUH + KgwUae2ATbiNVCo1093I2gOEACCCVJAqAEJwmb6lEigFUeKRenhx1jW8T8WLYtI6MhIhgavDqW3d + UzPMQA6IBfwg2FRALCCCBWrwNXiXSmFLChLozPNrACUpfB2SggNQ0HTUsNJ04kHKWy0+ICgVKh0o + BSg4wGedaQELqDq4Adlxvljz5SbDMGINQ6BsOnlACVRTKAECQSQO3sHahnd1J2KhPOuATAQdgBHA + GySwjCTIBn9qmra7KcYYhIyWiZi0ZJGiktWmcmAHcoAIAo8gS/5wCWwCL1WiHeKGQmhUZFQMFSKr + uZcJkoBBAtThatbnqy5vtQo1TLvqlMrmBcORODQZrKHgFdAEaq2rT6VUlPfwaYJ0JxGkbJwOQzY5 + QgCAIYyUMzWtBmxoMGyRdvLOASEQgVojqgpxkMB6k6TinRVvSTJBH0FglDasDYgdlANcNrTb/7j1 + XyF4iAd8O+sgtMQpEANwVaRbkCCVoMGxKKMMBAiAAIBvgMMGqAkYIALYN1pdQASYbKqRAL4KvwVm + cLRNYc2ydeSdCrwUvc2JgwkR6ETDtsKcnUZNownXRAIrXVXKUYiIYQCGzTY/CEAI0R7I2cwFZwNK + jDRhm2jUIZWayjdDaJ19eDOAN9m93Bo0CanyWtmYEoUIorEFvwEpbvpylVTIiIFYLCfVf/c//Js3 + vv/tTR/+t//2fznx9T8MQpQYeQJQg91A7e7K/eTf/q/f/Yu//6jU1f/f/Te//6//6PmeyAd+G3Yd + 3iVhZdNUGlAxkAdCCEsNfgfinRQ2fM4GHBICQAOBpJA6JAWFQFj3ytvUpSl8lnhBrLRWgVI6m3TZ + d1OEGmwNjS2gZ0uXqyFylN1GEoaDCJxDSmmqiGMVZd8DrfHA/8W+Rzt06NChQ4cOHTp06NChw/83 + Oq7b/0yyP3jlC4+QgtIhGY9M69k1ee2+gwCNSBsVRgT74A/mzPjGHFAERJm6KGGr+DtpJkQaGrBZ + YkPLKqWAQCAeUCpAZKCMZmiGkgcyjQAp0ERkoGPUQRZE0DpkHcK0gkEfyB9f3Dzb/kFtax2QNVqB + AjBrinOAa7+FHn4TGKQhLVNcoMAaBqEgDADdkroElALWgx70JjGgwSoAFRSCQBto9gAM0AAEZGID + ALrVgdxO2ASYwRQRKAp1FDJcdgy3a1dttU9BRTAmyrTiXzedEcDQrYuilGp3D6Fd4QkExVAKJsiB + ohAqATwQQ7FtC/z0UFe0ekgCTUaHcRS2XY5ZqxhkoHKGclFLAGtdu3Z72l1Lbdt3y/WdRVSQCoI8 + EWh3dcEBHhzABBGxazeCHkQl/BoMVmBlEOah03bftg4BDRJAgdhorTRlQ1Qh1Lu9CgBoV6pqpZ9C + MtspYFghCACPltxJYiEEycyZBFJNaAZrQBGUhjERKMv84KxDuGXobQVLt5I5EMAEoTEq2/f/0MB9 + 0IGZQ5QejHKCz6ylKkQYgqkAaCBq6dVissZnx/BtnZugoEKtorxRycP21S8iD3pY2hMhE3ihCVAg + FSBWMdiCsptAazqgNQF3x5uAlDbIQXtAwT+ouZbJnKxZK60JbYd866AEKAHvmo13P1MejKSHBru0 + gqxB2dwD4EEEE4JCQ5EivbtwhWx8Uiitibrr5m57cImzi06tzwFYgwmsImhtjBgIoIHQa7SHZnYt + 2+eWDTmPQGmO8u1b5UO3U6KHh/HuYw+mCoMUghicixWEvzCFWh/GjFDDMCuoVqx3dnkM2OSUEkBl + Pm0ngG409OaGuZ+kO9Wmdy7QyltJfdPoFOxdUvv8xrU79y953Juemx8aLkQxmAkUQbrBoihmKAPo + h82/pABW2hTAyRduvAqS+ccZTBEz6RBRkD0iD1mNs5xqQjb1CaQQ5KBysYLF7nKatPpHKXBgAv1w + R3fo0KFDhw4dOnTo0KFDh98uOtLtP8AXBYOHBAHJIl45k/ceVgPl4be0qgPJF1/T1mJ+7UjyhQNS + Wy3a/fPeQVuIBeWzrdo+BRRIB5lu1tJOYIEmELYMtk08eJgB185+xK8d6DfU24fbx4B5SEb8om67 + W8YN6mEdhx+Slx7uQ2mLig+L3NkjD9Qxan8gTKYSqQeCKLU6tq0ft3/Kw4fJOqSt3jKQqSjY3TIs + v64GPdBJ2y0n2pVu5YEu95ud0G70QxpN640ecJm09IV+IGqfWmv8/Eb0JLcbRQ8rWA+a+sWXUib6 + PDQg2x/xcH9kv+3q2TrrCX6oAQ9UMMmUOto9n9/Qux8g2ZPSlm4JmTCZjTmTtURSiGpJtwQLlUA0 + WEPg21fioQHx4ERbp09tDS4TD9st+413PDSPGA8uWms3PwgiD2+lzwS13TGxO7yyZ79wjR58dvu0 + 0RZ528+0Nqq3ezSz33+haQ/6tN14lpYbVlpT4/9i792i7DiuK8F9TkTee6sKb5AE3yAJiuJbEiVT + skVJpmx3z1hq2ZJ7+dHunl5rfmbWPD7mZz5n+We+ej79N91ruld73L3cPbJNW29Zlk1RIkVS4pvi + GwTFB0gQQAEoVN2bGefMRzwyMvPeqgJZAC6A3ItE3Zs3MzLiRMSJiH1OnGhItiP0biWEPlUF5s// + pw7U6X3pCUrsbciPRmKWGCBuqioBfChjirUZ2gkoNRL4ROADK5uQDsRAFFz3uKjRmjw4B8MPTPzS + agvrUY6R2zc+FgQpTKzHJJ9Qag4+rNQoRQEYitYLDmW1e/Zcv33H/pdfe+mpJ59buOHBa6+9cruR + RapGNJ6cfu/dN1/80eNPv/X2y7v22ns+ecP1N+wZGP/O4OarxPB2tVynqvFtIi+jAgomsqGkqtSs + uszQVheJPfdPBlz4EtkWdZvKTrV2onqMmdWbe/To0aNHjx49evTo0aPHfKGnbmeiyc1R9BNNFzx7 + y5hJbFGkJMKXbA3PjbRR00aJcoisUvicZyq6HxqQAdk6RwrAb9wPrl6CIUNAkvFHCkjk1xLtkfNL + WmciPREoM/I0Vsp6s8gEigS1gmqPyAYULFCKhFP2INEU1sbTSZ4Nyh3xGCQg9lRQo5par6NE0Jhp + lZVTIpTnmADyoTLQ4IHynFEut8ajJuO4wq72TMIegZWmKdQtUcqmr/C8ZaBFOaeIJ5ScPc2UBkmp + clELBImXbMqw9hulrD00GlFdbjGogEFsskUkkZQTbwuEj7FNE8SgNDAEzsTOKfmWuCjQfSa4/7Za + YG4IyJ7wpGHMQGDyY8zq2Im8k2+it+raptRs0otzCSZo7YicvG5t8D5GKkygL016KnVz9SEaWEND + ULRLw5kxYCpIYoAXCjx1ZWAzd+BWI84oV41/QGDv+0nIuFLPG1aZRuI6PfavZvK8rQvsMQ19thlA + pG4B7/lcS5Zqv2BTi67dudZhGFOb1ECXM6WGkp6MVHyqUueVRpSm7+ONXqAEGPmVz93z7tsHH37t + 8T/7s//7Gz/6/h133n7rTTdctn3b6sljr73ywqsvPf/iwTeWrtx/769+4Yv3ffrG667hoDoVxsEy + M7xFzdZlqNsRZy0hSDPYSyjsvahLyVkDSyWJbttkfHV11Eim0xq2xBYJ3KNHjx49evTo0aNHjx49 + 5h09dbsBqOaSOtwQGb9mztnAxsq4diQNfzg9m/ESTS/dhutozqtm/n2kMEQFYGoGy7sVamkxKEC2 + JoEWQQ4kGtw/fbDLzDGwxULW+aDA9QUmx6a7uvcHF9WWl/A0hkBBAmNS4kjsZfzTkL3JPUNrqfl3 + UZ25+q2NDf15XmrqFp3CxmzkzrOp1NqoNyDlRyPT16xPSnxQSwChHms22XY5F8pqOT3XcILsyDSj + Y+oG2SjjFKImcUfJDzK/P9vL7o+pixXc5UcZThN1G1qGTQyyrX1fbf0OAsNZlJEEbGe0lfNYIop5 + Np1idfum32meOFUFlLJGl7zmBZTiDEx/rW+ZCqGuF7kvANUfQ0oWZLq3EkBQf793NfbulaQhdEHz + eLpUtakjtFtUlgf4ELch+oFWICYYdEl89QXypg8EhRC6u4mHjwEAkwAisC7G9LCAQfTujbyt1Dl1 + gVgPDqRKgIEqROKuf0exmSpIlchHaqhbIzITTqM2Znyr5Uq18sjFVAd39ZklB1iqPYt9bmvlQ/D6 + VT569wHV3ywuGz5/8M03j5x4+7XXVt47MrLFeG0CoV177/rKx/6bqw7sv+mOj95+YP+OhQUnsAyF + EldgJsYAJlHeMV8G3iM30tWZPcAb4TQj4evSSrvuJQZMCBVBCkutewjg2oSApLAyXdWjR48ePXr0 + 6NGjR48ePeYePXW7HqjlX9hY7nIi9WZ43SJ3s6I2GbFuwASq79f2apzIU7c5bwuABDoGJgawPiZp + nZ4RGAcycFwfvZZ2L+cL/npvbnOjfqBukyttLYuanwocHVFNOHWhKdyrprJ5F2CqqT+k/zk10aYj + HgMamecp3r0dJj2kQ9HfONK0nY3IRFnNsMICSok5zKqhpkczRjL+ydxe4ylhnfr2tFrD3S8mQ8lJ + M6U5g23RViiHnJ6OnGme6yR0yqnbTrqpvBoF5bm5rAZiFXOIixAjxTKix64ytEiNrCEiEMSiDD6B + zZ8agsw8iiPpF2TfrPUuu0fR1AFA46lcsTC+jGo8gyfN51MeNVDhFJosNcWZPaGpFih1K65lVrcz + 9eylwEhSCD5r1HTEbJeutrVMhUTqVgGoQCvAUKDgp3DInrDmcLPzZK6DNcmnFgpyDCewKfAFAcAE + IP8td/U1wVeUwDZStz4ItBDIgPyZcVVNyzqoA5jJZBGl624f1QvVlotWMRqBnLNujRAwoSk9iu1J + ACE1HJqDp27zEAoKAMbtvm7Pp3Z97Jpbrn3iiZefefa1F19+fTJxlcNwOLhs7/U33nTnp+79xL5r + t+2+Yrh77w51LBV0gIoUXBkmghZQcgoyxFFbeGYc8KETJFZZcJcmA3VIkoy2Es1UVex/okGNG29o + IcDELliXmqh+ODzYe9326NGjR48ePXr06NGjxwWGnrrdAE1CSZuXA29I6yyF6+O44kbtjGJoUyr1 + wUpIVGb6rmHBL5yCg0a+wRFMYAAcUBUoRuDCUxiRctLghBi9JymlifoNlC/sW3SWnfZbukYAJJCp + 03iWmIyCpLlZOBWjQd0GcBJyey9wTd1Rdj2TcSxdTdUBGmuhwaelx3OuFPCugbXLdeYgRz46ZiP7 + eXFMxm5OEUQk+Ux6Gq3SteUz/QefWM7eaocBn0bVaPbajF9sgPMCN0nLFq0Yk6rddw0wBAx3s15b + OcikTfNd3rXzhRoPU/u2RrnqLw1vZQWixyvgieVAbtcNMmO4Eteb+irHo8WiVOq3xDyG7GnYut/I + FwEx/G+4R7MkCEaDizdArnaoD0/bbjvLxZRYVPi3qAPJ+vxcnW2lmMOMYQ6coUP7le3WoslERJFi + DknHMAjUeCq+V0LXqA1bdWdtPKGdckxpMJxEHKpNES1ElIwDmWtwUnX+1eypTyUgBENmdShGO66/ + 4bJ9++74/OfN6tpEITAKGgAjogWyOtpGw0VlQIySd+omBVREGAOGLTQ5MSOy1r7UwmSSgorsLZFv + eioxHjEnCeeGJp+qAgRO/t2xcUgmu66TfurUU3t9jx49evTo0aNHjx49evSYO/TU7cZIJFyTr0Ri + kXjmgpjqBPxqPD6S0YDdBXS9SI9kJCcOlqAUth2H747hAvepUAd1BZXAkBN1GyhbFgiDKEba1Skv + zdb8WQ7bBW5lP2bWU7/J2XMqMZCT0xkoJt6iCGsGsuH12Qz+2Eq/+1UDnZqkV7OqlKXZTYXqIAGZ + 46VmMvAfW7u7NXE03ruRWvmKb2+VOieD60YypZhtfjKnqEKkAmSipmZm62zGIBE5256Issj6SCaR + qdShf6kKkbAnnwxhEIjpuJ1eUlEDr5kEm79xKjqtr329dTM15IKM3FYFSU1zaeDAJPGHyGQZ0smC + OswM31xzkD6TPgxzkKkS6uPycuq2qCtEQIaBQuEURBT2wqeMx+PyuMFzRxLcZ0DqUwEFWkEdJX/V + aWLKGGfKBJaIV3/Rb8znWSRfQ87hiMIkOwrxAVC3gyhIBQlUUmjdzJ6kdfTmLmk7NQfh7U3NFDsQ + 1QaxvEQ5jG8MURDiGwrxAGDIcDhcGg54127PS4c2JIpSyBQgcgolGOagqcJ7nPHBIKh+H8W4DYg5 + qZnZ4LwctixI4G2jrWGaY7gCJNEDnWq7W0tnz5JXjx49evTo0aNHjx49evS4MNBTt+thincXYvzM + LI4tuuQcEhtEYTt1OEM+UBvavDE6UqUL3Vu8h6YnmgTgREO6EAFBbYjkWEFNES9E3tbTByxQA015 + iOSZ1gRUoog7ucl42xb3gZwvi4/lRELNr2RlUygaAXXhCe4k6twH2HNeYW91KlErqGuXF9ZIxQHw + LArBAUpgRUYz5Vxwh/qIFZNOlkJ2NhgpweU0c8pKs4U0+e7AxXNgNV0k5rh7d4Pv1+Y7YjSGmCYj + bcnewELgj66jWuydV6N+Km8CTTZQkewLKZIpAYSBAUg1nrwUfCwVUPIhU1OxVOKLOkSdZjkP1H2z + SfiWHBw2a+q124WCk6IATgHFIJCdJrszEr3Zo5yl0C56vEwxHENqSOxACAR6sz2qgnKvW5vnWMmG + v+QAND0umerM5F0q9BTfhkK3VB+ZQKbnuH7K/1wbJ2r37Vp2kgTbrqBYHfE/H2okRtcNKXDMnQKi + dSSDxDNH9VSHl6BYFJ5iKqj1VrtcKZlMSJmPdf2vhA5Sd3hTG2Oi1ytAoAJsXFmgYva+tgSgEjgl + hjEFCHAKT90Sgb0hgLww/Ol9BuBwEh0QBeK1lyrFaCLRwOH7RdRtwdqn2tFJXvACSDyIL9vH0PS6 + baOj3Xr06NGjR48ePXr06NGjx3yjp243RqKskIfmbBBYijrEawTlnyJP0eAu87s805AoBK15Df/a + RHNmENRHJ0lw6bJQhgIQxE3URLAMJQXUwQgM1bRdzs1NyXouhCiK7ObMLa5ZLu04ik2FxJCvnmjU + 6G4GNIjkPEHOaUxNX6a/SnPio5PTBi/aSEaze5EOac/ygkQ5sqawoa2coaY1m/lRjdRtJqs8NkYj + o2g1pSmsS2pX6UbvKTktWEOdcvQynMp6hbe1WPGp6fkgyOCseUuM4RvF7KOmFhnTXEBHUT61S2bN + qTUqNHatRkX7ht8+smymhGpqt8Fp5t2s2UEz6QWibJbzaU4aQzu2maxEIbAsJXfUVCgFkaeh/Y5+ + V59aNqVEiTFGXpAsxYYn8TRx5AKzPloxpXPOmlaI1OsitUqpaaSSK4jIeP/zunErYsQYBYQABseo + BQCiM30rR3UE3ZkduyHYqJUEwf+0+XOjA2p+1Fx4MJqPgrbwv7E/48sUhSOUAg5dXRiudGMFzGAk + VDgUSR+wgskYGkCjnzS1AhTHTqeaeles/6Bs4q+Nsmu7rKmxZXq22WbrFyK/fSOR9ujRo0ePHj16 + 9OjRo0ePOUNP3W4KTTJLplFMGy6JG+vt/GqDDK0vS3ZN24SUErR2k5OwM98wLIihgNTULcK5Zs6B + BOyiP5uZnuNWgWo2qyOP6Y5v4bl2SIMGWRaJWk3lb6bcEVntmqr5i7pSm5YjIGNXM+ZOu3XWyGWW + /ZzbAyIBG4QTtkCbPJVaQhyJQ/9MTRHFuxLnNoWhncJrZblq8ZuSV54KyGAKUs6SA+CUl2iDvtPZ + Ivc/G0AIE4PKYSQwru0J6BhjBYBBCMmqBB35ZkuodEZ7nFpBqJk6zYix6Zxtp4WLemnH0ihBIZFI + y6jI9LrU4tpReRtZSlSjZv7gnY6SWEujEE3lq73YOficktczpiXxWRloV4/W36Y+Qq3WFoxDLs8K + okSo4f4aH212FQGRj/88pZlw9N51BFerO0/cZyLS+q+0KM+u/ugKQdonLjbkkz4qJLf3eAK82dRB + YKiFGM9sO78PQZWVABowvKwm4AoxEYUBsbMQ7yQtUR5UW7h8BFvEZzLdgoboE3Pd1oeZCDQFyjCN + W2rNVbPSPXr06NGjR48ePXr06NHjgsXMI8t7tNBd/zbZnenMTvZU2CM8jQarv3WuZDuLOyl7r9t4 + HzkwYIP7o7gGe6swcAAcUAJlOqxnZuHa78oynP3t3BPYh4ZvcSPz7YdIGxc1f7D1UocUACK+q8mx + tV5W52iqtHXai6ZIuc6Bdq76dDo5ab+s4buavCWzWxqUWWJbpmcpZ91S20uibIhxptOlhvtTWu06 + 6rx3SkaQ1QIAQklYASaeZXeNynTAhFA2ZKUUQ52WFDb4N5Oe9v4sH1lw14Z0puWyfjx7qq6APB1t + Fite1PoYsI4rLGlGbE6XFFJjcbG6s2gmdWot1+C2JDqV0i5l/bjWDaAjlPBT1kT8t3YteDERYOoS + 5mfghXdpqzK08Xx8hwAVoSRUCh9xOONpWxXSqtxZ+iNdoKbIWvKoLzW9bhGLl25PgVDgj4QENB0N + qWABE5hgAevDWMMHp/Dq1DBYCWUBZ4AK5rTjk0LjZtY30+OSZahdUK0bjU5pqfVTM2p+dvPs0aNH + jx49evTo0aNHjx7ziS3xup21FDzjjZnZuvmD52OrtoP6navZtuDwini2TPTcIoRjqmiKICIxwtAC + UO+DmR/7TTHBDBLovEZJ/GbtAgrEo2l82Fd/2I0BcarN4FIZ8x6csthk0WPTdt48m+h8pZhp1PdH + h83kQZZdrYkgEEA+Y/Fi8EEN3pXkz08PF+P7EjFU+0AS/C02Ro4E2lmaVZKQqSxRigffMwXn485T + 1PgcwlimuBSe7golA+IZRASYEL7Cpnx7oo4BgCmeShQaUS2uSLjHPdK+UVFGkqWT39rVRPVdTflT + HsuiI5Mgek/gEkwIxpmxYCGLnt+OwQ9iRFL1gkxtSetSDBnG32pq8ftPlsAMtaBa6OQlU4RIqchS + bHW8KfVrkqim0reNl4dwFMSwBkKpIZDPN3N6X5ANkkjDRyZ/aF2dea6LbUJ1sH+q9h/muiwgn+cR + AEJhYDhlA7UWoLqLZLWbV15gPH26rDDe73LBs4cAaAjeASqgaiOn2ZRNaFDNhuHzZjIpE8H6ALVS + Kykv7HDoYurg3R6bsuvfSFAGIYRfSN2xLnb2WoaapByaGe1o96xhmDwPsZjIopYQrGJIqYwcpFkX + gAhgSnqGw9+oLSnkLQQpNoYwiK2QDdhXwICi8rNEpjnI+kgXJrXN3Dk9a2z+sgUKKDMl3ZcrsYLj + C2sdWLfQpp90LZam4r8wMXvCUc8gNIuw4ztLCkpuAMB7SxtXq+IUACeldraxDoc+8+WR5Vfy0w6F + D3wfC6uANxVYgUVr7Jh5uueW44MUrUcPj2SmykeSDR/pG9Z5QTIGRk0LENXRrBR+mqn5tpg6MNHF + Xmn1olSyCP71L/Gji7N+ao5jyEIibY2sZowBm9XYW7vKvvQwkyTY6OZ+EfYYAAAgAElEQVSLU+SN + 1hhdRbShPcLkrKlPgOyIiDyNHj0uBWxVwISp+ujMZlNxulYHB9j8wy03L9qiPuyZAwpnLYXhU+O/ + kcNonG0+LVMUFoxhRHYZ60UERu6uG7gHqcNBxrGcAmk48IcrUWQfE3XI8aj0kEH/syb1Zhhkp6zs + YwE6Jc9/M/XlxA7EE+Ej1xf4L8RTwcIVyvmUjDlIHFnGWajJaCM0nxu0CKKW+18j15kom8sAim0+ + UTUzaCEKsT9jngUqkd9kXzJ/QxaCt4JWQBUYLRiNfIAJ+U18KmUZY0KB6LmZE33x5uhmR91yUmIH + KcZHCKwvNW6kxv2gSO0rSAJf5cM5hIzFA6/8q9mfnBVPiAv128lqARDBmCSQ8MdPWAcEYwITnrc4 + BmwefDnLLtV2jqhOsoKYZFaYWv+N4MFRSARravsLEEKShoaaJWaolWpkd2t+MD5RJA6QwqtMna2m + KqJA3QLG+O30VHctU5Pghb+U2kC7f9a8PPsFgfXSDyIbkhkAAhXSCVGh8aSwVKSm76WDAmQVRV2x + IfyG9XGZs0mSZiaoqNdy9rYl+thFCYajeqIglxnULbhhiWiPB1nPiPoGdR9M173ko9kq3GUIw2Bc + yXjQ+g5q/hA5XhPy6lNJtgnjtZK2GzwAT7/mutPn1GuQ+grDWahXSqFHeeOBWujAt4Ske7P2YPJ3 + ZH2AMhXLmTT8h9TaGup/XhAb6OxFpE751LzBJ6BgyYPkqICMAlVcOpNWICiMd5cuQsvUGVaNswHN + /s2x8ZsV6iAmS0aBqjZFrgEKjAQGIE7avGYMznbhPnjRelyiqMPWBC2bT9sotdoZbSqL+963sXON + hoKJ1ZBOGg1n1TJJOs43bTwj6jrHXFTIFSEJUMaTIWKrRWrWzoe1q6fBNWGr+Spuq3LUnFXN0tiY + 2vl69vYM0BCWZroNG9VpfvP0dcDFAW0pfQqb2Di1fVPbPSi4E0FJM1MQ0oFAW5WlHDTzF1ysldJj + zvHhqduWMvKgbHRYt2U3bC6Bo5oyMMwaU6bdkojDD426aHFBSH7czQe6ZgnSe/PJJwMkdYaUUEWt + bZAPzSEhL7fGPdB8LA+MgncQ4oZwOGSiW35l76g7LQDqetLqJJZfqGXgd78DiWQmgDg/qItC/jIf + W2okQ9QikbMvzedm5LhzkdBKEZTaPHVuzb9o3NkOz5SrQlIASoBMRSizZxkCVMA48ngMWvSRhZEG + IVCkrOruoWHGFsTQmEhp/KxZuRsFaki3Ka6pMsnuV4BqE8EABBVoiJxZU7eiIBbiKvrcQqWZRiJx + DWB8ezWp/Ye+wsn9mVMpKeWpzm0LjZVYe44/NZJvo9Qd0TCnd8WOZQCTX5wq0qxl5qwb6nZJ+fO1 + Vm23SJsSMKk8MUWu/zZNGhHa/ULk10hDgGQMVODFCagEjWAMBDoBiKhI9dHKENSvKArAuGSloBLw + DueFf1V0HhdoisNLkc/uNLEp7+HpfbZ5NX7s1CxN+dT6tdPiW4rH/2trL1Vu3o669tOqRrMMUei9 + 3us8UsGqNqWRXhNYwEbtxZRE8xcAjAmjBJagJvYMAryVrUh1ZuOyNxsUDLUkVbfeToeqC7lerzmf + SDpvg5G7DmkxY1IQQmBLFlXanzEoRFVtGKmgUBpUUXOx31HRDHiyFbOImNf2hGad1XLn5dld3hwo + MdyMtyV46taEFrPqAyBLsBJlbzNnoXAfvmhbJuUeFyZSn44dELEZ1ZPixv2tSxpTofadPc4+NN/W + E1dvfvLsXRIgClZFMP/Xt4XJbtdz5CJCTUhJNB0CUQCZiqyi8Zc6g1DD8rYlOcIUvat1tsJ7G59a + Or1nbzeFKWOgZt5WWntBbZzERSjsNjkd9X8yqAdpMYRQAdafti4KqqnbOq0tUiTrTFw6t1CYs16E + ldNjrnG+jymjTXWUzSMjv7a+M515ZutRkuoOnqcxa6Gar9LRZa1m8COby84HeHAmUkKaX8pJoumU + zYWPTkuYWSXtVUeXPZv1jq3uHZtAlyQLf3VaXmbUbHf1Ts1fm1curdX72Suqxmja67+ixbTWsTUo + sX+avlHiAmNHzkM4XIg1t9kM5629Q07rlCad6fdWT6H8to27dJT3eej+5w+doXG9+1qf6iu6zq/o + DEbN8fVCRtvGdbGOuj16dOF3DF3UDODcY5o2zj+2o1r1CmrTOFuzrCnptqYcF+L87gJAyyC1maVQ + Xw1NnGd5dBYEPXqcW2w5dXvmrfjSWKAmmxKaK8zs5/xLzpZE3naux9FWATaxIqb12JE5QyrOesRB + k3BvOICcYeFSZWuj9s8Cmkv8+jXUuELth7QdTqDHOccsbmoKbdPtjZRXMRkQQdMG+/h7ClKdBy+g + Dd0ELgWcsfJqsrczfkTyHbgUqbfN8ba6kS5sKLG6Bbe0sRJIaUMf3/kHAfG4yViW1EM7dG6PHvMI + giKLwJSunkkK8z0/vmRA+fmozR+a3/q6aqOXyEWHKZOVdGmTVuOLuVXMmsvNXNugMatrmPvPjpw6 + qV5ItEWPixjn2+v2EkHuhjr1h4YCS9Rt+pwtPedRV3TV2Tzm8oMhY7iiN2KHtYzV0/1vmiw0S2y9 + 1/r7NBvoz8F8d9qUe9r5e1Nv7XGuMMNwMEXPUONPk5CNSPFZAeSNvG7D3IjnMCMDlxY2K4Hp97UJ + xpm8+iUi6U1bprKGum5KHbHWpHg3qUDmQtP23fkXPE35lL4zeifEHhcWZu9aQOihAKb8Fi/3Df78 + oK0q49kW9bqlrxigtS6YtuibcXuPCx1TBujpP1yCaLAaTZ6ju1CZkcA5dv3ra63H+cfWUrcftE1v + xvK0ue55Lge7PFMzaNVIwJ0xODtJprmSnzt0y7jpXM5jcWp02hK1xlxq38nQpq/0Rnx7S3ba/jG7 + qXVxS6CNMgWmOH9NjPHaboKtXHzITM13M5hTxICpzdVA3epmkn+x0jn9nkfrDSk3jgDkRipbFnNt + nuEL+4GnhK3xiqZ+rK9w50q/aPsgSG2+o3UVpNTuF/Wf0NCnuf5tbc4+1OOZf8nUZhSVQH1+Y/3b + 2Vvd9Mq/x4fH1k2de5wXdJcoU3XUjF8udsxgoZoTg1nj/tmaD0xJsR0tYeZLL70q/KBYp86nar2Z + d15CIu/KrFv+syeONF26hCTe40LDllC3W9bC1+szs1fDU3/fuhxNv9rN5wwpnIlwGtOedHZijHs4 + v6pkM/lap3LmtVhTOJf1JqaA304e76Sun1c7cZ3RbrNFCzVu3Wq0pwpK6WKL180+pd83zNP81uyF + jZq2bTF/rSsNMjdeo+Tg1PkttUTOv1M4XC79tMmYrT3WRS39lpKgzG6XX7yketMH3pVW940ostBc + qXYeT4orW5uGg2OUqBuW8ezhDKcHNXub7RakpqYOPXTmiZ/nCpdUc+2xFeibzIWFLKDXtOEq7S+b + OglpJnERY9pA35n2E8IZZTOf38LszBzgzsRz/WKvtrOB7kJuQyle/GKeaqzoRu7rLmbqv9lq5ixl + qUePucKHp263mDJdr89s1J+o8+FD52UKq0bRM2fq4jJbK05FazdY5L/aOWZ/Fms7ih0inbsh5iuI + 3yyuZ64yOQUNvrYx3WysjeMH7h75viG12W1LzTC37XdsLbJoj/kLlNpX8on4WclJj01i9tSPEnWb + NTyaNR1vEfAEAOJ5w45mk/STBE/sqbtaLzZ8mD636Wep97qd0ZaS4eBMl/fNiTxpGnY57xdhtYzs + ZkVX8c0Z2o2jNTUAoAakALdV9TyXqkePHhcmIkuLploSCr7/FG1L2rYxNR+5ONHQwrNm9LlSnzb1 + 7lz68Jk6xw/2iDjjmcylgFns7ZSVzrqWh63OV48ec4qtCpiwBX0mdskt6X5b2IfbY+90Zi176yYY + 5nzxpYEJaYAB046/Xae7Pmkyb6vP9Ylsmtu9CdT+1qBqu4xL9Oua+djm3zjVG3crRaTpzxTqlnLq + Nmt1ze8EyIx8zWFlXnxoxVz2urOmbuM9lN3j2xUHJksBoobvdLRHNLukAgIVf0BZUkoXfQS7Vgec + SpZtMp2Iqaouix1c11zmNj0rsYsNm/Hi3wzqFDjvGq1xN26H6DCfivwQxrOFD5U+tTdzKLLtHiBv + PuT6Nee01Wz4sou4Dfc4y5gxl0yuAX3bOi+oqds4kyAodVQ6QQCett65qFFr4e5mmoRmVKr2g1uZ + lxmy3+At6zFmPWai1QV6/dRG18BMad9QuqPuGxQIk3kjOXr0OLfYqoAJs7T6mXWuD+zUN3XEO0vI + 2dvuWzfx7tYIHfnqpgLT4L/ZuBrV2VQRtd58bhnRmW9brza1+WGuFHEnM6nW0vbbhtctAZFwqdcR + 1KnsDG2PKG3euDU9aj2kgiTx1yNinGSnNqe0lX7Ac1XVFxLqFdKU08Yo8TXZEmHqaoGgAmh07W9U + bYdCU6hnb2nL6v/CwFSH9I3R4WrT51l6u+t1m6LlTE32ooSuU9xNl71WWNlwrICCFBCuVXRYKneo + W2TZOI8i33ghHT8pIASTDTQGIMBc7A2mx0WHaeQrTdlBRt2be972/ECT/bcBgrBXs/UgqpcYZZst + 62qLbMtemN/aXCtsej35ATI17drGVdP3rzOEBr+HbuWu9wguNUlT51MtrNgwKf9xekSrLRTaejPA + zS3ML8V67HEuMUdetwBUlbzbV/wwn6AO2dEZZ3XKA3V3bpCArWmnhttCkp1do5KlmysIbSbbzebZ + gXY+Z3wfEMvTnsSEYmskhOZT1bVNAlktUU5l1SQ0Rw5WsfFw3aAqKPNBxrSa29K6rNse+blFNv1u + TbJVfdGlEfLU397tp6rrBvjtsQXQrC22J/sKonQEWdykGJ9q3Afxrv1ZzSuaFBoDUIUKELxuUXfV + S6GKM5lNtdRt/Gyu5Kc+RvVd9RVCY34a6uVik7imY+FzWaE1cmVKt/l9qkRaGwaCicK/SwjcMGlo + HI0zbddM+uyJPM1zzuwt1PiQuq9yyrD6SR0RNSV67lrPOZl49Lgk0NEMSRf6DqBhZz6mGB0vPMz5 + wqeBbHwKNdDQoj7IkqkvkPg5pIIoU14XSGk/KBq81CwtTD5K1dSJ2gdHPbz6b3XTUlUAzZZ2kdfD + +YBmocbIr560Fb+MWvenD5d0dVCa8qYvlJbbDXIBYcWz1TYhTX8yOrkzO2w90aUDLvF67HH2sFXU + 7SagKqp+OGFu7yuXCGstAFdVxtrWbdPGm/MCjUQbFFBVVSVmVRVVwywiqsJs/I9ERN67TaAQIiWm + bJRWwAuGVJkJIIgAFINKiiqUmURFRQwTUZi3OidOXGGLjkyo8+GsyUIhCvbUn4BNVjK/OBUHgIyB + igIUtl0TgLXxmhNZWFicGY/zvKJmlIFIR+ZK2ftwEYCqgiisBQTqi0jkfRWjs2pzB7SqqID8rdNH + nRYNjy2uS98TEZuwk0pdZYrC+2opQRQQBQGiqKRkIwrjJBQOQDWZOJHhcETEvneLiKoyMxMRs4gQ + ERGlD77sHqCA8NWXMd0W5NTmtM6gpahqpi7W1x6tF53xu84DKJkHAGQTGFVXERvAz91ZFU7Ex6jl + 0IpVq5IZMEaEhWP/VXJO2Iblltc8BNXJhEgwsImp12xCmiOvR/9VRYh5utgzoddto1XIdaug3Tw2 + WV/anj+3kkqkl6qKCDOrUlVV1hQgqsrKWGM2cGps87bqKoDJGIAQ2nuYcPpe1hzrGuGGve1rTtui + KmIXZgqKXJzzo7yIAMjHcfVDHXNVVapaWNs89hDTiL8waxbRWj0oVNJImkwPFAxNAAgqrqom1hAZ + QAREBJctoUkFojAGIqrimL3qVoiCOEYe2AIkxehz7/UhgMlkYozxc57NI40hokJQZoq7DIPydk6J + Q4sSB1G19mw1HxVRgMO0R5k5NIZZvb5Hj1mg1oRH42wkQaGKqoKxMAZ+DgxlaypVEhmYLeuzW4Ju + xwfAzM45AMYYxLWPMUZEJuPxYDg0zVI451T1TLXE2YaKiqgxnPsbqJAqoI6MOC0hbNgAUIWTUkkY + zMQahzRxqqrWsjZHijBjBJwITVswXoCg9hao7KNz4pwUhfXzbXFCzMZQWDMrzlSbqmpZlsYY35aq + qhKRoij8bHx1ddUYMxqNAPhZe6+uzw5U4VRBZFWlKgVgJmstRAA/65vC3l5KFdHgaAEEhehXaxyU + vJtUZVEMBHBVZa0lYgIq56AorHUiqrBbo/y1kSUVFSVjoBCvshTOOWaT66TGCAXMeT2mFXc+Rc/X + bqpaVZUxxiteP4qFUUn1ItLJFzDO4WzAk1lx7eVXxZG9oXgLhaVdnOjk8545oG7TkjL0z7CmJA3L + cVVAA2tX915fKEJwFWAA4qrKTWxhmSg60nKg+RQicSXvH/LekeT88SPQYIEiIiZO4SlVhUBEqUed + Q0FFVlPFf1MvFl9bIqLOgYmI1asKgNgws8ZDZNaJAnXe0KBPcno5sQwCZWjtjig5y6JSVRM2ICqc + KJEhhoongSm4W3naHl5Qnh4+V+ebq1aTMbPywEQ7BDlfH+QAF+lVKPn4ZKLiRIBolCACETnnPI3l + e2vouapaVZ72sk3aQDO55hxtm7X1F1vYdN/3uqLlwxIJs/XSqX+Y44lslEt+XFhseapECjiA8w16 + jHBaiChIvP4QqDDgiUIBFMb7YCOYGpRU4E1NAkxKNQAPwKgqBaiwoefOAnltOPNnolYVR9WZHm8X + fLZT0hnU1uZq1hsjAg1ISgQnzhsXxAmUjVnf8zjWizoRRxq8lv0a1TtGKyC5+z41nux8pnk04ifO + XUS85ZFqVUmAqLqq8r07TRApWXCaBrEme4spxW20d80G5Hq0DXeKqjivbKFaiRgiIqhAlQnG8/ME + P/wSiEWVvV4m3UJBR1uVpq/OOY44g/lMmF+AUmdOZilUKgAYZDV34EJQAF4bxrnK1pTNz+/hCRdV + X2dxeA9mG8/q9qRAjw+KOMf2XyYTFWVjAUA1DnpBR8/hkJ2Mf+Gzc8QxCHWmJCmSaxSXys45PyEy + xsxp90nTNVFFRcyA8VN/r2gZopCyVCICCTGINF8+eSGoyGQ8MYY9jyAiUPWUjQJJVZ6nQm4haNro + raqBmo9mQwBKrEQCsKggtPBNTlrC4JJ8JqDqmxBFYfqBeJZIVXX9GXKPTcP77vjZtu8WDHCYMQm0 + YbDX5toIyWKdPl4KUN/9w1y35oUMcVgssGdbxM89CFRWlV+Kbtn0WBygYOOnXE6cYQaRiAKkBD8D + a2S7UVvnaAX/ARAcDYm6K6lkcfdKWDMgDrL+p+5Kvce5xzkdEfO1inOuqirnnMa5vmEurPX2FlsU + /p6yLP3wg8xWcF6hrf8obMJUv7yH19AcDMt+DIUfERlkmMgA7Jw7fXrVOeftckTKDDaBu1Dn2TxA + wQzD7McAE1Ij78DKbKwtCKQK9cSa6LlW9hQoCWIYAz8Rcc6Jr1kVMBFhUk5UxJt4fcUrUBTD4XCE + /AjweYPmASry+ZP/wQUmgMFpZ1ikHUR0Ml7TqoRKWVZOoIATcV4VMvsgYU6ccxJXvIp8i/tZBAG6 + dvr0eG0NKiCwMWxZPAMrTlVUVRQOIIJhQyoiTtRvnoeIWGsGRSHOiXMANBK1xhhv8y8nE+9d0rW3 + EHPy0fMzxTAeTBsSWgaeDdHiSnLkY9L0V6T/5hVpdpBcDYPBBECkdMI+cb9UIhhD1sAQiOAEwbWW + CCrGkKFgdRAGmWnEui1ANJmMpaqgzq8zJ05kWrdt1BTRBsRNR9qUoVEL2sAGN585GkkBAJw40aBy + /RJaxIlztjCiOilLnam2cn5RRZyrSj9phyIoRo4Mm4Cp4XyhU5IinDOLzgeCeorWuWTD9yytF2ZZ + VWVZBlUQkaSttRw7TbsJTpWTeEvyDE4Mx+y5WAK85y/EFpaYHVCJH4+cq8qqcqWDUyTXVCIQWwGJ + qqps5YiknsOUnLr1Mx8ARVGYTbuK+FKK+MISETMZIlaIuFLcmriJBBduRMdxMMNYb01VUfFuXFtQ + LkBExuOx5x38dCT53vpqrZzzvtVb8roeFy1yNZ+Na1kghND619bWJpMJrAUTIktlDHsLop1Lgq9u + /6qBmgTYOzTFqaCx1mvI0WjkfXInk0lY+wDMvHktcc5ADDYEgqq4qoKKt0iyATMBYghQHU8m4xKi + YtlYYm6Y0sEMIlobjz1VLc6VZTkpSwTDropzufK8ADF1HNG4lV5UZTIei9PCWoqUfmwdYfTnhtjW + g4hMJhMvzKIoAJRV5Z1qjbV+gCaihYWFwWAQnskmPReynOcQvvokuEEQFYUtLBsO8+0UzKl5/6VX + BRotdNBgxmDDbIjZrzeZeVAMWAEiawsQV+LW1ta8y9OknChgeIs0pEIqh8oF06CqOL9mhDhxzqmo + t6bp9ArLJ7FzV5tpekbR5SJZcRItmwyHCKsXh7gfwrN23FO3c4Bz5HWbGP10Ja6H/R5FBB5HVZwQ + ESyYGIaImIj9EpC3qnOeMXJTcULoluqcqrKxvjmrKgh11wBc5QhsmLX2myJrB0vbthOrU+cdb1VR + Vc6YAROsBQHq4HwIApayWgVXjAKwTAWzP4ok7IthZmONIRaVqhJjzLnsWTEWqpeUEhOHhaOqc2SY + mEfDoZ+mGZDAL0HFqSqIjRFVES3MnM28czKBPSugAGql7b8FD0U4BxgQoRIwgw0vLgzFjatyYotF + GD9fC4c6cCQtjbFT6VqqTXlnoWCkINq2bUlk4tZWTVGABmziPBFMUEfsNOzmtt4Mz5y8ITRufC6K + wkvGWJsUOhNba9VYY7wNw7t9AfV0dKZhv0uqnmnx0iP5s/5zujIHLvwfHJlxJk0Aax9bQOAqQIVZ + mXx0T3UQhNBzXlH5XeLETArnaKIMSwWH1gFxLBVLRaSwBexwwAWYQQI1zEaJNz8rOffSruldbNzS + pmQshL5xk8nE2oLZeLOEn2Kyp1o3sLGExJmZrXWuYgGZgTE+roqAKG4IW+d5jfTkPLbUtNWXiSju + 5/VMpTe7WmsNs18lUraTxlPh9f0qhlOrztjZlohzwxnC9pXgTBquMkAiEAHHLV0CDZYoKAjWGkEh + zWQn42pclaPFIYXdpSXzFs03Ytvyy2ZrrTE8HAwV3h3PiIiIs5nynJ0SgBB3Gqx+HBIoQY1Rf0Kh + CPlFB0f2Vv0OXA2GRruRr/jmwcwLC6O4DPAutjDGEoG8cy8x0Jj19eixDroDSoqZABEpq4Xh0DtD + ubWxA4rRCIRkQZyvVTKA6HIe5h7MtiiCr7rXSKpFUfgOMhwOgeDzaK3ltIMB6MSWmS8QkTXsSY7S + GQWsqYwRyARUDBeGChi2wNi5ifP2YYIqqlIGBRvDS4uLzORl4jWh9+kh5mIwcM6Nx+PBYHABapLU + ME34Rq3ryszD0QhqxGnlSmayhQFUtHJOmQumzZbas/+DwSCNrdYYIvIO3YjtSkWSNdEW1r/JFgVf + sPPhuYXCCSoVMsyAihPyK0mC8cH2tbWXKs1kLpWKcFUlPgaCMfAx3RRwDuw3DyoRQ0GVIyIGhRDa + xi4uLBpmJRryiHgrd6SxNXH2LVA1HMMaEgwbJTgX51cZGouyea3BXIU656oYh8dvjPO/+jgJFD0O + c18ZPyrN5zB0qeGchk+KvtZANC3Gr6qA323uByqphA0zERkT3ESo7hY56qGw8X2rQDrlfNvMFyBS + bD6iq4/j65dG3gFWo3eQJtc25z00GcTBUcg7dkYXpLClPiYexKOOtCKyAHzk3MoJEROocmL8bIgI + isi2rVuqLZFNTIs0WNyDC114AUFExBlG2F8QA1wxG6jfYYl4EhJ1KnYOkGcqcu6Rfo+1pgRXggfh + /viEKEg1jtggw5XGcIueuUaUlY9767c11VUThiJtZmfLIAoRWMNi3WRy5L13l0/Jythevu+a3Tvt + aIDYJlFWcA7KZcHCzMFOrCBilQoKMkacOCfWWlVxTlWUiA1bxKDM9bDmK7rZaRWanCa7PpV1ns+E + v5o6ByWiDRLJaOM5H6K0/iuJCw+nPYtAqkq0BMxgCIIrK4KCLTN5+4GGeBcKrUiVaKBEFYMBQyBV + UsckBKcCcgJTwBhUE2ACA2MK4fwUkgDqMO/NTK8nfF0/nAVRCqQzc4GRQug1BTXl7swHsvs6jZs8 + VlZOvfPOYVe5xcWlq6++xtgCxKJIlOv0wajxcm/mkNSvfa92riLAOXWVMYaNBdvsIaRRJ5sQzh8o + +kYhOM8CsdtSdLhWINnt682/Uex+Sw0Fw1jSro3Rr41QoxrSSc62kb1xgvG4Gq8uV+WpStxwaWmw + sFD46bgIiKG8NsHy8VNajodMO3Zud4ASg1ihIm4L5a2qIg7QGBw5yEkFCg0T6eaZl82iJoSWEzcp + AyTKPkKP1wCVVDJxptQhAcaCCa6CGqjxyQeOdauK5vn31Fk8O2BMET24onFjRg9sJbZVuepx4WGD + Jhnm29547P3kmXhSlasnTq6Wk1KEjF3auWPboDj7eT0zZBsLwld4FZCPYZHGTT/B6z7v6FRVYfvC + nM1G1O+pa4VvDYdeiHOry8tHHNYWto3swBiCP0+OKGg7AEScRoPwPe7LdM4hDiJ+Tjhfhd8s/Bqw + pnKoHrxqc4NhA4EqEdgLTyGipRNUlQCWmQtrmDeWQXLQ1uTQnW+ODpvhxqdPny6KYmlxyQ/ExAyQ + qo/eVjseJcRvvdI+I6ioO7166vjxk7t3XbEw2k7EGrceeQk7Bwam2Yibo+acMoFbAEqObqR+STxZ + WxuvrDpxdmFhYee22qIvKiQKU6mwYmAtKVwIvMmdNvsh4PcTGtKqKstqUlULi8yWKUYuEWlXyLT6 + mcs6y9Y7TCTZmitM18MOthCbLs1Za1/dzaihHmcf5k/+5E/OwWuSxw0QThrxw3TqbX5XIRTWWoCq + qmRifwiJ3+I8q8GcydUzhoa0AttcX8v/ZSKQuKrybjXGgMjHDXS8AoIAACAASURBVBCV5JDrXVOd + w2RSsWERmUzWjDWGoXCAEpjZiJAK+VB7fjsnEYgEmBgrRGZSallhMilX18YAjDVV5YiNNTE4LqUZ + 0XrY2u7nKh+gyldtXIOrc1VlTIjt6/dqwm/zZFYQuHbQJZChcxu/Y0PkNB7FYMVh5hldPZzKpJJK + q4pLR2UFBYyFE6grDTvIWuVoXGFlVceTcjC07GOAiXriw4mryrKqSmuYiSNhke8MbnAYW1NxzklV + ERFYWatnnn768Z8/+/OnfrFj155dOxcWRqxSVjpwRKfHqEpHslYYIR4KWAEmGCapJpVzxpiyrKqq + tNY45yaTclJOiLkoLMXmkIsxOyc0/Rf5OA3OvHEm3xiO07Ry86Xs0oi5Qy7l2qf7QHcCOzdIHkYM + BVw4ypkMAFIHKWVt9dTqePnkKtkFBtZWTxOEjCVDTqHeH5e977eAHJmhMJUAASak5bwRjUCVwMEa + JllboXIMNmoGzkfJbUWpTkvTDC0xTpVqon4wm5xNnGD+ipYbdbqy/ks1rW3i3XlO/L+uKg+/8/bP + Hn/8mWeeOXr02PXXX18UA2JTVRUz+03oUzLZKZlI5VxpjSFTAASBSOXcZG28durE2rFjJ5mGtjDG + Ip79VieUGS2ppQfmAWlpnU4ZBeD5WWOMjwagqn5bPZI3GYXgDxoDQTLnpzX6yuAUzSnxtEBcC9dX + FYjx0kkBI8KnV3Hs+NHXD75y8LWXXn/jdaewo9GO7dsZwq6C8sqaO/z+qeeef+GXr79+7P0jo9Fw + sLAwWhqy8dF+xkVhmIotCcCuKmU5MYa9NIggolXlVBREJjrlRYPvesKOf0IHEFcpQ0kZSqjgVk+d + Wj152q2sWRFbMApgdXWipFT4yRSZwCKFpdKHLBqQAter+nAZzkdu95oc3rmLNrvbd66ado9ziBmT + m0zj+VD4DHEQgA2pnlpZOfjGoYOHDv3yzbeOnzgxWlzcvm2p1hrzA1XnHDL7ltd4/ggpIvKhZsqy + lBjX1W97D3sXqspaO29nlAGQSlwlxjBIQA4A0YAMMUOq8cqJ91595RdHjq2a4Q47XBxYwI2NUaJh + JUYURBgUMAQRjNdWiSiNDpRxuADCeQnzOhlbF95JQwETZ7nhemZ0ZygDIIaxTCDnSqdl5SaTsjp+ + /OTq6bGIFIXdMGhGalEtBwh/EqZhLqvq9Mqp94+8//rrB53I7l27jDEcnOwggEsBPZrL7dAH27gQ + a+RcwpXV6uF333zuueeXFrctLmwrilEMIuhdEVCWPsCIvz8FUIia72x57swRiIgNgwhMClWV5aPH + fnno0NtvveWguy+/DPC8AsukLMVVjNXJWJ0MrSXAia6urXkr0BbF1NdqPCZxxLy6cmp5+cTRY8eG + w1ExGKrCU1KapuOpFO1BTLPha45qzsejSAGvQxRsovxEsnTeuJ8meoXgCTq/daw/vWAecK4nBIm/ + D41BhaL1lZmhNF4b+7a1urpmrBkuDBHipoU1QKK0zmm22yaw5r8EYy0q5/xWlKpyTowtPO9clhWz + MYZFof5OArMZmgVmFZTOVcwKgasqwyNvXVUHdfGYa4JhnF458YtfHPp3/+4/vXP45GBQXHfd9b/5 + m7/xuc99viiGxoRNBtQZdM8RUiRG8pEHxe/jLgoLJm/9BZEqlWVlrI/RB1dp5YSNYQPTDvw9H4gj + qbaH0TjxqmT19Pg//+ev/+jhnx09Mb7+plvuuedjv/VP7t+1a8mSO3XkyLe+8V//7h8eXV7FaPu+ + W2+95V/9yz++ct8uMoCQqIiTuKFY4l6GBoVxtszczGwtWDEeH3nvnb/8+v/34sEjV++/k02xuLgE + rJbjtZXKrMl2O8TC0AxpyLxWuWpl1ZnBYFSACcYaVnXOGcvMxeraqve3HY1GzLZyUpVVGhXqwO5+ + O21ENOQEJqb+0qTbziAIV3Zrm0NsCLfB2+ZEXiJ3z0ii5wkKKFTAdSAKqHz329/84Y9/9ovX3vrq + H/zx/Z//7JWX7SkMO+KyhBoU1jBRVa6hHFtWlGunUI0Xdo8LEOBKLGJiDeBKaAUztHZQsgWUBwXE + gXH06PEV3rZzh100aJ1dn6jVmkDdhCSDk2a6uVlzUyYKkYJa5x4iSum0WkJtUs6Y39y2zESTGKnz + p4/8dDAY3nzzR2468JFde3ZbaxXeg75FtLaz6JsbM3FhwaRlVZblYDBkZjepvvnNb/z04adeP/ju + H/+Lf/2Zz37yyqt3J/cjbSQyv+3QS8xvpkkOmInDTbNDf5I1xYkjAWwMRaJag8cppQ8A1im1qiRv + AEBAnvH3lsLJCy+++tBPnvzG3/71ieXDk7XjlbobPvKRez973x/+/j+/bPvi6skTTz/70je+99CP + Hn3u1MnThZvsWhzedOMNv/27v3v/P/2ij2mzZV6pvhhERTEggnNuUk4MG2uLorDQesCj9YbsOjve + 8B0i7Sgxs4Q4NKpanj557NVX3v763/74F68cv++zv37/r917521XLS0MnIUjVOIYakm3Nk6Zn6po + MEi4I0fe/9nPntixfcf+/fsvv+KKhdGCic7G6xdtnht5j3OD2X6VsVMSYAxKt3bixF/91V//449/ + /OKrr65WlVNVY776e7/33/7Wb3305gPGzllY2Ghv5HQaRlSSqpr4Sj/985awlZWVN9988+WXXmbD + n/70p+eQtwVg/ELFKwDvTEiqoPEE77zxxrNPPfitb/7VaMf1X/nn228qti8MhkNDUk7ePHzsiWde + r2R4+d6dd99568KQmTAaLaSNvMmIG2QSp2cXYLQEaPS6zSayTaNj3NzmQyj50c8WVgkvv/CLf/jh + Q489+uS+fdd9+UtfuvXWW/bu2b3B65q7m327U9VgbDZmUBRPv/LK3//g755+6um77r77K7/zlauu + vGpp23ZTFIDfCBujGCHkq12gGjTnk5PzDifumWee/vt/+M43/vbbX/vqH3zh87911533MPlTtoKP + 6WCA+pDrBrZ2GjK/cJOJK8vBaAgyIK2q6qUXX/zuN771+uuv33Xvp/7w8r07du+yZgARBg4dOvSj + x3563YEbD1y7f9s11xJgDI8WFmC2kvWwgwLO6WT8nW9/5+GfPnrw9df/8I/++DOfve+KfftEIdre + aoB2f0mz+LnrIMYYJBWhmtyhvHZNHzz8+shaKyInT5584YUXlo8vF4Pi7rvv3rVr13yOSpcOzqn0 + c3eo5KpDTIaUQOPJZPnY8mOPPX7kyBEAonLd/v2/cu+9w9HQGBO98eLjPsFzmfsGsiV23KU7Ga8d + O7589Nixdw6/+96RI+HcdlWARqOlHbv2XH31tZdffsXuXUuJHBJ1fvtyWLpSMMd5VlAVcCACWIm0 + KtfeffedBx988OWXDxeD4vbbbrv55lvu+2zwzBUHVwkbmhHm9iyaTKk+asgTSQ7OhaGJGSLlZLKy + cvrQG4feevvdI+8fY1uQKQS0tH3H7j17r7z6mt279+xYKnS+52YdCSpUtCxPLS///PHHv/2t7xw+ + vnLLbR+3A3v/b/w6MblKlpeP/eyxRx944K+X13j73uuPLR//7d/+0u49uwaFFxdDhYgUTkWbej46 + nuZs4xYWxleNmywfP/bqy68889RTR1f41rs/u7CwZJjHq2uPPfrTlw6dOLG2cMfHf+22m/ZcsYvC + 2ioQc3DiDMI+rOXl5bfefOupp55aWFi47rrrb7v19sWlgXcr8/wW1WE06hzUB7qFkmd7hltt+EwO + T1BARSjuw5nlnll/DblB67Z5hSIeohRRH3YQL+obBw/+9KePPPzEL+785Gfu/eQ9dDkRE3vC0YDY + b/khgsh49YWnf/7Iy++dGu67+q77fuW2PYsKgvN+4winaFlFXFsvv3/wnVcffPq1lWLPXXfefvN1 + V1y+Y9ASWe7avPmCNW72PgkdfjaWb9MNgvx+h44JJOyjmJ09AjMvLS5cuW/f8vLykfePPvnkk7t2 + 79m9ZzcxnINT+GVOIhFnpJVMWVQ7zhJU5ZWXX/7Jj3/y3LMH77vvix//5B0IK71WVudx/tcCZajP + MYg2fO9Hb4zReIq6PzIFyQ2pPjaLmv9NQ2j6/olw0ktYDLtqbXXy2GOPf/Pbf/ft73z3to/uv+7a + PaNti3YwOD0eK5GovPfeu9///ve+/Z0fPf/akY/ecuvuPZftXhyMJ5PxZCLeyZYNRw+prRKOMawq + ohpDFnhrleeyFT7Y/wYv1HqpXGvNzEQFNx6vHD781uOPPf7YU+9ccfn199xxG3AVGMwQBF2rMeb4 + Fmo5X6fWFu+9994TTzz1t3/zNzt37vrEPfd88f4vLi4sbuI98968e5wDxIi2bVBbHcqpkycOHfrl + D//hhz/80UOl6LU37N+ze89qWYro6uqqajeKz/lEY6sp4KqKmJOVK5915LtJ3n333e9//3tPPfX0 + wsLC9m3bbvnoR6+44oo55C5rC1o+iyKsrq0dfvutp558Ytvek587fnxcVqAhQKunV1566aWvf/0b + Exne/tED116z77Ld20fDQdCQzrVGZA0G0gsdIeRfVpKMulWBU5W0Gy0EszpxYvmFF1/4xwcfvPGG + Wz/zmV+djMtNvEbrdpVNfZER4ieWl1999dVHH/3paGG0evq0iOQutX7alVNQs4dh9Hp7fajq8vLx + V155+eGHn/jEJ+79xMdPqEaiVsM8vkkCpo7UrANc1JJOkWBUnCqIjh8//sLzv3jm2WcW9+52KpWI + kBgnh14/9JNHfvL1bzxw61133nfvr1535dUEUiZrWDZ4xwfIlarIa6+9+tOHH3762efu/cyvfewT + 99Sr8WwWdmHVTNjkUVVdz9nJZKKqPuS6h/e0sNaOx+N33nnnhz/84aFDh5aWlpaWlm655Zbduzew + JPU4qzh31C159iA41Wmibk30cz95Yvm5Z5/9v/7Nv3nkkUfGk8lwNPrK7/7O9fuvv/Kqq5aWFhFi + 4c7DTD+q12i78C5Yx48ff+EXL/zk4YcffOihx3/281Mrp6vKEfNwtLD3sn37bzzw5S995XOf+/yu + XbcR4BRlWbGpDIsxzFBiImsg6ipIBUNggvMb1KEgJVRQGRRDZltO3KlTq+XEkT8fXiAOzumZLMm2 + UpDMlA7vUnFVNS6s9QF4q/H42NFjb7z5y//yX/7r3/3gH5574UVRUjIOdPfH7/nMr/7aF+7/4m23 + 3Ta8+ko7mifP23wYzb7FJXT4ryrHy8ePra6slGWpoFMrq6dX17Zt3z4YYe2Erpw8uXz0/ePH1iqy + J1ZOHz1+4vjJU6fXKja2YDCDweRPYB+PFxcWotG76XGY8rO1wlHVyeSdt99++qknTywv773iwCc+ + 8cmdu3aXlTt98sR/+vP/9y+/+fA7y/Q//M//+x997f7dd11vB2IMb1sqHABBWZbgko01xr7xxqFv + fvNbf/qnf3rN1dd86UtfvuKKKxeXthlDxjRjz2VjXXBvDUxGFOy6rXeTS5ewWIojU7dHqEwb6Gfv + 058n6JRP0QE853MLw8af9g5S6GRSFuGQMYB8xG03MJZRlKeOfu8bf/1//tu/fFd2fe1/+T9u/t/+ + ++07YRzcZM0U5FliBSqgIJCr3nntlW9898F/+5c/WNt29R//0R9s/ydfuGzH1aptzbMZSTaiU6BN + pJLfVj+bpJ31inZmKFgNcoeUWW3J+9t6i8lgOLj88ssGxWDv3j2vvPLqQw/9+JaP3nbg5ps1nPsE + 3jj2sgKqriqr8WA4IlMMRhYwgANUxE3KyerqqqsEYdtCCtzRiHU7t3PExDgk+CNlFqIqW1tb89Te + 4uIiM4vzO+qRQm4Fq46m+Nfr8rbhDg1+St7fPFK3Uk1Oraz944M/+tGPfjIeT373q1/9/d/77av3 + X/vUL15cFdmxa5cbrxx649ADDzzw+junD3zkY//j//S/3nPbzbuH9rWDr+y7fr9fXhtiNgOCmxbj + /oPD+xqPRkP15y2VYtgwG+ccQMocDhad0aLrf9MNoiJOLfvgBIZUpTx16sSkLEHW8IDJQgEHYYBh + yBgCQ6SqmANdvCVYG6+Vk3LHzp2vvPLKd7/73QceeGBhYfHtdw5/6lO/sm/fvlDPMwevS8W3qMeH + QD2yaVkefvfdRx999LlnnxuPx/d94de/9vu/f/tdd59YWVGindsWz99RxtPh1zh+v7+IrK6tGWMW + FhbCsVHhqHIFUdqLaox54403/v2//w8HDx68/PLLd+3atbCwsHfv3jgwzQtEoQ71Jn4/kyMMBlgY + jUajoVRlOZk4kbihRk+eOP7ss8/8+Z//+UQXvnDfp3/zi59bHNqiCJrQB9Wp40iIiD8BYp5KfYZI + gW6n/BRNj1qWJWNgCoAhTstJWYzABkVhRaSsKudEN6Eq0w4YT8GmYERFUfjgGypirBkNh6dXVqqq + WlpcGo1G1hZ50k4AIHmuT9Hc9WDdYz0QYTQaDga2rFBYOxgMiMh7NIEgAtHoqgVMIW0vDZii8IZ8 + EVeJs8Yyc1VVq6dPq8r27dvB5MSRk0cfeeRv/+ZvvvWdbz35/HNudfxPf/2LBBJmMzAOYNUt28bv + Kogws4pOJpOVlZWqqny9+HUDZV26U2HZbG0uoSL+AGFrTH5W8MmTJ51ze/bsSRd9DB9jzOnTp19/ + /fVvf/vbzzzzzM4dOw4cOLB79+6euj2/2DrqdkOdE2Lth29ExIb9SWUKnayNX3zxpe9+73vHjh5L + 59wtLizs2bN3MBiIoqoqE7borPuqs676Oj3TuSPvvffQj3/8k4cffuKJJ985fPj9Y8fHa+OqLCsn + IHKi5eHDJ06tvXfk+Pe+//c33XjTl7/85Y/dfdsVV+wi5snk1PHlozt3Lr34/PP/4f/5syPvLqsb + 7t11zde++oef+fQdxQAQyNqqYEW1ssZUVeWcGjZMBkoiKEsYC2PAbBTTTz9s5xnYQjEplMIBs84z + ZmH2QAonTz75xD8++OD3vvf9Q2/88sjR44W1q+OqdKWSeemll48cPf7ITx/7V//dv/7a73xpNNg5 + b3vdWgyZR2YP1WIwuGzvZYsLi4YtQYhZBCur48VtQ2YejYa7du3cvWf03ins3rv3mmuvW9q+fTC0 + Xjf6iLfWUGEL0w74mOMsNGgROEeFPfzOO//4w78XV914441333330rYhs+7YseOuO+985uX333ro + +bcPHz787nvM++HPjadCCCbGayMiV1Vvv/32q6+8MplMrrnmmjvvvGvbtm2qWjpVVSZmJuZg4FAV + HxqFWKPnGWa5Foad15GH3eS4zESaTfcTYZdWPt4fsEEeRWgGvwf8w8r5rKAlq9oR0bsTg0nFiasU + MLYoioG1fpsMOMZpsrbw7pDFaHDdVVfceMP+dw+eOvT2e8+98Npld+3ftWAwKEAOUqGqJqwTSwOB + LSeH337j0Ud+8v7R96+69o67PvbxnbumjN9hCQHQ7KBINW/rd9kD2gmilAe1aGi0GSE1go9n0w80 + f6gx5Yqb/f1X7yjaZJDVsFlYGN18882vvPLao489et/nPn/7HXft3LWTDTg6UKTKaNZKxkpbM+BC + xUFKgCiGhmEia+ygGAIkTvJHmlon0bnzO6mvqso5VxSFtdaboJaXlw+9/vpfP/DAkSNHDhw4cP/9 + 93/kIx8pBgMEupbKskyRFvwBwTPRHrhqv1Ooi4KRspycPHHi2PFjxWDwqXs/c9ttt199zTULi4s3 + HrhpDGKy7x89+tabb548eXLv5VfefsddH7319muvvXJHgR07t9tt240Nr1JoKSUDlrdgdqQqlauY + w+j8xJNP/OTHjzzx8yduu+32z3/+C7fc8tGlpcVNcjKNkLGqzgm03oJdWB4NC2ajSiHKUroXcBAC + GF6nbVU7UlUZDYdFUbjKXXXVVZ/85D1PPvHkZZdd8Suf+tRwMHBOimIzHPE8N+0eZx2z+37L5VbJ + 2tXTp5955unjx49dftll999//y233LLvyn27nQjR0PhzYecIfi0zmUy8ohuNRqdOnTp48OBf/MVf + HD9+/MCBA1/60pduuukmf7O/p6qqnTt3/sZv/Mbjjz++ffv2T37yk/uuvNIYM1e8LZDto/IZExGp + SvJh4oSg1rCqrq2NXYyIvbS0eN111336M7860YU77rh9x46do9HIWkOMtZW1F1988etf//pkMrnh + hv3/7J99Zd++fUVRpM3+562cHxxpc0Rmh51Wh4UduAqTMYoBiNmyJVSqIuKMMdaPTJvQkKdOnnzq + qaf+43/8s+07tn/sYx/78pe/vGvXLsRjQo0xZLiwRVEUxhoCfGxyERGFIsQK5ez81flqcBcgfMh3 + Aqw1zFxOSqaCqV6hi0xlby8dKNSfqyzgcBaCYbYmnvLD7OeLbMxNNx24/fbbH33+mXs+fe/td9wx + mUwGgwVrjQIqEFWsE5zpjGAsULpxCagxZlAMCBSP4oAqygpeH6/rkz6nYGOGw2FaHJVlefTo0dde + e+0HP/jB+0fev/OuO+777H0fueUWANZaNQbAwmh0xRVX3H333cPhcHFxcf/+/Tt27DjPxbjkcQ4D + JmS7a3z8Is/HiLjJeHL06LHnn3/uxw89tGPnjv379//yzTf5/2fvPaPkuq4z0b3POTdUrurqnNFo + NDJBggRBMIg5SaREUpSV6SdbliVLlmXZM8/W6DmMbM9Ytp9GnhnbSpYoiUqURDETIEgQJBKRUyM2 + Oofq7uqqrnTTSfPjVoOggi3P0Mvy2Hv1Wh1W9Q3nnrvPPt/+9rcpQUTG6nlp/QuV67vk3ZyanDx0 + 6NCTTz554MDB4ZERZpjdvb0br7wq7GmjtHY9fzqXHxufOnXqzNmzQ0cbTiChgOKWm68nUK9vEVJM + T09t3759fHRG+KylsXvjhs2brlprkLoaEiy1Zw31oyGkfCENQTHQoVAM6H+QpvbPk9kL7yDk4XPT + YBBK4CHoIKhUKnt2737m6ad37X7Vss2Wto5r1qxnpqWRKaSL5ercfP7U6TMTk1Ou62mVfMOc7xtr + P2VIl4i3iMYlLRQQKSCRQkoJBqXpdPqazVfXVHS+Cum2FevXr23INlK21I1IQ8i2I/V+NT//2d+I + W9JKeO7U1OTxE8cbm1u6urra2ps0BUQ0KF25cmBgILdz1+mx8Ynh4REpLl+if4e3CYRQRKGV5pxP + TkycP3+eEtLd3b169SrLsn5CWhSUCktrJSFIGaWvacrrJXLlJWRJrcPeHWHJBr0kPfiP22vH1Uqp + UK4UANhrDRkQlnhwrx+Seh1AXZFzqefm/8bg/nPbJUQOfcnX0l+01lKo11QjCKU0pHUSCuqiyr5G + QA0m6+lsXbVq5cHc6cnZ/IlTZ65a3gwRBgj1aj4EDSgBlIbAdeamJ08PnqSkob2ja1lffyxuwI8B + yUvDHjr3i0L4P/1GluZJ+KxpqIv8E6mnn3RYl2KsIQgbHkEptXQM8mMfA1iaGxcbkUkphAhzA4wx + +vpjIgAhxDDNvr6+jo72k4OnRsdGc7lcPJ5kBgL5cTz59dcLrz0RRCAEX0ecCV0H1OVE4LV34Wcd + 6xfULnnP6+1NEJFSJCQIgoVCYdeuXePj45s3b96wYQMAXKwUvmhLc+MiM/Mfodxeeu66WyE6VJ4J + uF9zqtVqDQnt7ulpam5OxBNIaUND1gcCAJ7v12pVx3EaGiJNzS0trW2pNEYQorGUIMAJyKXTv+Fj + rnW4R4H5+fzx48eefuaZcqW6ctXq5cv7l1h3P8dR8JJ3HZEghgIICsLGMkDqdXx1AaaLXiH8TH3C + vXF827ARGaWUUsY5b2pquvzyy+99672ZTHbtmrWxePyNOtG/279huyTDpzUg+r4/m8t5vt/U1r5i + YEVjY2M0Wi/yfCOFTt4g+7EogjHGOQ+LT+fm5q6++urrrrvuYqoYALRSWuvm5ubbbr21s7PTMIxV + q1ZlMplfULWE113Uj3nN+kZPKhlST5XgEdvu7++///77Ax3t6WjOZBosyyIIoEFKOTc3t23bNt/3 + N16x8ZZbboWfVtXxr9N+Fut2yUG/XuI9fNZaSyG50goQyM/ntB3XHRkdffKpJ9va2izLuvPOOzFc + orXGevs7qZSSSlJCAVEKCUthMOI/Yen9d/t5TIOWQigpkdRLjZVSSC96svqHfvyf/lkCkH8FhohI + Qq59qOYWNgTThCAqAgjdXV3XbNlS1mLVhvXrV64Jt4Shb3mDJ26d0oMISBAJCbvIYthM6FL7GZTb + X1wL9zWU0ktrT13XnZiY2LNnz8zMjGEa69dfFgb2F5tGmpbV2tp64403DgysMAyjr68v/u/R3b+0 + vaHQ7c+XqtMapJSE1BWROeflcnliYvz48RNHjx59//se4kLseGlHcbHo+361WjNM07KtX9geowf2 + 73/kkUf27N1bKJYpI62trW9961vf/Z73dnf3RKIxIeR0LvfMc9u/8c3vnhg87ZSq8/nCY489Tqne + vHmjYWjTpE2ZRico+4EXQq+cB4VCwXW9ej0NBUJNQmzKUUmJgKBJPU1FqWmCWtL600vqsj/tEVyK + 277BhgAAWikphDAYWSo6hlqtOjoysm3b1l27XgWEhoaGLVu2fOw3P9HbtzyRahAaTp05/9LOVx7+ + +jdtOyKE/Kcomv4LmP6xH8JvUjhOLfB9IaQGQEIpY4QyrYExlm1rfftD73v7Lyc9iHtYb5ouNXAO + moBB6s3ZlFJKCoP9hEzxJdS9+q9v1BtACCDMzc+PjY1MThRXrlrV1tZmmiAJgATfD5YvXz6wMgem + ee780ImTDY5zZ9SghFECIAEAgDIKAoTgvu+PjIycPnM6Hkv09fX19/crpbXShkkv3XYppTjnUglC + iAmaEHYRRNBKSaUoYbikmRDK8XAhQr4AhIyzn0Em/1mmpAyCwA8CKSUimkv2Mz+/hOWFFEJCSFji + 9L8xuv+s9tPekkumpNaghFJSa4WESKWlkoQQQE0RNAEFBeOePwAAIABJREFUoPQSZogIjPR1d1xx + xYbHj8zk5gpHjw/WbrkKMnGQAkACAlBGKYRIb61cnpuZGh8r9F6zZuWqNY3NhkV/HB4NcVshBISi + B1ozSvGnIe96CUIFACGE7/tG2MLJMP7xnvSv59sKITjnnPNL1ZooIT9dQSacY0pd/JeLaO9FWF9J + SSkCIqN02bLeZX3LlFbTU9Pj4+M9vcuYYcKSXs4/iN6GPypQEhhDbYCmS5iaBtBKqiDghFDKjH/w + EL+gprQOG8gQQsIMVvgSsSWKGefccRzf9xlj4asXIryUUsOo3/KSjMVP37G8jo57McDAMGZXSisS + ivNJyXngOI4fBEqDadqE0HCkCTACKEFQRimjfuBzIQCpaSJlAAIEB2mApiAkAGokmhFG3qDQCJEY + zBCSh/QxwzBs2xacK6UYpYggJUgpDePn4AuGS7xSAASQUMNURGuQEgSABCWF4FIpDSjVUgb3NQ/8 + k6mq/3PTSkqkBAlSStPpdCbTsGHDFYgIGqTU/zIdU//d/i8x/VMcghCCcyElQTRMIxqJEkLCFwDp + L5LG7SUWxh4Xq3nClc73/TBVGWYQQ1EFrZTn+5ZldXZ2dnR03Hb77eEiddFV/mIZXuQfhJlIQghl + BNTF7oVKhrQ1IERKFThOPGKsW7tuxZorOABDYLr+yJTSYahQLBZDbNE0zXDH96+8Gc7FOfyzFnMN + AEoqQggxL43jtFSS84DzQCkV0pj+0ZMFvu+6rhCCMRZqFoXweUjZDuee57mO44ShDhc81Bb7SZm2 + f7c3wDQEPBCCEwJSSSnVxZorKZdomz9lt/5v7DlgmHYO0xOa8yAIgnD7EGZ0KJhIEEBl29rv6u64 + 6c13CwATwAQQNS6UNKIm/tzpjZ/LhALQxDAorQPujDFKCRecgEEoGOznrhT5BbMw2CaIQkoEYIZh + GAalVApRLpdd102lUqZpqiW5ldDtMMba2truv+8+LoTW2jTNX8BU4r81e+PWxX9kZdGglRCcEEIo + YRSVUlIIrQG1FgF/9plnTw6e7F3ed+XVm/JzCztffJlpk1HbNGMKDalhqbPya7yYi6viP9P+ICSs + 1HeVCkEBEgTUoLkMXEqJ9r2J8cnDrx44evBEuRTEko29/f1vu//+G990XXtXlxW1kSClJJtpuOVN + 1zU3pL/2tYcPHjycny8szvNz586+8urByy5f19mUQPBMrZlGqoBoAlprJIoQQQBovXkKACWaUA0M + wQCpgCGhAhhXQJc6mxECqEFqEAAagZJ6UpyARpBhQ28hpAJiMANAhwxeIASQXDqYnAtQgWUa9fIA + QKUU15oZJgEEpTzfR2Ywg+lwEwkgBQcNpm3pUPSWUgBdWJg/eGD3YjGHCICkf2D9FRs3d/f2RaMJ + ADAZ9HT13HFHpH/lyo7uzua2RmooAAkaQWpQCMQQCJICAQAJFBQhCpQPIIFaoBgABQSNIARw34tY + BKkCIBqNsN8BBQUq0FIiNQGpUnhRsqPuX1/j2CklhJbKNEwgl+g8KQWEgASfK2oRQNBAJBgUNAEN + IIHSpG0Y0pOBB2A4QrlCUdtEtsQTkQpAMwNwCTIjCMQABsA0oAYpBaJijCBqAKkVINKLD14BaFWn + U0mhaLjyawCiACWEAbLSGhCJgUjCvg6oQQpJaNjIvY4UaaVoSLrSEjQHXp64MDgzPYM2aeldm23v + CcIpRIAykm1I9bQ3L+9oniuUZyemxyfyjLQmUgZi/coABFDNK9Whk4PlqfG4ZfevXp/sXCFMm2og + oEELAOkt5kcm5ra/tG/w7Gh+LmcyTTRXSHqXD6y//Mrrrt2cbUhEbcqQaCWlFErp3Xv27D9wYHBw + MPB8LTQgJtPpZX3Lb7jxTSv6B5pasgBwavDskSOHh4bOb75m862338ooCSUCTMYCXxTzhZdf2XXk + +PHzw8MaNNGKaIkIDc3N/atW33DjTQP9/fFIBHQ4epJQ6nv+7Mzs1ue2Hjp0wHUdwQOl0WDm1Zu3 + XHvdloFVA7F4jIX8EKUAiUIScvS01BSAEA2ah7O3Uq6VytVyuQJIo7FYtrHJikSRgODSZISS+o5H + g5JK8YBrpSzTIkBAg5JqZjZXWCwkU6l4KhWNxw3DQA2oLoKtSmsJiBQoguaBz8vlWrlc4eCj2dDY + 3BAFgwiwgJoGgkG0hWBqjUBAhSq3S1wZghQoAyDJjraBFaolbpfyudlzZHZipppZFo9HQfmACBQQ + gAIItzp07szoyDgArFq9fmDVWqQhoa8+H2qlwvj4+AsvvnTy5Bkv4B4XQmnC2Ir+lRsu23DNpqua + G9O2RUGJkFJSWCzv3X/45d378gsFz60q6SNAtrG5b/nKO+64o29Zp21RJYIjR0784IdPrFq5ZsOG + 9VddtRFQg1JKcEKp6/lTM3PPbt167NgRAOXUqtzzDIKWYTS0tm++/sZ1V1y1vLeLIYQJei19Hjj5 + YvX5F3cdPXluenwYRI0qnzKjZ9mKq6+57pbbbjftKGGoiUlBg0bUqqWxoautIRoxhscnj50e27jF + MGwwEOiSL0EAqbTSQGk4tPViOAABoBQPqk6tUCxLQRmNx2OJeAwZAZC+1pwaVAJwKX0hKdM07G4p + QWlAKgPlOQ4vFqu1aqAEj0UjmXQqkUiH2qiIQFAhCAASSu+Grf6UAlDAiAZUoQsSnPtcEMaIaZqG + oZXyXGdxsVSrOqAxlkgk0ik7Zi/djkJAAgQUaCmRhNeEgmvXF6VyZXFx3nUrrS3NqXgqYkWpaQNB + zUgAQBCQgeOUapUF7pRQeFKqqqeKDhRrgqEyKFBKdSiagqEPrisgSCmUAmYYYU9xSkAEvuf4nhtU + K07NcWPxRCKVTqZijGgggAAEARQHPxC+I52KClytZaB1xfdKtepiqURNymJxhbRaKlRLZd8P6rkN + XqtV3TyJMKWiURI+SpMC1ZpIHnB3cbFaLJYF19FIIpNqTKXS1ASJILUkAAxRS4kakLKLdGHHc6tV + p1wug0bGaNS2k8mEHTEBlAaulVBCi+qiW60IrV2hS45TKJWklgg0AnHDQEJAyrqCCGWhjMTFMERD + iNsqBYRKz5tZmCv7DqcqFTdbUxENEULjSGxA34pEJQEhgBlAiKYgqeYIVAPUPK9Wq1UrlWq1ajAr + nUyn0xkzYgFBqQTTmiCAH7hKO1JTO2oyysKhDhckLUEL0Aq01AprnkAzEjHQQEQZeAIqTkCtWCxi + EnJRDicUA6GAIDQoIQoL816tIqSkzIgnk42NzXoJAiIIqJQUPJTmBSTFYmWhXHOqVZthImImU2kj + mlQG5RxMBItdJCpprZWSSiplGMZrEhxKaSl4wKXWmlHDshgiajk3O1taLHme19rSGk9kpEQrEmEG + CCkJ0wxIuPOWWlGThS+0Bqi5brVSKy9WuM8RaTqVSSRT0ZiNFLgUnPu2ZYSdC6qlmpZoRixmGUjD + XJFEDYwgaAWBV626YMbQjhomMIB6OFEPO7Dq+q4n7GjUYAYlQMLBAADQIggcx1lYKPjcR0Is204m + 05l0uh4Sa0UQUGuQCgnhUpVqDjWMiMFsg6nAL5Wdmdl8PJFONySjcZsgIKCWmkD9HAoANCAqCKNe + rWWttuj40UwTMRgCoNZUC0KokBoQKSGeGwQBV1LEYhHTYqAlgAQtQWo30BJZJBLB0OlLUXNqlUpl + sVROpbNNza2myfD1iWkhBKJmlGpYoqNqqIuABoFTq1YXF0FKpWChXImUq4KahmnYlkEoENBureQG + yowmCWMGgXAegZYB9ylFQkzPk1IiErBsgxJALWXggeQKidBUU8swWBhYaSmnJiYC102k0vFUyo7F + DEZBS9ASkQDQMDWopUItkFIupBsEjuNUFku+62YbGhKpdCQWR1IfWhH4vlOplRd54AcBrzhe1RMV + T3AhTENbDG3LpKhBcq1kEARcaqQGDf1vqO6tlOCCEqKReoGo1BynWvZ9x6BoRePJTKNpR1hIEJBC + A7Aw9NRKcE+JQGlt2hFCmVBKClmp1ubm5g1mRKOxTCZNCWGEsCWkWCkNF2mvWiklPM8rFAqLpRKj + RiaVbUg3UQRqKDBI+PoCANVAARiGJYJKgJYIQIllW4CBDDzH05qZwICwekSjAsddnK8Vi0wzBVQJ + XSwupNIR045Rw0ZioFYy8IhWjDDDMJGyeuSvQEpuMkJQKgk+V4JrqVQkGjWMOgsAwwx0nd9KtAYF + 5OJt1WsTtAYELaTSijIjEKLmuPn8gu+6REMqlUqlMvFkHACEVEHgWmFrNaURCeeiWnUtyzYsgzIi + pHCrtUI+L3hALKuhpcmMREykWoABAAw0SACJIAEEAAdBfMfn3A6kO7+QI6ZOZSKZhiil2jBMRFuq + iJCm76sgkKZJlRQAmlCmw92BFIwASO75nlNd9N0qgJYaqh6fzhcVMSyDEUIs0zAYo4RGTCNhGZq7 + ILlhGEBoEPCa48zPz0uporFoQ7bRjtq4tGsQgTAYYeHWBxQgAUQecMdxSuWSU3OkUNFoLJlMx5Ix + wzIkKKIFQ9C+VwsgABOMSMQAiwDRAFrCxbAEEaTiQlddrpmViFugNAFJMPR24QwEDYpS1OEeTUpG + KGjkPi9XyuVKpea6sXgslcnEE0lKSXjNJIxDQINWWvBKpVyu1Cq1mga0o/F0Q2MkGjPYa2ACAigh + QGvCiFetcintaJQYhlaqVnWKhaJTc2LRWCqViiWS1CA69JT1DXNdCg6W4sEl8rImIA1QhhZEgKmB + KRB+QKjpeDAznQOlbdNONyTNKKUW0DqWqyUPCNWEaAAFmoFCyaHqlMvVxVqtioh2JJLNNlqWVd8S + EEJp/VrCQQ0ntu95AGDbFgBoJQXnjDGtiefxcqVaq5U9txqJWLF4KpbMmKZ1aYpEBgEjiITUHFdr + ZAYzTAaopRC1arVaqVRrbiyetiNxyzbtiIkUFOcmYQQpoATpK+46vqB2WhuWEGBRZRKFoBYKheJi + SQEahh2JxBsyDSYLr1eFjQvQoJQygzCqKGoEHd6aAioBIRC6UPONRDJsw8EQATUBQBBaaYUEkfie + Pzc/77qOEtIyjEw6HU9n0GACgEowNIBWQFEBSIIUNAHFfbdWcysV1w8k0aKpIRW1KOcCNJjMNE1L + KuSKADWAgl4Kh5awA1D1+SYQhFYSkYIinh8UCouFYklIlcmkm5obDcYopQTqgmlay/p8QahUqggQ + j8URUUruem65vOg4vpAqlUrHk0krEiGIFDSCkr7nCOUrpGYkyqgVbjVAQt0lIAABBYGUZddRhERN + 22YmUSA4pyEmEMIBoEGj59Rq5XytuoiImhjFcm2hVCqUFxHQtiKmaTGKqDgqH4D4nvADkkrbRn2a + qaWEkEcpsy1LK61BSy3m5uZd10PCWppb4vE4qRMzpAYpBC+Vq9NTuWg0HkskotGYHYmaobuWSgAg + JQqAggYZEJSgKff4YqlaWiz5nEci0WRDQzydJqzu1wlI+tNyIP932xsD3V6aaMCLf7qEFwVKaiVB + yTpIiCFCqbSGcqk0MjS0f+++arV69ZZrVq1bd/7EOeSUCYvpCJKoQhKiAwo0KMUIgtaI4QoAr39i + YWkv+afUXf5Dt6VAKdAEGNZrhkP/LAh4oDWvzJ07cWBo8HRupiAg0tq4fMVlN2y+5Z4Vq/tiCbve + 6gQxHrFX9XV2Zq3Rk3uruQsHZyeF60yMj76091C2d0U8ZlVnzueGTx179aC7WIUAECnXeGhwMLY1 + HYmxVDra1Rxd1ddEBCVSm1qZEHAgQI2qgNyCX8rPlBZy1fICKB6xWSTV2NK9PJZtitvhlgBQCkQB + KgCN0tdzJSc3M+2Wi0Gt4nGumEHsaCSWam3taWxqS8QBAAkI0BoC7i0UTp09lytXuGmtWLk6FYtJ + zz977vyiG5BIvGfZsq6mxqZkjCjUhCAleknfAaRwasWZ6aHALxIEoY1UurO5pTeRzFIGoIECpONm + LNHZv7JThSENeApcVQumhibKM8Wcp2ss0dS/fk1/wgKgqEF5oKtOfnx0opib545vMyuyet36dGOS + aaK4U50ZPXFupCwsHWlsb8l2N0Uj4MxMz+SLTqnCXR8IiSUSTV3dXQ2N8ViCaNSIWiMoJabGRiaG + h7nPU+lsS1tnY1Oz79Zmc9Nzs7lKzZUSzGgs1dTY3NGRacwmLdtAisBB+6bybVljwIHGHDQcDZKC + YiADRcqV2Qsnz884ZZ1QkZZMNrFyzbKIVcdtqQApuedW84X52fmZarXk+jURaEbtqJ2Mp7MtHd3x + TDZmAw2BmZAzGY6uUykuzoxPjZdqtYrn+UIbVjIaz2TS2bbm1sZMWmuiFCqsC6vr8KmCBqVBC1BV + 8OdnR4/ncjnOGuLt6xPNvTLsYoWCMBWNWd1NySv72w4cPF2anTtzJhdN9UaSYISLFnJADirwKwsT + Jw9740MxZgxcdnV02QYHIYLANKqgUs2PXBjc//KB4ef3nJ0ssKih0hENQbFQDWYW/HnHAjOx5ao1 + 3W1JolXgubMzuZODgzte3nn85MlCvpiKJqJGVCq9MF+cnS0slt2738KS2SylMJNbOHz45MFD+9NN + jdffdqMGikRo4Tk1PnZh4siBY89v33FhctKRMp5MRKkiwq2WihcmRg6fP5Pn/s1O7ZrLN1qEhtCX + 53uT45Mv73jl5Zd2j4yczzYkCSjHFbOzhWqZV6suM63uvp5sMgYiAC2BmQLQAzRC4EmC4s7C3Nhc + bnh6er5YdBYX3cVSjRhWJJZKZZta2jvbu3p6u9qMJYhCa1H1avn8/PCFYd/3L1uzHgRWCuWpyanh + 8ZG5wnwsnYo1ZDItratWrW7LtqYiJq0LxiAITogiqObn5sYuTA6dGS4tVp2AC8Rsc0N3Z7K/Lzvv + FjgCgo08IjmTGmg99AZAQB26SATCAAzV3NTZrVY3JoKJSX/EmRudmuvptVK2piaAT0AD1CJaqKA4 + fO7U2FiOUuhZtrKztz8EGwQABZifGb1w+tDePfv27jsyOVWIZxq1FfGRVGr+7KI/tyjiycbLsbu9 + JQaaB5XazMzsvoPHXth9eO+xs6YdjdkQMaTr1GbmS6NTJTvepLRYv7qToDc5OfrE409XbhKNDc1X + bQRQApQgWtYW8+dGJnfs2f/iy7snJ0faWrOgAxL4TIncwsLg2bMTi7XhirzR0wPd3RkbCALqoDQ3 + fGxwfOfu/adHi+jms5YH1an5hfLQ6dML80U7mlp7+ZWZ5gQhQDRSzRBEQzLSkrFiEWN2oXp2yin6 + GI3B0n7aB+0BIiLT2lAhSgDIAFFz8Cv53PSFyemh8ancfIkHhsFSmVSqtzPR1R71nQJFiRQ1pYpQ + CVRKYSpFkIAA3ytNL4wNT56fmiosLDi1mtBSxGKRTDqVbWpva+9pbe9qboyYTBBwlKYApgZDh9Bt + iLUKBegBEpAyPz5x6OTJeDbb0Nbe1dkxPHR+fHRkenKmVvGINhKZdMfyno6+7q6edsugFBQFYNog + kqAkwB3fK+XmF0YnC2PTi8VyqVye8YNCa3O2OdHcmGju6OhNd3bGWpICgSgl3MXjh/aOnTs3Oz5Z + mZ/03GB4urR1z6nJ+YqhvcaU3d7Rvnr1KtMwGCJBAAlaK0AAVUfIuFBOZWF6fGhuZiw/V3ZrolLy + S04QSWbimaZsc7q7r72ts7ElnUEErYR03NNH9k+cGxzKVfL5ubLPR6enX969uzA7Yhikt3+A2faF + 82fz05Nnjp2UQjrlwsjZY9ue+5EdTURiiQ2Xre9oaUjHgSpVmJ/MTVyYnhrLzefni4u+r2JWQ2O6 + o7m5vaW3tW15uxUzbIJaKqYUagoKIRCL1cWx2emR6cnZ2fxioQSaWAZNxKIdba1dPe29fZ2OVylM + z4yePXd03/6xC+d8zkdmF17ZfzA3P5uwmWkm+pevaW/vzWajdeU7JEpLjbKenFQASgAqCLxarXp+ + eGR4YnxyJldzfSA0k4z3dbclbVapEi4tIJYiTBLgFCiTiILqwNKyUKhM5+ZHx8bm5+cWF4u1Si1i + xhtTzZmGbEtvR0d/TzwRyWgNvufOzp2cLRyZmMn09i/r6OrvamQABgDTimoOygPl6dzU6ZnysbFC + umfV6u7mnqYYeqXcfGXPkTNmunVFb1dvR0vMoqgFhjktRafmFsdyC7OTY/mZcb9c5FIxO5ZsaGrt + 6FjW39/Y0hK3TaIBtKKa82q1kJ85PTQ2NleZLnpupZygPGtBsqExs2x1und1U1NDxgJTAXCBBIEh + gkatUCklhGagCBBA6bvVfOHk8eOcsc6BFcQya4uFqZELucmJYqEY+H5rS0cs3iyk1dm9onNZU0tH + gwGKgkQpQXIKEjTTPChWqiMTE+PT03PT+XKxJjyFYKRT2XQym25KLVvZm2pMRGwqQrUaVx8+cLSQ + K7Oote7qDY1dzXQJ1WJaQbmwMDa05/ApkWhL9qxdv743aYGtBUgXKIIWlZp/ePD80PhsR3dfb3dP + W2vWokA0CM+ZmRyfHJ+cmpjML+Rd7moCZiSSbWhr71i2bNmKxuaUHWEEJANNlASup2fnXj5wuLG9 + s6c50xizxs6cOjM0NZUrJTKtvcvbL9+0LpWNRki07jWW1giNACAQAqa4KJdGT5189dRYZvn6bP+G + /q6UjWjpQAmUwIQkPODHjhyby81bBrls/cqurmZKJGAAbmkhXzoxlCsFxhUbrwLhLs7PjI+PLBSL + pUqpWK4l0y0dnf39K1a1tbdm0gbWFQ80ah4muHV4KQRBQ6VUGh0dmpqcOLzvcD43y2t+Pl988ZW9 + iaHR5nSmpaFh3cCK9paU6y0eP3pgeKbU1LWys399b6ttaUAhpfaV8pTitRocPHi6UtXxpL3h8jUN + 2YSFgmo3qMzN5KtnxudpvGn1mtW1Simfz81OTExcuMBrtXg6E8lkm7q6V61akU1HEzZBpAqoDFt1 + aSX92kJh4fzE5PDUTLVSdYqL0nGzDdlUU0u2rX15X19jKsqkd+TQ/tNnzp05P1QuLlQc/8L49LaX + 952dmOVCNWTSA13NV65dAcDdUmlkZHhqegaM6OoNG1MNFmOwBPYpInlpoTQ9Vzw/Nj2zUKqV5rhX + Mqk0YplEU0dDW29Pa3NPa0vCZBdLQ0DpcmFueuzc+ZGRy67cFE83FEuVsfHJycnp6alZwzDj8WRT + tnFVf39vV2c6na5HjQo1ghfwufnZ6YnR2dyU5zn5wkJxcdGgZjbV0prtzDZlW3obW3qzlBkUgEhg + AFRrqiVqiRTQNDglCsAwGQTu3Mzk/iNDyFLNLU1r1q6ybXSK+bPHXp0aOXf06FBQ8YWOTU/kdr70 + wsnTUTQTaKb6Bi5b3tk6cvpEaXZaCb1m1bq2lg47lVQMeMil0By1JyU5fvzM9EyRS1h/2WXtHW0R + C5jWCKIu/aTDegVDkbD0KkSGJNUStAKAoFbLFwrjk9PzxcWFSmV+Ls9rDlU6k0w3NLW3tHX3LOtL + ZyLMVAoCVEQr7Tne2NjM4cMnVq1e19jaCESNTYxOj43NTU4KP9CRVLqtp613xfKOpq6mlGkAgBIQ + SJAWCAQBpcL0rHPmzEy+wMvu4mxhmkZ0c3N6YKBnbm4CkGqMKsgiSWsdCeki4X4FtBIKuEaGwP1a + ITd+9OiR0fGpk8dPcO7lS6Vj54aT23Zk08moZabi8YEV/f19fQYSE7UNfgR8A6UU4sLIyEJ+YWxs + bG5uVkkVj8daWtu6lvUvX7nGipgG1uF4VBK0AFSuE0zN5ofHJmemJ0vFhVq1ogWmEplMpjHb0dS7 + clmyOdVgM8a9ytzk2Wnn9HSNZfpWd7WuaEvEGQAo0D5QDgDAZWU+f25sbmimZKbbN16+LhUlMVOR + JTg93IGHdwsgFQpANT8zOzuZmxibmF8oFMvlqutEU8lMc1NTa2t3T29HZ7dpMgNBcTE3PTE3PZ6f + mSiXFovl2mLFVcQwY+lUY2trR3d3V1dfbyujYACgAtTarZTmZybHRy5Ixq645pqFcnl6YmZ8ZHxh + vuDWvKgdzWabWru6BtatT2QTcQOoBlAaqNQoOUgEC4HWnZgGAEWUtEBEgUcU0CBwi+WJ0dHxhcLY + XH5mOke4ipuRTHNz90B/27Kexkw0TogtDSIFQQEkAAC34hdmF4fOD+fm5wqlYrlSQUKjsXhzS0tb + R2dHZ1djU5NlR8Ql7W1eR25TUnCfEpSCe05tcmJyenohv1ApldxaNe+6xViURlMtqcbOrmWre3o6 + 0mmLECBCEq1Qarfm7927PxC6qSXb2dNRri2ODA3lZ2dLCwulKo8lmhKZtmxzw8CaZY0tyQhhTEmi + AQgXxenpqbNHTo9l+zYlOjYQ1DGoqMr0yIUz03PzhVIlEEiNeDLdsnrVmmXdHa1NKSREgpKgNGpE + QjWliqAkoMKslQT0lVs5OzH/yrGznctXr25vH2jNIqJBNCifofB8vlCqjI5OTExMzM3NOzVX8yBi + sMZMJt3R075idbKtqz2KhgQQGhQoA30AAqIwMz41cn5keKpQcF1Xg+atben2tkxLY7Pr1JhCLTAQ + 6GkmjTqsFaaxiQKlQGG4CVQIXAaVQr4wNZWfnS5Wqv5CsbiwuBjwINOQam1vyTY0dLZ1dLd3xaJR + oKC1BIpBwMuVyoGDB4nGDevXo4Z8YfbMucGFYr5a8QOh05mmls7OvoEVLe3tTVHbkF61kDufKx4f + nTYzbatb29Z1dFohyY9yQE9Lgmgu5ssXZqZOjgybqeTa5St7su1JalAZdsPVoASCCkTg1oonjx46 + dfzIiVNDhYXCYkUeOz1kxKJDYxcQsLWlo7tr2crlPRbxg0pu6ML46IznqfTma65tyoBJNWjue/5C + oXDo0OF0Kr16YBUXfHY+Nzo+MpWbqdV8QLO9rbO/b9malStjEcvn1bn5yfGJkdGxmdHRmVg0k8g0 + ZLLNK1ev7etqT9gMtNZIAwAOYIMytFucHpkcn5/QWr+OAAAgAElEQVSczOfz1cVSxfV8OxqLNTcn + W9ubl/X197QnTBKhCrS+NOXzb8H+z6Hbesfoi2ipvhS9hfovSgqtODMoEAAtwvQQUoKAE6OjL2zb + Njx0YcXatffef19PX9/42UntIxMmSktIShE0ggbggmspmclAKwAGSNWSk1qCaWXYvPsNkb3S9UpX + icAoLpGoLABToY3AXa80eerw3tnJKQo2YkNb59qBDdc3dK4yEkQAUFAEkITKRUQmovr6K/qmz7Wd + OTToA8zNzuzYc+DK2+81ZG3fUz/a/v2HjxydrPkAEEEa86X68tcf/vK3/h4svOzyNfffdu3v/vr7 + DU4gkIaWpg4kmsQwiw4/dW5o57YnDu7efurE4cAtd7Q2rrry2gd/+cNrrr7RsG0IB0IEhAoQNeDI + fTI4ePabD3/twuljcxMXCpWKMOxotrln+Zp73/rOm25+y+qBpoRNLTAAfF0tDh09+Jk//syBC8O0 + sfkjv/nxlb3LnMLiV7768OGzF7gZ+9BHfuOB229tumwNoQZQLUBqQBJG/jxQ0jMYN6gPCFwS1zfc + gAgFjCwxNzkI1JShAlAQEHAkVAuzs09865EDz+/ePZwvRlru/8j/+6lPPNASAwIAwgHDGT616wtf + efzFV85Pz9NEpuVPPvvZ2+66pbXBlOX5w/ue/4P/8t8uLOho+7q33nXLg3dsarac55557sVXDh8d + HM0XhWFkVwxc+b6H3n/DTRtXrGwWSoQMVyX5rt2vPPLlL184O7Ruw1X33PfgDTfePDs3ue35Z554 + 4rGZiZzN7Gg0uW7zlje//Zeuu+mmWHsSwTAQQQhRKURkLWrSshEVhu0BOgqSAELwhcmpH3zz2996 + cudIXma6111386ZP/sePdXQ0ISAKAS4PArewMPfSyzueeOqx0+dOzS3MuDXFSKS7o3/tFVffff87 + rrjmhv7uqORgKLAY1MnTBIpzuf2vvvDIo986Ozo6mZ8vlHki09K9bO2Wa2649643X7f56oiJQkEg + wDTr1e4EAXXY2TQAWQNvLj82mJuddTHJGldGGrugzuiVgBKobs/GbtiwIn9+aL5QPnT4Qsvy67u7 + wQIA7QBIQMWlVyvOTZ8+5o6ftzG7/qrrU/3dNQAAMLTmbuHovq3bfvjF728dtds2XnHrB9913+1t + Sc1Lo4PDsy/sP3duvJz7wdaW5kxbY4QCr1UW9+3e+f/9wR84QdDVt+yX3vHAdVduWdbe67p818FD + z7+866tf+2Yi3bxmw6ZoFISiWjPTjAIzAlAAYINGKnOzk1uffvLhL32zWvU3XHf9Aw+9f1l/X2OU + ELc4dGbwR6/s/tL3Hzs5PT02Nj7Q198QiRq2SRgrLswfOHToc5/7fHdb99233/n2B+5NJSKzs6Xn + tr287fmd33rke+mmRhazs/Ee8F0ACYxyoC6gBrApaA7VUvHAvpeee+qbj/9or++DFCCAmZGERLMW + yMuvvvae+9/x2x9/H603otNCBvP53J59e779yLcK+cLv/+7v8SofPHTyR48/MZPPucJzQQrTbOrp + /c2P//ZdN95+WX8n1nVREKQkytfSOfrqzh/8cPv3vrtVCEOjQKoUeOs39rznoXtOT56t+h5BW7qm + 72ipQIakHQ0EgGKYPgNBiACDs0RD1t+8vBNPjgznpnIjk7nLeGM3SCACIhbUIlA1dVmp2si50xNj + c3akobmtJ9scAQAOQBWYBA4f2PXsD7/y2A93rRhYdtO1t117690dq9ZwO3ri1NDWp184fma8reVE + NortmU4wdTE3tvelnZ/9/BfmHKNz7Za3v/M9V13W19ZozeWmdu09ue3FA08+95LWlSvWvwOYpkQ7 + NV8pk6AJEkTgMy3AZhPnzzz51POf+7uv9qxcd8ONN91555s62jJZyzS598rW577x/N4fPv3szuH5 + 4dGZjz30UKI9ZRoIVE4MH9u2dc/MvFq98ea33bjuyl6zOrrv2e2vPPLD7dueeW5itvQ7n/6jjc1r + WMge0gDEiJkkGVXxKCsEMFOmCw40JCFGQiq7C6QCQAlGGTXUUtNoAkgV9xbndu147tGnn396x55q + QCWPICSS8fi1GzvffOv68sK0aQCgppbJ7AhhWPOUDlzDjoEU+enRp5/7/qNPPXrw4FkhbEqTjIUE + Rplt7rr9zrfdfc/bb7phLWNC60oQUMoSgExpQA0agRFQHifggGEC4OnDh/70T/60Z+3ajTe86Y47 + b//KV7/y4rbnxoenQBoGxljMXrNpw/V33/Ku9z7Y0pyKIApQKJFIExClU5scPbvtxZ2PPbNnx57T + ArUdca2IowMvhYmuTM9tt9275d57L799CxigBJ/PjX3xS5/f8/yLbh60hEVqLxw7f+r0VwAqIBdX + LGu5++67fv9TvxcnFJCYFDVXQnINwAyDmEQjeH4wNjL0ja/+j/27tp0/m1cclLQDtAMS4SyaaIi+ + 8/0PvOW+O2/dskVLrX0ZlKvf+NKXdj27fbwMc8i02Tp4/PjgkV0RcCyG9z3w9ubmlu3Pb5uZGKuW + q4RgbnJ0YmJi67ZnlZVq71/3sd/4yO3XXZGyW4S3ePLAvmcef/TFHdtni4ucgOsBBBFLNzS1dN30 + tpvf+xvv7R7opNT0vUratAAJBFwVS4ODR7/11GMv7N01fGFccABFTAIxy1y5ou9NN2/5tY98oLCY + 2//Cji/+1eeK08EiQs2wB0+cGhw8zjBg0knEW979rg/edtvbNl11eSoFJgNA4EKB4kgoAQoSQHKg + Cpzi7OT45//7Xz31wgulsmdQy6ARhnT92tXrVq/qWrbe86lGy+NSEAAbBHAqXSJ9ytiFc4M/eOK5 + p5/bOjEx5vouQxJjyZiRRDSuvv2Gd//6L19++Zo0Y7AwO3bsyA9e2vfZR5+4/K57H3jzPf9P193R + MLzTkgIH8EBXxg6/9K1nD3zx6Vc33fPQrz14e9s1q6E0e+LYmT/77N/EOlfdd9ct77r/zRErgdIP + gTzuqn2v7vv+MztefO7JoDiLnqsQzHjaiKWjqdTHPvGJW++6q7+rVYf4ISOLc5MvbXvizz73N6NF + VRZREDzGiynJpQHrbrvv2gd/5cF33JsmoDgEFcewKWM2IBDGCNG+73EplUEsxqrl4vmTx//yTz6j + k4n3/+ZHa1Ic27f721/7Mq+6SoBBQEngMi516ubb3nrvg7e94/33ENAaBHIfAMBA0L5TmT996vTf + P/LIrgMHJy7MGMom0tTSUIKZLNbUlf3gxz5w0903rB3oU1AL/Ep5wX3k4W/sffFIwPQf/f9/ckPz + zbZpWkgZRVC8ND3+6rNP/NFn/6fXuGrdne/91Kc/YTWAoXzllQybCeGPjY1//VuP/PC5V66/6Y4H + 3nb/HbfdiBRQwML83JNPPLbt2W2v7tmnQQfAA60UgUSitatr5Ud+4+M3335dd2eLgoCCAtTSqR0+ + dPg//fFnNt90y80b1/Y1xL/9pb99ats+QdIs0nzZVSt+7w8/sfby5XYiWm8xoOuUew2ggBPwmHRm + R09t/d7Df/7wYy1X3n7Du37jo796bxbB0IHnBmY04wZiZmruC1/86qH9R7raGz/6kV/OprckYgjE + U4tjJw4e/R8PPzWc15/+gz8Oqvmjr778/Ue/PZufFwDEtLmMpLLdv/yBD9199x2brupndUUpZdB6 + MT6BsBIJAWFsbOw7j37n+a3Pjp0dMzgTAqar54/8t78GQqPxxMaVKz/xoQ8mr79iZurc177yP5/a + eWz9dW95x69+sqN1DUpQnie0Y1jK9Urnh3J/9l//cmys0j/Q/alPf3LjptWWpcBQfmHiwK4jn3/4 + sWTXuk9+8pND5wf37t7x7GM/hJqDnudp5FZ09dVbfuu3PnzdpnXJjmy4txEaAk/HDeVXS3t3vfSN + Hz7+9EuvBAGPaowjKq6sTLZ75doPf+TXr7lswODVz/2Xz+zau7/mg0Bw0V44cPTw0XNAGCDtWbXi + vffeesXKjxLKZyeGv/fNr7308iuJps5P/ec/p9F0xKIAgoAPgISqkTODj2/d+Z0nto7kitLNG9ox + ADyG3MysuupN999x26+888F0R0tYgaEAqNK5idEnf/DI33756//pM3+4bPW6U2eGvv/9x48eH/Sc + AIBSasVs+5Mf+9g7H7g/EYlTywQEUKARCsXySzt2Pvrdb+7csTVcgRQAQcKEnbKzm6+79rZ33H5L + 9rZ4JhUDZQbEIECVQimIlsSgVjIuDSoAAAjwYPD4kf/6n/9CkfjVW7b8h9//vWxTw8To0H//yz+9 + cPzA5Kwqy3gAcqEwdeb8AR/KFQ6Cpj/823/w6+958LuPfm//9mcXF8qf+M3fue2Wu3rXrJUEFQVq + UCkdomqc029/+zsv7DgkFP7WJ3/nlttub2u0mYFAFGgOSoDkIEFbCUCLhyxmLUEEphJUSwBYzOeO + HDr0t1/+++NnzuerNa3AEMoQEgTEki1dfas/9OGP3HjLpp6+JqFqUmpQenpq4rlnnv/zz/71hz/8 + 8Q2bLiu7pR88/r3Du3ZX5ueJUA7LcCN7zR33vedtN77v/ptittIgPOlxqhlIBio/Nbz75eNf+8aT + J07PLFTnOPVIVDVkYrfcdG1bawMXSaWj1Gi2I612JGkaAABISfgQHC8IkKWjplMsHnl15x//0R9O + zhYqLpQDKDmj49PFrTt2g/AjBlvZ3/drH/hAZ0eXYVHNPe2WUhZGDVKulF/ed3DHiy++snMnaEUR + GSORWPzmO+/9+O/+fltXTzZp2BahACA5cBdQzUzP/OiZ7T944tljh15V3CVKMBJJRDKWGWtf2fnO + X333pls2ZzrbfHd+4uzBp3ee+8Jj+5Mrbnzonlvfd9cN8SwFUCAcMD2gFHxn6OSBhx/duv3QcMvK + zZ/8rY+s72+NZc16DY4Kuf9hFlMgSgRJKR4/euip7z7xzFPPFsplAZoDaMswk/GWzq5feud73v2+ + 97c0NRAClWp1+wvPb3vi+7teeB4keAoCBcqwAxIVNHrZpmvfds9bPvgrDyUiAASQK5viQm7mse88 + 8sqO7bGmps+sXL5j954nH3tq145dwlcMmPBlPJYc2LDhk//p02s3bYo1LKW5qFIgBHAAs04ZC9Fu + rUGLCMoYyjgB7dRyI+Nnhka/8L3vHDxxDDSCQAsMI5q4593vve2BBzZfcTmxwQZCmA1YA+0C6NzU + 8J4X937lyw9fGBur+UEgJBDKDNOwoze86cYH3/nuG950I7Miri/sCGN40W0CAFi2LbjvOFXLoFIE + tXLh+9955EePbx8anhXCIFgFXSIIYMVi2d433//Qgw/es3HjGssCwkXCpCCCfC7315/7fKnqbdpy + 5d333jF47vjXv/KVyZERp+RyzTgkG1r6V67rf/+vvGPLTVf0NbbpQEEAYPD8+NmXn3vkz//u29fc + 91vrb4tkMynbHRs/tv1v/vqzi1VXG1bZVUJF09me22+/6+33v+WOW99kR0AB4cBD/RgQSEQI3YZ1 + qhzQmZ4dfurZlz79F1+66Y7733P37f33vYUQAgggXGpot1Y6duzY333xK9u3v4hAlAImuaGkATrV + u+q+D3z4+rc+2La6BQAg0GBoMFgAIAJn996d2x9/dNu23dUK+r6lwLNi6qpNqx9824Pzc/PS5zXX + 9QLlayYRhAaiBUNGAEAACk0MhJDBrXmtkj957NB3vv30s0+9XKlxTQgw6nNPobAjxpo1q992972/ + dN+D3Z1dRswArRCxXFkcPH3mrz73V0zjxz78USX4oaP7/v7hLzqe4wfABSEs2rd69QPvfvcd97w5 + s2IZBLXc+Pltrxz+i68+mupb/+433dz1jnc1pyNAKYBQuiI4miQ+cv7sd5995pFnn2pdNfChd30g + tjGRamigZjhcinOPRQ0/cCZGz33p7z7/yvbtJQdKwhA6mcu9sG3H84T6Soirrrzu3jff/9Ffe8hK + ysW54W/+/Rd2HZ6H6MAfNw7E1jaaUS25WyoWT508+ad/8pmBvhUf+uCHatXK8zu2ffM7X3d5wDkV + illm7M5bb/3Uf/wPy3u7CotTL+x46oePf+/woTOVitQQtePpxrauD3zw1x+8585IWxMzCFLwFHgE + iJYW+Mf3v/jtR57YufNItYLUsLxASA2uHbXa2m9++zs++qvvW9XVZDPNOTeYSd9A0YxfeHtDWLeX + ilL9BGCqAQAIJUAY1AsAFUgJSAF0UHNPHD++44UXOzs6rr766lVrVsUSca20DJT0lZJA8DUuAGPM + IEt16Khfd+IfB4zfGMERBVKFVWz6kq+wtC1wFhdmzw4eX5idQ2CU2K3tPQNrLo+mCNZREkFVvRwN + QAJVfV0tPW3ZCAIlUPa8syMT47OlBiDVctkpL3IfGIAGHUgttPpf5L1XcGTZfeb5P+dclze9QWbC + ew8UgPKFKpT31YbsbnqySVGz1IgcKUZSjFajjY2JndBObMyGFIqZDWkkhRyloesm2aa6u6rLOxSA + KnjvvctMpHfXnHP2IdEipdh948vsnkC+5ENmRiTuzXO+//f9PkAUqA6c5Y08ZZQxLhJJkWTEKGKG + oWtrG5vvfXTrZf/j7cWJ2PZCMhHHFMI7odRA31aanlmLfOWtN3x2xS4hRSDANEjGtrejP/zw/p3n + Y3Mzk5m9bSOdMhhoNJ+P7ul84Qc/+snIxOr1y+eOHayur3DKyETUwFTjepYbeiqVevTk2cDT57Ht + nemZ+UQqC3YS3oum0ulCMAkAARAOwIEiZgIWXC5HQ32NahULsZeh4WGT5SN7u6dOnWhtbHbakCgA + xcgAMIAhMATgANTQs9HQVmYvrGXzaZrPUxHvWz0JAICR0bN7+XSU6rqWF1kik85oWQ0ME2RRsAjA + 9FwuY+rxVDgS+9GP3zUiC1OTE+vb8Ug8n9ekPMILs7P//fv/sBtZPXe5u62zQZVFDjoWzEwytru2 + nokltzd2+vuHRibntkPrM3NjGxsbRjpPIcPy2vjgy+1oIhxPnT51rLmx1iYSGYuiIAjAODMM0xAK + Y2VdoyBjjCyynE2ld7Z2kzlFyuTzOU3TNR1yAkUSkFhoZ3h89P2Pbw5PjK1truwlwzkdOAXAZiQU + fvlycC2cODi1+Lkb11TCAy5rdUlAAGDpXCi09s5P//Heg4+n52eimWxKA8OAGItpxmwsmuCmSSk7 + fuyoxYJ/cT3s0w85AC5o1ZDLbG1txOIpSS1z+SrsjsJPP2BgGEvAuc/tPHGo5cWjR6uz8cGh8baT + 8Y7WoKwAwRSQCUCpnolFQqOjo8lk1t/QUVFTZ3WCCYABjHwqvLn++MnjpdXV0gr/ta9+s7X7Sy21 + HifJi5pUVNZY0nhsJQZaere0pFggHEw6PDQ0MDCoGez0uQs95872HD9V6gk6JWteN0/39FQ0NHSf + PdPW0SlIgHEBjZoH4AXCAwIOQLPpZN+zZyOjowyRL3z5a8fPn2k7csjqtFkQBc2h2hzI63OXBn56 + 8+bU+Nj7Nz8913OhoSaIWW59dnRuaoKC0Hr45JlL50vLK+wKcjk9iiRHQ7t3n4VHxibKGhubaupE + wQJMB44LfsHCPolq2Who9/Gjx2vrOwcPt7S0HPH7axSLTTP0pZWVR8+Hdna27z14XF5aeupQa115 + EYhcJFiWiUURKaUbW1u3bt9J7MQSu7EDbW3dnm5iEUKp+IupqcXNjZ+88xMLslQUfVkVgRBAPCsJ + LLy1Mzk6+NMfvTswtGBxOE72XKqpKrXIZG5+LBRb+Om772yHN/ZCdgP8WLFKiooAEOUcAeUcEcwB + PjPzYROICYpNVQ+31sX7B2e31iYmJnxdJ2s7vPtkDkCY5yCzGwsnF1c3ooZU3dJcWl7pdYIAIAHk + UvnV9em79x7MzK8dPd529crnjx8/7yuvIZ6inKRINnfQXxkLp5wiDfodgExIJfv7+t//8I4gOW9c + vHLlzbcra2t9TqIKmsthtbkqGttPptOZugoLANdyqXw+L8sKZ0BNCggESeBZLbsVvvfp3Rf9A41N + TZdef+3c2eNVFQGnXbQjLmjZcxfPKxXNtrLnL5bCwy8HVy9ccjudfpspE97SUP+NL1eENS84mmqK + eMCVCliqzwOZX4m/HF1bXVyLxpIZCgoGzEDkAIgRRXA5FI/Xub1j7kZT0SToHsAiADcAs/2mqM/i + olCI0IG5vb4+8eLpzZsfj08uqlbX6UvnKyqaFMU9OzmRCU+/97OfpWI7m1Gim1Iyk01ncwyssixb + kAk0P/Lw7kePPv3k8d2koR85cqKj41iRrxSAra6uzM/PbmzHHj58pFHB0HfPn2n3OIkgy4VbJAag + bD97RogMkC/wAcxcPBreNhctoTwdHBnfWV+prKy5dO6qhJXdrfDjvr75+XmuKlU1VYcOt1aWBRTA + hCAwKEunHj24f+fOhy9GJuJ5y/HjpzoOHywuFYgQX5mbWBpe3JrZfHjvdhSjjN3ZeKjFZ5GdTveN + a9day8syOzv37jzWEqpQ3tB84mpjmcOBU0EHaWioV1ULIRhxBABIwCKWAYAybmimIJNwaG1ifHh0 + eMjt8nz9a2dLgzUOm98UbauhRO/QVP/IwO27jwSHrba6NuiyKgKWJHLx3Jl6r2U5wX/8bHotIwfK + S7vbqmv9NsLNtrZ2u90R9Hp2tjYWZqcfPXlqdbhKq+vaj5zkqtdaVNF56GDA70sn9j794J1Hd29P + jA6VFpedPHu+pqWZCJZ8gu+uxR886n367GmU597+zjePtjY4FBWoDkwDTAYHnnx0//79+4+Q1Xr6 + 7IWDnV2SKOWSydWFxbXlpaGhobHxQzV1Zad7TvJ4dPblYP9SeHw3o1bWHWisaqjw20Umi+6DB3tq + amptNihAoTkHQgTADAMA44XcEotFXvY9+W/f//6LuTmrN3DsTGdFoFQVLPFIZHlhvvfJY3lkbm5T + M+TSHGU64hRAAIpAz8d2h4aGP7jz7N7TQUG2X73xekdHqyIILGvGd2N37z4cHxtL/cXfvf2tr9tb + 64octtIyv9fn4rncwsz8XO18Rr8mSCAAIMol4MAomPrqwszE6Ggmm3d6A6Vl5ZJATKYZhh5LpanG + zEKNKnAMFMBIry0+G53/8TsfDcysK6r98qnjrZVBp9e/EYqOzS0NDI28HBz0BourSoIiN/R0bG93 + 40c/+McH9z/VTdRz+lxl+8kyv8eaD2lbMy/Hp+cie+/97EOELDe6Ow/X+GSLiAUCDFHTxAghTCRJ + RphSwjlQDKZF4tzMLi3t/Py9D9f29iCbOHjwUEtNhV21peKJvt6B+cWozvDkxASyaKKTH+puaykt + kwQRKAVDo+nYnY8/ePfj2y+mZ5HVfvr8pY7mLpfFY+bo9tru9Nj05PL0j374w53U7ltvvlJdGbBa + ZMXC3Q6bXSLb6XgoEgknMuV+FQNgzoHqkZ2trbXldDId5XvrO5HdqOG3iXZFERUFiAGp2NbybGh3 + S+M4WFlbVFysyIA4jI8O3//k/Yd3b2ez2pnzFw90dji9DoNre/Ho1OTKi4GJd9/5cTwT/srbX7Q5 + FBkJgE0jl0sm4tG9yNj4WHZ7VczGjVj06NHjZdUdeWYtrnKWV5TJqqRTDUxZ4AAc8howEQQR9hvv + gIqYY6ppWWpQbgqWAuREIILFggFxiYDDZs1n8/FYwud2iJKi2uxA8gCAwQRuMOCbu5F/+OFPzHRE + S+x2dHQES4NOrysSS70YnJ2a3vjg5z/jzFRtb9VUlzgUYNQUUSGTCp+x7xEA83o9R48e9nkc86Nz + Y32j2xsRpch/4OJlS2lZmcNRYrM11NbLoiAgxo2cpmka5TqIDAATkCVZQhQJWl4zDEM3dJMygrAk + W1RARM+mJJQVmS6LSNe0qdnFH77z06W5cUNLnj17NmCzy5SFE8nHw6ML8zN/8zd/LQvf8Lq7ZVFF + 2EBcVES0vbU1Pvjsx++8MzW/5A8EurtPtlZWeWVlamJ6ZH55ezfc+7w/YBd7upq+8sU3W9ta1ze3 + H/cNbaeZ4qs4cuZSWXkFJoLNInc2lmJCADQRc5sicj2Xz2UQxjnNzOayDoXSbHx7M9T/fPDRg2fP + hyZzef3UqZ4jHXUBt8i06Eooead3OLS1+uGH71sxunrmbFdXC8fAGJhaPpeO51N72RR8+ukty+Do + yvqWp6jojTc+b7e74tHkyvLa0IvBn/7s59lU+nvf/Z7fH5BkARPIpPNrK0v37nyq69qFCxebWxr8 + gYCiKoloamp4bnp4dnZmRnyiimWuoz3dNtUliAAMmGHouSwwyjnLG7pG2f4hCiFgTMtmDUa1fE5R + ZI7A7XG/+uqN3Zbqidn4vSezWcNZVFbcfa7F5qU6sZui++ipY3aHerijPTI19GR5Y3FlrSWarJL2 + LVaccRkxQ0tubyU3NjejyazXF3Q43U6nIorw2dEEASaAOAgYE0yB7YeWESaCSDgChgH4wsL8k0cP + 04l4Z0dHXXun2+2xAOeZ9PrS2tjk6vziyu1P7goSdfnOW1QkiRgzA4Gm6dlEIjnwcnhxc21lc8lk + 2uEjR9trq/V0Zmotfrt3Ym56+okHdzUXN1V7VLcVCYIAXNczm/Ozzz784Oef9C8tR0urWi93vRKs + cOzGVhfnxpYXpubGE4mMZzcsBcp5JJ7Na0ApANUxZggxEIiiyBgJCLjdbm1pbnz7G19dXt+eWVh5 + 0DeCHP7i+s4jx044FVHF3Od0tB/okCSxIFWDkdUy8efPe9dTbHEz7FDlr339a06rmohFluZnl5ZX + R0dH/urvvv+lb/z6kQOVEoCumxLTMOHzQwOfPHn53kcPUhp0nz7ffbTLaZERhUw80/+8f3J98cc/ + /kmS677LPZVOqajYV1qeodTc2dxenF/KnT4GPgsgArgApaZgGqHtrRcDA4m0VG1zlpZXqjYRkME4 + xwzAAN0EIhcSTAiA7SV2R14M3Xz/o4G+Ad/0FEgAACAASURBVLvNcfTEyZb2NqvHtRbanVicH52a + Gp+aahodP3bksF0muztbfb3PEvFYz6njTfW1bn+pqDpTOkwsrN9/Pry2tvbg0ZNAsOT0ye66MpWI + uJBEZ3o+l4ythXb+/C/+fHRhKbIdvnzlStATQBwvzMwvzS8tzC/84w9+dEWjr549UST/QuPgn+kC + /ywBzJmRzxm5tKnDwIuhqbnERiLhKSt685tfLQ0E01uhzZnFvuGJR48fhiiWZaulrsrrEEE3QDT0 + fHx+bvbWRw/v3X4WT2e6T/UcPnLUYrUalIX3YvcfPpqdnfvbv/1bLEiHjx3zB30Y7x/0TArAQUBA + ECcYqxZFwLAd3nn2+NHC3IzVavnyl74YKK7xuoks5UM7i2NzO/efjT969JDxvGr/ek1thdciA6JA + kCgIBOFoODrQNzC/PJcz05KsvPraawGXm4Hy/MXk8lpkYmzwp+/LSdB/7a0vSgUzqpm3KKKs8HQa + hkcGlvM+UZT00AzElhrbDldU1XiKAqFIfGhkam5hbaDvgdsh2e1qZ1e73SkDIIwRQUjgSEICQYRz + VMDEIDARMg3T4OkcFxRRtu73ECMOwFbmp548H/rRux9s7EQ7OrtOHO/2uNyqgAk1hvr7+mbXPrz5 + cVr2lOLLXX4v2m/ZhvXtjdEXT3/+zk/mRl8gQs5fPF9b1enyWEbGn0Via++99/PIZjQRSquKHQsS + AwIAhT4W09AEJGGMkIAQBlrgIena3vbmpx/d3Nncamlrb+84WhTwy6olm08vLs1OzY7vbG0+efrU + obpvXL9RUVWMRcKBck6Bm4aW29wO37r9aTQSSeei7QfaS8sDFos7nTaf9w9vbm6+98EHqscbtCnV + XpuvpLi0tJQZ5tby6qx3Onox7rdYQDQBOEZIEgUwaWRza2J0LJ5MV7u91Q2NFqttX8UyAYCLssy4 + SQTs9biuX7lQ4VVml8PPxrf2dHtTe0ttrd/vtxCEK0rrWho7FVkBnkQ0q+cSyWQSmE5EuyhBoW4B + mKHlk6LAFhbn/uEf/jEc3kMCv3btFavdmtPozk5keHh8fGz4z/7sz+tra5Lp3cdPb5WUFr311lsO + u39+YWNuaX1lffPTTz6Rwfj2N77illWOoZDxioZ3b37ybt/t96ZnFurr6pubjzY2t1KOYsnU3G7k + 7uDQy77+v6K5b37p9c7maqfVCv9/stzCr7imDAD+38yuCO8fcfk/9WxzU9NnZmdHRkYWF5fe/OIX + jx49UhwMCoLIOQPOeKFp5zMMFi/4bHEBNbX/5P4LfQYX3Hf+ol8JLeEXixfuyQWd5p9o8YaRTKY2 + NjaTySSAgwPzej2VlRUWS2FcDYhzvC8xcwAEmLjcHofTSQhgAM0wzVgqlsyhUldVddWJ40cVYW56 + ckNjLAccBNHf0lle4pIEvbmhvKG2WhIETDEhBHGOAShjib1Ygi0kQlKl31Ve1IHMbHJve29ndWl9 + ZzX0iNpL2pqbDrU22L12QBioubexfu9B7/vv33wxuymLpK6quthjFWQ5S2E3mZ5f2Z4ZG9vYinGa + c9mulgU6ZAkzxjk1CpzQXFYbHZnQUqlkJMI4ABKBc1PPU1NDiAE3gYuf9WgKnDKERZfT09DQGAwG + rLaonja2t+YyuXA0uhuN7G12bgaL/OVlpY5iN7YW0oQIABd6C0xTM4wMNU0TG4wWKlILEQUMJmPU + ME0TOABgxkA3TI1yDggEQUCY6QY3aDaRWJxfTmwt8GzI43LWN5U0CCidousr8XBoc/DFDhdzTAFf + RaDEYhdRnmCNGTkzowkmjkaSw2PTkVRaUrjL4z16PChomh6Nba6tb28sr+zsKna7LOOyykpBECUs + gagwRBhjQDURUZlwzE2gMuJMkiTGIacZOig5k2c1g/MCgInnc9npifGPb968+fHNaDrl8jnaD3RY + nRYJWcw87G7szSyvL2+FImlNEbDTIrU11pUFfIJgpBKLL188uXPv7pO+UYpwZVXDgeISUZFNDqls + dmN7Z2NzdWlzpZ12yljZD9EwICbFwAETwAiQCIxkddiJZrI57nR4fHaPXQEMIICOAQEoAILF4W5q + rSsuc8Dc7sLC7ObmdjwVtFpARoV9gmmm46FQZHZtR1KL6pvagoEAARAAFAAtsbO8vNw/Nm+C79Dp + 6xcvXy2v86gYLKCA3VtBbL5KqMlBJl4a9GFMk7lsbnBobGJ6xeuvOnHq0vnLV2vKKwWGQGcWhVYV + eUsaqps7WwVZESXAGDjLMyOLmE64SYAJgJipxUN7L/oGNnfC5Q1NZ65fP3y0w+VWEQYKqqHYXc7g + UbezOmhbH3o4OBu6++hFZfO52iqQ9FR05sXGwozq8pa1H6vrPKpaKSFZm1VqdVQeOlA8vmCfnJ1r + WIue0sElKAQUzoEAKAAyUJFTgaclAhbVU914rKHxwKHDp0pLa60WQc9H56aGZAV9cPfF7Mzsp5/e + qQy6q0u9hJogMAlzApwzHo2le/sHnbK10l9y4eLFkqoy0SptR8OCTY3di0+ODI7Ut505ca68JGAl + FCONA11bWf/0o0cvnk3msdh99tT1z19rb2iwScL0RO2Ll/cePrmZDrNkIkXBoysYySLmgCkIBEwA + 85doXAAIA5FAsUhqY2P1VKXTHNUmF6dL1tdPay1WGWQAGTihRj4WWlzeXgmnsraSI4d7AsFiFwEB + QAbIplbmJgcnJheiefulV7/Yfe5qS0srSLKGCQGw+m2VfpuRg9xeMmDNAY1HNrYGR+YHJ3fbDp86 + e+Ha1YudhYA/MpHksLs8QlUdpFKgimkASk0EnMiyBIhRbnBmIgFpurG6ujU8NLmzGz5z49Uz504e + OnhAFLiIqMhNkCWf3d5TVKublvTue0MzU5Nzk7aSgNXpkpGo+CsOFnmAODIMMAdRMsAaqBFdFVWT + 89PJ7a3dTCqTN0BS/il7ZoKErTbV5/Pw7WwysZdOZkzdCioAZ4AwgAyAGUisEJfjHDEdU31xfvnT + e8/6hmaQ4uzpOXH11c83NDZZFHXohW+01xzvW4uEcrEUcDFgcjAYowwkjDnXcnu7T5/e/vTOvcWt + 8IETp870nDp9qjvoL+KcLy4sjY6O9Q2M3H34vK/3kVXJNTWWWKwBRVAYFGBbBApkZA6AETAZzCyA + jlEWQN8Nh9fTYFndPdjWfKzrwIkjB2URrS0vgGw8ezm+NLXY+6A/6POVlZRgTDCi3Ehsbq329j+/ + ff9JOs9bOnq6z712sqc7WCwAjizP1Q15Bvrok6mZped9j6k/6K4o81c6HHZv9/HTreVV0ZXF8ZHJ + VZ0GKorPXTxzqrPGgVMOkrfbbbKEEXDEYL9ZXQBAwHTEuIm4QVhSlnBlZX19Td2ZnrNV5dVup4eJ + 6vJ2xOZxhmKbM6uro2OLyyvbruYKqxUEmRw7cayt3D8dyt9fiK/tmhXVtRcuXTrWXA6G5nK7RVGs + q60KbawN2OSBgQGn19fU3vXq578g2T1IsTfVBS2cbi2t3bl9a3J8jGDhSPeZEz1nWjoOyooln0qu + Ly0lcpuPh2c/vfW46+j5mqIyT5kLmM7yCSMR6+2709fXn4znj3adPXuu+/TpY5KE0tHk3NTM8ye9 + 6XyUMbDbnRVl5R4BP7dZQjA0G5svKys/3XOmu6vFaxMxqB5vmdNpl5V9pA1CgDHiQDgzEd/3IG2s + rL7s7f/k5kN7TVVr9+lXXnm1rjhoxyi6vd73RHrR92RwanBPcytlxTpBFMM+H9PIJXc3nnx6a6Bv + ai9mnjh7+crls6dPHVcEbqRi4fVlw4jefT75+N5Ac8PRBqe7qLPCXllUXllaHgzshcLbi/OxcFwO + uhQCDAmMSdgASOcXVzc3Nrc97tLK0tKSoB9DlpqaSQ2DMgYCIhI1GaIMAQcjv7w4c+/uvecDA4Ya + PNdz9rXLp480Vrt8RQtrm8VDo0SSHFabkc9zU8dEj8W2Bl70ffrw2exSuP3wyYvXX+/qPldV7FX0 + SGp90lcxEPloYGBi8qntdpNX7Ko5JcoIMOGATS6SwkmfEIIxBxPAINgUBYPgXDi009s3iG3u9rqy + y6cOH2xtcFttsXDYbrEHgit5U5mcXR0a7rd4JU+xv760ViIMuEZT8cW5+f6Hj58+6sXuYHtb94XT + 5492HnJbnZDN7yyt9HktGo1MTk1gq1xRVuZxn7OrRYqMayqLV4LWtcnVre2tzVCixF8EAISbwIyN + zY2l9Q2NQTqX3duLbG5tVXjLvaogiSrQmBnfXZ0YjIXDqt1dWdsQKPJKDLTE3vRw/51Pbm5vb7e2 + H77++ltHjh/3+eyUZWPRyOOHvZGt3YWpYdUuHOo+VttQ57AphDPKKWemwNn2ynJ+CytMP3X4UNfh + M7X1nRkdy3bm93skQrlpcNhvDigU3BV2DQW0MsICQgLjQLAkks+SP4yIggCMMW5YRU7AZNQ0KOVE + RKIFEANKdI4pEikSo8nM497+Up+tuTp4tudYU3O9z+cMhfc89qdm5uH83PhAr7uqtsbtcauSRQDM + 0Wes3f0cEAIOLqfzQHt7U33NuKdkd3ErHknZAv5Lly/6GprK7A4b5+U+jyBkMXCCAWPEOZiM0wIO + ex8wzzCjiLOCkIcFkQgSwoTxAmWRIQQM0NbO7qPHT2wytDVWXjt/vtjjkSjb3dtLc/r05cu+p49O + nTjc0tZWXmyXERO5iTHMzEx9dOf+k/4hX0npyZMnr1251l5X55It482TRYOjo3NLkqwAINXpvHDh + fHVt3cTk1OTsQgqMstr6i1dvdLS3E4KZni1WC/MORDBWFUnEnFNqmswszOK4aabjK0vL7928PTy+ + mMmbBw8fO3f56tmTXUVu2czvrWzsyBbr/Se9M1OjH8mqag9UNbeoMmAATk1Dy4GZFzCMjU1YizNe + X+DY8e7WphaPyxOPJoYHhyPb2wtLSw+ePb/+2ucVm8Or2BEHYLoAptOmlHR1NbU0HehoLykJWlQl + sRd7WTHkkGy37t2fnJx2vixvaD9UoQLGn4EXOcWIU8413WAcOADlQAATLIpEZpQgjggRAIHD4z11 + 7mKyqd4WWOkb28GGvbKq9MLFV0oqHdxio5LFGSxzykJXc91KafFTxsbmlyq3IwcARAYiB4HrWDC1 + ZHRpfnE3FEGStbmtvbi4yKmCRAohzAJVlwFi+5seMAgUCO4EI4EhghEFRhkiVtV69NCh2rauA8d6 + fP4iFZssE1ueX5I+eLS4dHt0eKS0sqj7bDeR7ZLAOdOIoCFsalSfmp1zRVycQNehA90HW090Nmvp + +MuJpUgiubi5MzWeGxxrke2Haj1eGYCAnkvnxgdH+h4/HR2Z91UfP3z21StXj1VU2Xd3p0deFo29 + fDQzvrm2mDZNv45YjhoGNxkXEOf7lydjAinUfhqCIJaWV15/5bWV1Q1Hb1/f8JTV621tP/Da628U + u6wyNyTE/T4fwgCMC5jLmHETllbXVvJqoKrxwKHOSz3HAk41ubczNTr03gcfTm6FP77zoOvMjYaG + StEKhHOgOrDseP/jh3efLCxttp28dOXs6WsXznisFmTk4qFtu2Kk7ybHR6YCZfUHKiq9h+rVYEl1 + HSnx2FZDm6tTw3uhs2agRlAFwCIgApQmkpnNrfDy8qqn9mBNZWnAL8siMEDAPuObMiAACAEHrhvZ + rbWlT26+39//MpnRT/ecO3/x/OGjh+1u1+LWetXEqOp0BIJ+Q88zaiIOAqIel811oL2zraWttdUf + LFVUR1qjgxNzRLbeuvdkfmb6yZOnjY3N1WUq4iBwzgFEgXBqbG3v3Lp91+IPtrZ1XD9/uTxQApTN + TE3d+vjjhy/GnvY+LW5s6znY4faqAkEACAH5rB7gl9QjtE9gZcAphonFddVjtQeKjp441XHiQHWg + KLW2MT/w0syl+1Z2e3v7Whqaa+y2WmcxMApmPhPd6e999ODx07GFjcOHT168ceXyxXMOh0036NbO + riArd+89GHjxoqG51eF2+wM++CdIQuFRCOghhAkBXFAbzcqqysqalp6z10rL63we2SKZ21sLZQOT + yxuR5Y3V/j7j/MWeouIit9VumqYAFGMsIJKNpeKJ2NauXF5fcfLk2dMnTlSWFBOA8qDv4YMHHz9Z + eDkwKAdqLp3hFSpyIwCQQVRAEg0EKysTZgwZVHRIqL6s+NKl821NjX6vJ5XYK/XffSDcGpuYGBwQ + PT5PSWWFxeknUAjBcsyZgBDGiCFO9xUYQJRyxgBAkBRBVkwOAucAFJA5NTZ8/+6d/oEXLQeOnT97 + 9uqVK36fTxUFRPVAoCj1yaM7z8eGn/UN+dw15065nCpgRnV9Y3by/u3bAy+GRIIPnui+cfX1Ay1H + PB61prpoYOB+f//L7dBuOmUIVhcWBYIQBpAACMMm4wxzhBGI+z1CXNcFkypAnBZrc1Ozr7Tp5JlL + JcXFFouSz2emZ0aePnP87Gc/W1xcfNzbf/DoyUB5sSISzikGkDAQYJFw5Hn/S1lRK6v9R46daWis + ctl96VRewOLdp31To5OTI5MHG2oDnlbFFywvq2wIBJZWIzuLszt7WyVBt0Mo/AsLCBEzlQ2vr6/O + L9pVR1l5bVlFtYLFXwwWEAYBA6eSKHo8rpPdxyo8Ftfw/HyoX0sp9U2tZ3o6mpuKFVFSFbfd6iME + AzMxZyLBwLlhUsoR2ydHiJhwjHOE5FdXQ5FozuH0HT3adfnqebfbnsun11aXc5nIzPTyJ3duj09V + 2h2SbPEeOnymq/Ng0F88NTXz+OlAZPfjxcmRPrfl1c9dJw5VAlAAaDa1s7T08c07S1PLguTovnDp + 1KkLbe0dHHAskZpdWc0Y+Rfjk49vfXykrcEfLLJYHehf0lP/P75+xdLtP3O+/rOnC+7ZAugWgBDg + kEgkPrn1yYuXL0VRPH3m9KFDB2VRpIwBZ4XG7wJqvPB61OREYBz+WU8y/5dv9ZlH91f0BWLAHBhl + VOQCIACxEDYG4MAp1XQjn8ubpsmBmdSwWGSvFwgGCkCACQgQRr/0+TAgUoDj6yaYmAFRTC6Ullde + 7fgqef3040+e/sHv/m87oWwKIcnp/ta//t5X3rhoFXSHqDtEroiMRTOF4nuBEMwJUKY43YcOt/3b + 73y1sdxrZqNPH9z65P13lu8OAmNLy6t37t6vKwtW+u1AGWj58dHhP/2TP16OGoLqr6yu/Dff/fVL + p4+pdnsim3/c9+I//ef/skz30vHYvXv3DnfUdR9pdyky55yaeeAGM8y8ntvObQBnGLAgSTpDCJAq + SbJEAJtg6pwXbrwADBglhIDqcDc2NLa2tkwvRJKzYWrkk/Hwy5epsZEJt8NZWV7+5S998cKrl8ob + ywngQv0VBkmSJZvNIhCmQYZTU9eMXB6oDPs+DIwJRphzalAALEoK5UCBExGBBnpezyTTYIi5cPj5 + w6cCYUc7W3/7t/5Ve3uNRaHzM4vf/+t3P/3oWULPD48OZQTcdqxTtJX6XEzmhkzAKakU871oejO9 + bEriK69c+M5vvN1cVwHxvbkXfX/6n//P0NSSLCt9vU/cPu+11z9v4aKKJYRFCphSBkxXCLcpoiwg + oLqp6wRhSbHIqg1lpYxmpjI5TIiCJAT5rY21O7c//v7f/52GUGlNzZETh97+9tcb2+otxLK2sPHz + H78//3/9pUjwxvrqD37wA5siX7988dqFE4BzkdD0xx/9eGFpSQer0xX4yle/+8bnPhcs9mfzibmF + iXfef092eWWHhUmYfkbOx4wTaoAgAEYAwDgBStIGTmkAWPE4/Q7ZLgNw3SBSDhf0OpDBolvL/eVV + XqdrY2MztLGxuRWq9wVsCJACDJiei4Z2dkORjNnWfqTrxBm7VWIAEgWF6JHdlempqfn16MET3W++ + /ZvlVbUCQC5lWqwIBATARYzcdgg4VIVpRjoZjcRHRibXt+NHTpxs7ThWWlm9P8UWCIgECGDEVKuI + RVwo30NIR1wHqiFmEEYJJtlkant1fXRwBFuLLrzyuerWdpvDyrS4KAsUuwwBDA5u1eaq8J2t929t + GyMTyysx0HSwZBOJhaHIxpq/7KCtokl2IwYFJIsOstZS72ptrvrvt17Oru5FsyA7wIbApIAJyGDK + oAPTkIWVV1d+93u/m0eyze5VVBULIDIqIOxVa51u29za7pOhrZHR8XjyKsPI0HMycKbn04m4rhnZ + rDG/uPo/ff3tb37pKy2tzbJV0ZERzyQp5jkte+vT+xvrqxPTU0X+IrdCMGAjl5qZmvvo53fzOXbs + 2snv/M//tqy+OmBzWBg0VpW0NJQU+9QPP3r/2cReLqulsUkxRwwAABMkEqQBZ8zEmOzbUUwiYoJF + pby6pLTGrTiFhY2VyrWNvRjIbrDJIAIDZsZ3N18OjuxmqFLR1nXmqsfnkwFEzgVIsOTKyvx4Kkud + wY7uC18uKvEDRwVQOAdciEnIBLxBhwAM9hIT4+NzSzt5VNR9/gst7QcRAAGNAIiiUIifMQoOB1iI + FSCjWpw2m12WJFHEHGgun1EtQjqTXVhYCYcTTqfnlVdv1DbWyqKEgXEAHbgoqtTMKyI/c6Bt7NaD + /r3Q0+dPlcb6tho3BwlREUwGIlgRFDZejMii00IEhegCyzM9pxkMCAAmBXHWAMQVi+zzewnJ5rLJ + bDrGdBUAASpItyIFgYJAOQgFZjc3Qc9PTUzf/OTBXlx75Y3z3/ud3y+rqrLaRACtvvbaifby/tqy + n/zwneTcDhJtFrtDkhRd56JM08m95enBwcFHa+vrNU3Hb7z27RtXTpUEVFkwgOOqitLOA2119Y0z + s/PrG0u9vcbFSz0unzvgtXNuEKRjkFHhB7aA5jAwaBoohtXCvV77bDiHFbmx+dCv/6tfP320U5EY + QZmWFn9LR8P//n/85a07gw9vPTnU1XX46BEuYQ65dGZ3avrl+NR4KJo+2n3hc2995fK1V21OwJgx + jiqDPW1lVR3VlX/yp/9tcHUu9PHN45eutFY4VNlpL65ycA7xPVkgsgQut72qtry+0SHpdqegiQRz + ZhBMMBZMgyHA+6YoAQSOgaeqSp3+Kxd7TpyzWoocTisQBkABCW3u6lTuUDi+F/rpx7GYsbi02Vjt + L3KKooyVmiqvVdrDIUlRBcX0+oO1DQ3tBxqQqRFB4BzMnNuC2caSz2m3uTzeYHl1S8dBm0M2Odgl + 2FvfnZ4YHRkaVG328xcuvvXlb9TUtShWO6OMuNWSYtEkN9iPnX//g0djw+st5RXVAZdIcDwRHul7 + +KT3k82tTFfX5c+//vaZs11ev8whjUtLOlvbT584ncxFfUGn6hAFDKXNTfUrC0WTqwBzLpe7uam5 + tbXdb1egkLgDAADTBIxAlAAAGOeGYUoFDBVBEyOjk4OjnMLhY2d73vrm1StnPQSsZgbrNRe7W/qf + NH739/8otKPpiCOLwiVCAQComU1GN5dfPLofTymt7ee++LXvHOxo8blBYCB71KoSyev5ErE+Gvmv + PxseWGqv9B8+XAUBZ2NL3fUzp2/dfxFdW11ZmLHY2l1OqyBgbsg0ZyY2dte3dvO62d7VVl9T7XJY + jMyels+YpoGIgCULIaKe15ghgcBYOjE7NXb//p1Eivace+N3/93v1AdcLpEDZ612Z0Vd/dUb1+OJ + tGSxYkYRMffCax99/MHazl5x3cG3v/M77Z1dgWKHCKCC22erVz2+xRBb2uidGxpZP96Y1w9gi4hA + 4hwEab/2mDKgjDFMJYxMZALPIJTLZMzEzt63vvftt26cO9HZoGKdUMrzuTJ/cDuczOrwx//1L+71 + Pu/t7T99+ZoJgo44sHwovPfk4eOFsQnChNNnr537wjfPnz6hCiACqCZrrChq8Im+oP2P//r7a6ub + Dx/2Hjl8tCxYKcu8s71pa2zw0/7w4vJSyepOW0udBQFiOoC5tLI0vbTICBiMxRKxucXFmlJHsdct + YRHyucz2yljvg0TE8JUdLa2qc9mtRiqytTA+PdI/Pz3R1nHo0rUbn//y1wUFEc65kQoWeRUkiKb5 + 13/7/fXVld7+QZuvJGizCZQRkThsasDjXNkJaVb16LFjb3z5a2fOXpFli26CjjRBMjhogiCJBBfy + MpIMlAAAUGAMKDYZAAEsYgwCkQmWOEAuDzKjNlUCZghmDumaRcSSJBkmzelmRmeqSLDOsjqjWMyZ + YGa0KKRff+XKN7/8+oGWWquFEGS0NoHP7vWp9j/7y79fW5p/+OhRe1dXwFcqExEB5ZzTQq1FQQxh + zKIoZSXFoojTuwlZFmVZ9HjcrW1t9orKgCp6BLAxyKcznJoiQZIkUMY03dQocAJATUY1xnPATFEU + ACGTgWFSw6AYY0W1gqkDY7lsjgPo2fz6+ubv/fZ3PnftXEdzkwjAND2VTsWySZ3mPr5zb2FpZXpp + u6SsThQwMfNgmM96n/7kvZvxdPaLb1/5ze991+dxW7Agcjh34WLHybPhVC6RiJU7JZAkR1lZDaBY + JCxhUC1qZU1dTUNzbYNLQCCBw8oAaL4QKyTARQwGZ+lMJiiINlUElqeZ+NbGxuPnL6nk6z7V83u/ + 97t1tXU2C6d6Ahyi0+0pC/rcMvsvy2sDL4cCtYfPXtEqArJDAkEkmJsS5nYbJFOZuiPVf/AHf1hd + UeG227lpSkSsLA7uhcK37z1K5rSZ5dVgWanba6e6rlrErrbG0t//XdnmsLrcCGMEJuLUVeFwXXQW + u30jE6NLkb2JyflYPGcUA+NAOAgE2VRFJIgyZhgmYIIKShxDIpHcDncmB5IoG6YpY9nmdDlsTX6P + azMqElmSRcUXKGluOVhRG0CKqAM3AVl5pjrorSnyypLUOzLmPLRwKQseATwEsMiAG6nw9tjwUDiy + 5ys58Nqbb1VWlMmF0mbGgBNCCEOcAePcBG5iMAUsMJAKI04TBMoAUdbeebC5rs7pdFHZrhNVkAUF + 5TG3VVQVp9NsfGx5Ynp5dWUzFsvbfCNQ1gAAIABJREFUPW5FyFMtKQqaJAMgtra17QkGv/mtb/X0 + nGyu8csoA0bSXRSgpvGjdz+YW1/pHRwqbmquJTYGOkA+FU0M9r1Ymp6XJNubX/61w1d+ra0FLBI0 + 1ntPdtXOn2i6+e7fbGwP7OQxUWXFYUWEIASCLANQYKZumBgRDkjLZjnSZNlS2dRid7p3dncIBpvN + VlpW0dTcVOICyQRMGWdg6FwSkapIbpusikBM2eEv+zf/7n892dnglUyfAhg1XzjWFgttrt15ubi2 + Ob8R3opkVUl1iSJmHOLhoad3Rl6O+GuPXLr++uvXrwbcggWBxIzioNVrveIM+P/jH//V0szW8+dj + tdXFlRWe6npbe0N1dHN8ZvD5/OyV8hJfmerAWAAgVDdW17ZX13dyOb2jrf1QR7tVBADQGRM4IQgw + AVEETApeLzMRi8xOjn7w3k+NnHyo6+x3vvtbjY31VruqU63N42hob371jc9lsobBkMvpcEjgrq36 + nd/+nkAEj9uDMWYMTMqdHsXq8JSVV25ubA6Oz07PzMZS2QLzhIPBObeqFpEgLQ+7ofBvfvtff+tL + X/HbXTJH3NQPdjYbWnx+e2dmK7Yb3kuk0oZbFQT4zFIkFFpFMN8/pxY8ZIIoKhZFskE4rXV0Nv7h + f/jDhuZST5GkaGmb33nQY3Habcl3Pn2xHL13/9Hx2sruhmIgGPRceGP5/u1PFpYi3vKmr/3Gb3cf + ai8tcgBiwJHb6ystr7Q5XQt/8qdPnz71+oo6OrpsDoskAAAIAmBABGC/f1HTZYkE/P6rV6+++soN + QXYQxcGxSEBDPNfgbJZtRWubkQ8+uheKhveie/F01udUdT3nICbnnOpmLpHRMT184tj1N1/93Ks3 + VFFQCCEo31DmrHJrc8sz45Hk2HToxdimUFvmcANR5DxHWU6xDIlEykyMALWd+tK3vvSFb54+0aIC + CAa4VWgodzeXC//xP40uz408UL0nz1/yl7jscuGTc8SogADjAoWYMsQI46ammSYFUQJRNDlk8+AA + A4gGOPfi+dP+571eb9HFK9ffeP21mopyWRQwp5wZZy9dElylsyuRjfnFh/fuXzrS4fJZgenpZHRx + fOTZwwdZzTh76dJvfee3W2vbXIqL6pk3X3+9vbGiyOP/2Qe3ZhZWNc6AYIEImIGAgAASRNlkoBXm + ggAG47lU2ifxktr6f//v/5dknqTA5vD4FBEIBwQ2t+uo32OZm558OTw/Nj0bTmbyJsMUCDCCwCKJ + ImLpdGphZe1r3/i1a9fPHD7U5HDKMpGZwQnHICh/96Ofba5uzS2sNjY1uF3WkpLSy8eOP4jcT2yt + rW2tFjdUyLLP0KmIQDbY3s7uztJyeGun6dLlpuYDdouIKYAB+xIWYOAME6IICpK5WlGumumtvbzL + Pb9jICLJJWVlrS1NFkniVGIUMaoBGAIGl8OuqskYoEQ6k8tLdgmAY0nEVhXy+chuOG53Wr749d94 + 5cblQ11tBJuGHo/HarY3Z5PJ1PDo0l5Su3Lp3B/90X/w+1xOu8Uik6aGmpJgYGVudnpmcXNzbT0c + Qh5XgEh2THPbSwvjY8Mvx61WV/epc29+9etVNbWipFCDOXw+f2kxMFMytXc/+Xh+fqG8uTkQLMEC + SL8a2e9/jPWrd93+Py9KOTdRYYgGCBCkY/G5+fnnz/sIxq+8+kpDfYPdZqPMNA2GEdisKmhAEOg6 + JwxhAPyLHloA+BfJhH9a6Jf+fgVrvwyeMc7/uZeXc8oK4gRHCBGODaACIYr8C/8vQYQggjkGoEAZ + GCYgLMqyRYVMGgARACGjUc2gRJJBEa2K6FDEOHDgTDcZkyyqHbyKZANBAh24xqhJTQMBcMYxIhav + //TZi19780pNTWWRk2DTcrCjY2lyyPZ8LG6SeDK9srqey2uMg5bLbc/Pzc9MhUNZnUFZY8Xn33zr + QOfBQEkZEQWL3TzQ0vKFNz7/0w/vTI3NJ6P62vrW6vquRy7FnGOgwIxCSA4oClRUdrQ0Hzx0yOkP + yjZHVVVFVUUxAAOMCnFAg4LAQBIKwhAhknr58nXRWuK42zc2vRrZTQoETFNPJGLrQN995ydD0yPt + xw4e6TnW1lQJBCTAmGBJJoJgYqCSJFoUiywBwmBQEE29AJPknAMHDIRgUTeNnKEzUIBzERGrpEgI + AUMA+MLVazeuX+063h30SbKQcVjUsfaRxYnJycWtpJYKx5NrO+GarDvgUiWERMSIoQHTTZBEyf7K + F75w/drphub2IrciWyV7vrnnWFc0r42u7moUdkPh2cXVpqZGGyHAEBYkURIhT7mpcVOTCZIIFggw + Tg3D0A3TBIssWyWLapimCRrNpCbGRtdXlhiloqI0NjVfu/5KbX2Dx+MTQaiqIieOHn7txvqToYnN + vdRuPh8TxOWN7ZX1jRo/YjyLsIEwB45Mg4uCxaZ6nHaLzW6RJCRZrXmMFbdXUaT9qCGAgBAIAiAM + sN95o5sskTczBuJItsg2AgRTEIGSQuslEwCgUHla31hV3xAfXVlcW11YXKloaD2AsCgCItTcWlqc + mp7NIsVR1VrV1K4ogADsGIiRSoZWV1dXTWKT/M3ukgZRJgoGrApAKFAOpkaRgkWQBCDYyOcSG+sr + uZxucwaa2486faUMIE+BU5D4fq85B1rYgXIgEhBZBFXBBExEDUQNwDSbSMZ2Q7l0JlBcV9XQINrt + jGDEgFFTB9AxIAQEMJhGtc/jt6eeze+Gd2OZhMeFdDOTRJyVVlQpTm/BlwoIgJkAhiIxRSG6kc+b + plmo3C7wgkxAhAAIwA3gHAD5fME8toKACr0YImGAmOi0NNRXez0eUYgYJtMppQhESURIE7ghY6TI + lkBJ5bGj3d2nz9U01BNR4AREUXIKjorS4obK0j5Vzueyu5G9HDVNEMBIxyM7kUg0nYXSsoaGpraa + uhrZIRHgCCMkidXlFZ+79mpoc3M5Mr64kjMwN38pLoABREAI75eMIsACCAIjgAVw2aoay1o6GlfG + Its7O8vLG16pjAhQoCOFNrf7BkbyWC2p7ajtPGK1Y5GBAFnQE4nN5Ze9T3QqllbWeyvrFQcUNiyF + HSQCIAgwBq7rADkjlZifn4sm06qvuLi2ye3zCgCIU8QRpxwRggAhBIQARgg4AhBMg6UzaUoNgSDZ + IgEYe5G9oaGxfJ4VVQaDxX6LTSqYaRjHwAlFCASkKFiyGWVOyeeQs+lkOpc3AHIUqSABEUGjgAkI + gIAZABmNhhMZHYmlldV2h10inyHDCu2OxBQl4nE7ZJlkqa5rGWrmgUuAOXBsIJGBREFkhZ5tzsHI + pcI7uzuhaEIrqWqpazpQUlphVSWCuEkNiyRUVZRJp7onRsZXomwlzrMaNUwqSwhzGo+GR4ZehkM7 + Tpe75+zFhraDbp8bYxMjDTgyTeq0OeprKrtPdPb29WUysfml1ZLqBq9XERAB0CmnGBNOwTRAwABE + BlkFFjf1nKFrRLDWt3b++ne+W1tfTQQgIgbOVBupqiwJen0Kl6JbezRvSqLEQQduZNJ7o6OD26GI + 6gp2Humpb2p2ukAQC/WdIgHq97nb22u7DjasGgtLod2l5fXdmjJ3UBVFqyrbVEURCRACikUkIiII + CkFush/CMRkDRMSCr65AqReAAmJYQjZiUy02k9sYNzFGwAtcO1pcZO9ob/r5rUfprBGKxDWdYlCx + rADVQMCSIiNBMBnN5HXGsSBIhABjHAAUi8WuKqqI9XwmrxsaJ1yQZXF/ThXeXJudGM2mEtW19W1d + R7zFFZLFxhgQghHGAkIVFaUlJcVApN3tSCi0hzEBBJlsanl5MZVMOJ3eQwePVlfXu90WAYHBDcYJ + wpLfH3BxBwimKABgE7BJhP0GIEIEUZAEQRKIwDjoOgAGUQAi/HLui2BMoBBq0fWN9a3NjRAFqK9v + b247JIsgQGE2oot2qamx/M03r+fvLyxmTYp0BiYHysHY2wuvLy8nYolASc3Roz0VFQ0WBbQciPL+ + 9RkIekqKA07VlYhkdkKJrAny/03em/VIkmXpYd85914z8zU89sjIjIjc96qsrqWru6u7q2e62dRQ + GOqJkgaQwDf+EoE/RYCgBw4gUuSQw2HvXb1UdW2ZlfsSGRn74rst995z9GCR1aN5EEVgMBBERz5k + OpBwczPzY+d851ssdWfa7966/NlHH/cPdz/9+JOl9fW1mVYV1VEYTqa//fTus51B0pj5xjfeWTuz + kjBc6hoN55IkKHkYYpelWWINfLmz9WLz+aOD/d0z5966eO3WytqqJUArWGokahpZu9OZnZ0Du8xB + ivHeqxeffPKxx/zyhdtrV+80O11EOAfAoNFZXG5eu3zh+sbjT7/4cn97c3t3b3V1JU2ZCCKn1UYA + ImNZGR5SQsaJ82vrc+tv/6N33v3++Ys3kiSFAOqp0dxYO9ebLyalv3H97FfPF4/Gxcuto5evji6c + 6Vmj/fH0F7/57OXedHH54ve//+Ob195s2NMgBbaKBp9ZW3r77Tdu/Ozy7x88/+rzr54+fnHm7NqZ + Bi8vz51daWcOr7a3X2wfKcEAkALVdGd/77gIV+68VR2Eopw8vH/3m29cVDMLdRBoPu3vbjtaXlxd + m52da2XWjIf7L7463N20TO+99/6tN+64JuUVElAzbSYclhcX3nnr9r/qZo8OTh49fPred3MB2Fo2 + iDGMh8Nm2rh58/Zf/E///Oabd5Jmw1mYBI6cp4KgpnYAi9ZHsINy3fqyQWJMEgUhaowUBbUKLkuR + hBY0AGKk0GLMGg2TgIKyh1VD5BrkMiGnbNPF5e99+KcffPinl67danZSR540xiJfW5r91p3rf3V2 + /t6r/uPHT/qjqY/10RhVUY1Q+mPLbcjZFFoZpna7SYSqKosi7xnOEhggRsQYrCFE78vShwA2ztQo + CrExzNYFQIXZCELpo48iepoOLhLKsghRls9f+NGffPjdD757fn1dJJJLTLMx4/j8+urFs0vNxI7G + xf5J4eFUqzg6fPVq+9Wrl4Xg+pvvXLl2c2lhMbXWERlFVMqazYVGs9ebmTElNIA5c6aV2YRFNPqo + ajJDqOVKNdODiFQlVIUjheHKhyqqikDDi0f3/vDJJ4NJdfutdz780Z+dPbveTCESXZIyUzPJu3bu + WzfWfv/OG//udy+2+vnDl3u9znrHwTAYUXxe5Xj3w/e/84Mfr65farUbzlnnrGVa6LWvX7v828/u + 7g/K59t7/ckUBi4xpGKYzy7PS9KKSVZ5MUSWWWNoN7PV1fnl5bktX4xGofLJ6ZQkgEbDCo2AWpfU + LjBsHIwNlRwfnPiQlHkVowigZGCdBxcilWgQicqgjLnJgIFXVAlFM9O6dnHjzTdv7z7Y3er3n23v + t1bmuWkgEdPh1tPHH/3i58PBcOX64tXrNxpNF0LlrIk1DE4QUIQBqSExiICEEIIQWQYDxoK03e3Z + ZgMudSZjY2vDDoBgbW925vzGuc3NPSKqRL2AmLPUQXyMgVxy5cbt737vww8//MHZ1XljEbymSbI4 + 333j6rlfzbvHL8uj4bAfOAIJOBTjw/3jR892h6FxZv369Rt31laRJTAEg0bSbl+9dOG9Ozffeevw + p/e0QlWJB6vhU5MHsLWOwWQBk6b21Ocs+KqKVdlInESZ5GVeRlWTOhjD8jqwTmLwvgoB165f/87/ + 8D9evXl7YTFtS2AuED0xLl5YX1/bfbL7fDSZTvLCuiYDxWi0ff/uyeFep9V875vfvHTlamfGhoho + ajKTLC3PXNxY6zY6xYnf3T4JSEtOjYvfuHlx96unXz7cfbH5/NXRnZWNrqtdM0TvP3z6bPMga8xc + OH9+4+xyvWtWNsyuDj+pm08hqOj+9tar549iWVy4dOPt9z9YWb+YtVsgJEnKVp2Ba2ZZIxReE0cG + 0bKuLMypSShpAswiiUSwmWmma0uz3ZQQquF4Mil9GWBAbC0zRV8axLX1hR/+s//57fe+3e7OWmNZ + Fc6aNNnYmL94ee3+Tn8wzk+Gkypqg05Ztwz6mnVLXzsnEJF1ETyp8J0f/uh7f/7Prt6+NdOUTKYd + ZwDbXeq+fef65V/f/f2z/qtHj/devZpMQquJ6XC4s/ly89nzdnft5vvfPXflZrM358U7A5HoY+zM + dJdXlpeWl8fj8WA4YKbX0uA6nQxB1DKYKEkcGORcb3YWhmFTMAWNECEyoLTVzNZXF+c6dv+krHwV + yZrEOJtJHPvgE7ZzzZlktv0n3//h229/q9udtQDHiDB1nfTWldV//Ccf7P31o+m42ts7KTfOqQMA + ThsmbZQRF6+uds+9W0r7e3/ywzffudnqoBGRBDjGcq9948LynWvr4y8Pd/cP+uO89KGTWiBSDBQD + YlCRCDVkCAxoaqxhRozTsqqiuAyQBKU/Od4eHO2phLNn19fPXzlzZs0lzhBi1LwoGt32hQsXbl65 + 9slnTx4/fLRzsH92tWdtzMcn492XJ/u7c2c3Nq69efHGm+1mN1HAZrDm0sWLf/7n//TV3skoD49f + 7EqU/zuuRGzqwQjMYKZWuwUUgKLV6jYarC2bghQqYKCduZXF3vrZ5XsPt4/GZYVUrQWDKWoM1XSY + kJ45c+bSzfe//eGPbr91p91L0yQ6MCSsn1m6cO6sUR6cjA9PxuqaQq3Z7uyH77y1//tPfvLly9/+ + 4bfNS2vz52ayxFpEXxSPHzx+9XLHkbt66fr66vmaKvnaUAMgwLICUcXGAINmwlniVKHGdWcX2p2Z + RpI5sBgSgmOLSKQhlHkIHo6zZss4RIVhECKkhPj1C8vvfOvPv/m9P1m7cLmGZkwjWUL71tXz9x9s + PXh2/Na7H377wx8ura53WknmAA5JlizNdi6tr+xvvwyx2D487F68dIYN6XTw/P6Tu1+GaFYv3nnz + m9+bWToTLapymiYNIqSRblw8d/3sQpP12dPnL7aPvvtN4O86lvz//PUPBN0qoKr1LxCKWJVPnj79 + 6KPfvNzaunrl2o//8T9eWVmx1noVqDCpM0xQrR069Y+oqaqS/tHe9m//qR9rdbbB38sxf/1bVfo7 + Drr12yygKDUCogRhVubT2s0ghqHXwU+vDX8tG1fnl0EZQqKs9TmhaCCZ0bSeYRWFcm0bauu8NBWt + TSQkMpFzaTYzd/32ne98cGuGkRllJ+dWz64sLTayrD+1vgqD4Xial5VHGuPWyxdbm8/LACWwMeyS + R0+fDk52CSpRT/qDRmKsAWKJWO0dHL3aPb65ca5FxhqFVBAwJ2jOvvHmO//0v/tvP/jgg+XVsy4z + vpRWnRZKVHfZtakVLCACMDh96833s84501xYOPPZ40cvByf54f6gmEzG45OPP9n9/NG9e8+eVZT0 + 2q211a51RhQqFaFgqDWwbJggWnOZa0CnbmRrdIiiIqhGBRSOTMOmTsWIFZu+/d63vvujHy6tz6VU + JWrmzrRuX7/w4Nrys60nwxLjsnh1cDSaFowew1OsuBo5VIQka8598OGfvfft9+YWyQKukS2sLn3j + 5uUvn774/OkroBoMhw+fbS6du7jSNYggY601gGgoNFSW4Qxbpqq+cCDAwGbsUu+jBO/L/OXzpydH + +wxEIESMp8Wnn3+ZPDY2kAs4OtjrddqGgDKHcmXc/snw+fbeQqfHLunNzjRSwzEP+fDpowe/+/3v + 94/WZxe7ndnWnbffLxE9NMVpFpwCUrd5CihUwRR9jKO8mnp4YWZLAqNIaiMEPWWVMjEYF6+cv3qt + z39979Xmw8dPzk78m5y6Boyp/NaTJ189eCzZTGv9+tLGpdTBAS1UiOP8eGtne9s2F93cNdvpwcEB + 5F5zMTWy8QbOQKCFLwaH+7t5UWWtpY1LN5vdhQBA/1ibBFHqGC4VSCB21khiidWzBqPCUf10Oj45 + 0Sq0ms35pSVKbcWwnCqJqlqh0xABuLnFc/PdYXnyXAcHYbIs1h+PfRFkYXG+2XD1erKETdlCjLFZ + miVsosrY+xxocF3DAlQJnCAyooCMSdPMUFCMpqMyVIVMTHXM5fGoyHylRFbBQSRAM2cQg5EyNTDG + dmaX3v7mdy/duNGdn/VVDgiTTaydm2mfne+1LUhjKaGIMSo4FMcHO4fH/YnYmZULK2fPz8/MCHJC + UCUiSWc6651rF9fOL85uP9ncBUiohuIVgAgcE4MJETUxgPhUXN9Mzl44e+vOzb9+8Mv9na0H9764 + vdYzM22IIo8H2yf3vnrGvXfmLlxfPs8pw2oFlKjK6rD/7P5DWr3VOTtX4w8aT0twfcoNYAQcAijG + In+1tTkJ09bKhe7qbKMDVhhxkFoKKAyyhk6n6agsrIoYo2gEqTEEwSQvXr7cgdqZ3lyn07aWBFFA + pLUwlhkWTrhB3QUzP9eM04kOSgBeDGwKcogBahArkOq03N0abB2dTDrNS9eu9Bbmm4QEMLU1lHhw + cAbdViO1lEsVq1xDCSHUebpgRap4jTNoRDk53t06PjwuNTlz/vrq+oV2NyOCROXoDKPV7V25fH59 + bXGm1/JHwyoiKphBEseD4cP7D6fDYnbh7K1v3Jk/t8wpVAiRIITIqcuWF3rvfuPS5suP7z7afba5 + df3Y3wQsHGAgagyiaIjeUgIDUAPlCJ5joGa7u37pyrc/vJEGSP3LkkCgRrO91JtfavXGg5FUVYwl + bCCKxXR07+69/cNhY+bSlVtvn1lbtSkIII1MKYlQs7F6dvbKlTPzT/cfPBzt7B0eHQ11uQmxlmzm + UstEFJWicqRTt3JViAWpikKIIXoaai8MV+tqhEGGs0w8pmXQ6YhiHiVMp9PR4QFrBXgvVV5WMRpC + ExwQS1BgWy9UOC99FUUAQ0YpAoAxiSWHEMqi9DEX5+mUhWAVw8Odnc0nGr2xSYB9tX8ynJQaozNq + MNUw6g8r7xUU9g42Dw5fqVaQMB5PX7zYKXLtzc/fvHl9cbHnklOhkCpCjFnSSKyrJGejQA6NSoEN + rDVELIoY9XSiVq2XFrUlo55aNDHX//aV5NOdvaPjk7Lp5tbOnT+30nnd5ahCyKC3MPOt77zz00eD + 548KkilpQfCKeNLvb27vjXO/YBvNrDM4HlJltBp1m9HQMcJejLEoitTak4OD3f3jYU7tVtrtdu7c + OLexwEcvjv7w28/ufOvHcQPsJ+yqwbj/q4+/2Dzy7bmzb33j3ZXFeYjCUuLIWI4gD6dsnXUAUBaD + o73+4fZ0io2z51bWLzYaQAUEAyKQOKPO2SRNFTCoxqPh4e7O1tZhurokjdl+IbL9KtNhO4FRZREo + NBSzjVzzV4Pj/aOj6dxi6tLXLlWvzwgTWxhSUCwljAn5wsKZO9947/ylm93Z1AMqxmgEA+3WTDPp + hmpjY25paXbrwf7hUX5yPDi/1EAc9SfTey9OdorZqwtXbl1/e2O+ZbVWXsWIyhqg11tdX1tbXXnw + 6Pnh9vb+zsFgUJxpdpcX59bOzS0stPcPDp9vHYQAgsfkeHSw92r/IHetD77z/fGXz58cTJ/c//zo + 8FsB56tIdlT0D08GR+PuysXLFy7OzXTaCUwY7m8+PDnYBbg9M++jPn1+OCmqjH03AfzET4ehKgix + KvJXL7cnw6nEun7FEEJe+M78yuUrN7/z3Q973U69EWOCxmo8OTnsb42PSninhakUptFQa9MU59aW + ut2EycaIIFAw9NSc2hKsZShBI2JBPmeNho2xqZDzsAHWuoxtGkECbs/03n3/29du3u7NtqJqhLcE + RD/XSS+dnVudbdzb3NvfPxhOitIjJvWekV9fS5xiTkSwjCKoxixNCIghhOCJmYEgMAKoMAQxSAxE + xMbWq7RTGQIbUgneR9EII2SUOCo0BFJlKBFEsbC08sF3v3fpwsVeuxOqQqywNWTs4tzMcq+dkErk + PCZFgCJKfrL94sHR8YG6xqXrt1fPrjfTJktgIpDGqGSQGDQym0VGGIDUkGZGUxYGKkFgh79139YG + 0aoioTKItfuDkAEUEg62nj158iTALV+4cfXWN5qtxAJRlJ0jBqgE8/XVmbduXv7JvaOdYfXw5e6N + i2d1xgDiDCx88Lh2/datN9/r9BYtRUawhqCxnZmN9bPNbnfaPz7Ky2mIQmAIKIADUmbmPOBkXDqt + Eng/GfrJ6PBgy1qxNvHBRclej0cCjRoDJILA1kZFBAgW7FQon5SGHdcpdIQIZYmBSYwJYK/sI0cx + InVsnRoEo4Is3dhYu/PWGz/bOtk52P3y3t2N7jtodaGIo9Heixf3P/+82by8tr6+vNJIDISmSixq + 9HV2qJxycai+p0RIhFkAQFgsk01SGAuR4KtpUVYxUMzJT6tpfnx4YkkTK8RSifckICbjEBAClJJz + F67cfPONy1fnLUNDPeymnWZ2YXVuZYYdx2lVTcQCcIhx3D8+Hj7dzQfm3K2z18+tnlttwUaIhUdi + kGbzCxfWz129cuF3zzcFlVIgowAkeDKG2DAbkQCNTEJsASBG7wNClRgCtPTi5fVcLMpEMICQj1L6 + qISNjY3vf/DtM4tpZpAYC2UEAvHKmdXl5WXQk1hNxRcJoKr94eDh46eDcZ6k2WyvV0wnWy+PUZUN + gwYNuDpIZDid5kbMZDDZe3VYVuQ1TZP41s2Lz3/bvX9/+vjJ44uv9m++uWacNzrJp/kX9x492x7M + zJ+9cP7S2soCnyaTWQCiMPLHrHEm7R/uHW4/R8Dq6urF67cavS4cVECWjCFFtECaOLZsqY71DEgt + URrhhtNSywmFkqIvy6p/csLVyFIIIZSRQs1UNQZAqArSsDi/8P0f/Gjt4jXjUhUBBBxhqqWl5trG + Cv367qSMo7z0pyeXazS9xm1ZT3W/ChBxIC7VFIJrb77x5vtvz8/Bec6KeKrfnWmeu7i+vjS3rBhs + b48O9wf5sNlMhpP86HB4clS6M0namdkfjtKtKouThlUfYuVDkjaGo1GSJgdHR8PhsPJVKplV0Ner + LlUVJQKMhUYQI0lrsXBZTcYf1U2NAAAgAElEQVSV16owKuVkvLO9E/KBk6lFCCEEOAKIXYQE8Qmb + 2UZnZmHljRt31tcv16lgGg0JwbjF+cVv3L71bz7a2hkf7718Uty5HF3DAgKjmgbFwrlb62//SLl5 + 5cbNc0vIgJSQAghIsuTcwvyVjfXPn1fbk/JkVEzzStpEEgzUEUhEJIZT1AiIkrCxbBGlEg1E5ACB + HxXbL5+Nj/c0hFarU3na3T/ai4VRL+rzfGKdOTnOmzahaXWY9w9Ojvu+mLU06u/nR6/8pFxaObe0 + caM70yXgddocktmZm+3bF9fPL3buPQs7JCoiUREICUElQI2tdeRERLBJAvGnsQRsrNhijFgVUlUk + RaxOjve21OeiOg2oTEMMwGBiVlGfW/Wzvd7122+uXb45t7JkyAM5aQTiUq99ZnGhkaRVKdNpBLcU + aDVab1698Ply66efjT/98g/L337/vXirwYYho8n0sy++2tzabzZnrl64urG8ak9pKNCoospgAmKU + SNGqAHCkRiVGiWqSRtu6pB66CcYwLBkoWHz0hcSIhE2SkIUABl6iVx9IsLS8evvd99ev3eguZFEE + KECBE3N2YXFhfols49qb7954623OMjiAa9iMW4k9tzIz09J+yI9Ho0lgAyAU+e6z3c3nVSBpLErS + 2dzdT49C8LHd6qgC+TSOBjZMjPjtVzt7h8M/gnP/1bz+gaBbMoYMTs2GfDg4PPr5L375r/7yL9vt + 9ttvv/Pee9/MsizG4FLnjGXCdDIaT4fBV81GXVQRFazxtdMP/o43g9LXb/29UW5ffwxZNvQ1dFtH + /LBjY0HsQ4yiikjQGH3wcFltG4avcWpAYQxcal0SlcY5qggoUMVme6bV7QIDJDZzTL6wEAPAumBT + qR8A0QMBUMMgwJeVM7bT6VLWNC4zFqkDg+DJ8qlQAmzIptalo/GkKIpumg4H/UH/qPa2vP/w4f/y + L/9lxjGhykBIlRSB3NH0NGpsNM5PBoVQYpIsS4zEMgbYJFvduPyn/+i/+e//4i+SelJSNFqcIgVK + 1E4WBD4NmFCwIBpoQo3kxs3Zs5ev/vjPj+9+/uiv/t1PfvHTj54/6YMEVI2Gg48/+kN3fmNupr30 + 599vOFtV5XB0XJYeQKiKKi+KAt4hS8BpCrFEGmOsPy8GtUnCqRMCmC2YA0wgA8uUtLuzaa83FZDC + wrCJ1y+vffMbF/7TL38FX5UStw9PBuM8ggJCyMdaFE2gSRk352YW1lvd07BTkMLR2YWZ5blualAw + TabFs83t21Pvu00CCxEREQSxQqwMxEItIxKyJEmzBoIt1VQRIQaCGFJfTHw+8UBRFP/hP/7NT3/9 + czgRE1KhGZtx7o8mfuAZSEAONpt63T3q576TddprG+ud5j3Eqpge/+//2//6s59/dPPNN97/7jff + /ubb125daXebKdPf5p/XPNAYAI2EkDiNIqO8GhahqDQERVSrMKYWkKPy0bJJiEC6cXH96rV+s6G7 + 248ePl7pTwuTZi2QmRZbT588fraZzd9unL3amEsdowFwGEOn1Wjv5OioNXfFzl0tDQSgCIS8Pgik + NrE1MpzDj0IxKKfj0XgiDdtbOGczI4Czte0xoDFEH02wzAJR1CxSz+rrU50aZiaE4KeFJXLWceI8 + oQLUpMzkQDVAA2Ikrdm5M/Pd7dSXM6hsDCej0avD4fFgZK01HAN4CjBsgoQ4JFmr1W61mk5kNB7v + yfwySKFNYyC16XtlgRSJgygoEod+/9XB8V45PD7Z2exvb77cLR88euYrUXAQrTQqlGLFobCI3ocq + cnNmnmxaiSSNVFgDxEIzSx1H7PMstTML86VGH6XNcnKwt390PPLE7cW0NcNQWyfQB02YUUWMx1bQ + cCmShI1RpmigEn2QEMUmibE1fwOnuyWp0Q6ZP7t4/fbV9r/5/e6rzU9+95t/8sEdQ20E9YPJ8d5w + f3+8cPnczNqVOrMIUiIWiCH1UYoyazfMbOMkIiO4iNSdzkkMaAVUMEkCOKM6HJ6I1fbKDHUS2PrG + sGAlA42BLCXGABCNGsQKrHHdTodIfShD9NYiSZI0bTYa3UajZZ1VhDKqVMhcM7GsUv9mCal0F+zC + XGtnOIonuVaAM56aDoQG4OvQZB3vDD77+MsnO3uyuP7NH/9w6cxKg5ECjAgKoAB4S5ImbFlJvMRS + pYQaqEZY/5oazrWdu0aU473Np4P+0DV6s8sb3flF41DmlWPTTDJSgEtk1GqydSwhsmsYlwSvCYWq + KPe39zVSZ6bXW5oXhzyg5QwCQ02SNgE0M3PpwsJcr5pMD7d3947Hr0cFZWYwvGAsIsDsaSYhEkdJ + YrL5hZXW3Fzu0UjqOGSVGCRWVoozc0vX1i5NxtNQFP3+QXOhDYgvpk8fPzk8qtaX51fWrnRmmwoh + FIYNURPK4CmSODNr250UZpIXIS9CqICJj2VIrXUMiX4yGQatpRsI8CqSsCVSIlJCFRBEOGFmMDMC + kAdohTaiRTENTx49ivmAxD978nDn1ebdreHJ8X73/Jms2WLOBA6BEQVaRvXsDGwSlCsfqxCtBXGN + GIdYFVJOSFWJPaeeEABbgZGHyaCaDBuJ3Xq1/a//6m8+fbjZaTiLwFpRqNSXQfjzz54hH2/vPNvb + v1hWE8fFcDB++WK3LGmh01tbP5dmxgckVhJKKLVScBRAKEkaigjJUVVAdIlN0hSgoqjKvAqN1CXI + MvqaMiCqIkrExGBjoV58cTLoHx4NfUzOn9+Y6y05RlVCHMgaajcQigifNl2SiOGcMIXmQMXQ8WS6 + fzTIS32xuUM/++jl7rTX5oSL1E6ramc63Wo1W198Niwn4/7Jy1evLvQn6lqtXi++cXX15kb77oud + T3772T96OSjuIDEl0fh4cPzz3352OLHXrl958813ZnrGF5PEVSI+ikRQICcwKoqqlGJCsWApDWN+ + cak1txQBkwBqEEJEgIpxFH1UGOdkcHw4ODogYJTH+5uH//qvf9aWvazcshIluBgcxG+/uLf/8lPJ + j2I5KQsWbUg9QJvTRXkN+lCNAUrly35VTYmk051Nmw0yKAKacCCBlIgeHKhNsz3TbDo/LX1wzBZS + 5ePDk9F0yr28Mcut1U5roQX4QrihAdMi+LaxsAbGNJztMk1AWkkIJklSLC9cvrh67drF//h08OTZ + 9nCEZTsp9zY//fjjrb09O3fmOz/+JyP3q6Nf/u7Jgy92d7bHE1Dwo81Xj+4/GvWxfHvpjdu35mZs + 0/gYRgdbjwZHe0VZffzpF/uTmPX+QNal6m0s8v5BKPpSDI6PdkntydFJMa0kACwhVkEi22RhcXX5 + zFqStdihvs9UMJ30Hz/86t/++7/89c8+jgVrZQOYs5SSdGVl/l/8i3/+xhvXUmejQGGMdc6lTDYC + oqdnGL6CnzqprIq1rtnusMsCwQMpEiFbeamikrGd3qxrtDzgi6rtgrVsmikoNlG02Fv148qPJuUk + l07GxsAS2DC0/jBFTW5TUQkSw+mix1CWpcZQBMZTdZasNSqBNVimLGuwSwTwFTINYAU0VuV0MplM + 84gsa7ZtmkXFtCxaLqSOO+0WG45sXJqJKCkazYZCBMIkWcJNI1KWzVa3MbMyKaDNaOL4ZH9zPBlR + 2phbWWt35yyDyYjEKGKSRIAACOBD4MqTE9ZopMosEmdhEuGvlUWAgoxFJIiwRqNBmBrNlk0SpgCp + /GRQ5HnanrWdJU47onAEl7qIEBRJqBAnS2k4t9izSbo79g82d6c+goyKb6SmlRln0e70mt2l1NXy + EwAV1DcclhbnTJIWZLnZRZIGRSynGZegEkLKyagyL3cOkA/Jj0eH21tPHjy6++nOzguRjnUdRfs0 + dMQSIfoy13jqWlxUsVSjxGCXuKyVtZvZfG9mNnGpApWGqipNlrZmZk2aVUUSYaPYGGEVjpghiB4a + F1eWbr9xO/tPv3v+8vlPfvGz9y5vXJnrGtLJYHCyt3u8F979sxtv3flGkiBxsGAFYEjlFLetI0cE + GkUgbG1iAWEUHpUv2qkDam9c6g9Otg4PTob9WJYhLzafPP/qs/sPvro7GR1HKSupaidxRFWvMQIe + aWum2e3UuIhxxKYJRLaTxZl0rhEzG5W45EwAi2jy4XhY7I44d2uNuQudZjsjIKBieLYpDLFtN9Je + t2WskglpwxiDGGI+naSNlkmYCb6qgs9j9M1mwyYOTpyzCcOoWGOTRrPZNglDBcV0zDZ1WQKiIFpF + SZvc7ba7nWZNSxcVA8BZNNu9ufl2uwVfWgTHykBV5Cf9/sutV3kVpnn88svPd8vk84X5lNlpYH9Q + DF90kvLwKBudjPoH+eaz7aOjyfKl5cWZ7p0bFx5f6P37JHxx9/Ol28++88Et285ddbS70//D5/de + 7k8uXbl++fKVcyud+twHkABVpaknbpzO4EyUT/rjo12fI0nT5sxctFD7upRBFVGhTNaZuvILEBAj + bKMQfvRid7i/GSd9yYf9o4Odly+Gey8azpBL1KSwcFTTQ2LwJUJJRC5r2rRjDaxh+AApwflMj5eX + eyaxXk0ZNbzmZBOIa8rtH9EjFSiIJmXIPdRBUxcTUsApnBeEEolHxpltLbQaK0DMc5mOhuWoE9q5 + D1UJjbS9ezT97e/7njfmGvPOm1AIoMpB5N5XDw4OD45Ojo+Pjw6PDtMsS1wSFZZrHijHKggiU90a + RRiGRO/L4/Fw9+RodDwI0/LZw8fPHt8/2NkaHW4mplF5XwYKgCIY9apqiVucdpJ2p9lL09M9GjNg + E0QwqJUmDY7+eP/Zg89PvvNWIeddglFeTSaRLaJbCM2znfYMWRs9Egej0AAED0uz7ebiTK/VbMfS + Hg+mg8F4qacNiglz0zkLFYleIgERYovKKqwxYENpwjYJCgCTyfjZ4/v9g+1iMh0Mxh/97tOtzef5 + 8MCiNM6kmSur4mhn+tXn9ycHJ41Z0x+PB75opXy8t1Ue76QGZ86ud5Y3SgAeiY/GCRCgIVQx5KWp + pJ1kFhRjLCqYDED0ZWHZJZyklqLCK6roGywwQOlhVKK8erk96h+X03EoBoc7j58/+uSzj3+zu1f4 + xvmKs2jwOqMXTcfwuYhJGt2xp3GJ2cwJapUGeo1kvtuZ7faytAmkNbWow3Zlrn1pMes16cHTB1f2 + 96cqERpDeXx0/JvffPz85f7c0srl85fPLfYSgIBSfCh9ljaJEKMECTAKZxCINUZfhRArgY8ofahC + aaHOJOZ0GaCMaEiYSYmrIAGsBjGUvqqkCiwEcuoakmRkYUEIBaSAxEQ0ehkP87S70JpdyKPnIM5w + wkAUx7I4kyU0LQrk3hdqFUAxtuPDajTsj8rPnuw1fvLrT+9+0skqa12apMEHGg/TavrVJ78qhicn + Ryf9kfc4JaP81/P6+4Ru/58QU1WoiHhmLiu/ubn5+PHj/f2DH/zgB5evXHFJKoqqKiWWVVGNR0PV + 6JhV42AwoZi1mtxuEZFhFegfr9HfBlS/prL/Z4/lv/BLEdPruN3T0sy1IM8ljUazZUwlMSj8dDI6 + OZa0xTVjgABVjVFsXdFVh8NRfzwpBdEAjTbmF7udrrNc+CITrxpTQwmg8ChyZbZJ3bUSAMTAhptZ + 5pyNIeR52QTDJFSTjAOkKGIIGqPECFGtwmRaGOuSJAWqk6OjwckxAxIhVVV5X8UcUpAgM3BAKSQm + g23CZe1ur91dYJPBSwwlIzKD2YmaymNagR0SA0dgea3DjlFIyZIxdcLslE0EM0wTlYfVTqtxLs2a + WXtxYem7H3zn/pdf/PoXv3j04OH2QYnAD77a+uhXf/j2+3ea6x1jXdZI0hQWIBVD7CxQswTrC0tU + I5MKElHjnE1tDbKKDyGvEJyFCTCNdrvRY2KwJhQFvoQGSwUTYBRsy0p8RK3PTgylBlVNmnYZOK2L + stMICWCdn2nPtJsSAYixrtXt2bShBBHx3gfvmUzqbOIskQYJWhT5dFzk48pX0FZky9Y1my1neDge + Prh/73B/JyOUiipIKKuYF7Axgqty5CqUAJtWNAwwrHPNdm9hxaad+dbaD37ww+mEmukvfvWbL6fT + o61XGJWTZ9svfvbb3165dukH3//2u+/cmZnpqMIH1HIJw1AHFjYKkBArmBUUazeQr9ceakDM1mhN + mIxwM721tTO3b5x/ujPc2nz89Nlzal5pxrD9ZHN7azeIuf3ut1ev3ExbMIALAWEKePJ5Pp1OYqy0 + aWqf4tqKwVioIkZYYgDwkDJUk8l4UHnv2q7VnjH2j602GFAjojFGWCWAwYBIDBI9Qw2BCSAyAKtK + CKIKYqm1h5woJCikgjMAtJabEQCpYj4WXzUazUa7k2Q+SoRKjTYKbAC5slLAOlv5Mp9OinykMguo + avN0QURAnduSTx8+f/67L7/87Scf9ccHo6Iv+dgPB340GEyyZztS0Zksa6SNBlmTV6Omxsyog0IQ + 4ThpcpKRYxh5XWa0mbq5dpYilvm0Px6ptdYSh4gYBCRJlsz0slbbgAw0KqJEdUyG4VzKxoAQpPKh + DCGSFctMZEFGlEIkQ3+85jXmCHW92Y3zev7cysmz0ZN7n+7tvByfm+myPHz08sWLE3D74uWbaxcu + vi62AerhcypzjiGEWIEpO/VmqCG5ktA0cAxnAFLk0/FoUBZ51NQ5R0kiQPTg13ACnTbDyH2RSGxa + S1FUtaxK0UhEbDiGsvKe2IgixhhVALLGITWGTrW+McJIhENiPWsVihxeLIMIHgaxciJ1bkk8Hnzx + h3v/x7/+d5MqXH/n6re+/72lxYYFfOmNi6CanyaIFXzJGrimFNWPIWKQUbC85hcTAAkIuS/GMQaY + DK4B4xSIsTKSsjMQIARMhqoFsYKNsiO2zpJ6X0wmR/tHk1HRBjW6bW5aqh/RARBFrUdDdFwZmqhW + ZYhlcB6QEg1C6qBSGi5cloEEgeEjyBo1VRkm02JaBbVQA1H4qjBsrM3gUwqq00qL0gLOGYIW09Gw + 32cyjdZMs7vIaVsIQccpBaIGIqNScATHRkbWEUI8GYwn49IYpEkrGCfea/SGkTZS5lO7LQdjiEQi + g4gRoWSpvnACIHqEAHCY5P/x5//+l/c3nz/8cnS4bePUkZ/0D/PB0dbUTiaxZzkqijL6CgmnsBYG + YPESoWSS1CapsUahUSJUmWFIHYllGJciaZUCAjIDK1HL8XRwVEyn0+Nj/2zzxeGonUrGZcwnWil5 + IpPtjfL2mfmLl8+snltMU0uRp9Nqa2t/PKiscUtLc602M6OKlTHqyDjnWKCGAAoSbYiwrAhVVVRV + yWSajWaaZoahiihSZz8YZq55PDh932iIUkWVvKhCNHOdOWcTg6+ty08lm0KY5OOqmpJWqYOzIIRK + yyoEH1Vg+v3Rk8dPjoZVK6kcJpanQfuV9I21/cNsptc+1zl7fuNiszUfpQSGWYsvrs+uLMx8de9k + 6/nB4e7h8oZM+lubWy9e7J3Mzl3ZuHKn3ZnJLGwESAmiBLKJshM9tS1gyKvNZ0f7r4zB0pkzM/PL + 4esmW5m5pq6LtRwVCMGQseRiwDjPRy9f/Nu//qszyagX96rJmLkL7mjMy+FmPjqYn+X52V6j1a0N + 9uuiJIAIQgBx7V4CZ7iZ2WYGqnSc5752/bYgZUQHqWrNE0hmOkmv14FLp0UcjyaMViiGg9F4WJA2 + z7R7Z2OAizCOfJiqLa01UdW4JGk2szSxKn6aj4aj8TivfExIu73W+Qvr2fbL/snoyf3nG+c5KQab + zx4Htu2zFxcvXLn0am/zxdPDnRf9g53Dg7K3kG7v9e8+2grA8vLqxbVzTQOKU63G0U9ijEUlX9x7 + tHkwTLsz1jkOHmWeUqQw9MWxD35hfvnsmdXZdscxpMqBSGwibCWJr7Gzr5tjFoKEqjo+ONx6uWnQ + sGiqMdUQ5FzidDKZhqAmc1nWtC4JUcqijN6b2uCPgHCqJmokVkXKyk/LUAlFqp/dDCIiYgJUK++9 + DxFwaQrEGAtTFdDQbZil2Xan1RwqlV4qr1KjtQRDp9Q1fM2b0EiGE2eZYK0xhmMMIQSFzTIigUgk + qCFlQowxRgVgLeAV0QMhS2230zHW1n5mUQSMNE2h0+B9URQKIuNAxhhra8I7oKSAtBpJt5myYjIp + RtNgUxjD4qeT0XGM3jWandn5JG1oAJiYjFr6GskJEQkxpSlQkEYWr1URvKuilhEBUMAQTP3sjKKq + jdQh+qooisqHWg3t/ejk+PDgcJI3YRqNVsea11bAqsK1liY445uJYWNiwGA8LX1QTaACjSpBIpRs + QFIIEgbVKH70FqHZSMjaikxJphQNiswSIJj2D569+j9//+RvPn950J+YapiEiUxP8sH++GR7a39Q + zd6YtW2RrCpRAC0TDMNZrquTAtYZQ1AwgsYAVovIwUtRlgyXEJnUTotyWky11hnCENuaBRJiYAOw + RYiY7Z2/tLFxbmnn1eDJ/S/3D/YH62tzNj57/nL75Q4JLl24cH5ttSyQmdrYiup1jgiUoUAlRcLK + bET+SJNMDIwlizAZT/eeb//N3/ynh5tPdvoHg9FQKlGvk5PR8OBkcHA8LSo2mjRT2Foryc44wwlM + EtkGiUUlLo0M46OkbKDq1CdxSuKVTeBaWyCmKsoqTjUN7dVsdsUw1xw2Ps3wtgBDVGJQjVG9D2WU + wCbJGg22VgkisNY4cgJjrFMYAhs2ltSXuYUal4JPjSHSxJK1NUZLxliXFpV47xkiAZLUNhe1gRaD + DTPDUKxyX+YEuMQZw5O8zCs/GudbL19ujfAocQmj6dhhVE13nU7KvNfIGpfPn718eaPTnGHNLJfz + s+1LG/MXzp/5fO/wycudg6PjpYYUo8MXz14cnUwavXNvv//9hYWlhFAphE47f67rBk5RWJIwHhyP + TvZYMDMzM7u4qhZ5BfaaJkpOmNUAoNNODKHCqL/19Mkv7736+d3Nl/v9ONgzZd+UQz8dFsOTVzt7 + ZbKaApFMPSkEBRF3Oy1nSEREuQhURCSKRAUmgkqVcdTCJhY2jWzkb6WSneK2f0QiVAElSrKmTTMv + qDQGBAVSBtjV7kkwDDLz3e5qu7O/9UqrMiCKNaWPxTRYkxVl2N7dk7t39xro6LQaHpGxSZJWIfYH + I2vt+tramdUzzjljGIDUHjMEAqwxVI9aIghBc//Tn//k13/4/ePtF/18EqZeSx0dDqeDPZTD/uGx + zK3GGD1MBAyMGhVE9SERatgUETFCgBhgFY4tYNJW6+yZlW6j4SSEfEqk5CCEJE1Tl/kAJG1tzE2F + yJiGBQcxysYA5BAVGnsznWaj6Y9oMM6LyltjHcTSqWAURGpI6i2stSyQKIBGhVctA9oGzJQ540iK + CTZfbB3HT592E5aJRcEG1pnxdFycaCh5ZW5+br3XnZ2hLFGUkCKRIrXImh3KehEgA1MnJivAbC0n + 1jklKSoGOedcUofmkU2dU0tCiDVQjtqQMJbl5ubWb37/H37/h2e7O8fFZBh9QWHiJzvT/uaLnZMq + LqRLsyWS3CNjJKTQSLFKWNK0mbW7SXuWHHKR5ulEISylxkDgENhXiB4AEufQbVy/vnrr9qX7dzef + vHjx4OHD2Usrmp/s7e/vHPZNe/7G7bdXl1dnU7jXo7TNkrr1IsPWJDAAKlRlLPPT9E5yytZal1h3 + Kg4HYggmepKoMcQYoigx13FyBswEimLAkY2HqeqagwBT3zG+6WziEqjJI3sySTu1HKiOnidjSaQa + JpwnrimCKpgQBb40PocEYbN1PPzD3QfPm1U3za2xVVWKaDOWXfWTo+3Fxbmz5y8uzi37AP2HMn/9 + /8jr7+Hr0v87j4maSwLSvCgePXr8fHNzOBpG0d3dvY8++ogMC4uHL/Py7t0vyrIgwuHhwU9/9lNp + 2LVzi++8fauR0OvmE/g7Hgav/0L/+QP5L/pqRF+rEF5rgBVMYLJJkjW7M70km+okCsJ41D8+2l9Y + WUF6+r9rvBoMQCFydHR8Mph4QmDjWt2Z/4u8N4u5ZMvSg7611t4Rcebzz1POw828Y92xqlzd1e7B + tGxs0wbhbtMGY9lN8wBYMuIJCYnhwSCeQEgIY1k8GIHB7m5DtW266cFd5VvDrapbd8x7c/4z85/n + 6ZyI2HuvxUOcP6u6LTNILR7s85TKP4dz4kTstda3vmF5ebbfzT2iKhRJDWgQs4QUiJR4IoZkMEwB + c0yZc0m1qqo2MbMDIylSUqgyQGjMBAxqMSVhYSELNh6NyvGomW2K/uDK9WudnHJRl0KLkJmOlUrf + qrMuZ91XXv3C/MJFcVC1lGqCMgEQTVwHrerUaknTZ3AjRiJnZkpkk5EzpDRiACiAAskpJYa0nKws + dpYXl9754uuP3ro16HOMR1tHa0nzjbXju589PTmuYuoRi/PCvsEczQs5AfF5Pp0aQCy+ITWrmRGZ + TGRBFBVJyRqpKbGgsbBgAlEBPavrUIcSaFQOQvBsjRUneZHMw8YwKAtPLAkVZHFy8Z0jabJfmFic + c8zEzZI3xZQSyINlspVSI02WgoUyhhoEI2fM4oSgdTXe390Zn50JQ0yWLly8cuOycuAMXSKcnGVl + XXMR8l4p7RIZiv5rb7y9eOFq0XZF0b796lSqpVsUPpfNg9H2UbW1//Tp9rPvf3pn4bsL5VnZ9u03 + Xv9ClospGrwTABNYiNWA6FkLT5mDCCCWGAlAAjgHi3gkgkGJPIrh0uLCF9+4tX/w3t7moweffz6z + tDyU+Olnj9c2jyTvvvrGW8uX51zTb2qAJZCKkBDVo7FWwREAKBE7gTGiNiZo1niDsBE0pZqQmMyQ + zM4f7aYTYpBJEwDAeA50wIxEPDeKaygI4lhNVbW5DeVccaWKmBCbSkkaqlEdKxYfzBKsaOVF5kVE + dbJR5glAbGbRLJiZJiYUXtoMB4uNTkaf8/vrw4P9469//et//7f+8T/+ztfnl4etvi84eQ1Z5vqu + 53dHdRLnMnbOmGKMYBz/fzUAACAASURBVM3YhAxgBRvn5DxJEw7TdICaOerlLmONoTwbjSDOgVnZ + kZCwOkgn90UOIxA3F0rBwoRMBNE0waAqyVArCoEIC0CNfBEMI7CZUC3IDKQOvdmlpdatS8tPVz/d + e/L52tP7O7cWs27vgzvP7j4+c3769o1bty6RnxxvClagJi6dQ4yW6mzScgkUSAZFMohrjJZijVil + WDMz1MXKWxRTKBRSo9GEnvvhNC0aOYGpWqzrykAsjsWFUKaUkqWoMalioqR1zPIcmdDJKGJclmE8 + JurCCZ472CaFJmhEXX105/Pf+/b3v/uDj268/hNfevON61daLQIUIZgzduTAHok4Rqsr0sQMwBR0 + TrOQf6LeJCCJI+ImI10m4weDcT6axIRUAwEEECu5xn5YzKBqCbHWmIwzzzlASAqvPxwM2GIKJWto + NJ4mWQLsXA5qpsIGR9BmBZBgigRNKKtYxYkRiQJkyTsHLhA9QtJynMqxZ+R5BiDGmEJwzrHPzefq + vBIMDVe1mNjT4rziapNO2WjVgYycSIoVLDGRdx7kmlrBaAklS9VEBmeRyDEadzs1S5QS9g/vPdj6 + e//b1373s6fH208Xhq1+bm2OGWIn5y4yd1QSExEn5ZSA5qB3ZmRRE4xZPDvHk4ph1oDepmxJCMQu + cRYMBGRsSAGhTPVII1rt9sziChWdrq/aMkojQpVzbLG0O0ty7c3s6u1rL710XYRZnanUFcUgZnCZ + iY/ETgOYMpCITBYRyZCUzUBODBpjiCEC8C7zzgs3t2oyBKPmjmrM65q8IjJTRTJogiaAuBGboWEY + TZoS9oALIaWopCYweb48bqxGiIqimBr2Z6YHHV86VWFEyZPMBsNw0L95fXph7vLbb31h0IZZLhC0 + 3OUbKxcvnqbvf77+aH1z9fEbl+a3Nu4/evxg77S+8tqL1158w2fIBBkI1OyptbmfbTLjALDR6UlV + njEjb7U5a0Wcb2GZG2APUGKBQaMJF446lpB7krZ22jTV6c+olHLEeYeylsXcTXNms+KLl1/9wvT0 + tHOTesHnj8ikJzRCMjbKXO6Fm01WAyxOtm7kfnj7xqqx9SSRkLSua4GxxhRiHRKyIsvbmpQNXiim + KEgOXgnEIj5zWU7MdYzNfxw1ZWyd4dSLL788/Oho93D/zg++9+Xh1fzsaHX1cZCstXilt3Dxxo2r + G5/PfPTN44P1R5urD69Ov/hk6/ijJ8fWHSwsX76yNNdhiJbBgpopibqWbw/6g35v0HWOEfJUFv1O + IXQWyt7ylUu9mesXrr95YXY6J1CqCQns1LVr6QbzdVAon9uJmXdu2BtcvXTttVePM+l614G4MgSI + m5+fGfQHXjwRi3MQVk0p1dD6XMQMNN8vs4goqI5WVjGdn0KAkkZP5kjZosVKNWJizcxJjVUJRsKZ + FxFB4qSk4Ma1QM81nni+Vm4UbE5EWFMCjAmNexaAzIEDkp7DKWYpNka2EAGaim1KwnmeiQiIklpM + CsD5DIFUNaZkIJAQi4gDEzQST47sLHNFnjGhqkJZBu9AzGapadRZxPuc2as14AcxcTzfQBvALGCP + MIKZF2o8UtUoWoNNNIBlU7ZARN4JLMYYGqGuGrGhjqmqQl3VBOSenTzvk0Dgps09v/UBImEiKBka + pVpMKRqSSYKPCuNJ2wxNguSFIBLJVUrBoATvGGej8dOH/+i3/9Gv/dYHv3tndzi/MpVZj6rcRplD + v1/w7r6qwXJYroqkAMeJdVFzHyjYQZoWTcmUYJyixtDA7sqAJ2ga19WIDGSMxj5IQASLxMggAlEM + +gsr+vK15ac723tPPt/YeLZ5cjvr80d3nzx6ttvp4trly1eW5hrspZ64UIIZps21qVQrsDd45cmp + wUDOIFQpVE8erb77e9/7n/6XX9k73S0GOTsW5KzeuaIoWlXmynJEbL7wyjAYmBw1njaiJApTDYY0 + 8WdQZjWO0acgZjBKcAYYjDQZKJCPWZfywljPO5dzHidIlTURQGoWYjBTZuIsU6IIQC1nBjcAuUSw + I2YmJkshqpo1hjwGmHrvjCgkKIxYyPmkiDGmGCyZKhnppNu2ZuPWeOfWKVYMeHHO+QREBYkMBgMZ + DrqZeMS2J+986hcaxxQHV68uzfQvX7q1sjic7VjhNKCTr1yZv/nije88u7O2sb32bO3WbH+0u/HZ + p58cj8Ng8doX3vnqYDjDBkIyktSEc8j5fs8aYypNobJ6LIDPMle0EyMkcFKnKhPPQZy/caRyfLq1 + /vXf/e1f/cYnv/3Rs87M8lyRhlzmYew1Dlpuj5UtmWoEpedmGkyZ982AYeSiSUiNaFZhAVwDY7Ua + DIgHO31eSuycb9tIbCelm0DknBMRM8CSWbQmP1YcIGBn5AjC7DISaQy3WMi5OmpZ1jDqdjrF3MzC + /My0T0WQClGcK4pWiDo1M3vT573+4I03Xu92O96dZwHZ5MicKEbNoHp8dPT44YNf/9rf/+1v/d5J + qopht58NXPLCeb/br3WUEUpNRGzkdHKygwWWEkcVAxEZ0AT8EME7QIFMsiJ37LyZgzjxxEgGJ5SL + kYGFzLuYojAKgtPUdMFgQBkWDanBP8uQVJGxg9ZImkIwVSJikUlJEUeqptYA9A3Lxgwgy7x4gRPk + eavdGvSGrXbWF5zBVBhFnqOVTbWWun526tJwZWUlL/JUn5EFR7UQiL1SKzXgCxuanF9iCHl2Akoa + uKk8AgMUloljnbgL0nmZCinu7O3+3jff/d+/9o333rs/PZzvtHzuyNk4R+y1sk5OWSo4b9eQSpEM + RsYwR+pInYjkLS5aEMRI1uwuNJJGUlVFVLLEEpEZiIGuW7698uKT23Jndevh03sffvTmYiccbD98 + vLpzWvbnb774xpfmpmf7NDEcgrCIaIQahBotmjTgBUxFmEiMSBVE7JrtNqCGFKOYMhmTmWpSbRxC + AUBImBwzE0dySXxkRCAi5JRACo0OxiQgVyYORo5BEFCCGogFSdMp49TxkEGkAgXgNKWQEnnJep3+ + 9NRMJw3ciAij8QhkXW53KM5Pt+ezhZVX/+jNazckNRkaf3AY+2f49YeDVP8/XzEiZmFh03hyevrh + Rx89e/rs+Pjk7/7dX/n1r/2DzBdJU+KoHIUYRwindYb2d9/7znt3PxmL/cxPf+U/+Y//w+XF6azT + 4X/i3/5hs/7/8s38f3mdb47P1b+NBAgM8UW7Mzu/0Okc7Z6dGMLp6dHe7nZdLVr+vAD/sO1ETLu7 + ewfHZ+ZdVbluu3vtyuXZYb+doUAHwUfVcjzWJm2604KlugYyGAmM4QR1VY5HIix87orOAqCq0CJz + rVaRF5k4AsCMPO90uzFpXUWFZpnPM08AE26//PK/91f/6os3Ls/P9jKNNjrLYi2t7ti3zlwerRBq + 5R7OgyZwSEoJyiSu6PSGg2nx53afjcW/RSLJmFFPTLsj+wQ2REMQSMHezCqjYIh1LJ3QteuLv/TL + v7izs/rx3YOjA7GRq0p20gF8CLEKZR2hgHfsmBpErQl3QVID+SxjbnwtUVZlWQeDh5kjbmW5ELMx + e382Ojk8LbPucxOuIoRUVmNmWNIUUOQd7woCpRQJ5mWCmpGweMky5IICgmSoq739veOTk0YtUoWw + tb1dVZXj3Be5F2qqdVXH03F5OhoP+nmr3SoGvXbuuEGziOuoo9Eohjz3bnlhfqNdrJ2VWZH/5E/9 + zC//O788Pdf3LS5i7CQtquoscmoPS+mMLItZQW3MtNAGYBkyefnll65fnv9Xf+Hn3v3+J7/5je98 + 7Te+sX0QT0vZfLr9f/z937GRXpi/dOHybCuHEiIQzlf5gggNTqzb9p2Wb7XYFU4ZQZsm20OYyCIq + MfVcADQ9M/XjX371o4/e/2xr6/7nn9945Z2pIv7gg7ubO2et3vL1F273plA3CRUN8pBSu1XMTk/f + W9cwqkKF4JAa8W8EwBACqU4cqaTTLnrddquVBa33drdmFq52+yAgKWKE8/DOA6RI1PhAqhLEuazR + Hdd1zVBVbdgBMGhqokcmKyURIIeh8V/Ww6P9s9FpbzjI2h3xDrCyHJXjMZEQOWs0czAGUZElq8uy + zLL23OyFleUrmSfQGRPFAGWYgOysPt14+OjJ3/k7f+fOw50b11/8C3/5X3v9nZdmBkVHktdyVPf+ + o//sb/7OP16t6zgqy1pjNy+QRtCaLRFR0yYm4gRoiiQMsEJJk2jwUAiRE2U2EEFylxFT0Dp5M6YU + VJhYJPNikzWDxuosVCXEF62uy4pxjSKPLXbEE04UEiEZXEoiAUbEmWTg4WCQ3bqycv+je58+2lt9 + /NnT7WuD4oX3P3l89+GJz2ZeuHbzxkW4SY+aQIoc7Tb1OtkR+Vj5ukbK0ADzzjffdNPaRNRnyGV6 + ejA1nKKtdHJQnx0jJbicYAGkMIYqmBjoFG2PAARYUE1qxiwsDiCfF+J9WZXJIgmLywBJMFVtfA8N + DWwvOBmN9vbOjg76K9fyfrfxonUg10RBjcZ7uzt/+1d+7XfffZd868/+2Z//4k/8sfEJXAvOIW9l + pqkJSQAgKVpVUozkDQbDRCT3fHvVOLPBAFLkPD0zzIq8rOvGNFqBdrvwyVkABYCBTuE9gQzJkjVs + K4iXYX9wceXi7rPtOsQy1G2GAmVVFxB4PyGgaahHp5Riu1UMp2eyTjcBrQKZNbi5wBSxAuUQD+9w + UmtIRd7KijZnLSIYwASXeZBBGUE9KAc4BcfkvBCs0+0MB4OU9OjktHV0EsGSwRMM9cTR3HfAGcY6 + Gp1WdQ3JZmcXB/2pGJABMLUUhQ2wqgqp+RuTEioiOSyawSwlUmscj6EEQGTt+z/4n7/2O7/+m98c + vvTOX/ylf+tnf+KLF+d6Ha6zeBqOtr63evxX/tpfL2F5q91q98Qh1SreQJqQGtAiGVQnrfVz38wY + 6liNSFGFOKp14k2sAeWJIHYL323jna/82M/90n8wd/HKIB8XdtAi+DgtYSomGbXqql2jSD3SOpTe + uNsZXr5w83QvhBC2tp7xwOfFbO5bP2TfNGFhBBYhKWBnzBAnImxqoY6NgogJ3kljBaMWFUwkzft3 + TghOajJoluckcnh8VNcVE9wPafMEENjnRSfPc6FROTqLoRJQzrk4pwYj/sIXXvvTf/4vv/HOVxYG + lOkhUFbOV85Xibz6HAWr8y3Om2WntGD+5u0rt+5a+zeera9uPPos17eztUcf379/t6Z88eabN155 + iwQOIMdoKmoIdUhZs7JggdaAXlxZnJ+bNmzu7u/vHh2XKGJzcSZ3vZqFaBpJHAtTxmgL0ZXLK2/+ + mZ/+pb/4l1acG9YVawhiQYwt5FbnWikcOovo9FSaXQomUyEjL5A1j2UdY50sajlWg3YHHfhmtEBs + RvaGVq8J45Pd7Y2d7S2L0fm8VRQMdPKs1+kQ+1GlZ6OSiRutQjtzEYFggETjKhk7L94775aWlhYW + 533mEak7P//jX/3q3/qte6ure+9/691/6Zb3452HD++f6lJnajGb8tevX9m/Mvdr1d7mozsP7nx0 + 69LKw/WD+zuht3Rr5dL1ldleJgrTzIsRKfvB7PS/8Zf+7T/6429fWJ5hJKiHeiEiOiU6JYpGQ3ZT + mRdnkXIHSwns2lNZf0GK3snp2TBvZYVrOJitovXS7ZeuXb34F/91TepAOZiNyIhFqNNuOc+pOivr + OsQIhvfi3Y/kSDAjGmIMIRF7cnk0gviJ8WYMFipH6qCONBP2IgDGtbKzwuUkbYyP69HpzvbWyfEJ + eovic5dRYwvWiNylqR0NmtsQU8EwHY9HVTk2006nk2UeDc5DECZhkOmEvvB8FmiAQGar67OzUyIy + ohBj0omNLgDnXFG0DJTMmB0RQ6EpUg4gwSIsEcBMTpxwZgDMskzm5qbzPCurk5PTsxATE2JtBCNG + Mg0qAciavWECygqm/U47YzCzy/KG/CTn5SPG6MR756CJTZ1IUbTFASRgPxhMzc3N+4PS1FI0UgIM + pOKlKUsgQozleGQai3ZrYX6uledOAOEQQxWjAgnOqPBNHKLRJEmHNMXaYJFdbWTihAChw62197/x + u3/9v/1vNnn57S//i7/4b/7ya1cXFzrcwoji/tazT/7T//y/+s5jX44182gV8AFgaIp1XTXwcVRt + 4CRNAGfCmSVSM1N4nzVUYUJwErNGiaVsakTaPM6ecwcPBHgFx84wvPPqjWePPn/3e6urj+6vvvzm + dHfhux/fffR078LFK9evXLmyPMh7iISqqfWAm7DDwUiZkwRJ8GBJCRpQOBBFxJPT/Z3f/e3f/B// + 1q8/Wdv4yk9+8V/5c3/6pVdeGnbnSAtR+fg73/6Hv/p3f+P//I2kUcmCxoiYK6Cm2pAevMvzopUx + TgHnfYYIJGVLLbJcxIwSnAIxxIypKNom+XHk47IySrAS0djnfL7ShInzWZOHqdYg+jDVaBINlpKz + JJxgYjCdiMmYTQlIKdUh1hFEyJyAYqjrMlK3cGqIankrZ+GqHIsQAWVV+cZy2cxAZopYO1I3MV4z + FsmLNvts5cLCn/uFn3/pSz997cKCtwpxrAbx3ohdKnxqS8rg0Rk2p7FAsHhh9pUvvNT65pOd3cPP + Pr3z9qXru0/uv/ftb41ru7zywguvvNntA0ieUU16b4ibLD1UYQQRHvY7M8NO5o5PTk+3948upNlO + gdwLKxNFOs+baTR4o+PDh3c/+9X/9W//YCtdvPGlf/nP/+WffP3GC/PtVn2YpTMeH/21/+K//Hvv + b2yrBkVs0GEBEY1Gp5qCc85lueTwGZwClcIquJBl0XtUoQ4JEKd0nr+CHwUg0nkXCABlXYUQxMF5 + ZtbQ3CrRYAkZRzgodnf29je3C/KtVuGLHCJJrapjXYVbr7zw1Z//c1/5qZ9Z6mbtNGqxkTiiCb7Y + dKE+y4pW23sIIB4xWII5zzEEtsRekMLjx4//xt/4G+9+892U0c//ws9/+Se/+tK1V3O0fcpOdx+8 + 94++9g9/7W99bzPlRYtdloAasYDluRcyq+tQlq0sdxlChJ+QmgxsZXny5NmTs5NTp51uq+8kS4a6 + Rl2ONIwLjwStzbpFIUzO4IQncbQEOA+ytY2nB0eHcMviC+cyB0HSUJaj09MUAhG5PEuTccM0JpjB + Z0ZkRC5HqlGFOtSlQFeWBl/9Uz/3xZ/9C6+9eiX3JfQYMbomyi92KLQyFNaBDDH2oToZmVWk45RQ + VrEMPCFUaBSHSSS9KjUpHTA+9+kMBkLw5GDaZMhAoap1qsbjo0/vff7f/w9/c/Xh4dLCi3/l3/33 + 3/jCS3MzA49RoYenO/f/6//ub/7Kd3dXo9ZwJnACAjnhTpFRinUdQsIoIAAtRwIPG6MqwZZ5F2Iy + eC9FQcialSCHwZXpmy9f73faB+tb9z76xH7i9f3NZx989OFhpSsXb770xhcHnU5eo1LlnA2cGr1R + Qmh84cUEQJZJkRdFwSIh2risqqpOSK4B/w2qEYzMS5Fn4kRV65hSEgM0Reek02qzEUg4bydBDXAo + O75u1iJhPKrLGpInzhKLAjWiT9E3gaSUnNQaQ9KzTHzmkHug1dGUzsYj8v7Lf/THf/kX/tSrl6eH + dBZS7TMHNtES1WmLYipW6nwlFOjm8P98Ibf//3jdUhOypewIoFbRevW1L8SoKxcujUdjVRIWECmH + RMFiOnp6vHmyDlin056/dtVP9W7fvt3v90RENbEqiBuR7Y8wLH5kPf+H9BU+B101KdvEBCEpomgO + BrjbG7z11jtrG+O17c8q6P37d9/9xu9df+HqdKunDGMjYhaBBdR1dXT47W9/58OP74wjm2svLq38 + 2JfeXpp2DgAEcNHIJo1xRD3KWbMMUVGDWpMPqTBVnSjcmbnRlYiDYGKdoqoppoZWpkmFmZkt2czM + 7PT0DLCvhpQ0xtgd9BcWFgoYl6cIAUUrSn7GnIA6QgMazq9BmYmoyYdjPU+JE4Lnc7TiR14GEBI3 + VAVisG8yaMwYRAR4RwJVpz4z4ghLMCbf7bSGedYGuI6xYQcokGIdyrPxGQoH14YCVIed/cPVJ8/G + 4wT0mm9eJztXSAOoECVL1Xh0cnI8Hp1StwCQDBJ1Y2t39elGFQD4TIqp7rCTF4QETTGmEJvCGsvq + 9PRkryq7koMa16Q6bGzv7uwdNglarXb76uVLncJbMkuBTInIiM1l7HOXt8h5i0ihFtLMSRNmVdW1 + NOst4YX5uUGvZztlXYek6n02OzdftLIslm0zxNiFh+9WDmOgAkrAo1mEeZBHp1e0tAC+mGXD5YWb + L7/2O7/3wTffu7fxeGN9bevB/Wd13XD4YOdkw6YcgYGYHFs353ZmKY33D/dOqnGtBWVovvUqlZAE + EsADNuj1Xn/5xuX5zqePnn3w3ndeePWPuEH2/Q/vJenfvP3apUuXOgN4INWABjAB3B1MLywsxPvb + J1vPDrcxmEfI2GLKIBMHQkoKbYz1W+32xUsXisLtHmzf+fQHSxeHiwtThEl70jRwSiCaBHoQs5qE + YEmhRglg5navMz07zU6Oj4/Wnz6bv3DR5ZO+qhnSoyE3RQprm8/2jg4XLiwP5+d7wyFnYTjsixw8 + efLk5cMjw9CfryMBjE5P9vcPAe98XzIxgiWrQyWcNwsUyHh35+H3vvudjc31ufkrf/xP/pnX3/oj + 125e7GWao0Q67ZftTrtDxDFGEXHiGWOkiBRy74QlKYVkUc0AlqYtg0Ib2z7WaDBuMmxBIN/vDtvt + FrRc33y0tXvLmGOEGcQ7aq5XqjWUMVZQDQAYE7VcSkyNocmEFwUjg4XJ5Jt7QqvDX3nrtScffvzJ + J9/59OP3F1+4Msin763uBJl+6+03F+fneoSsKRsszXal1WvPLy5sj8Z7GzvjI8RpqPzwVFComREb + vCAZiSyvXMgfbj7d3Nle2zhdWcJcA6ljcqwYQlDxDfaewCqOfZYZKCU1IwK3O+1Ll1aerj7b3Nrc + 2dmba+cdn/Nz297mTIox7R6c7B1Z1KvXr07NT0cDUcN7MYTw9O7nv/n1r3/3o4+nLlz8sz/7c++8 + 9ebyFMzgZcIoZRYYSQSMtQp7m1vVaJzPZrNzc0WrrWCmCdkiJkizjyCAFMLtXicrshCq7e3N4+Nj + ApKqKFzDBjdFiGUZqjoARCLE3JCNMydzczN5Kx+X5bO1zezKbRqwiINWoARK0FiORztb+2dHwUlr + YWGx2+/o70uoYDQ2hg0HhCLEnIMTZnYGF55fpQni1RS2RCnQpBv1hgiWVpHPz81kO8cn49O1rY3r + FxcGA8d4HkJvMCDo3u7hydEpJJ+emu71eqYT4rrzwgQmFnYMd04pmnzTMDMkZtAk3IEMk3t/9dG9 + 7373vdG4euf2q//CH/+T1y9Mz3aQU4XqEFk9c8Je3NgYxg0PY7KoAYG4cRgSZjOLBiZrRF0wYmZh + MgOxkGRpwu5XOJ7qd+amp5gQQgiKwUx3od0tkLtY+zQLAyK6RVa6LAA5amclQmKWolX4zNV1tb7x + dOrSPGEuKSYuLgAYbJOnC2CYeedaRcHETCwsDfkbApKG4mBMjVLcVCcdDjHESbvdygqftNrd2947 + 2Ds5QzH8EZYPMRLKURVrNRNVNp0koHbb7ZnpoRfEWMZYD4b53AxIh+BorjUGlYBXNGaLBlDDJCcB + ubmllYsX6ql+Z/PJ/bufHB3tLn7+yaf37q8OZpcXr9xaWJluJsbmq2s+gE7IwkaYiCE67Xa7aJlh + Y2Nzb/+AMQ9CTNCQskLhQMSAGHklSJYVrbxd5KkeWzWaHXTnW9QNLcQET8mBkDjWiDVcEa0YNess + oPm0OtFpnHOOxLNJqMwSqlQfHO/WKTy3HYEBRtCGACKH+8c72zsIsT/oTs3MqCHL21P9/lTbH2/v + H+6sj0anZej6TInZoFFrzwVZCnX9dH1z4+BYne8Mep1ORg21qjOYm+/PTw+yB2tP7n769NHFOjx9 + +GRt+q23L99+1QSLc9O3Ls4t9bPTnbUHdz5af+W1ZzvHu7F18+abMwsXPKFABCk7N5ieKbq9cMxg + 3+50FmaH0rRaBhiiFiFykTPTQJWgE96rWQpJq4RxpKicFy3fEDUJADE5dm2f572+gzmFB01KLqBJ + IzX09SzLWpnPXFmeVuUJgKRICkcAC8DjuopGJo7Ex2QhgjyEVBCdBdEaYVyeHaVQCcAZEzg1TJxk + p6Py6Pg0Jm23u+12x/vn7w4am/Xpj7SWREiaVJ//Jp2zIxrInkVaRdEqWpn3KYZY13HChlNoAprO + 2QxQTSmliWoKgNkkL5BIDSFGVQOBRUCREKFBTdVgRgQSIgaYGT7r9Ho+81U5evLk8eHBUfNjMAFK + RCLPVyyYhAUx1XUFmDCLc3TOQjODqTkRkDbeuGYmwnlRGBCSOvG9qbnpmVnYk5P97Z3NtTR3Afkf + mHv45Kza2tkNVTnTb928cqFTeIuwujYQ2BkjGqUJp+u8eycDlMm0AX+dw3k92lh/eu/zTw/24pUv + XvnKH/vZV157/fIMDRlOSmhBaYcdsUhRdGNAinDuR44kgRPnnUupqacEckxCxDQZKiZrZCBkTnMH + 1uhhnmGaGrg+KRhskhGiic8K/9oLV+4tD7/37dM7n3zQW77p4+17T3cq6X/hC1+am1voZJOzN0ya + R6iCGxovRYFFpAghiKBBT4BY6fjweG9z7cnjjY3N5ZVLr7/+xltvvbWwtFC4PtQ5w+bsdLfTzpwn + YjUidgwDqWpIKaAxe5oUYZpEV593qxSC1tH7giRXIBr7rN3tddstd1Ad7e6uH50dwabhxCwIpEGC + Y23Hh2dm4lzG3NxxE684Igg5ofO5hyZSMeec965hnRuIGAI0gd9OJGP3XLSaVEHi84IavYpzQH1e + hJOZNuDjJEMTlmd+bm6myLPDOtYhdLrd+dmBQ3DaTpSBsgC4hMyAcH4EN1IjouH8zAsvYmHhvceH + O+99+913LtcH5F2C7gAAIABJREFU9x7cv/+4O3hj5erN2UXkBYDEIPkRl5TmqX7O3c68axd5keP4 + 5GRjeyfodZvM48qmjc6q2WGmEDbX1z758IPtrc35hVd+7I//ydff/tL1K7OLHUVoIZ7izLc8CVm7 + 1TJQiEh+UrxiCMwkzukkpLmZZpqgrAQLalFNrVnbn8eR/X7u2HM7RwbMMRwnJFSjs7qsHKOuNUsJ + mW9sZEYH4/3js6M6qi/a3WG/N3SQbrczPTVgsVCNQzme6vcX54oOhjmpqsWkzEI8EXHZeZ5OQwEW + JjLEkJiJSUBUno3W19a///4PALz44ks/+ZM/feOVFxeHF13KnWLgqvWl5U4r13hMoAZbblb6SQOZ + UkqprstyHCO8n6zSQIqYTk7P1ja2zspQdAYXL11tdzrN4SEEUbUa9dnx+PTAtwpLNU86tHOXiWRn + o/HW9u7x2Zl4PzU13e10m5weaQ6HSUP3wwIgxEwMMzWb0CcERZFPT09nzoc6nJ2OWkV7fkE8dQy5 + mOYgB0JyCIBCM1QOHpIV+czMVKdXhIi19Y3d3SPDkgi4yd6EAaZJR6NRFWpPuZrFGFQhDAarJehz + jzQzwHl3sHbw8MGD9bW1xcUbP/VTP/3Gm29ev3qhaBOsRMpaOJga9Iv8NI04gSfSxwaRDjU0mWkd + I/j88sBgBidIMYZAzaOs7AxZc3MJMDtYurRyaWXx7ubx/Q8/fPT5O2uff/rRJ59b3pm+fH3l2o2i + BYpGqWKfJ2EAIYAN4qAgnQAEBuc7nY4ToXNYic6pxExw4gCkpDFEYc7zzKxZJTVWHCHUY7OopiFN + ohG9z2Gn0ARKjhILIFInq4IpIPCTaFEoI4jVPNmreUuoK+TkWv2pwdRUfLRuVheFn54azuc9RSIx + o8RWsw6IEni+tAk2Ivjn6/WHBd1OWoB/6o+bhw1ERJ1e95133llYWFx7tn42GmuCsGNh4xipjmX1 + 6Tc/+e0nB1rzhYsXfvpP/In+0uztW1eGU0PPycxUdXx8eBy0lqwYTPcK//veRTP3/CH5JjT1QDVN + LhQhqUYyz8zsev2pN956+4OPV9//4GFZ25PVh9/85jfe+tKbHbm9PN13BQtBVavx6Gjz6aNPf/DN + d7915+7DMkkxPXvt+rWvfunNpWkwEKBC3lwheYtxwjBUIxsfpRLJozR4NUfWyPZjmqRSmyVobJ5u + MiCiEchokyKRosYgTMxMxssrFxaXVxT3ABwe7L///fcvrSzMTfddkWUs4IQUD09GG2fl3nGYnb48 + PUV4rv0gMjLDBLdtHlrCeZk9v0zNL3SCFjJg4eRka3XbUVd6KGYz1yVPLBBFPDo6fHj3s53trRgD + CNPTU0tLi3mWM4hIslaHs8YTstrbXl99uJ3fnM8Ep3G0dvfBx3fuPX56MKqyhlBJE17/ucwDBtak + IYX45PGjR5/fn+/1WkVexXR6sPvZgyd37m+MSzjqDlvDi3NLw05bUAklA9fKCRqQxqc7n376rWtL + ceql5cJXXI5He4d3Hj5d3dyJcAAP+r2Xb9+Y7rJoJRYYCQQjUcngcvK5gVUtxkCa5ByAbIT3TFRk + 2cWLF2dmpu3hTtCwtrb+wQcfdoft+eXZbHJmGlR3d3Z2x3oYuOR2NuhcmwcU8XBva/1B0ZVWzxXd + 9vyFS9PLl26+8PrhfvXZJw83KZXj8cHpuIZLBBjE4KgZ8gLBDA4kzqHbsn6hpuXe4f7xuCwN6iGG + CEqaRJr+ywHqi9a1C0vXFme6Yg8//ejOD94PM8M7D9ZvLV249fIbi3N9D2RoYjcBOHDRnb149fJh + 8Y2nZ08/Xb3z2WLvdsgRTaSxPGCKkBpRU2oTSbt/8aJMDToP1/c/+eCbr7125erVqbzpADBh1BhY + mYiZiQTOkCdzdeKglMDOcavXG87PtPudg/39j99///rNl2nQ9wRAzbiZD+qyxOH23ccP906PLr3w + pemlhdagAxxPz0y3WluPHz483NsnGwrBm7ImpHC4tbO9sdsfLhSDRXUIBIYkxEJyBpBOQaejw2eP + H30yKs8u31h+9e0/MrtyzWV5I5xHFauTs3o0DlXFmSdAml7UDIBzjui5NBoAuAnKhpKBqbG9NCII + 06Sb4VZ/OD8c9EjK9SefPnl686SsPUvGTkCsjNNRvf54f2fzdHQC45GlqCgERaImiwzN5ZwI7BuE + nBMkISOQK/Dy7eu3L80WnB58/tngez/o0Nyjjf1hf/HtL/3Y3FS/DWQN/AhPlMG1i6nFay+8+NH7 + O3tP7m6v7i5lszZAUvC5vCgmzUJCNCgoa129fmP4/uHp0yfP7n20d9VdnZsTcpMzhQmJY6KQQBJy + n0A1KBGzGZuxgQnU7XVuvXjj29/65sbGxud37rvuoLPYfn5eNZ1XNa6e3n+y9XRfla69cGP6wkxj + Y4UYUNe7z569+633fv03fyuIf/vHfvznf/EXB3m/TTCHyJPpwwMghjKU61G1tbZVj6tO0ZqemfOt + TiD1E59vsKo0GcWmIIN3xaDf6bWYwrPV+2tPn43GmhNlEuEYGOPoeHN1Y2396Pi4gjiCwhTGSClv + +cvXlrvv+e2Tk48/vDu4/iUMOt4zYgRVsIQw2j/Y//zOs90NbrnhlUtXZmdyOocuzUDmoYOGKzOB + bl0gVypqIiJkem4LZUbNaQlRcLKmvTUHaxlq2KhoZdduXPzBxqPN0d69e5++cMEt92d8s9ExA2qM + x4dbh48ebx8fl73B4vzs7KDniSbXwXknzsFiqKKFc4QiIVkTy+NMjKGCZBNjIoEZ0nh798mTp6uQ + xeWlm7duXW2REh0BAZxCdbK3uxODkeaaSC2CM2IFMeC12bkQpLnDFWB77rIk4sR5BRyzc8/Nng1e + pqemFhYWANre3n348PHlW6+gDUbbkprVxBlcg98292nmiInGReEXFgettp6eHXz22d2lG7cX5wgN + zZ+ginp8Ng4a4Yp2K88YSlletDtdIgohlGWpmswE1kxheo7GPUfh0RCSyfl2p5gatluFbh1sr22u + re0e9odDB2SpOd3C6f7Bg8/u7+2eRmtD+sZtgzdg2GtfXBh2cj082Lr36O5bpz8xN2y1CM0mlQFp + 4D+D2eTIZTQLgK4MLi8uVZdWeqsb9x/cXb9399aHHz1b2zhcuvjK8qXl6Vm4BrptsAo4ZhECWTSN + jU8DxPX6w3anb4a1tfXN9fUy3VKGAiHFDIBqWaW9s3GSzmI/z9tZb8pNTbcOj0/2V3dPNg/CwgBZ + NNSwnCwDcTAYSCirjdSQAY07HkyNeLK/mfBwM+EWWYssOzk+vXf/zqubD68v3Wpl5248AI6PY3kS + EXa2jg8PT9AaTs/0B1PTkUd5Pj3TP7sx68frT842R8/WVqeXZuZbvkCmcBQDcx2PTrbXnj14srYX + eebilfZwkBcAAM6QDfs9urwwO5+vbq8++uzOpW3bWtuvv7J04cb1Gw6Y7hSXFqauLs882T948NnH + n925s7q5d0K9xRfeGC5cYIBJYQRfLF24OpzaLB9tPH36dGtr6/ql2cIxjBoye2P5Gp0IJ03OTcZr + EBEzmVoIMaWUZe7cU+Z8EWgMMMih8YqfNHFmgGlDWOeiVbQ6raLlT072jw626wQ/0QkrzMLR6OO7 + j3aPTqIR+4wYZI3zY8wsOKu81fHsaPXena2Xb167vNguAKNo8Czjo7NHazs7h2eSteaXlwb9fpGf + 95LP+8wfYiINtc7MIM434cWaGuEsNzctOdfpdNudjjAfHRycHB1UI1hxDuClsLO7t7r6bDweQQtY + mvyrABmiIiQ1GJFNwIEJNh0ZCWbEopIlEBNlpAKYgXzRm57rdrsWqtX7dzfW187Gb3Xy5iIqk8BQ + 1RiPYnRhpgX4DD4HMYsDUJfjWE+QLkuWNIkjAqshxJSagQkGQzIC5/25C4vLax6P99cePbl/p7w2 + 0/cOQjGm5AgmGMX7m0efP1i1WK9MtV64uNDJYKlWVYg311KGGk/Yxs9fjfNgc+IYWJrhHQY92N/f + 2tpMigsXVl559ZXZWcoyWAAApDAux+NylKI4FoswAwuQzAhgNjARO+HmS2xc1wykZGCcm6UYwwgp + d9TNuC0xhZNUnkBD0/oFNNMcERzgiszfurL84oXpYWaP736K/rekGj/aOp4ZXnzpiz8xnFvIGAYI + rMT46Pg4nflc+1N9OFaa0IyVkMj8hOmcAAsa6nJ0enJ8WJZnKyvL167dXFm+IkKGZJaSYlyenJ6e + GJjIpyBARlAgEFckEZRUkyqZATRJ1yJWkAFMylBy7JuUv8Ctoj3d71ULXTtYe7C7Xj7Z3bxwaW6m + n5uOcxtBRzg43FjbWX28UZXa5xzkMUlQmRzaToBzvs7zFYYTl/nMe1KzGOP5o2QwY2FPRFAmE2gK + wUi46EWGAs55pAoWgeSsJlOQmBQmWQOut4rs8tLcsOUeH5zef/Dgxt7hzavLLTLPEHACYEiKaOcc + kclRwoDDcO7K1d61SwtP39/66P2779/MT54+W9s6vvnlC1euXu73J15GzZ9+jofh+UEFANQfDGdm + ZsQ93tnZfby6enb25VQ0lbIxG0pVXZXVOETpZnJ4cPD0yZNyHFYWFt96+50LF2bbXZgagREjTk9O + T06qcuyECNoQf9Qm/OmJmuoPcPZZftiHw8ySWcIfeKu/79V0hspWOy29YevJ2rOHaye3XsstZc2H + TIrT0dbq7sbByY7LOzML+XCu7YocaXbQW1mZ7vXc0cHWo/t3Tw8PdWpRPABS08aViJ/P3ATCBIJj + QBikpEndBBfQsq4Pj47W1zfmF+YuXrpy+8VXesNZwJkCihhxdlZWZUjRntdEBgEpxcCMmOqj4/27 + 9+5k1xauXJ2aDP0WEeL+3tFndx8enNXF4uzFF261+20CHIdcyEO4xtnmGu59eFYUG1d72xd6c92e + EIwhBpyO17cONrb2Q52m5vqLi3ODfg9QGBMJO4eJ/sJ+uJdiIRBUWRvIGixoddoLi0u9/lRdrz+8 + /2Bne7ssl7jVoL4Nbts47TS2YYDCCxU+m5md6U4PjbH27NnG02fjeHvoQCLQCLPq9GxrfXd9Z2tv + fIrCK5mmRAoPOIAm9EGAEc2M4dmPjk8O1rZtVF94eeXVN16fWVrMWg3/xxBiXY7PRmdlVZqqiDBP + ug9VjbExM4eqCk8gOW2W4c0qTMHkBCKmovA2ierBYG5uWV67fWN/59P1u3c+/O4PVu99dv/xem/p + tYXrl6eX2OVASNyY1AAAokJtgnKeM4kZLmt3+945pDpVY9LE57bbBDgnSBzVghoRZW7iBGZAMwga + VeDSKCRVVRDg0YGdwipQEB/FK1gNqsZoJibyoBIUCJEsOIOQEjQpKkUPvjt3cfniFfnek+ONx+uP + 7pYXpzXviGNDMJgRq+QEjYqkE40l/1MexX9WX38o0K393/2QAIAbmxAYmNvtzrXrN5ZXLlZlTcQ8 + 0SmrcTQJFuI/yP7Bd3/rvWqkN/8v8t7rOdLsPPN8j/tMep9IeO8LQBUKKG/aVZNsNl2LIjUjzc6s + dmP9xsZoYvdm/4G9mVhtjGJWGi0lUqJINU2T3V3dVd3lHTwK3nvvbZrPnXP24suqphQ7d4q52MlA + ABFAIAKZyHPOe973eX5PTfUPf/gDEvD4fUxhCgYLC4GleDk0ND6/bBHWee1mU12NfDUjyMs9pHyN + PfyneHJScgnkd3ZKIBIAmOoJR2tqakuKS0JBf+4wk86czExP/v3Pf2Yev/31N697WIAjns2k97bW + nt+7+8kv/nZsdPLwGCQLF1fWt7W2tbfGVAYSIJ3NMU1VfUF/JJ49MHSDAHJ2lmaX5lvamgoMC5Bh + hagN3JFSuAeMkMI0c6aRsS2Q9NWIxI3NQfnXAoMAKTACpqq19fWzldUSHiEEK8srP/nxj2MRfzjk + U0tSCiOAwMpkxsYm7/UOfP7l8z/6w//+e997R7qBUgIcLoUAiTEg7ELApAtE/935IuQv36ZtA7IZ + xQBicmLqL//s5yF/qryx5MJbHQXl8YBXF8Az6fT05NTf/e2PBwcHjJzAjNVUV7W2tKiqyhgEguGS + svKpKBOztuOYk2ODn33iSfzLDzTmWV9f+pu/+nH3g0d7h2CDQwApjCIXUwYAUiKJpOBcSA42MPXL + u3dOzaOoV2eV5cQ2R0eHuvuHRyaOMiZovlBhtKiltrEgomDYZhQUVScswCHDQaQP13/0//xpZvtG + +F98t6UydbK/Pzw4/KR7cGp+VVIvaHosFm2sKwt4QTOkqlGCpJQSMLElMR3IWdzk0iMkxRiE49gm + cBso0VTFNA0paTAYONPcPPm8G/VNA8DLl0NpM0N1cunahUgyCcKGXDZzdPLgSXf36Mz02o5BPC0X + b/zr/+o925LTw4N//uf/V2VTzZnOs50XLwQY4o6dy5wc7WycbK9ix1B8AdUXNiVzAFxbHAUgYDhO + ThJFIJUQBVQS0FE0QDQVDs3sqWFmbbAxYAmAQSUqhywHwEARCAwASNaXFNQUF6wMrw2+eL4RCO0d + Ox3n6qpqmzUGCABz0BUCDgMggLVYcXVbGyv9YuRkeezR7V/VVP43gVCMqmA7gCUIApZEx6ZhHu6X + hRXmCSQLAhUVxdPL+2PDL2Y66ksr4lUlxW6Jww0HKRQhenycZkxVNKYQoMyraH5HYEuAg7BCCPVo + /kgoVVLUP7F+59Pb7e2XyuMtuh87jikwJRRsDlv7u/MD3QNjw8dG4mbn+UhhSlCAdC4cCYeCodnV + 1ZPDI26AzQExGySHdHptbmF9ZaOl7ZtlNS2ggA3AiKoQINIB0wFnF8ihitIeDSQSGZsf5uycZG4c + k2IDP0qvrxzvbe+cnpwQPWiYhiVMiSUoDJgiHC6FRAgxRSF5cB1yOzgEEU1TPbqOEUIYUfpK4E48 + 4WgqmYhG/HRnbWp6unJ+ba2suFRTqBCALb65tPzi018PDfZubRtAi9PczpkWlQoWOF+NUYKI2y5z + bwJIAuJAbcACMMWWT8VlcU9lUWRqa+Pp0xe7a/bGXibVeK6qvjkSULz58trhQChSgej+ZNmVG289 + mv14dnGy9/EXKe/XCgIR2wGaZ3GinGGmTzPOyW4ihCAcb2w6U/h00e5Zmhx80Vrla66NIcoYkiAc + cCyEFIWizZ20R0kXxJltZbLZTDabdXMhAQggEQoFW1qbotHAysz8g/uPvNGCeDgKwtJUgjCzOMeE + HB+fPH74fGJ41pZqZW11sizGECBbgmWKk5PPPr195+7dyeWlP/43//ri1971hQLy2Cacgh9JAAMA + viJyqmBD7ji7s7EtHK/P49M1D1BsozycgQL4GKYAWILkBkIcFOaNhCPxsN/L5iZHR15WLcxdrako + ZhoCmQaSW12Z/+zjz7u6RjY2DOSt4tyyLIMgH0gZCgcuXm5/8vjL7qGtL+48TjS82VFWG9EAqA3c + 5Nbp0dHe3Nz8g3s9W6vZVGvTmcbmouK8V0hCTnBCkAIO5VwSBQERAByQYfPjnHGMEGbE+/p2RAgD + sAAEUFAUrChYgpQCS44lVYAjr08739HSs3Q6O77b29tVU+irK4yruheBBGkDdg42Nrpe9A8MTBi5 + aPO5MyWFhaEQMAZgWiAdQrGiKNwxt9a2sqc5KUMIgW2A5MAxqApgTDmYGBySbxgiAAGZI1tkKMPc + wbkczmTAE8BCOo6ZoUxsba/1dA9kTnPErwsOhCBKAbvTWkltByFEALjkDsXItaRJd/rDJaaUKioA + CClBSsYAg3uSyWgkUlpaQghZXl7u6e2ta+1M+hIenVLqRQAADhDMBc5mgXhABRCCAmYFqfjVa+2T + Ez2jS+v37z0sqmktKaoKh72uhs62rJXV5Y3tA6BaWWWNP8UAYV33+H1+hNDe3t7S8tLltmZKCbyq + 44mL7kGSYIVS4F8BjjHVlKrK4umS2MzO9vTCbGJ+oaTiHJOgOkKR3D44nB4Z+/u//fnYJiPFV1RP + grCAACYBxUKBqpJk1K9Mby4/73na+cZbBdEaPUBAcCkEwa6eDTImIAkaBYW+kqNKD5BYLHbUca46 + /bR3c23j88/ujY2tW06gqaEpUZRUNSB5dZYALjBWVFVjhBAkpCtGQxI8eqqoOBJNCgFrq6uz01Pz + c1cCxUpEA4WqgGwznVnbOe4ZmVeChd9+p12PBpIlobKyxO7M6drk0uzQZPRceahYRxoCwYQDiABQ + xikzHMAEXIMxAcDSEdwGwjCmNucOBwUwCISYN+hPEuRd3zhceHQ3XHcm6tETZ0oNAxiXuoo25uYX + V1cEgcX5DW5DXV1NKhXVvBgrPhAi6j/sqI4b86PL2wfPnj9C8dSVglICVAGdUQxGdnN6/NnD/sX1 + DW9hxfV334ymCkg+D5MC9VMPbqmpmhqbfTy60tPTE1IMA7A/nIjFYioAAyfspW31lbvdM4vTY/fv + 35tcWDPVSKKqJRQvZq6AUQJo3vrm1sK+9ezzhb6+vvLicEN1YSwUQEg1ciAlMCZ8Xp/tZA3DkMKH + FJekBbqu+3x+XdcIwUII2+ZcYPo6AMjlIUsMmLgAQXhd5AJilAkhBBeqrnmDPq9P2zjY2Vhb2N3O + 6VGdKBJsxz5N9w9P/Lv/8HdD4xsZJ1igKB7d43HjT6RNpcWEyaR1vLv+6UcfRoJ6MhEuKy3yezAm + hAs+Obt073HX2vZBpKilvb29IBnX1Fcl5T/SXmDiWprdpqeiKJQxhJBtW0Jw9IqLC5TowZDu8Vqm + tTQ1ubq4cHJ8PsXy8e9mJvvsRddPfvpoc+MAWAUl2LZM23bdTNgW0rAsLgQhSNM14hpVAIEUgDhQ + rGkepvkEEEqQhgWRYDmOStTCkoqCgpROR5bmpqenppZWL5aXJBQFADmYQfrUWto8mp6cqkgGLrfW + MArg8cWTKY/Xb2wb66srR/uHlhPGFCR3BHdMDjrDXCI3btVx+PHxUZADYQgcNVlWU9dwqisvNhcm + RvoCb56rTngSoCvpdBrrCgO5vrL1eGDy8YtexVtZmQyUJQIaFhgJoqmq7kWKbgsQCEPenuO2nxHY + HPKYZsjvOEgiAIQJdoM2AEzbyhk5IcH1+QHg08PDheXFo+Oj01NQj08UCpoCAADC4UIIQLYjbNsR + DlcIMBeYwKXDueXYhArAgDHGgDAIAIEVHPIqiaC+t7yzuawg6biuHo5Bulg2QBQIU1ggFqgtDFUk + g8Nba/093Yc7++uHueJz7U0X3gjHU5i4Exxrf29rsG/8aMuM+UquX+lQGGAqASR1Tz8EGEDYIGyg + Cqcq01WGkeTcJoQwqlCiCbdrT+z06cnG5vL8/Jxp2CCYkZOOBZxx4IbuRR4vA8Q5d2xbcAcQJa4J + BGPudm1UrOmK1+XXcwCgCvJG/YGT8pi6vTi1ubQ/MjMZqqhqj6oeAkjmwDid6Ot7fP9Z94vRfR4P + WlICkfIfXGl/d6Lx1dUUY0VRAoHAHufHR8e2DbYAx7EpcUNXCEHS/XDRQhyrOQ42A+Q26yQHYSpI + uIRkqvsV3e82yXy6VltRWhD2ZaY2Hz1+WtT2Rn11SUlUzx+mKM8yMXIAAhQABX91IQXVFw7rrc01 + cysHsyMLXS9U62gpY+LyqtryijKcjyF04TXyNa35H65/VFVVtdvYZNsD8wvzdGTk5sb7Ud3j8wFl + VIDIGcbaxvra2m4641w618YoVRQVABwuLIcj4r6BHQ0BpNNr8/Obm+uHB8cQPJGcu/mmtgO27VBF + cYS0HNtdGeKVGAwQgBSqqvh8XkIwd2zTMH4nLv0fPl79DhLcSB9J4zigQu/zF2lUWpko7Wwo9QUV + J32sM+HsH08MjM6v7xx7Ak2dV/Rw0jjhwaAMR0LVVYUlJdHu2c3e589ufe39krA3EPMLl1xBvlK2 + cw4YAcF5qTLBbhq0ZArLnx0SKGVMUTEhlmUbhoWAOQJhDgwDOHB4cNLbM7C2tolRBEn0GsTEwbEd + kynENDPri/s/+9nfvMmsf/5HP9AZILC4ZSLDWF1ev//w2ca+r64+XtHQ5AkiABBOhiHQiKYIWBkf + NlfTwCFm70ap+eblax6VgAAPhtWtneHR6eXVbVUvraivKy8rDgUxgATTcbhEhGJGASHHsTmRAjgg + 5CZBAHf9RFICCARU01KFRcXFZerLg5eDL1vGJ9rOVZVW+Gi+tyrzrVsCJgIpgWAAIYXDfYFAMBHz + h2F1Z3thZnZx7mqwVAt7ABAGx1lbXLn7xf3BkZHVgx0TUUkwJVTBABYgYRNVAYylBIvbQAl2OXQ2 + p7bwMQ0jZNi2IRyLMyYFAQFGbm93d3JiYnk5bUYTmqZ51LzKACGE3Q9MKGWvdfHYHa8KCarq0TwM + M4YVhoBKwBwEsjChEgXDCeWd65f3F7eePR17/vDJ9tbG4alx+Y3Gotpq5svXvgx9RYFVFRAOmKZD + FIExBkIBFFA9Xh9njNq5o621FSObwYAEcCklcqMLBRIIU0WRkHZsU2OUYpACCFF1rxYIqpjaCAvG + KCGvwdMKAAKwKXMI4SAsRVVU1fuVQh0hQA4CG0ubYcBcZLMZQMA0AIPFSqobm9Pez18sjvc//kK5 + 2FRVENQRAYwQAukIsBzBpZDcxkApzqM7EfrHa/H/x49/WtXtf/zHLtzoFXucMuajzOtFCBGECACS + UghkSWRLzjVNc7gjJWiqGotFkQ+rijvhQIaRO9jcfHD//uPeQal5fNFESarYp+kqcQWY8E8muH31 + wK81++5wHJBEmIMggEHRgvHkG2+9Ydrko8/uz+/un54c9vV2Z/a3Bp8/8esKIYILK320tzY7Pj8+ + eZqGWCKZrL/45jd//8b1a37mOkWFouoCYW8w2tLe0XOUkyt7yDZ6Hn55sr2SiEeLUomOqvg3LzUT + ShEmNucCAWVEVchXSVPClZwgRBilDDgAt2wjJ7iNpARMvKFIU+vZ3/+9N+70Ts1u5dIHzqeffjo9 + PZbwe33Zra6HAAAgAElEQVRIaI6Vc/j8zv7s9u7yxqFhZBnN98ElYISpRI4rk3EcDnmg7T+mJbhr + klCF5M0JkMvl9g/25mdXB6Z7Xow81cOqqmApbIT4/s5mf/eLzY0sY/54QfLy5fM3b1zxewkGqeve + mobm8dIqbXDq1BKbawuPH2SP9ucDAeJk1kb6eo9PIBiGowPGgUvpEIIoRXksmpC2bTsSC5BA0P7e + bn/Xiz+zM4lIkAhnb2N1cmQibQIC2lDfeu3q9fLCYECTNP+2xA4wB5gACdwwjrZ6uh+j05Wkn/Hj + 052l5ZnlzawDXKWdV6/fuH414gMVAHPTMTK2ZXIhgDGkaFhRARPkJpkxRgjBrkTFNhzLVFVGCGaM + 1dTWXrp8eXz9oHt6ees0PTU19ZOf/M3TF49LQgGWzbBM+tgU40ubuzku9aDDfLn0CZJAibCt9Ora + 8vzWetfo+BcPnzOCJLfNnN3XPXx6tA8CVVeVtXeeC4Q1TF8hyQFAcoqQQIi7K4kgpuGyomg0ZCys + Z49OT06yYEZBCNeNhSG/7WOXow+q3lJXd3b+4Pnw8uLMxJ4aEESLlzVUVNfpFAiAStyBBgZBgGg4 + lCqvpB0tDUMjS0NdD/9SEedu3rjS0Rlgqswa24dbo3NjyzvL/GT7++9ea64qID7vpYsd2we5O/cf + 3rn9q72D9ZuXr9UUlcX0QDZnbeztzywv9w8Nn+/s7Lx4ORLyCEkFsJzJORCmeYAIpmvRguQbb7+Z + 5j09Lxd//fOfH20tXLl81udTBbUMjtfWVyf77jz5/KebJ9myptqbb95IxDGXAJTVNLc1bpJHk08H + XzytCaNLrRW6aqcPNyf7H48MTWQzVmlZZTQRBAAHQOQzQARgB7AExEMBT2lJodc/tba59vndO4a0 + qutrCn04uzK58vLp/ccvpyaWQGqqwihGyB0jSwlcGKblcAcBRlK8noG6i4lLbtuOZTtCAkOYEULc + Ca6gVPFWVpS/caPzcXdPf3/Xv/0///Sdt79RV17iwWhuuG9+4N5Mz+fZ05NgOL6ToZghjGReiYoJ + wUi4nGG3qYVoXgsJVLjYAozAq1SUJduaGxaej6wtrmcOFKIGCitrK2uq/D5QAIR0EHJntyoIG0JF + ZzuD5/oX9nqnHt/5lXOys9zeWV1TGY35EHUOtjdnh8d2ltfifvXiuarqusKi0vKOcy3jCwcLE72/ + +nBva2Omo725vCAWVPHx4fHC2vHU4u7m9s6ZxvgH375MKSgqpZQhlL+9mKaFCa6oKL146fy+YT57 + +gK0YPr4sPVMrcdDuMRZ05qanll8/mToeU/AG73a3llRWeGeIAoSxwvzXX39d774cu8k03b+QixZ + mDGsmdn5kA1ZUxqEiFAAIuGQD78qMgiYPH1qHOydqCwajUZ1r8pUAPaVOxsDYCmAc5AOYAmM6eFw + TV1N5/nWp90jQ4MDf/WjH1+70llT7PPTzPz4wFDfy4HufglqLBZKpzmWIn/EEOoPBiqqKxvPNPVt + wczcwu2PPpXbVZ21obKQg4mzvbs2PNzf82RiY/2wpqL9ypvfTCWTap4exhHYCFNX7IiJm+Nog50D + LFxdnRRc2Fw67l3ZdTW6kQ9SYimwG3gpBQcEGDD1BIPt7WfPTB0MrQ0NDfX5IJfd2mxvqg14iWMc + 724ujPY/639+b3cvW9l4+frb75QUBTUVkNvP9uqRgoJYooDMGMtLK91PuwJ2R2kwAOmTYEBpakw6 + AqTNEXMAuCuow4AAYVBoQVFBRXXV0lRueGjst78NtTfHE0GTmodLY32jXQ+e9Wwc7DuRmGPmDO5Y + EnQACQhLYBIIkgDcto2M4NZrUYwAsBzOJZKIAAYppORO3mAlJQBEU4VtbedaW9u6lk57urqIJ7zc + Vt9WUxLxYJVwYWfWN7cn145ntzLtl26crS2pKfSDhHA4eL6z7bNPE4Nzq5MTM59+8sX+3lFdbXUw + 4LWy6dmJsemJcVPgm299raCoDBwMQng9/kQi6fMH1tbWHj54UBINlMXCQKxASI0XBBPxJMZYCnC4 + gzDLBzEJQAgDwy2tzSsz8/dfDg8M9u5p/vTxbmtJPIbMveXJudHupcn+WKQgYtA01kxT2JaUgAgQ + JRisrCi7cOH8bv/y5NTYX/34R4tTZzqbq2IhL2G6xeX23vHy2t7KxkFDQ1NjTVFtWULBFgAC5AGi + JJPJq5fPTE72To+u3rtv7+/mQuUNHZ0XUoWe3+klSODI5sixBQhOgVMsJXB3z4FwrLb+zMUL2w8X + zP7u539mnN4633yuLFUQ9WxvLCzOjb6cmBdavLbt2mlWRhVIlaTe++bXTn/TN7+5/eFPfjw/Vtba + WlRfW4ukh9vMQerc6vr8+lYmm7l04eylzrMaBuFY0jYJIQQJAYJgN6NHgMOlKU0TcaFwDnb25N69 + T9Ob+0vtNxsKy2IszXdnB5/cnpqbz1j2xNh8NFL0re98q6GhijLgAiSo8Wj4vTcv2SsTa12Tjx/f + W+bK0nJdfXk0EUCalVsZG57oev7ly7nDk0z92YZr77wTL0xgLG1hUcCAdCCysbq8uSzxDPjUzJzP + S6k/HkumkhFdBSDghHx625nGl9NbE8srgwP9x9IXr24oqmkMRL3uIAMEAFaKy6oaGpsrK5ZWV5Zu + 3759vL/eef5ccWGFVw85ln2S3tjbXZydm/B4U+c73i4tqYz7BXDbNC3LyNlmjoCjUMwoQf+g/4NA + EBeoJF9H7rzSfTsOR4AwoYqmpIpTLW3Nuy8XR4f7//pHf36xpbYs5leFNdrfMz7Qu7K1KwlTFY9h + GIaRdRwg1DXJcHBMxE0sbSqdrqePDw/2zp5raTlTH48FJl/2Dz56NNzVbwjSVF13obMzGobX08v8 + F/cV4CJ/HRQSHOFw7nrppZS2ZcNX0WQACIGiVFRUNzVtHswc93Y9/wlOf/1Cc6HOj9Ob3b0PRsd6 + dnaPHZszDVRGEAgQXAJCmCCmUVVjjEkkHdsUrxszUrrTHcvhBgcOmGCkEEEkEEpB8/uY3tjQ0Na8 + Ojg88/jRAyttXL7YWVmRCPrJ6sb60OTi+Nyqpmiea+cxbQJuAyaqPxhNJMnyxuLC3GBfV1TUlPkl + Tu+EQsH6unpAgAhlmgcRBRAmGLvuc8BKIF5UVZ0529I0v3bc8/Thn/OTqx2tVc0NWFePcpn99bn+ + p/f6nvanTd5x7eyl1rpkCPkwIggBRzmb52zEEWBMCfqqv/Ta249d7ikIApJbliUFIAiGw/FkCsjI + +Ni4uH3bQVptKhJAxv7a1NDgvZ7nn25sbREcVhkVNlgm2BgIdodkmkRUCCm4jeUrLT+mmBJEkaQS + kBSCSymkG1GJkF9XygtjmzN7uxsrv/31rxs22iOJ5OrmdmllTWtDgkqCEHUPiIqiREdb0/yDibmN + tVzGBjUQqWgurGlQfQACBDeIyjMHu8N93dNjG1F/WdDHzrSUpFIeIbnACCOEgWKXP+yKrykLBEKB + gB+BmJoYe/a0MBgNlpQXA5g72ysvHj0ZfNq/sLCYzticS+G4700MIAAJhAQAxwgIJvkdG4BzB2Mb + gwRJhGSCg22Y0rbya1r1R6KRG5das7vL3TNrdx/cWc45i83FjQkmdmfmx0bGB/q3lpZLS0s3NzAI + 9B+/0+Lf7d1quicYioQj0eX9zNjY6OefPzyqjpeoxt76UnFlbVV9MyCJ0Cv4O8LgauHyyw2BlMA5 + wYgSAghZlm2Zpiu9o7onUlTY1to6uGn3rK7/5re/PdlZutbeWBz16Z4QF+zgILO5ubu4uFKYKj5T + W11fXqZqbjQdBax7vaL9TO34xMxUX3psYpYIUwukauoayst8eYeAzO88r+wA//gRiMYrq2oamqL9 + K2jo5eBf/+gvL7ZUnqlMxMPa7sHGwuL08OiwxxOur2uRggeDwWRBiqnK/PzCp598bGDdLA4FnCNr + d3FhpLv3/qeLS2uUhahCFQquyosSYIrCFA3lM16I4ODw3wnH5o5pOrbtSCEoQSqjGP1/qm7R688Y + gU/XdIVyA5BmL03P/sW//7/HOxpbG0prCqOHS/MLQwN3ng3Nr54ES5re/Pa365rqvAoBywSC48nY + 1WuX1rMvh1eXfvHTv12bbm1rqi5OJZmi2LZzcnK6ubW9sbml63pdff2Fzk6Mf4caBQghELYjuYOl + IJQGAoFUqnBvf3dsbPL27bu1Z1oKQoX2qZgenh7r+2Kw/+X2rsGD4DiOzAsWOAWiKorjWJa0LDDn + F2ezt3+7s7ty+eK5ZDQgs4eDT7/sefj52tZBVUPH+UsXiiuoxwsANhIG4g7iiHAgWIJjgSOHB/pV + 82htcaGyvCESTDnp/ZEXn/bev7e5I0s6yi9d6oyENOK+zkQBTG0JtpRcCiREvkXFhSQEYwxCIO6A + cBCAwwUBiXRfc2t7ywqauT/58P4Xhr19pr0qVRIs8oVCQK39o5W9zOzqnq0EigtCNy63R0IKoQy8 + vpLq6rPnOzYHj172dv3Fv8++f7W5tcTvVfDw4MDEyPDE9GzGzGl+79HeiURACMF5KDl+VfJIgRF6 + hRfw6p6Qz08Emp2eJffveULRhvKSkEaNo425kaf9T2/PzC1YZpBglDk9zhnRsAa2Y5uW5ZamAABS + CCePAsaAwQ19s7llObblABcYeF48K20JxAYPU1FTTUVN0tdl2+OjU8cZW3rjdc3NJZXFCPIYESB5 + qJW7ZyGc7zZLEJK7wEFF9XhDAT8RO1OjQz1dSQ8+YBgT7An4k02VBZRQiZlhc8dxgHJuW5IrrnDc + dhzTsTgIhIRLFnm1ImjeKEAQYAncFtwWDuevlwdCILkQAgEgAQhAYZRQ176lKQVltfV2a2P90NLW + YPezv/wL5UJHR2NDpdfLGJOmI2YXltY2t9LH9vnWS9Xljcmkrir/eUET/pOwbgFcD9Kr/p7EEmFE + kBvnLl1LkOt7l0JwLjgXQr7axjF5rakF0zB2dnaGh4efPXuCdP/1t29l0mmdqgJhggG/2kr/aZvv + 5LUU272pI9chggAz8CmdFy8GAom906w+M7+4f7i9v/t0ZfHZFxaWNiaCUIGl46cQ1klZRayw8UrT + 5ffeeu/9uho/BXAEYCQ04gVAwVjBhRs3N1Y3x45NNe0sDvQuDvaA5LWXL2hfv/7uhSZCVCBUYiIx + JgR5NOpVQCG/Q0nHFDGVKQqyEUgbC5u6NkzHQZqntrH1n/0L/xH5rTE4e5RODw0ODHY9BdukgnsB + sEqRL6jHC6orqgviUY26kn0ArCCqA8lJDJggjCWSr0IVAF7R2N2bM5ICKEYEvFJK5Ajd46moLO7b + G5yemHk6eJS2LWkDIPDooDGMhAj4PBVVzVX1569cO9PSoruOWaJ6qmqb61sv1M6YYtXeyx5OjKyP + DTxUdRQP42SMlFYmGU6MDe0cHTkONwgSKkGu6UZKgRAGRISkgFA8FmLYeXT/bub0lBsGAeklOBTx + R2Pl169de/vm1WTkFREcmCOQJQQHiQCDygqKC43M8We3PzYP09IABUBXcSRZWJgs/cZ737hx9bLu + yjW4aRlGPiyRUMJUyhSMMUWACZaISMIwIeBwkBbDQlcVgglgqRcUXrh0ZceiubuPYHn74OTg6b17 + Tx9xDSOaznk5IE0lgVhBVUN9TbVUvKWpOMNACNeDSnFZqm9ksn90yr77xDItwYWqaJQqmtcXLYxe + unL2xvW2WBQoAeGGPwFgYEAoBiJfj6ZUWllWnEqYMJ8+2Ns/PLbtEiYAqASCCAZVgiPcxYkZMF91 + WWNj9WHA83BlbzVNggXJqmRpZVFRSiOv4KfucBMpgAh44gUp7erF804m93Bw9JPf/Gp2Z9fOWTGf + zzo5WVxbfDk+uJ8+iHrJG51npVCQ4mlvb98/NkbGRuamxnb3tzJ7e221TUXhZM6w51bXx2YXXo6O + hcLx1rMdnAMXWEhiCyQxxUQB4JjIYCR6/ebNw1O8tnY8+OJZ5mQ7a6bjySgjOHN6Mj87OTrWMzix + 2NDcdP7q9fOt1QIACw6qt6T5Quuhr+jRxNJY35d8VzevBVVxvLXcdf/B5lY2VVhdV1NVEM8/TQoG + diPfiArIC2D6I6U1tbisdHprevfJo08dcby0XF8WCezNTqyMDPQOTGcM3eeLaAphGBEXxikQl9gS + IKRA4CDpYDcFBZD7DxJCmg7P2sIGTAFhIah7gRUMEb2yqvJrX7+5cbD2cmjxw5/+LHNkN9dW+lXS + /+z+0fKIXxhFBcWlsmB2+kQHh7lMNOSayl0vWL6BD8CIBBXARnkvuwCJvWpBecmZtrb7L5eXlo62 + jjYam0pLq4pTJaBqgEAiyRFCCDCAAtILHjUawFcvnd873X/WPfzoy/3lhcWLly8lUxFMnI2VlYnB + 4ePtg/YzdbU1JYB0HAl1dLRsH5386pMvBnqfLizOb2/vNVUWxv1kf3d3fGZ7aHIdURoJtgjBMcWu + 714Cdm2djsMJxp5o6MrVi3s5+2e/ufvi2YvTox0ze9WjY8eR2ZzZ1dU909OjZIymc51nv/nt4mSB + A6ACYCQWF+c/++JO1+DLcFFxQ6pofXl9c+cA5Yy4pmmWc2I7BTX1RfUNUV8q7/sTUmTtg1PrKA3e + 0lBBIuHVQVFewdzyZRaAcIDbKA/VU0BXa+tr37x+fLB/MrO48otf/nx3Z7WhLBpSzJddj7Y2tpCQ + ZVXN4pgtDS5TJBh2Q48IeAMqY2c7rowfapvds4NdXxzPK5vtVVUFOlPE2vpCb9/zxam9RKrqxhu3 + 3rp1K+TzOACYc0Q4Boww5RwQBkwBMHDuEOEAwkA0QhWQHHHD9XFKCYJzJBAGBpJaQpjgCCQF5q9u + 9RQ8kfLqQGf7ydia0T803f386d7i3ObljmhQNXMHc5NDc+PDWyurxeUVnRev3rx+LZUA+sqDBboO + 0URFdV1i2pge3X3x6KGxvlIZj3kRr64pq6tPCgRSSoo4pRzlYT95kU5pdVPrOXtoe2huZvTXv1zb + XakuK2DMPu17fGd/ZeY07RMcCDIwWFI4+fa6QFwSjAmWHIRJhIWFg6TACDi4ozNkC2RLIhBQKYgw + iXhVLAgEkWh1U/PNN97cfjj0cHzzs48/2pyp2GhrKAh7VMJt43hmbmFk8WBhx9T8icqkHxX5AWzs + 80Sqqlpb2yZW06OL+13Pe1eWF5rP1MRjQSOd6e/q3d/eS5VUvPXu+5gQ7ggiUSAQLS62S4pL1mYO + u7tfxL20IOBhCjS21Laghng0STARCGybU8Ze5athAAoE1TY2tnVuVHb3T22vrD749HR7caupLs7Q + 3Gj/2vyYCsb5K18/eLk9c4ClkZOWiUAgIODxB4rLr719a9npXXg28eXdjzeXRlcWz5amEh7GhGmu + rm6ML27Nru1/64Pfj0bUitIYkS49nwBmsUj4/Nm6O4WekQH75cSq3xsuS5a1nmlOxV6V6a48CajD + FeFILBxFGhQ5gEQ+HkoP1jae+/rX9Y07Q33za/c+HD2ca1qoLasqSS7Oji1Mj47PrV1659tMoZbt + CMoi8cpbt/TVbXF8v7/r6Z2VpcTUVNWFzh2FEGHbJqf9kysTS7uKpsVjkQvnmoFJwS1pW4TpWEqQ + NsUKxgAOBylNR2QNIQTz+bCvqHBvf+fFs8erY/PX2y4kdXNvbmBq4PHC8lbGhGAs2tZ69t13305W + RBUM2JEIpBLwn710YX1mvG87PbS5vHj/s7Xp7vbG8tK4V+X2yydP1qenltOisLz5fMfZ8+dbowHA + 4DiCc0SIpCDssqJUbUnCQ2BjN6PZ3sK6ilSyoDAAXgAQNtJ9dY3N4ehILje1vTgXqzpbVVVWWBjw + +IEDUMRAMiC6Hve3tba8eW3v8YuXY6Oj8wszy2tbjbX1BbECx8xub80vzA5NTI6WVrcXV54LJcsC + gBlHps1tM8dzx4ynVeIwBoDz8ravQAQyL8/iecI7uMAE7nCCKVCKGCspLbl8+eLsrjG+sfLzv/vJ + 1lxbVSqigBju782dHBdX1mTWTkWGmkbWMbPSBiAuNxMkdyTnuqpWV1Yenxw/ffRweWluc729sDDx + 4M5nyxOT5v5Bqqy27ez5ttYzYT8QyJ9/rt8YuW5vLrDrpZbwqiGfpzkAyPwXF4kACKhS09B0+bJY + zgzNzYx/uDpubXcWefHx6dbz7vuKLgqLq7cPdxBFDAmKJMqb+YmkCmEKJlhKRziWFHkU4St5mzQs + kbOlQBhAEGkSt0HCdNBwc3PLG1uZo8PM4sLcyuLq2tpSS3NlMuYdGRsZGp9f2zm+eu06xphQBhwD + YqAHKmoaYkv24uRq75MHfGO8NIA8ItPY2NTU1CSl4ICB6oJqiDBGCXWfIKJqMFZexd964xp+3Ds1 + NvTLv59em5tov3oplIyv7+/OTY687HthZ4/LaxrfeuNm55n6oPoqr0+CYYscR+6likjn1eJ1hbcU + iIKQSQCotLFjSscUjgMUovFUeXVDYUnP+PbO+hd3JFZWSwuCjC9NDU5NPF+YHecCe30eXUGIA7eB + MwCCgDBENSAqwgSDBM4lEIoBMEIujopygbkUHHEpXdSDID6v3tZcszW72Ts395uPPhpbXyuqKF/c + WLvxzlsNtW8pCBQXroBoorjk/PmOR0MbC1u7p2mn7NzlZHWjLw5YAtiAuMOEY58cLM9MDPVPh0O7 + Fy6eK6tKRGVICkGkxNjEQoD0AsbErYixpocSpeWVFWUlC8urz54+ssA609YM3FpZnn1y/+Hh+gFj + qpA2gGBE0rzSm9oO5o4EhBn6il8AEqTbo5AMkGZiD5cY2YbqpBlEEQAgFgiGrly5sr+1vnBwOjTQ + Nbu9szFW0FmVzKxNjg8MiJxZnEheuNg5+2CcAieSvw6Kh6+utxgQ+Z3vSNC0aLygvqF5vGdxZXrq + 448+2q9P1UTI1tLszXdwdX0TSOlIsCWRGCMAIixFApEgEIB07XmKg1SECCABxik30hgASQmKBpGC + sxdvXDz1zt7tGRno212c3F1qry4pCIdC3BHbW9vzS1vjU0udF26E/L7ioqSq6YDA5S9R5pypK22u + DN330NWtI48/XlHXWFldXVTwmgSd18zlY+/la+3qq596/UXl1TffunX0ZLVr5vCTj365Ol40V19S + nApvbC1Pzo7Nzs11dlxuP3tBYVQLR8uraorLKpYXjr+487lU/DsV8QjJ7S2ML433zw69tDn4/EFO + kIIFhTxngFAqCeVYAYQxCCxA8ldIdESAE8sitikQSIalQl0vo8gLlvHrvTQvIsIACLCueZnicSyo + KizkOhvuebG/PjUzWXalvXWqv3dmoH9kdl0pbGo713L92rnqAvBgAFMCED2SuPLGO0tHytbJQNeD + u9sL44stTXU1VaqmG6a5u7e3tLi8srpaXl7BKO04f56SPEIhT50HxAUXjgOCM8YSyeTZ9nNdXV2L + Syu//tVvz23sVaQqTnZOXvYMLk31asBdjgpIiaWDgQJwBkRlis0diZFX8frDwZWVhYmJwd3t5dKi + JM+e3vnoo82lRX+48Pr1K9eut6ZCoABgyFBkA+fSRlJCMFKkFjbZjmVb+1PDXftL41U1LfGC8uO9 + 3fG+J8uTc/5o8uy5S5cvnA+7ElGJgWiSMAshGyMhpXBsBZhbZnDsEuQQsW3kWARAgi0BkOJpart4 + 7SQ0smIvzs9sbE+Nz5RX1RXWxFMRoma3didWd3vG5wOFldc7W8+31MaDUWAqIG9ZbdPNt/Tpw77R + mcWPfzYstjtXq+N+XXn88P7e7rbfHy4uKmbMt7Y1JEV+toCoq3pwbc2IIuR2qiTIYDBYWFJcUFw0 + trj3/Olzrze8UV0W8+uHW4szI8+mh3skxoGAP4cFz504ZhRpLnJdcomFu5C5gxzuStjzwzWJwUGW + wwV3QDiALI4MjoUAIUAVQFQCpalYZbEvHFRWNvdNFEkUp2pra4oLkTslci0SIAUW+as/BmAMSyRs + QJaQqsRAPbpfLykqjPvXV+cnnzwkRztTmqJEw6na6jO1JVFKqYOY4YAQkiCOuImkggGkkJYlDROk + ZEhixC33looAXP0IgGIJxt39xTERN5AM5GcMEoGkUjIkNckBMGYaBQo2gMQEhRJVVejWjWsIdQ9P + Tv76Vx/OLc1fuXQ+4FN1BduOHBqZWFxaM7K2XwtFI/ForJTJ/6w6t/8JWrdus5+QV0Wha8YDt0p0 + yf+vlOMEAeacI4y9Xq+NBCAwjJzu8wgCjm0xzDHBgYBf1zRKCAcJEgjGqooZ/p1p1z9x5xYhQvKy + NbfqBQrApbARl4AweD31TfX/6//2b0YWll+MTd6+88XG4oJxdAyOaTkZx7aCAa2sqKCtoeadd75R + 1nzNW9jojeoKAfKaWAQAoMdSpVffDZwenRyrfqN/fP3EsGwLGI0lE6mSYkxVsJBAxBcMEfWAS0dX + sEoc4GA5oGBOGKOqSlRdURTVEopGw36P36tjkMfHJyEvYYUlFyPFNFZ+pm/87r37k5MTm5sb0rYx + ErpHLSguqTzT1tRx8er1d2qqmygBLAAhjKmOFQ+iHFMajUdCIb9KgAAIDo5jUvoaR4qlVFw+mJRI + ChWkqKys+ld//IcFqXCgVx+dm1zd3sw6nFFiGdKr+Jqaam9ev3b2wq3K+k5vKGKa4PMAEgIjGiss + v/X+9/WCc7/4+FnP8Or66j4IOxaKt7VWf+fbb6RSvsMd+z+c/nJ0cNW0MiAst+0FhiGFCIbDzLAg + R4CLD777naamku6e5709A3NzCzxnxItLL7S2vf3Ot9rO3yqtDisUMAdCKHBi29LgnAOilCUTsX/2 + w9+X6e2+R7fXZidPjywscTBZcu7621//wT+vaz1bVBDCAERyIh1Kic8f8PgCYICL93KrLM6FaRgm + lwhhQDIS8hYlY8zNXAeEOI/V1H2/oCJR23Kvb+RF34vJ2fHT410jawQIjoQDiZLK5gtXz1652XH1 + phoyxBAAACAASURBVA2YeYOMAEizorb4f/6T/+kXv75770Hf1MySY+a4EDkDamsrz7W3Xrlxre18 + R2V9vdcDUoBwpIMRxiCxgvIMaHfGzYGiuqqKsuIcOBMba6ub2xtOc5nEeWQMAZUDcsCgIAFTkABa + JBaKJSPBPWsPUaWkoiJcUKx7QUGgQhYkBY4AU8AeQBxAUULs1lvXS8O+WEnhR88Gurv7up93a0gS + x+Lg1DbVXbx26Vtfu9lQmkReFQAXFRe9+/bNeCL6yZ3P+4aGPvvkoy+s31ALc4l90URpbcO/+pf/ + 5aWr11OpIEEAiAJmHm8AMMtatqYgBEApLS0v/+Y3PKlY2Se37w/NTj3r79N8qspzkD2UTiZVV/39 + P/4fLt680Vx9hgjTi1XKkACvnqpq6wz8d/+1/PTT+/dvf/Tii18TYTJuK8L5xttvXfruH1VduQJx + YAAagAImgLQdgqVOsAbghWjZmdbkrVtHOdr3on/o/he/vXf/M9XmPiHba2r/lz/537tezkwt7x1n + 9oRjS8dBFABTzDTV66OMYsQp4gRJDABSYgQICCEMELUkFpjatmNmMsgRBDAiGKSnuKT4zdCVE+vA + 7x94/Gjs8YMn3Y8fakSEPfjGubb/9g/f39ta/Whw7f7YQ2RlkLAI0cHhwrItLoiqEkpA2m6IEHBQ + BEgKHCMOtgW2RiFYmGxobIoGn/mQJahaWlKcLIoRFSQAko7yCrQlBUFYAyR57vj61QuJAlpaFHr8 + YvbF0ydPnjwllBMmFEIaqxsudVx57903aisToBPguYaGikjMm0j4Pnsw8Kxv9q9//EsvTusoLWzT + F6ksqzn/re9+72JHsZAChG1aZjab5UJIiRwOmqZjx+RGtqW12RMtjBRW/eLjux//5jcPvvytSjkC + YluOQpWKwuLvfe/71y9cKG5qNAnlEnxIAnf29nam5uaOTXNlfnFh5+eMMgyYSEdFggiLE/XbP/yj + d4LhxqqUS6AFCYdH6d2DrM2hKFFYWlKqqYAxcAApBc0LgQSSAoGLWnVn86ikvOzdtz2qov/msy/v + P3728NHDfpzTeToR1K5evfbd73xnfefok8djj3tmFAwMIyE4IASYAYaLV98iBU3xmpFf3n0yOTZw + uDziwYaQWcM69XjxmdbOP/rB/9jZ+la8qiCHJALkJQSEKUBghBDOxy0j19mqq5DLcaCEKgwjFXOV + gkIBS3AsW6UMMAEgWdM6dXLUy7CCEJJCgnQkcggQ5frVq1qy+vbdxz2Pn/X3D8xPjeuKjSFrZY/i + gWDHxavvf+cHNZfejZYHsfZKAiRscGyQ6PL1NyZPQk/HP14ZHtkcHw1S1FpTpSjo+BSCEVAoE1xg + wgGJPLRKAlCtrL71TTs5faTeH9548ezJzPBDD854sVUS918+d+EbzTf+7V9/ToNqIhZUGJESJMLS + 5IYtCGFYCoZFLOj1Ki6Ty/UCAFN1DiRrS0cgFSMNC9eAAIhAxgAFQTj63Q8+IIWN+Mu+e097nj99 + PN77OKQTLAxupRFhaqymurq9proumUwCyDyV2pF/8Ad/WNJw9cPPXtzrGh4YHJyc7ifUYlIhnF3u + uHrz7VutLW3BaARQGqgGsVR9feTq1Wub1vDscP/nn9/WhBkIeUPRP2xorJECQZ7D8epPB0CYunGR + 3mTy0vWrf6Lpf/7hR0/GJgd7Hi8MPPcDoty8dfPKzSsd5XXtiyefrxyteTHWCaGAOQhsC/AErt36 + BkrWB0oHfv3Z/cnpyanpCY9CPNzSHNOj6pY3roaL4oUFsWSCaZRIBJbkhk0USjSlpDBUWxUbKo5N + TB3p4cpEqjwRCwe0fDQEFxZxHKAaZX5V0TSKmcgJJ0soAoUB52AYkbKGDz5ohYJWz4Php/fuDA/1 + z7585mPAwEmEfGfPnXvvvW+cvXrN72dYINDjJVWhD74jwtHIrz+5s7F98OBh/5PHvSoxGMpmHco9 + JbGKjnff+/aZtlbGsBQ5ggRRKCDEHcfmXNUwQhgIAqwQpkpQMNWrqmraP/hAhBMr4yt3fnFnZXTS + L489/FCcHgqE48nE9//gv7j6/rcLU0lVAwVApQhsBAiB3/fO12/hktqf3ut+NLn6sr979uWzkAp+ + QnL7h5Wp1Ndv3Oh874PKC9dDAbcTIRBBAIq0EbIMv0crjHiSMf/SkUGCRZWN7YXJVISADgBGDoAU + lZSHwhFMsHScglS8prZS1fJJiRwoIRpgLyDoONcejleVVzy68+DB896+X378uU7uahgRblLIqtQs + Kyupr68vqqhUgxQpgIlPzXkYQdjJEOsY7ByS4LgOSjc9+qtIR5BfDaLc+hwxQhGiLiqkuKT4zTc9 + u5biPHo51v3swcl2D+HIsVvPnLn1/rdvffMH/8ef/tVu7yRGUlOoxoBbWYYsQAIjiZGMRSNfe/eW + omnLqyt37n4+MT4skcieHKeC0dazF9775vdarr5dUqQi6iKwAbkpX3ltE3AJGCHAGBDDWGeKKgFh + TBRF8Xq8KqMSwMjkNIJAwSBZfVPrm5Cc3YfTl0u7i5Mf/vznXpHxBtnZjqbL18+nilr/3Z99uLJq + mNlTiiUjWIIDNs9a3LAcyzSYYysUY4yEK0rECBAFjCQiNhCJiWXl7NwxEmCaJnUcxeNpamxWfXG/ + L3z3y8d9/aOPnjzq63mgMyedOU0UVd64duX3fu977S0NQgBmKiAHNM/1t25NZnx9M5/Mdj9Z6M2F + cK6potDj0QGQadvprHmSNW3EMGUg3RYzsgVmmr+kXP/h979XXlz6+EHo7ue3X3Q97x15SbxamtuO + ZUbCwSu3br5z6/0LF67FYkkwQYIFmAMmmKpI8WKGHMeWtqG4mBNuE8cBQgArts2xFJTbduaEgdSZ + IoUZTxa2X7z2wyz8/f3h+yPrP/vp3wSoCFCuQvbK5eb33vuTZ12DMxu6dEyFgKaAqgAAsrnMWQJc + TIOu2rmMkQ2ABuBI27FMYQJiEguCsZuSBUgBGwI+7/fev5VZ353eXF6Y/3/Je9Ngy7KrPPBba+29 + zzl3fPOc48uXL/NlZmVWDjWrShMloQkJg0AIIYEwzWRmbON2YBzuiA7+uN2mf7gjHN3RhqYhZKBl + I2OjVtMgJAaBLDGFhpJQSVWqKlVlZb7hDuecvdfqH/u+lILuf1Z3dIdvvMg/+TLy3nP3sNa3vuGp + v3z+drXQn99Y2r11ZdI0c4WjlpAUjnl949r94czmxz73hYPnW3fh8tXN3fumQIcAAbODTV09ssmh + NtOqKk5vn+vNL5lUIuLQoB3VhwdFoQhDFKKt1S2q7vyrXvsNhR/+4i/9yme/9MX3ve9Xf/39v0ba + ll4efeChJx99fYc7v/q+9wfv5wYdxwAUUkymqWkSipIsxnbaRiSBJ4j3DAL5yKWFuaLqFYG7VHuA + gbpVEO9d2qP2TRgu/Itf+fUXnvqz3/3iJ//s/6iXpFmZW3jrN7/j8s7Fo2L1Q391J5GStrMMua/5 + OQ5oBPJYwwzE65snvuM7v+sL8Te/+Nsf/8uP/v5TfzQ6O+QbVy4AECEkq9s0apKSN1OtxyXBAbFt + WdWRg+/WKjElkAarPaIHNEZOCva7D7/yO9YuVyfv+/UPfvRTf/4ff+3X3t9xFESR6iowl8sUFueW + 1lZPnCj7XZQAOZhHMtBka2V4+cz8hXMnP/GZu73VK1duvWptfX1Q3HMizIinyTEK+jcMEwCpNk99 + 13u+d3776cH/9pn/8MHf++TH//SpT3yk8Im89YbVrVu33vTGN77m1a+cG/S50Pvuv/G33v6O5kMf + /43f/8v3/covf8i3C74N7f6Ni2d/7Kd+5o/++I/++Omjzx3uUztxBiZoQt00o0lTJyS1tq4DoxOy + ETyDPMpB8H3h4FlIm9RMSGO2mZ2xC+34qwCQHZyVJpOmbrQKeNsbXn9y9/FPff6vP/DB3/zAb3/w + I7//4eblO75p+ssbb3j7t77izd8xNwTnc88HmKAa3v/AI35w+tTZa//qf37fM3/9uS9+/jO/5Rw7 + x8RNbKuqu76+fv/91/b2Lgb/VevbtmkYECm890Zoa3XBnd3e/q7vendRVr/9ex/56Ef/+GOf/HTX + lWnU7JzevvXggzevnP3gB9730ade6HTK0pMADCWYqrGT7vxg+eTaN//A9/zFi1/65V/+xfe//zc0 + TiWRMzl34aEHH3/ta7/prdv3nRRAMPWYcIAjUGIond+7Of/Am9rm8EzvqNz/9O9+8N/99lOfGrUu + RRSGM6evfue7v+fGq77h5KWTwSMAQgyVBEnOu27XmEYHB6Hvc3xZq8bE3O26lLSeZjoplQXSYPXC + 1TfO7Q1P3Pif3vcffvcPP/j7v/d7f/jHaUH8PFzZ6gFVB1ztPvLKhx97pNupEBMCwxUndi+9efHq + UTjzy//243/22x/43d/50J99uGbEuWH/wQduvO1t3zo6bH7/w3/4h3/6ydjGNsaM4YMYM2GaY+Zx + XbeauKDl1ZVbDz34zv2j3/j3f/DhP/nMB/7tb34QrbcmYHrrvjPf8u3v3B+3//tf3vnjL8TlYWeu + CwGKEFLVCZ0e+RITQmpLtgIgIFlrauRLVL2y6gbvPRswbfXAPDvuRhQCLpyie/fSztKt65df/PBf + V73zF/aub2ysDUpkXMb42DLMFCxICmKeAVpk5OAq9HhQlQ/cuPHZLx09+4VPfewPPvLnn4gMevzR + 16wsbKakUKpN4EvxvhDqBgkCArzzIoVS6XyvNW6OjtAeQ7c5+YaK/VE7mbTwvnJUOivunVlGoIK5 + Yqoyak+MaBgbRjH1KMytb/ztd73r8rnz/+Z3fuf9H/rQH3z8T/7irz5pzUS0AbyqLC6unz9/YXV1 + aX6hW3YhX1/Y7//zr//XWLew7Jwx036QAaY6MwYnmvH8wS6Ea9eu/eiP/5hOae3CTrfXIZdJ344Z + VVmtra6+/e3funfjlhbVw4892u/3stG4ZHJuSmomTr5+vhc0owHYvUI3FwgCFRBBBF2/dWrLzy/M + nTh56vSZgxdfiqMxW9vGSbRJr+NX5gZbK0vnzl3ore5Sr1IHT9lhZwQSlhLw8CX6gxuPPVqtn37g + iRfu1nYAnXo5c+ni1XNb5ALK3pmdC+9+7/e+8PJhzd3h+ukL918qBJ7AOdK4qG49/OhP/nT3pbFS + b2lrY3V9ZQmqZVUhD+4LnD+/64drWydOvPDCCwcH+9bWEutK0BvOL588u3b63MnT57oVQyEM6XZO + b+9+93u//5H94rbb6C9vXb50Ic9FhSFCYAUMlNo2RQiJ5NEwUwCjN0S3ct/wusfPXDrz7O2Xbh+O + 2qk5KttGO8Gd2Fzb2d5eP32+v7QQCWwwhUDFBTAvbWzfuLVSzZ1+/Jm7L99+UVjn5/obWwuXrmxX + XRy83L73++ZffHbcBHnowRsLgx5D4YSIRqNJGwnkybu1tZX7r11aX1944NZDt18+TE1cm184s7F5 + fufK0upapwubbQAPC0RijITEzL1e/9Le5VNLN29cOHnw0nOjgzY2Mr+4vnn+4u61G9VcP7t3BUQK + LswvvOEtb+1ffe2zvKLL2xfPLg37QaBE1BsuPvnGt5ZnH322GYSNyxd3Fvq9jqEh56BAFXrl3LX7 + i87aqas3rjz/4pcPRnd4Wg+dWyyqztzy6pmd1TPn17fmc4SqEJi1M+ye3dl+4xvetHv+gRdeOJg2 + iEkUurw2v3ly9fT21ur68qDLhKQM8cQ56uI4HMtykpIR2G9ubp3YuFtVn/vS5z/7xae3jE5hVozM + aKUKUUQkhgb0V65fu/mjP/LDz4zHkZfmVy7sXbtSlPAEtJNMDlIikKgysRdHYXnhvvvO+6XVlYtX + P3W7Pjo48EgFWyj91smtszvbO9tnu8VxE+nd2uryQw/cKDrFtev3j/fH49tHdhR90e0tra6eOnvh + vqtrGxshAIqz29tPPvn6y/ftbV86Kz5EtA5E4lHyqRNbXT+cHy49+NyXv7S/38S6au9200GnwODs + zqkbDy6d3p7r9gsl146TMnmvLqyuh9e88vG1ucXPPXXl4O5LnrUXimGne+Xi3uaVq505mgAeYNSM + FvCROBILF7ASbtDtd175xCsWt84+8uhDozq1JhX5vivPb566/8Yja9tXn7t9VLeHVy5eKFhgERAa + zO9cvPTOd/UfPyiuX91bGAyQwMRGqtCUUtUb7F6++v1/58dseOLE5VvDii0CLcCu6lYr3cVHH7u1 + srjz6AOHkyNup/vajk6sz92/u3X55qWjO+f05CicuXX5FQ+vLw1FACMm5xjCHpxmPFwFFC5BXdY9 + pJleZ37u/N6lH/i+H3zzl1Mt5YmLl89cvsQelmCWiPg4KTY7TRL7zuLy0vXiXLfqnj//wOeeafaP + psStL6xbdU9tnD53avfMubNVj8ATkLrgNsPKa594eHlj58bD+/uHE25eKmzfEfqLZ9dP3Xfl2vWN + dYi7QyaXLl3+iZ/8qTNnr57fOcEMFiaIqBMvW1tbr3pVr7u4/szTD5GONR5agmff6/bXVtavX7u+ + tXGiOxyIRoU6U2i6ePHiu97z7leP6peNOVkwcsnMGqHIHM2VF++7ubm2Gls45BR1fvqZ5z/z9PNT + w/rWye2zZ8rinixoZs1GOeT5mK2aIAlWdaozJ0/I42F+efX+hx4yWNmOOmmytTS8cOnC1ev3bd45 + LFd3N3cffPjG/YNexTlrywTiussnrpRLYWF549T6c08/4DRaMwVNihKDYXFi5eKtq49uLq25HggU + YR5IrSoxexhDj4M6GUbMcMWFS9d/4Af/zqh3buHCY4XAEhTROzEDRQL8rUcfGwxWnt+/c/Oh66Eg + ISV24ArRVhaGN+9b7laD+8+ff+avvygWLR2SHfU7fnVu+dT6ib0rNzsb27GEAqqY1HVIkwIGX57e + 3n3DGzbS/KUDGxap6SKeWls+e36n7M2SVyQJG4HggVYjkgEeCxt7V9e/3a1cfGx//4WnK5pIPAio + z6wvXDy7Ndjaa/unp+X65YvnF+aHMDRJA4feYGFL5r/nPe969V06tbG2ubYMbbPrZ/Z+6c8t3v/A + I3/3Z/5LW95dvPDIXGYFkVDZBSew665vPPLQMKxs33jgodHLz6M+lDgRi560258Li2e7q+cvXdrr + 9bhJ44oamIKkXF6/dm0plpvnrj7ylZeeUtxlnpbc6xfz589c2t69sLi8IAGsAnLw1fLy/JOve33/ + 9I3nP/9IkSaV1b1+devBW+trW8zejAjsHBPdE4tnsoch+KXNE48+3mmq8oEvfrGZNNJaadLx/sbV + y3vnt3vzm2//lsHVB0dnd06fWl8UKEPAAb6PTrl3qWvdlZNnd164fftoWlNsqulRrxkvzc3F4Vqc + W79y69rC8mJUsLEQiXOAQcj1w+uefGJt89qzLxTdud1T508vLVaOkGkczIA4mHZ6S5f2wo/+0A/w + 0snrOxtFFQAFO/gOOCx1B48+OPBL2w9fPlcfvkT1gUttR2h1OFg9de789euLS0EYMAd0QNNz585K + wcPF+ZcPbH8s49GdgP2OO+JyUJcni5XL12/e2tzswlSIRGbiI2EqKIc/AkIwKFEExUTw0u30dq/f + eOjirUtb59uXj8p4d7mMHaolDIrOwrUbj6xf3NV+z3Iy+2zVMIqy2Dp1vbuKlZMXn37+9rNPDzxh + emSTZlh2t1bWl3cunLh6w612ks4Y+MjKZAZcEfr9W7eu/fTf/akv16Hpb2yePnNqa6sAAgws6AxD + 6L/lbX9refvaS41b37l25r6H54YwoFZAUZEnLgEt+sXOmf6Tr37FxsmTj776yfG05nbsYk3NqBPS + Yj+sra+u7NxaWF6UClkWVhSd+++78g//3k/2Fjd3zp3NburE+BpO26yinRkzzOpdBZyIzJi5LGHQ + P326/42v661tX/38Kx6UZhSsDuLOn9+9sHvh7M75b3rbW/euP16W7vLebhCEWY1P7LwLRVlhMBhe + uHjh5s0bZ8+ceunOS3U7LZxfnV8+u3Fq79LVha0zhUME+J6i65jRAQJx9uRFSkmEtk6e/rZvf8cT + j73Ia2vra2vsGIbCuxn4TMJzixf3Fr7tW4cXbr68/8znXX3QxbQzCGd3T25fPDU3PP2ed5d3X0Zv + vjq1teGEFCriFlY3bjxY/WCxlrqbuztnO91SM2SM2T4czi088PCjf/8f/IOT27s7exccg0kcdUC+ + 03GntjZf/cQrNjdPPfGq5/bvvKz1vtNJr9dZXD+1deb83qXLS4tzYLbWyBhl//S5C29582K5dflO + TUV70KPJyZW5vUuXiUjEr2xsPvHa15/Z3k7V0plTp3oVATCWNgk7Wl9bfvTB65vLc1cv7R7c3T9K + 7ZQUZai63eHc/Nntc3s7FxbnFisHTya5f4GcOrPzjW/+5sVT1y4/+NqTW6uclawscB6qCNXa+uY7 + 3v6tN243Fy5eOLmxlnOAqNNfPnn2idd0O6dv3nzmaFQr10de62FFly5u7ZxbO3H2yjMvdUe6dXoL + 3sEAmA3mFi5dvfHdf9u3/a3t06e7c/3KZ80inTt/7od//IcphJOnT/W6ncDMcICH60hX59aqb3rj + a+e2z3/2oH0RFTo0t7Fw340rZekdKEeGwgRVf34BhXMlYdCpLly8eOLcWsosMwViE6cHd55/7kuf + +9z8sH9u59zGia2y20sAIQARTEVwIEVscpSi+B6c3zxx6vEnCuf90y+8cGcyaTSVIvP9/pXdvfXF + jYByZeNMsbo8P9cpPQgGk4XFjccefeJn/t7W2b2HL1046X0WIZjP70QKN7/6+Dd+U3nlMVs/e2pn + K5NMmZ0rOr4qt3f3Xl/2OsvLzx+Ni1Tz+GCpDFsrGzcu37+2uHpAg+/9bjfurF7aPTfo3/OBnu1c + Ayjnxc78ThQsGMztXrz0bd/WXb3vVZPJtJfurBXt7pmt87vnc8Vy6uy5b3zLt+zed3P5zOUTK/Md + gRiEHVGRq/6tsxff+MZq+eTutQce2d5aIzUmgD2YMFg5tb34pFtYObHz7BdfNTk6tLZBOkQ8mhuE + YnCCwur5S4+sb27BI1ESwzHC0CDwYgfz/W6obGHr4pWbj84tzPuvArWcGV2CxMdK3BkeShmkdij7 + vY2lhx5cC/OXL1+4HMe3XTqCTcmjGpTb57b3LlyaGwyJFKEYrG0++sSr/ebl8w+9cNCgg8mcS6VN + dk6sPHRtb2t79+qd9MykuHT+bMEQgAkLi0uPvOKJrbWlUbm8feZUUR6jsUQwQcvLiycfe2TjJ8LO + 4ORD57dPdwqHnL4KUxDTsWP98ecBu9Nnzr/uDW9bWrv0xGtet7ByYefcmaXV4RdeeNYxu5g64vrz + q5duPnbiwtqwi5A/rxBQgHocbPtctygW5wdzz33lxdF0EtViSmoWQjEYDldWVvf29k6ePMVAG9VU + mUiYYdbUNZPNTG+Fu/3euZ2dN735zWcu3vf8naP9ccOtDjudMxtbZ04sn1ibW16au3l7fPXmzbl5 + IcCDNcbx0UiCL/qd7rB/4uTWypVzC4v9u7dfTM1YqCh8f23l5LnzF3bOb8/1sjIoOiAHixFISXx3 + rlrarOLh9d3upe7ZyycWXjhs96NrohtUw82VE9dvPrh66mTlkSVumiCQnYuX3/Ge97x0587i7sn5 + 4SAABoXwcHn50Ucf/ftY2Ny9euXCRmbdAgQp4Gl+yd+8uZT88NbDe4f1y3U8CHXsJQwlxN5C0108 + efXW+QvbvW4Bi8isu2Kwsj7/6lf2+0u7n71xUer9Io69s5XVpZ3ds/ffd2O0P10arq6sn374iSfm + F4YJiAZPRnLMPzQEFhAJGYewuLryilc+MVzbfeCxl166feCsLp0Fml48t35ld+tw2p64jkfvhL3t + 1cBoWpSiVbe3sXXqO9/93q+0w/W9V6wuuBn3nAgkSAmhe/7i3o/9+I9wZ217u9/pOeI2oywMgAKC + WxwWS0sLrnhx6eTetZsPz88Pw/EpkQguWwll1ftMaJIpFkyumJGFuLx+/zVfLl67tH04fTliXBTl + +bN7ly5c9T6Am+HS+pNvfMv2NVG/fWK9U0hONbVOd7h97sr3fO8Pj4uTG3sPLg+PcWcyggOXZ3au + vuUtZ5Z3X7P34CtPrC3Nzi7LW8R359cee+L1q0uLX/Sb565ckkU4ArmcmqOdQf/Wlb1ybnB67+Kz + o7E1U2rrAPWuCr47P7eytrF28fLFwfzXWOj+Z/Mis//Ej2zHhd9xM4//y1MkqKpaJBhxBkI5e06r + EpEwMxiKqKgFkFhiKmiBgNRBPdsj6pECDJZQN5GcFlULJoU2KATeAQaNTTL1vvh6BM4ZLOX2DCpQ + KKMVtIBAK9SI9YzTYwyIuXLKNJOcRwigpIpaRGdD0VojD80hAiQgYNLcAUIVugT42FBzCAa4Ay3A + 3AQcAS3QgxXNkbMI9mjVpFBftISW4AFReABIaBuQQopRY9H5wqMet460WzqkKZpxjCadobri2OYA + AmjTIk6jEpc9dWgjYBCgK4CO0N5FAsr1lx3fnaLDGAQEQMzIGpCCFEjTuk3UlxDU4AwBgCkwgY7A + CikNPiIQPBtSk3MeAVhiqhkp5yo2jUcUAgmsnkwbVN35pKxRfbah5qTcTHScxqh44MmjwIQxAeaR + 6OjFp973r977oz/76cPOV3ijnCv/0c/90Ld8yxNzc4OiGghCVgRJMhglRQJEstXRPtrxL/2z//6/ + +6//m+fuHh6GheXLN3/+F/7bJx7YHbip6LRtuJlSWfWTw9TQKAJr10HQINaIDSZT8ysHveIOUAId + wGNaNlNCgibQ/FHyEw/vQdoEPqjg0BKmCnjlog2ePRLQouWm7bKDAuaS4ymh1ZwzCTIr6MjZIRKA + LrRCCtlFTBmJEIGk5qV12W4YIeON9841TiCNLBPYi0jPY//wf/m1P/vZf/qBiay+6Ztf9Q//lEJ4 + lgAAIABJREFU8fcXDiXgDD47dqFRTDuNoWW0QCCUHFlqFGMFGD1k9tCLap6LfmJRQ4ogQoEWaQQd + wfUT9V5Wbho4RuGR0/kMQDLW2iM5x9AEGITb2EaglGJy+8DGsdMdUKengSLNzB8AOIUokiI6JNaE + aQktYEgK9dACJOYwZtw5bKpmf04aKQVl9y6KEbgCBnAYTyOZq7xl92YFGWKtd24/VxXS63QQCrTt + xMq24yaMylDF2tMhpKrVtVJ0ENmOUN9FNJR9g5+0OJwkc2W/0wkMIaiibpEMwUMIRK2zI1ADTICy + tfkjKogQEoLBCUCIaNtmXEoiUSRqeVizi4rQoIgQB5SjiP0RRhQXvC3GKabT6XhyZ2WpXxWCVMMU + 0r/LvmFUQAl4qwEHk0ydBWpwC+1n+94U0LKNcdvbqE8Km6IZQJcRAwhtiakDARbheBqyOY0RsokB + ZS3rIXAEKqG9oyYcThvnNZQofEkAJcwCpTF2YkiKpOAAqSbA4Thye1ToNDiPYhEBSjnL9TYwVpuP + 2lPMAhkILacEU7StomhdmXyeNGg93o8xVWXHSWDKbjFQhjlExEIjmhZNhC+07BwSYCgBSbCUmBOT + mvg6ca2EFCvRghOg7/sf/uW/+dfv+50/+MR3/8g/etM7f/DcbreQ3F5Ehp9VWhqhNShCUoMYoYUV + ogXU16Ajw2Ral20zAELlERRcg0WxUAPTFh7oeiU7mq1eZUhM0tRoY8NtTW2txO1wWIhTTsEacfD5 + QSUGqbXTOrBw8JERZzexMsZiDWJEM4X2YmfhIMM3DQpMO8FbEykRJORrPCpihZbNoa0QUTeoE0I3 + FmUktDWaWqFtO72r6Wh5fuh9F+ZBLjJGjESgFmm8X2LSk4SC0LRKS4fSPVQERYdQEtQjChKhMLg4 + YRqDPTikZJJ7aVO4TkPlIcARQSM3E07TouNBCVxOpXtEAFBkml497foGNAZXNYYHQDtFj2OPG3Yu + IjQAq5U2gU1AoZX+IaBABVRqjCm0htUggQxr8hHQqO34bjM68Oa6oVNUg7pwY4eU8xfq232eEjzM + YZKAztR1x4ykTRNv+xBL6hfU8+bUIQkSoZMa6AHSIdxiLYPnIzDWCtM+Rx8CiRAzM6saAWBWIAKI + GigRxa+qMClNmtEkTVXJWhELXkJVBucdQAmIijaBBeJGAS1Z3mse5qI5lTAxjFJKdd0ZHfam46Lf + Q6e/78rkyAPUzmKUhXIMyh34fdgR0ipsLRGioCVMFE6t5+DRICmiQqGuOmKeCEqA4qjDU5cJHq2C + qtpVh4SUYG2DNHYx+mRd9q43nArVghIoFKhHaMYoA1gPxpHDMFGYHI5c3O+5ppxfPLDuXeMioACK + FgUlpgREwEAO5L4KbmiaPPf0Zz/xsZ/9uZ991sLj3/GeJ9/+zvtObJbA9OU2xIPFiuAM0sn3mApG + ghboAoU2SNMcgYZoCrKyuDudtuPRYr87unvn8O5odXE9uE50nCo6AiZ13a2cIDGoVO8SoAoaw8aQ + Ejw4BJoWQvCMrhj0COkIYHAHVuw3rKVTAbKKPSIAHW6FG1gCHMzFSI3zSTBqwK1KHOv0sBPQ7Zcg + bmV4ALRAV9FpD8WmcD11VYPZXM5LbvgAmOiM2QuPRpBnkw7JIwKelE1BNoWMgAOgU/PwyEptUnPw + ctCmW5VFWYpzrRVHDSKhKmdrtMIB9Da+/Jcf/cif/MIv/vu/eKZ59/f+0De85pV7F7dTSkeTo7qd + dspOx3UKFmBWVLcKDxQEoWRKSSn7u1sCCwxNEw+9M7YCyWOqyfujjj8Aeop5AlIL1KAaaMFzDZUv + TaGjttS674xLRG5QqMcw1UESEKAOLeBsVKCGTcG9BoMRAIOfpS0prEacWBOp7JgUdSJzLgJQVGgd + 1TAFXDSJJsYuAeOjph3d4ThZWV7mohsJ6RiSjpOjQBEeiKl1w6lz+w1ciw43HQcWR7kftxbaAAlU + 1fANZ6cmpDhGm0KOH2BGjGjqo9jsN7Xr9/q9YdbEMtBMoidXBUAN1oAiOIHaiKqlTo1sVI9ghtQg + TmAtit4Y1RFnJTh8ih2OsCnSBBBzcxMKEWgnatOjQcc5qRkTSDluF0YtfIHAkGSBDihNkcYQmbrh + PvWNeQhUCbl3ysUvsqYWYGqBMTBFDRw2oBLF8O4UX6GQJPoei+NFoA/QJMHuQkZoX7r7zP6P/MQ/ + +cjHPktLJ3/i5//59dff2AiYB/p1RH33mS99+rf+3a/9Vz//C1cfePLVb3jHt7/zOzsDsMADBRro + CEmRHNQDhkDmYFA2QkQzmYw1TU1j0n7RGXb6MIFSJhjUjAMkKaiHcUgHgKku7rclCvhZRE90yQIx + RUVKIELTmIRJpzgiFEAASotEBEVKqdUJJCrqFG1y1JShGnQHUEYCuLzbYuTRCwhAcdy1fU1jnX8S + kKAtrEGqYeFAFm4TpjW6yYY06jhj54kdW75VIkCgoAh1MiJyjigbI5gSkIySgh2zqba1l5wBpDCD + ucZEWcgQp9Y2TYx3ox50exzK9TZ1wHCSWYkpQIUUprADTG9/5H/9pZ//lx/4+Jdw6Yl3/eiPvHdv + Z365B8FMvYGZYVcSCGtukgFBZDBaxl1gHygQl0apahs4y+rqpkWbOFWdSiBQcIqcV7uRUu8A/qhF + CfScujR1aMEJBMhwxFLPMpzhDEWcIo3ACX4wpfIAKCMGDKQIPUD7MkKDsLmP4RHQA7qA0zoDHgkg + eM79yexbUWAKmyAeoTa4OaAD47tNMwIkBCJ2wsHPpAY+z/wV5BTcJEw1Rk8B8EiIUScxRbWmbds2 + dnu9sqqy5EgNqta2jamJUPAepm09JYIXdt5BNUeSqmpLhbryxbsTqycbywtBAFO0U0Bj6E0dDoEA + dDBKky8/81fP/NOf+2fPffqF4cn1H/iHP73z4LWqDLGekEaWoomkFAqR0iFQxqobw5Ta0eHnPvO7 + 7//1/+If//PTb/2hhVd9Z5Gm3/fImSd3B5juQ6qxVBMV7yrvOerXMEASpM3mCDM5iAVMxBrUHZuG + VAOsNrzTBK4QGIzIOAxKBMGkbVNQ10sOxmitndQHGE+KpH0ftLfUlm4EdIGugZoWOAKP4euEuSkG + Ezhq0N49CLEJpZT9wJ7YBCNF8ui4RBgLQGCFw6RghgpSrseQCCyJMAJGMAfMTZvw0kvTwmuvcqTj + MkSEFk0a0fLUF0kgEdTUgyI5tEhjEEOWJiyHCQ6oBIKJ15baFgngYSvusAHB+t1GcKTopFSRweMQ + +uynf+t//Be/+if/+kNP3/fEd7/r+95+5YHt/oBzTVNq1k/jOGgKMFOoOo5ZL4hWUmTzQIFEqthv + DqZoqqpbSOUJrMa8D7qLlhSbSi4ZhHPgWyRMYBNErf1S7VyTq4h02JEpwZAiTEBLhyT1Mf83SFOa + 88poFboPPkI6gl/aD8t3gAHQA0I7RkwYTyEOVacmvpNSmkwcrHJS+MpxYIIKVNAAUAtM/j8B7Pv/ + 3ev/GdYt/U30lpkpg5n3foWYmZjJjoPFoqZWm9J5oZkF/L3F1iaMRweDXgicNZXOkUxibCHOuAg0 + C+NVZSZm/3WzTEgKS9nmGceG/opjexsWqEJt9juW1Fz+NMLZ6o2JpI21QJzz8JzfZ2qgAimaEDyh + ICBGkMIXJbSGWq6vRQEoMRFMfInsYliwJm3byME5AIq2iSRwLscFGpirglsACZ0i5wlrPWkY5Ds9 + iMv7J5kBJA7iPTliiDLMwIATOMLMW4kCmJWYgE6JCveKyDq1k1Bm6Z2FIiT4lA1MFDHCCSM29fjl + oufBLplvErHBM2auxAaAcixmg4ZSrLzUB+Np3c7NL3IxX3oFQ1iFDKlBInhS9uBB6JFTlzPgKWva + YDlus9Pt+7EXqsqiVxRVKIqyKvPkyYAYE1IrrlCjqDMfGKhDywCcB8HaNo5H7dFRGk00VKbTWrhT + 9vr5eQSXOxwSxNmVTYKiR67IJpEZIC6RSBitAR7iS4e6RRvRqchAsU2uIcDBlyzOCRSIKUE0hAAl + WNZ7Zn98eIZnMKFpYgTKUCIJ2npycOi6M5ZNrYgEL0RQ2EhjZOkIU0JQIBoo5/ggz1k8qIfSb62f + uH5x58N/+rnnn37qxRfuLK0NK+Gc+moEQBjFzNs8tuCUK7j8F8fMnQjnmAqwaCYiCmIEmlRY/icm + jC6hKCBZUKqwZELkhWLyCc6RwFpYArNzYqZtjJ1uDxVDHMSSok7GnvMkkhRCEEFraFMbxDsoLKKJ + FhsyhS/hHANV4buuEvGglKLWaeyKfoCk1gpXOjHAFAaDKhhwjgfDXnAKz6CIQgI7YtQRNoUPLuPC + bbKpQCBO2XMXpUCNVDsUil43kcs1cm2aEjsHSZiO0S3hPIE8oKAAOJhllCQIXJodLsLCRZmheDiG + MkUUhCAQAhRJFcwFSnKeW4jA98r+YFGcWjI0QuZjoMplpjCi1opWTGZWpCDj7Dx3fD5nnzMAxkrC + RHAlRhExoIN8asWE4CDwAGlSMUDQWkqEiggtI1UIFSiUDhrIBTivhBbwxkgzKkIwGFqlqaEswXCc + qmLqHJfoEAcVZFmkkIEECDHZ0bgtKi8eAJpm6pOJD5CCOfgsvzG0TR18KIKYmeXIjQYMHM+Rak2J + jcABEvINQ4QUwWbOS4opJdUUwT448l68RegEbfz0X3/hU8++VC5sbJ4+u7XZDQJtYXZUBm/wCpBB + 8lZICQKDZxAZoU0QHxwHomkLb2VwFQjQkWXNGFTAZT5vYTFGSkEcQ6HatNMXi04si6Xoh22FTL40 + mLGaRFALiDWRDAxXSQkgKvSr0G10gFCek1RwpeSHAbgAD4EZSZjJUwzGoJDR+EkebIFLBAbYpmrE + juE7TFygN0/WESIoIxt9MRwhKoRQdquCMrW8BYGZy4C6QQCqAE4WQZk+7g3MAc0EiChEiOGKmVZc + CV4LsATD1DyXzoUZVZykbkbqQ8meASa4zJBJjJQgbWBflSiN2QBVYwOImEwd6YzB545zmYwJFmat + rzBgAqiBqXUV96s5rx2kMBlZreB+LkmMKJJkMo5DJAiHAuqQ1FEbiuC8hXYUnXNEiAlwABGioTWY + kqD0CAMurVNhOrtPMr/ob9YuRlnCCAKxGVKMhUPlDeSSdsw6RpKRSwbEUBgKRpOi6sSCMyrNeYKw + mTMFNLIEduzdMASpO4CBUbpUwzHADmxQRUpwrMw5PKaAeCjujV28R8FESMlaTkxcAI4ZpeQHq96x + 5b44s6mk9IRunjIKg0JpZUgMFTB5RsKxhJ8dfAURCHX6HCkAGLquq8WnCeArjwjknS2E6QSG1rm2 + KDwyV9nMoEbGrBy46BahKjroDXtLaH1UOMbcnC/SAGiRmuwJjIQEOEECSNMxxhHUysbDMWBxLihc + Yanp97r93gKsNCbxIEYPKCoxQCEC1qRag3RKgeAC2CVVIs4iXAdACcnBsv0KRbKycpFm32MuAZyA + zMMI2gIAIoPEvAHBgcDCXFU9n88ygwEMjCMkpn4okBTM9xTWYsaWM4HUcoLQvUU2K7ftWFpmKZlF + Yks8K2eFjTzBFTKYX/SpIUbSFFNij6pEuheZBItN7djM+KjRw2maJHZlj31BgBfpVVVVeuc8myQ7 + fm8AMohDEUgw0gSmwHwsn2PnpGJEpNxDOxGXnUQcA0ln97cSiKFGgl4BJk8th2zozr5BEw2FgFpM + p5AOhCEkKZoYgShZS+w9YUbjVsvHIJUG9kTsnDRZlpTTDlvVGMk78Q6QVmGGXi+4alFSK0SmSsyp + TSBynn1ZwRIowYNA1qLD8AEBIgIQZQM5MSIISMwoxSjBZTUoOy/kOLciqtAIL72qj9SphaexAVic + L4CidC5bYcRIMPicZSxMAjUBeQYZYkzWtt55sIORY1THX4STzOj0YINC1SAgg5CaE3aOBTCkSELo + lTDKPCwlcZAyJ045ChV4YtA4kwEDqfXUwgTiiVhhxm1r3ntihmOYg3O9ITeCyI4BIAqYcsOFEhRx + UP/1F577ykv74spTZ05vrS/NhxkGl2u4//inn/zEx/+8CJ3HH3/ila96Jft7JDkADonSaCKhA1/k + WN4IbdvowZ45dDtMCGR13QYEqHy18RN4jy6TZYIhl2iiQbpFhg+QyISSEyHL79ZBFYUjJ55QAJJi + ECKzlLhRVpHKMdM+0hhShuFCg14drSABGQjdEiR5Mo17hgk4djrRmT+1wdIx69yBg3foAGUHhVGR + KseaUopNXQQ/G94zQxOnUSXeyLVR0iztXlKEmBacoBEpxnqKsgQXIFECAX52QsA7qlyhMh/ZgaeA + +XtmPw6AGBiWYECDdPvOc08/+8zTX+r0Lq5sbq6szZdFvhs0ew/lI8whErL24muuQNCsPk0Moo7A + CjQTxKYuKnGhMk9EJCAiIF9YaNEmFSs82ggxAzH7EuSBhKapTSXLPBJSgncGJ+AC2sJghAIoMpU/ + GUwQhpAGCDOgWSGUW4cc8mIEAfFXCWMGQIASwggGMKwB+0rI2HPlGqAGGCgNVQswkKIiErupUmNF + 4TrIXa0mx1KGMI0phMJ5zyyco+YIdd2ORqNurxcKRwSNEbCyqggG0xRjii0TuRAEICoTYXG+4lRx + PmnFgYqMnsYI51AAlNquK+cG80fjyVf279p4AGbvgiPnyw5ibI18N8x0EhGUFI7A3hJ0PGqSJm5i + wEgUQj3jZILoEB2MHUmn7DaKo4gi5LWdBBAWvsdjFoCgBk1tEDgSOIdkBO4XM/V/G4+KEIkrWEDR + 8ZBsN5W7y2HRCWXHaUKKE55VFinPRAkgD9cxuARu2iPiTjcENz9wERBtuanRBiXJgzBFq2gUISDC + FClkYqDarCJlyiHdCm2aWjgVDquLpSoI6ssupAERCiopABhPIYROVTiqAQWVOIYOOjJT/LSxtqSF + KyEMdiB4nvEiIwxt8gSKs4yNz3z2c3/wsT89nA7mVjfO7uz0eyiQcoB9tn2jbCCdIYy2HdUT3++a + hARHEBXjNvnUolW22CuDEz+poyfNtw3AII8QWB3pPZMTgBlWAAwvjmejascaxBRJTMAdNBxZgpst + V5bIaBiZcsKQPkBwHlym2HrnHZCaCZDgHbpdgCESWIbBwxeUoicSnrX7bVRUrLO50zGd+D+P19cd + uv2/f3pmmZ4Fy+dw3j4zsSny7IiIWCTBfPZMlWzhg8ytdt6bIZmKadacCFiMxYgZpJkjG0lwHHPw + 9XrRV//I2imAYAmQrAxILZKCJUdCfzVBL/PTmVicGUUlYUcGJJRA5BnomyXsWV4BihACODMwU0q+ + MkAJYuTIWFXZiTK+mqsLpKz3ACkRzxAJO5YuZfCb2BcwVSOLCWRMTERqiNFEiFkAalqkhODhCAKF + 1lAFeYjLM+BZD2AAkrDNdrWpmhLLsboFhmxIBTAVhcAaxMjihTJAgZQywoxcEZIkQcOslpIXRtlR + DsoQYliENZjlIgvA0agh8oCnmblJRp1gCibOwXeQFGk8agnB+Y6C85dGIBFlM1hDLMLZwDvBgAio + CeUWW5I6UEnMkIJDyVQhw5E2U/ORtao1s8EMrYEDZGY95QEPJTRgBw5AkQ/lghChBEuwmMxxgAl0 + Np1gygFSxrNFT8htCMG72ViYyUyCgZFp1pSKwsPBaBYolhcEAbmIOfatm+0vyeJWZRgBAdSDr7Y2 + Tjx289pTTz2z/+KXP/6xjz3wilvzi/NZTWGz6BJ/nBQpkAhGREqIuWMCoO2UDXDcxtSK5PVLDPIl + rAVFEACtiFtG3TYqVkjgXK8lCIlxvlBDnrubEFEWeudHYCBlJiFTo6Qk9wwhM3AzW4gEVZCj7KpN + KUa0LN4hiAcMSZmoYM6IoMwQK4p1rRQJhbBPBkYqKgducyMCSwolcCCU2Zk6ChTkvQERBBSeBMxI + bR7XCDkyTFNOMTD4vGDgXZ6IYLaTjEBk0OyNKveo0bMriFpFgjl2xJwxdzkm3mEWN0ec22oHE5Cw + Qc0CpMos/zitpWQBlFPORrt3pBnEkHK+dLY/EKCAJA4ZGHfsIPkUAVFu3/L7ZsA0KRmxk+ysGmGO + /OzhIBG48swuATGmmIwMLhsmGySZBvJ5HIakqkdB1LOQOpDPsrPsF53UoJoUPnjNoxlRyStGCSbH + XjsggsuWOsR125oZE1jAmrE2JbREBBaoy52YUq6/zTQ2dRIRlgAiouxy2ICmOHrxS19+8QvPfHmE + 8uKNqxunTve6cEBCEqsFnI7Hi34GURHANiOfWdYtG6kyiiIUmTyvgHEiNoCQeAaig2BqMJ0xlJm4 + ZAXGQCOMxmCGJkKEPAl5VWtYWbLHQt75igS0gkhwgEKh6hWAgziI5AYo4zsw02SMzKZGAiIDksMp + I0HNiFRAHgYPy0Bl4pz0S458iq0RhDhFaD6VGG62Hw25jhMGiyNUDqKATokjuNsoZQQGyjCPbMNJ + CXAgBxHlxFCH6CAgdhlKyeuXzIsaR4FXQBPI4Fky8MxmmTTKFvMo6F41kCCOPMgxUOQrwdAYHNjn + P4hgyqZsRpTYZShFwAiBkr93uSsL5YwdQOAcxM1qFmbvvJAyifcuywDyuBmgmWse++MU8jw7NJg1 + dUsUfPhqmaEGI5Ps+pmPoozPsmcmUIKRCBQSbYZ1O0DibPwTWBMTAS24NQelEpYvaTq+N9l5tAmW + wOYQWySzIrUQQd7XysLkAAdtEfNdHETg6HgVQW2WR+HyhSGMgmCIBLQwNRQUwB6YHZeg1nkFwESp + SRIpw1YzYwuz4xPSGXkjJIPmiakViAYjDy1m8mAIASJKBRwlkFhexGqwxIlJW2unadKkGm5Q+r5H + N/9fs0aoVZDMNFA8KzTkHuMYCpYIjIGgqJiEdUZb9Q5UWZzJEK0xJw2LNJhZKAuB2cAys5eCM5Cz + jE4eg9QUckUMYmMRhppKMieiyOsOBkAdFKAESixgmCXKk3rJJjgJ1sT/k703fbYtue6Efr+1Mvc5 + 976qUkmyLdmWymoPsiy33R4kOxqaoDsCQ3Q3EDRtPvCRDwaC4J9swP5AE9BgbAJwtEOE3YONbA1V + 792zd+ZafFiZufc+w733DXKHUWVIr87dQ+4cVq55YDoEAckJGVHwMqEXJBOC6tp4gVDd9gl3TZA3 + dZAzzHoCekIxuCEzyBUdWUVwgC+h1ZBILGMoJbLoGkUAFqinuyKHBebpzqDmSIQK4VRIUOxaQIUm + CNEEMq90kV6kr/ExiI8LQVQDUlgeO+RUeI/8i1kbMpEyyGZjMrDA6U3sSO4EG1nTDIczFgndmQRO + 0oPdci9WxZGkCS8ApQcog2agQAQKT2AipakfzMyU1PCjLyZkThNIGpJbFkkCBWtZnCopgXCm4OlB + JnWrMDEKCKEC1VEqaoEQIkiaRIrGwNqOshnIkdoyuld3AZVK53BNJ10Uok0CCZ7IwxPQSi1JBHIA + AAndMjSLazayughSEx4CDxOqoTqnFYiqqyiQ2TkfhmhRK0KISWIAlXqstBSwOztq9SwqkQ5gIU6K + CYjaoAmF/+pP/9//5Z/9wV989+WHX/iJX//mN3/s8x/ch97fgeVVffnJ7//+H/7Lf/WXv/m3/51f + +lu/+uWPvpQOEIEDxVEhyon5CE0QwKp77M/k7tWhqc1BxOgChCmxgSKJBJvxqpqLJJg7Gj40wtgN + obWiRL55daDSCJsw0119iup6lKi555MaUKCa5O4BaqXj+lrlqLHh20yO8XOb5KTBv1kkO4lkI7nl + jCFEIrrZW12ZTBA+wwqUpEoIQASBKkgmsAVcQB6SggLq4jgVKHAXHkMe2aBBTYVpQUpgImgoFcWB + BA2ZlMRS/vkffetb//f/8/2//PhLX/2Jj77ypfc/g5xBLEAYBMPYHGbbS+mdjkTk6qCZOCg4Zhgn + yeKKArcI/A7KCsKTEyATcZ9BpwoMAogwlZQAdQ/tMlSDMrovlaARKp6jBlwwo1F7udAqZEKIn4yy + uY3RMTazeDBX8VObApcGq7AC1UNS1xQO6G0HHagPwaGQZqBRKqcKmhepBRSIZiaXRIqomMG9xRUn + 1ePhkLQpc2P5JAQkdxo1JQmNtrm7UzilZl2Ev/Kal6KSjt6OGzRUk+FprqmmZCKac0qJAEwEKSWZ + g5MkFAXzjFeOu3vRCfk9SCris+CUmQ7pSF+q4ETgDq7iQom8GKExMMWD1+L+HqjsLkFRsCGTCu+u + ck6XKbTZBBUCK251tiwTBcVAIBGqQocgOGmh9vSq4XZEglpqsTQRmlMFTwlMmlHh1aHiEINrBDdU + SBhiABHC+TA/3FVFzUgJELrPp1lzVRFNUAiJyJ3iLlSBLWYuKRGenXcCJRTm4bcoTSQRwySNwkHI + YIadi9ci6hqYiOKZ3vHBPD/82Z9960/+7M//4ns/8uNf++KXv/zZH0GWJokJVLv7Y9NJmSFM54Q1 + 3oAOTjqOvGdlSUkrzLwuUKUxAYcQFuiwpXkahDtCLdApK5AsNCRV4hwwA0enBE8VZUSFs6KQh+ad + iQR7AZnAfIAIMMGTGqwCgpSwuM2LHDRV92pJskQdjiAmgodalyRT52R+eNpfWa5bj1y33dkSA71h + SGSUhGxeYN3Mpi1tnxL3d3f0kwfSikp5lMyOXhFgacIg4O9IdxsEv2UJaXTBgNqoIAA06zcM3BQY + 9XV+Kqk4l8KDSnhlgEjKGVjgYiYlfFGluCUlXLAACwzLBAXCg1araXFk0ISNtwCckCQEnTTQKUq6 + FYVDZJmLE5JyPt7VavM8C6uqiwhIOk5LpShIB5YFteCQg/bMsBNcwARO3qKFQpAwsEIp4eRrMBOh + OkN6jbWvcEcSTPc4fd+KUKckoDcjpzsmBQDzEvrKRLgteco5vV+JJU5mRHu5QnLTwTgXAo7JQ8/A + EC5hFUKqmJs7HDY/VPKF6meXWkQLcSJESSpQqialwLwqZvAEznQXT5nHJHeajprvJIPy8OSlAAAg + AElEQVSUNL0HaKkwgwjU4Ta7zdUWoTZmQxJWvS0SwqSqSJN3xfIUymi4hUI9TTCiWJXiTMGER4R3 + U7F6o2FTghikLlDJPHaDRsGkMhHiFfRak2puyrVs/MA1bG2pHQhDCmdnEdQJIbmJ/fgXp7/zTf+9 + //73vvXdb//uP/nvfvprP/eVz3/W2skTL7LyjJNgmjqsF0EJ/F/KMlHhstRaRcOfWiVMfi8Ahyvc + CJ9or+aXlpk1qQiqeyUTqsBCoIXOC0iFQjXB5thW0CnMIiebzTilSRyscI8M1wo4DagCmcIaDrfi + tpT5eLwTCApRQfK9fJiBZF2WqPB5dsyuTMdcKww2JfbU7kQ185PJHRV6FwyXmEs+aqSiyHaAHYAC + AWQJ0alUVFgiJziJKE96iBSAZmA4aNAY2hkTF24wRsjQi/nsOIhkYJJNThpAoWrqMCNdYQqBEZXw + 5qRhMMer5eV0sHseDqEpjgzjbT+VyNYVdhMhzuzHJFrhCwopetDh5hFWF2kqZ5gZXcQRfL87kA2c + UWcUhUx5yiDdzYpXq8aUNJS8FGcKi7ADNrvVg05Ecy30ITkTpdAWush0wGmJZCCWVUFFOK233M2h + h1I6zN28KS1EOzaCC4ySQqweeR5gRYSwMs/z8e49JFU2FMf6Cnz58jv/8g//99//0z//8/SZH/nN + v/dbP/HRT6UEApklSREsBV5XWqMbRzZpKhOTWqW6TBlTApYCmwGD3BUTdVVChr2YYkRxJAlJ+R62 + hD9HJaJmNYLtYzKfaU5J8G6xIcxRHSV8ik2tpuoh1Icz36RO84h9zG6L6BycWwWXluc6VDHV3NTn + VjCIrYBxBZZCT05dKmZQlU12QXOaA0F3oQtMQQkjyUEhPNnyXU0OSbUcLcZsBDIIVAMMNKRqyl4M + VyUidogBiiCndOy0t5o5rTpJHiGiLuYuqLTw4s+h8jUAVDKriLAJZotjMXgYfJjgBjeiiENUhLnU + RayQqvc4JHwc34cq762YmkEqJoF4NTdQgKR3hBEpTepzC6GpGB58B+iEmG6Bq4EVJstcVaecATTf + CHMDXUS7Pi0YX6imlgnHwzpXzCCt4A8MgDeFpjI5DFZRFjdx0XAmbMAPVCBRIWFuqQqzAisTyDAO + LhDHMWG2OqMs6p9ARCUlbZKnAAJS09BuiHnWpYuqboaJOVw9vRjsZJxzTkHc5mU+VtJzs4maAQU8 + wd1wtwAVWIKbatatChbYlETb1wVyhElyJDPX5h9HFxisoCx2elg+PtVXhQY/ZL7ILQ30Ai9eK1NG + SL0apAZNtYgMOqgK5AI3+EHBOweR6WGiEYTrktnscGjyruRRAVKBJKjOzTZmR6+wDctNBY7wEqwL + 4eILfFFEAXNp0paDSGzVu1xqlaLTRBFAxOGLYSl6yMfQgLwA7hJhNfydW4J0IImjznTvHrp1NfZu + 9LbtrIQo5BPC3zcJXQhYgTevYAoJMYEhEpctLRRNU0bRhRMP71m+X2QpMlVoNSQF3MVNwjU6IrSC + gSLoFV6BGkF57SywK5sJkurhvNnxLIZ7bMihgrDfVxehEtK4VFRI+JjFUUpKMCxVFOZICKsUA6y2 + YtUkm4jktdalmmkK+358WiDK8BUIfirsHXCPZHCS4kc+HCgwx1yqimhWOByeaElcSDiWWp2uOYw5 + 6JoZpMRlKVYLw8AAAqzVUU3z1KRvEWHqzvkgUCOKyJAiBxOKGRxIaok+tPlQBaZmvCWlcasAzKuV + xZhVNRkdZGjJXema3Ep1OFQ1M/xZDFSEqakYlsUz6RowxCw9LiAxfPod4maowgRNxxIR8kkxm5Ua + 2RwTzPEqY1aooXsePJR//cd/+j//0//12x+ffvrnfubX//a/9fnP3L0ADvBcHnD6+OOPP/6L734y + ffDFf+8f/uOf+flfePE+wrnbgVKwKKj3jEzcZrVWIZJQVIphcVZkRwCGMVJLmcHvQtfgBmryBRU1 + izsLItqtr7zjADfYDC+gIh2LWfVFQ7Em6lRSxHAE8gKvM44Z6TPgBxUTgayxnifUgsOdQUtdUsq+ + 2vxDu950f4rudWtNNWUVHnaZFlAPVRFJXoNFFCBUXxnIYBKBdMFawqZVw8o4kimZQHwp1c3TxMAO + NQgJT8gPkHskhSdjdZgZRVzQMls9nP7gD//4n//Rt+vMn/3Kl37uKx++fx9cKsOHZ0Pea8TxrDgS + cNCgjuxwMW8YNkHy1AxAVrzlWA1um4C6iIQbrjYhvnlhkEgpRNFEqCCRdKvVlqXmpI2fRDcliiIs + VaUWgFOvguAODxurGSp7jPDgU+CEhUOVwgWWIBlTCn5gAiIKSj2SmYS6EqyWlFEWvTizZ4YplZy0 + pUewahIVpR05S853Df91VjQU2aBISvDm02zhZaWKZuQpqA9LtVdLSnJM3RlHagndai2u+SDHI/NE + zc0oUUnoRJgbaVPzgfF5mafpiKw43CEdZx5ngORBbEpcXGFHTBNYRd1oGS0cRLEIHsoyOw8hzjkj + +RGEmERbKqQwmzjh0ApJSKoVVsweZvMJQiyl5OzK5rJbvbqaq0ehF47tUQCyzHBoTnKgGwoxh2+C + VdPcVghZG+LISFGYC6BLOS2LW450tEkAlFdzZuUkWRNcQ01Jthyzi3mpPikEpi73QVKsGk0YARbi + ICO5gYKoIgpJVlmNsywFpcKjqKPUF41Mi2N+9X/90be+9SffXSx9/ee/+tFP/8Tdi9CJk6FLtTZz + Y4C0gX6ccmGkMmlyZxa07H5kKLKmPHEJ1AGnOpJB1RGEnsG8OEu1uTzcHxTUFDE0rmRmxCKi5aQh + osCKUSmSBdoMBQAkgxnEC4HDiRli8AIIZPqEbvPyfvK81FKqHBWOWkpKRIaqlnmpkkR+2KqU/VWp + bkXEHR1O3Jout0oYeptoJg5KuLB1gKsVtVWNEGFSHdbFOteK6ShgWRBxVUkVYk8M5fUa4Y6yQByS + XFr5cIUylDtuSC2azq2aJgHdI9gQYCenTJKTBR89G5QhxxiokqYMLXBANPkw3GYcj8eKVwseKmBV + o1UCECWIglpCgw2KAy7qIbTHwIAcHAXpIFTz8U6iEnqoWD2YPA/r6fGI4JwdJrogASYwha9rqoHf + vaL5pwOSEsVcjB65gSdgOgiWE+oCAfJR7L6WHrLkyLmH7Qty1iX0YUDKCZVA0z4ooELUubnWF7dq + uD+EBbFlb2l00f3hgWYikvMklKMeP/tjX7w7fp6CpIkA8QkjhSYTVEl3GFiBGTJjQlIRU3g6TC/e + /+Dzmu8KcAIILRXzK7+fqIQtp5QqEuGRLCLheAAP1omCArRXsAfInUt+8MiPgymEY9A5TYcIFA2x + V8M6m0QW+LIsoncjJqFRZKvwGX5A0ZYHVHJFncurrIvQJnEiEckQ0dQHaZAAARQl+YLmPyJA6MEV + 5PGz7/3yV9Mv/cyP/8n/+Ke/+0/+h7//n/yn9Wt/w8srTRDcZaUgBOjmBb9AKrLipDgJZkVOx4w6 + QVKeGE5S9QFJwAmvCiVN9yJYKqwi8YP7PAsEBcVhSsmlYDFMOeJcsRSVFAZV0lxqQUpgqV4LKaKT + pJY6u3rSHNqKWmuKLBAO2OI+Y0pKmaIoiw1PAE+ZcNdhiCDy4QAy7BPMgMmpnHKahQkQuJE1xNwC + YLGEJFMK3o4FyYEFcMFBIQX0uZYiKefAYhUQoSD05gWoBWrQJBre8uqwFgKJLjgrhZLSscIXdHuP + oanwDJMTOBJJk55QFnDCMmFpXG/FfELJuHv/UPGXhgocUHPEY4ZeTwkiheo2/PXEgHJgVrAuIAx3 + XpsnBJDYrCtgJVQYCXAQoWLtMOoJKkhJTWEVXkg7Tofqh8Uxl6ajo4gBXktEtx1xhBFQUFsS6pYP + nSnfiU6nmh9OrplJaahtvMzhmrjUV3aAINXFE7OklCdd3JeCA4e5qQoqJDVjPUMdCk1aH15NXl+8 + uF9a3Fx4j0Z9vlff+/af/P7/9j9979XLH/mpX/k7v/X3v/jlzwPwBQcplIr6AH3fI/qiuVeolWWW + OolSMpLCJSsOkXfXTMp3UR+cL5jep2sIJT1tBXM+apo8UoyWBe7ghJTcDSIpXDKBClSrHIqqxQDD + lKGYusnbgSR5kqx+gj+gPGBZME2JrReCSBPwCnwFcehxNc5BARMhsqNGandEDKMk5AnuMtdTToD7 + UqtOWs1PD/N0nACau1AZOl8z1OoOUUnygGmGP5hPOR0fQn0JhM4wstVAgYSolecOCaVaRE10yRWO + UuGp+U5CWgR11NoTInn1OlO8qeL6qSLhOpkbrQpcJJu05SpVc4nkSEJFBsOnMyWlBY6Rajh5GOmg + cqc5suAtQTLzNJXmtJIJuPnDw8NBU86pAkvFPM+TpLBF14qkkS8k0Gu6v7uPbSslQCE8peqGBrSo + DlhUDMgh87pXq4uKKpRITmkGFrh5KXURpruUEMahzlY110EBcuTTMC/zlDi8/RagADOQMQHHlCtF + UB3z94Ej9S40p9Y4JLA2+kciYV5gNYIg9NACYh2aRKlHSSeUCgPk7u4eS27GbAJukALOgBRKRKZD + umcZK3hCrSgGSp6yEuBS3QyTg5TuH+cUiiQ9lU8K5nyn+Sjlwb/z7ZdekNqITxBhzogyuBGWwhCH + UR2SDgJ1Y51xJDgBxIw8NiRb1XmBAzmFV/jJW8GgAGv4CTgU6sdAAj6gwx5gE3xaAA+DHAUyhdEK + qElCN70IJzJZVSB1hxKFV69FiftgLrEYbIG5HtOLZN0VWuCKBcsMCJIE9U5ArjPsFLK2N0TSnC+9 + +RsMHan3+DIiH4ATiotqEkiCzVADcpIIl0WlSSL0EI5qAAQ6mRxmTjOmmZyRTabmuMTwPPDT4vPM + aWpJQoGKyPEawfFgWK8R6RDgLnQKRJEFpsEoBnqAsiXRQOiEESkGug4FxbCIG5I0Q8rQzbRQB0Cq + m6MKJWkoCcyHBY6apoNiXmwxEWv1eZvHNCUJxYDqxf2kklQUmlBdRA5Jzc1NQB6Ox24Ag6IeEoEC + o5vlaXKwVBMRiwcSFPBSMh2qThTUakKZ9KBRnBJe4SqaOkNtiqg3AbSI2fCorJqyazWrQnH3Uo2a + KRRVM6CFwVUJRY8IVEXuILKYvXp4NWWfwpzjtVTLKRF0i8JyEEBT6Cmqwan5cJ9BMYh5UWZxoIR1 + oBRdSnis9axbDhSoOBOASSSLiTlKQsmwCWYwA07EXTG8nP/sW//i9//ZH37n4+XuCx/9/C/98vt3 + eucv72iQAixlmf/Bf/yPS37vb/7Kr73/4QfF8OqVHw/MCcGgPhBJEszoJ01CIazWUkwPrnlpx4HT + dKflAcvHSFPQHXNYgRkOepd4gj3wgOQM5GRAISRBGDZHgwhY0B0iFU5JDikFMrsS6o48gQpmw4vF + UKX7/YhByagimnQ4lbFT6WFpCVU7YNAEw+m0+HQ8hI2fSElKmekQJdfsKQDEmcP5NA6RO7xEohpA + czdCOUpxQpPcTQrvrqghx5sSNNw/AAlV7WFyHNJRKDODaPuMV9/97vf+4P/443/9L159+OLzX//q + T//sT314bOSD1s0RfYK2GirbfOGQCnFMKYkyN+IkYT0jlMoU7v9whwjCPq0SzlY0K+ZLRZoUEpWt + Ql0AcRe4gMt8crPD3QsKXcRg8Oo1RALABUXBF1NO8TqsS8GwEFgRIvewxAMgESEyBlgeYUMVWGqd + VA4AfUliSFEhJCi3KTQCESGKHIpnmHnQWndPSUVARw1PRml2HgI5pWplnk85x0N89TDD7e7urnnM + hTHMynL63iGfpuO9Ty9Kzx81BVWaK0wP6UjNVXRxvnqYl9mniaItQPBIGIp4AROOd9N01/Q2Iovp + g0+mBzPD8opIMt3hmEAgQdIpcmuF4Yh+Yn15yDn4zKXAtBmmWyJEKyYW5CGZhTmIBFAFrjodX9xH + 4Nd0SEkWlFJfnlSzHrNr/dheneZXaboLcWt4rqR8V0nDbH4SzgpBWeAHnbKVE2iqkxdvUW0KB+qC + gyJDp7v78AapZaZMyHp3dxScUEsEOxQm9Ox2D6cHUU53LwiKEx5qySqKUr2SqgkQUpIAFY6FaoBX + 96U40yQqxEwsggOqNBHOH2Cvvv/dv/jd3/un/+cf/fl09+GvfvMbP/3VL8lgd0NxE0Te6qw2EUID + XVJ2t4WlIDPO+YyDh1tJMBCqktKEZGDzJGRGgpkWSeEjVoCENB30YJAK1Mh/CxdDdqqHl3N4FZoE + t0NOI1rApPkzFEOuUHfaAzDDT1CDHk6Q5ah3ecJscKR8DIYg5YxkkMWJlFNz0vkha+9KdcuLHxdP + bFxtCTh3eQ3Y9bfs8lowoWED7EqoSFHYspWo0Fslx47+VW5//02nFYbIPtg+rhB+I1tHI4dstsio + eTSmRHbC5O39RnPZyIcqIS2amQZqiGgMocVSeBSE6bCTM/bAHCKE3LjaqLoEcx6elUA41XoYkijw + RvFJpJSsZ6Vo3EFFE/LCpxcKJu+UVaKzzi/0bUmESCsi5U3abhydQDIwNdd8tj1dt7yvTluqfsvX + 5UKnSxTR4YAFBPsAwhVRhjh99LM//1/8zu986/sf/CW/dPe5z/3SL/5SboG2JCobzkt9N7tKjIbM + X/vGN3/nv/pvvvOxfOfFj8mXv/bRRx/mHt9MQcoUDeEuFhZ9baNEb5OaUsve0GYbzFyOhB4YGR5U + mEBBZGzoFD3gyXU7w6577WFYQ8HngkL1rM6FOPWRGnHw1WW098QKWcZqRnrHFuAzVf3cB//hf/B3 + v/DVn/uTh/rRT345KCpRA/YZHSV0m8DYxpJwEggiHpZNFRACfFjUXFl4rDBtZWRICWGxj45QonYr + Owldi85G+eNwhTLSGdkk4IJKcRFSmm8BKE3aavsiIfulUN2SYIrYbVhI6mjrGcIze9YAgCKgDnUa + hCrBlosDSBLeNbHXTY6Pj0oCF4AhmwYX1pLSUdA9SRE8l7C7WpIIN/oz8hPalQbio1mschV4hgtc + nCF5NVkylrINB+IRKtrDkMmm3fXGE489jdMgsAmRgTDyRUQYJFQd0mw+AlBTorfdpvcIUq27cAMQ + FEaxGYcOSSNgQ2pzf4rIrFDdom1FRw8TpCWNk+YB3Nn6DtwqTlSBMDBQqAYGLuYaHg5I2ASCXrSc + ZcoEgSopofsAmmka4j/6+Q+/+RvfOHz51/Gjv/zlr3z+/tiJDEfan674CNQa9sWW2L3HIw+sxkiu + ZdR2poUD2ONBJSWUwHBBzYhwzEFCOiyQKpoYiSPYIUUigUR/pp391HR+Tsiaa74voIMLUHwjMAkS + w4uEDjGYdFmkOck4tfkmRlQ1oRGeGUlD26wjbUoFXWmRkiGCvhWmQ3tIgNr9gNDKl0aixCD+vpn2 + OLVtXaFwR+3JFGKFXKP8DbEqxXsHDjhFPGqnVGG31YsgEiLKmKwAQmqn1xDK5P0GFBHzFfElGipT + bjaISVXVQ5enAtXw4HBAhI1SDMTP7oMwhGt20fls+iDAKAXKIPWqVLqiADCZgjqAIERFWwbA/eqt + WDY4fUqLzo+li6PfqWPFpCiNFReDZN2ybhETIQMTOlClc2sMzQbH/jgiEU7MSWVMkjGY8DzJE1oM + SmO3gGCOKsRhnIJJYW2ewYid6WiakXWQonp878UXv/QT/+i3f/vb809+9PVf+dz7LR+CBHekCld0 + fA322RMVNOQojagAWurxDkXNUSUC/uOUKXfe4d5S5QLzoIyYe7YieDjCsNGJ+K8ENwILchlkwzte + YkRfhlrHa/N9hbg0zR3CcoxZYY1KkxvPGw9yPvIBNNzdP9LHssUPCP4NnoJENat5cGWNkzPStKd6 + su4UN7332b/x1V/8j/7R4Ze/k7/2C1//4DOfaeeKgfYi2T2S9vRHkfVsLOLmzJIQios2iBAZzEPj + nsLBKciWkL4F+UYF2CIIxrwGO9Wgll0kke2bYxyihGz5z/6zU5R+eImePSE8KYTibn0EjTdTqIdJ + qobcTkneFStA2DGBMPwyXLJcwKhjFu6vMG/X1nEg+PuwYjQ5QARIEG9xg3SGyq0xIPB+5NsZarp9 + YXBdEE2TSCO+EvHInaI6WgxgMyQ1MqJtJwBtupbQ1TW62ViGDSMG0LXZp4OGCBZFneKjsVICT5n5 + +LWvfv23/7P//DfsvZ/8zd/68EeO91rv8NC8Cyd5/4PP/OLf+lU7fu5zP3oHoDqmxMQmkRgR9flE + hEhsufZMKS669HPU1lMEilbYypqzixLKTJYGcnREusuhSI2X3YOceZfM+tNNBkAUDWMEFLCiman6 + AbRgB9jAaLNF45Bu168RL40END6wAYM77px7p+To0ZbRtN+RdV8EaMrQiKVJgkjG3gJftf2yZlqN + 0JwFzImpdjxp8On+xa9989/+yvs/9R3xb/zmN77whfc6AWrncHfcxgndXUoevsadUrXN6HJt17d7 + ozw+VAEmMCVNyc76eq/DKbSw7aqIS6QtJ+AMfLfBlM1mGwW1gnXcDPSCSRmopSOQlT7CgSTIsAyH + 1+6eCKAXGGl6bQBw6dVFQuYPLk/6GT1fpWDGBKIdlEIz2PJRijdAEhpT5ByMlCfw9l0jAtOnF+99 + 8O//g3/4N7/xvfsP3//CF39ceybhEC9VXBtQAT25SUzi/oPP/cKv/MZ/+V//t4df/nfla19/z/MX + fuwDT21zoCBMIOoQmsIbXnYCXY+wdbymsNXIA0Y+hTZrEhI1wyREK4SXmjaBAU5JmlRG5dW2WCIS + SeeMZMuFK83dWqBkJWhCZ88OjciH088OOtepJEjV5ugGBRn5pkLFo5rYSiB1nzm2XAUi6gzPrlX8 + W5UfFOow3FagEIdQ/qIxPw+Hu8PXfvFXNb//m/Xum3/v7/74Rx90py+RwYY4QDrEEey7QUjK0MQA + EXmFhvwjVVKn8B0ks0MjzcsO0FVIRbjXdzhmO63VmtOHy5B/wwO9w3boLAqRw+hutVlzCEAqtMbS + BH85tk87rwhJoudH74ejcQ38f8M2kOGGa3304W5K34qiAELBUAmoJ3QPlqqt1CSBMCm3B6kOrU3m + G6Kld87jyZE8c2rW1XAStGJEn00waQm2vCmBJNWRtWfQf1bAK6QGA2Xd+JzdxGcwQcILHQrD7JgV + B1iGAerOU8UC3DFyyXX6qvHTQ7Eig4g50BIGtVuDSxXrFGSo9fxy8xpnZcAD4LAEz6DMEn/jCBzg + 8IJVItCmEGysN1pWf6/AAs4A4B84pCUK3GqyHZAogF100EAew6oa9Y6BT+AKSzCFpiJR1SUyW0WV + MHGAVlAeUB/AF+AdBHUtogPAiU8ElpDhGRBvWYmjXPYMAGXCMgEZCQ8Jpx41c/Y/IkAAjdB6gieA + EMxEAQ6A4gH2ALmvmFrJxQB8qQ6rqAkJnlBXUc8aT1+D/5IuOdfmiAZYAfp8IsFoW8mTIDIlhTYg + 2wb6OpqNiitoCqtx4h0wa85vKVVtzrWKKigeJW2HJhgtE8QMCBbBSbEoDhKlmgUGr81WClqjvhaO + vr7ATiH2GShIsD79SPyBlsrO+1JIqHE8UHnEJgV4bmwpTu9qYBmDZNgAm5oBUdupejPIh4xnW7hf + wAJkZ+q1Vma2LY5n8oI8g1OUaKjr+qfguIeCQebwsV5PPwq6B3XPZlsAh/hWTpV+aoEYgYOIak4Y + Sdy8wQmagqvADUmiElwsKFzgIeygZhgWx8cteXVN4ARGGYg2vLEMUyCqCiRUsRPqFG6WFUOpiq5E + 6AS0Od77ei5ewgmf4BMIsIABv7l6K9E8DAEtxLpzKfAuqmqLPevIanXDEBgxKyoxoQSGNOjJsRAZ + PsEVXTDTQMJtawrw4JgcU3CocDSmuc1ADVobYxlm8Qf4K/gryAvnZz+Gej9gCRDMwEsgVbwXtSYO + AKuDBc2pi+rSjBKKIjBggsFfAhU8Og7Wt7rDoQHeS3ZA3WAP8AI5mBxOKxEcOKNKqG5HhSCJuK9R + xzv+58AJfmqFPrxVYe1ZKQrwAEwzpnnDvmcsCm/pilwilXIAQDf1PRBVQgbx5kzQeat+HrvCqWOQ + V8ADUBzvPeD9AhwROTs6+WqUCqWhEVkFo41sNLL3AOgSPQW64pGGeCLyWg25QDqUxmkMsq7O5oPT + smOibmewchFx9Lrvbw9MrpGXE26QDCZr6bvh65ktEAl8lGGoBVahxyp81QgcFCcgr1GifY4OhD9+ + 6ILWxgC1CCkeUqT3kDjtLj8RNOIti89glyM5QkcsnVQ4OHdsnbwTjNpGuCTMhLW8s3KAHuYOhEQV + TBxnjQ6erIUpUDElsNF+AFziWBaYIIknVB0AjUZbF+BYOZ1W3BKQPMNfAgQOwAFkDDNyxLVTUyHt + GLuxOBbxT3z+eDmVV/jRRT6UA+4SJiLhVVs970SSK6oZMsLKWnXE4v2ZA0xsQV3ASJSskegpeIBs + hvoKcqiaPgEycOdA/QRI4MFknVr/dGS8qZ1SazvE3lUb7AP0DiU0wAyM6Q/NgKAo0HON5VMsKJDC + rUYIDieaFHu8ECfEZliKuB4ffMIMPgATeKznRzvilhrWBBERLkQRn+GL8fid0/HjmlPCveJekJst + rcCBHp3fhboONev0NUC2G6wrYQxTnUuE2s7AAUhBEDkUQRExM9BHrUilb01yoAZrEPR0YAw582VZ + ueT2R3GwQAPBTnBaZ0EZNu7aCax0+4lvUFs7gB2/VGCBew+eHqd+RXjaWGVHu+WrCDzwoLoxgqqk + R8k3SA6lYKem1k0PKyXx/WS7F2F/pkXTxN/te9bPxIDC0YM0JF/Cey/wYXw8O2AVXpHkFThDjuBh + cFOCGvsSLyQsxIJThuXmSH+oOBTgYMDDJ3j1fcPhe+n9T47pLuGF4oBXTX3g1mCV64IPBDN2mis/ + X/tXWZFKF5TigQwDTnDA7zZgEJMOXtiAI3yCN6WwN2pSgTmkM2uKuHAiP8SWtvgqhVIAACAASURB + VKWJJPESa9d4ySlEKTtB6aIPqxdMU80TFurk2g7JAjsBABOgzjxEFEWv3tZ0K1jnHYuzkZJ3ArNj + A4Zxm+OUYjBXDs/4GHgJ3AP3KGoFzKCW1k0teKkvq76sOotPWl4c57upj8C7e1EM1YiXgMKOndNt + hNHQAsZScI5b1qIZGIZ6+wwq42kJD98xJWl9d6vWoPVt2O6AgLvT6E1aCfiGGWyBVqfNMOIuMuno + 5bnyznCKuIRI3MU6OLA0QApeCwrJy5r/d7cvGx5hv1+Xt8+vjtHEiQj24ATcVxyXDVzn2JZ5ros/ + zF49QZnvsmYkicy8AHyYG7ohvn/KK8ontbz8S+Tv3n3+O4oD8KOOH5tBBDiGo4BG5riME/AAEPZe + yBwmA4cGt1atZamlIrVDooC8BGbHvWPy1eRXusMMoea0Agtm21buvWL1jQ6W+UQc4EcU6WesMKSS + QOvS1FAhHTdMbL1UHAPMBut9Fw6vcbODkwVKbQDdRQ/vUu2qEmHtmCBF/ERFLZgdZcIxWW5DwQn2 + CUr1YlbtQV/o+x8sq9XRjmCrEh2DZpcW3EAx6AKtnZk5AtkKykuQ0KnKFPkWW0UOwFAIJtc1AA6D + jJS2ut5pbqdW1gxv2o7S/lAEzxoDmBryP0EMDKn/uGCagSPQ6vAOGO3aON9wfLIaVH4o2tt73d5E + I7ee33hs7N5q3mjoR8TDADKcU9CVfs2AxaG3XXsa8v7zx/PEaHsawoa8BS0tFtC8EDZz6e6kA0Yd + gILBqBcAECJJnGJxHLghJr0Tg4kUiI7iUkRixzQ+yK0TXZ/LdprCrtvtqxtqtLFC+hlHemW93Ltr + aiTZCVV1o44O9prXGyavb1qf9WCgI2ODDGHb2we4ujkiKlK1ziICW9uikyGkdWWdAsf1W42zZ9AE + vQumIfpRNkuytz25Z7f2dzcWAyK7lxCANpdZsDkl+Z7t22xqR06NwW2yRR7Shh+iZg4G800Lbir8 + P9uy9KUf/BO7WmkwWQOgWxrN/mT304Egd448mIFmwuSg0g0YdN3nDi4AhnEObKWvujFcOWSmPgjH + OI9KHIHJo3xaG20hFmACmsMnRtKo8PsD0SybqUEt12VoQ+t+T2zDbuedfUfQHWyih836bEE5iKbI + uHS2i+vvMIQK+hlh23/dCOmRRp6r8wg7BG7XWhDzWocEtCSxY8sIuA5v8YHYfLtFQHi2DzlyxW9b + h2xpGiTt7lWADBdTb2dEA+yBNaRKV386l0GeNzwfIWko3sWb672vgDME6djXrloQ8AiiH3k0Wk6B + t1OwPVB94SILHdf1cFcWBaylnBs71tRiwVL0jbWuiepscFsiI2RdLFfwWJFC2LoHJjeUBRLwyM5F + yMYjeQIEPERFiuMZ1CAB9+uWxzvStnBVBUmTtdPA8S1cSAewbfo9I2VRH6YhtO71vuWRexQKGw4Z + 2rPUjw0adpzWsxG1RToOJBJxZ2hDZ8dggp4fLkayHjioBwOYW4Q5rIN2+GB08Xh1KB5nL6TWSqS1 + AK44sm0wYADQutI+SNmGgvTTAAxHt4GHnWP5+5vr1ZW1RHgQRU7XDpDNfuYdyRB9emiOBwUIe3F4 + 92Uwo7OpAidberE2Uq8R593Wv1OxDl7x3SlmMKTOHVBEP7JhfBtDEkFrq8vSwLB9kdARSsfofWtk + hYaxlIxyit7l3w0kBO7IgLevUeA2RY4maLs6XI5JIA9waqCzIsQGxdpdyboMtRpRggkfLMeKXNt5 + jGvD/7U5f46jVNW7U7wQGXzBlAV2wGGSEP4CF+V2ePopPJOK2THeGIS0dDWyqmPEV2AB2DmeFk0j + h0iVe+wEBnJsZIv7/V2NYoOl7Iqalb9As1xysIDSv70SEI3rbcz0zbnuiCG2cEN0CG26cG0JFLEN + XxMgUiF0HnI9fgOUYkTeUbl4qMc93akkgWqrVdXXumPEjVsbWuSLbo54Q+h0gDZOdQNSUtrhAUhI + 7s4Dvi4tPFRsgruEtA5XDWBnQn14M2DTvM9rxdIRSr5iNI64ilj0TtfGxBytCL0MiGqQ0Cwj1slf + c2kZcBBWgK13/lj6rm3MG1JRMmbiAKTIwEMGP6abJd7GE3BMcJ1cLKPLWPZ4ZAMKgv0y9QrrbcyC + CpzW7Frbh4nIZgDcKTQNZoc1wDSxI3IBGuugnVf0QAgp+pkOIMxkEopETR44DkRtMj8EZ57E6F4p + HQFiPYBjP72lh2vHKiAjcEifwgqYEfA5dZQF9GihBn/rXXQzTUBt57Y4jm9Axqrp7944CcJeFXEQ + i7GoUZy0nf2WzwXSHFlW9NsRv493ZSU3260fWzomsT1DzX97c7UDkYNB13MsHXPMuG0WRPzAZLgz + THQVya0mR3x8zIsR8ImDgGvoUP+vICrbS0Wrmtwxc3iR9xN53sZ0wqe5oVAAO6kr/rdbkf3nx9YT + 4p2nZ0SURu48b6z+FkhWaAC4gAugjsO4GbXZu8QzfGgFrgnr+LwVy2DvePzom4sBUptJr427hWlm + ICIKC2PiOIwAWhSAeDoaXdTpFPGkG5V0o9e0Li9zjD2WgAdVec+ZUd+DBq/cykA0mRgBUk3K4aGN + v+O44cJrwOr0EvxtF9LC4sWR08Y7SWpCUEPvETbV9UkdVa+LJUBU2uiEkKFP7E7pBoQmoQdMVER9 + CQIbNpdiyAbFkMh8BYYNXRg7NwjCbqOiqz6w7rsASpQ5HT0RYILcQ9yTu2Nikqin2RajO6M2V43B + VK/L1+u/dRRGgR5BgLo+1D8o3QNpI5+PReyrh/UU9mHrloTuzsUOQfW72ot6wwHVYeniRlW5UpQe + CbTv+4ekvb3X7f+Pm68RyGvjxb8boNk+u6UELB7VkZGItJrXt00RKbk6m9EYsBbLbZcHZj+i1qOv + h3c3zt2wt0901tu7oqo/T6KzZdjdGITOd5d9S/yaqTPQUFcVRTfmsFZ/BkOFbNFB3UzuykI3UvXk + Ie1Opg33aFjzVq5kZWes9yid9VrnNFyoBorpY7Q+3y270O1nUT0J6yYrfOMMF54+q5Z7LNsGXtrA + 1jD+HVexejuybd+w4+7NTr4yN9ZC8XqW4LPm+z92TNrGz2MDImPjZVCpZj6dgGlMsDMuFXgImbwp + l3awM8a/w+Rv2sYYV8Z117h9bA/DZ6+s/sZXj9zu4tlHrn/z7dqF4fKxL177aNs6a0nykFv9483T + zSpcpcVy1uZFuzkU3vT4p1DrNAC/+sGnW+c7vbsWSoEUEI5j7QVzCQDzOCvaCrQSnMG5eVPZoRVl + p1v3wIRhdDALXgKfAB8CL2rB6QQlsriEoysxopbanu8xUIeLsc6Xws+KDhz7g+KbDrF/74l9HH9K + jMy7k+0Gtfp+YGMkG8Q1MLyB6hvH/DFj67hSgCYsbE/GwL2E98SSqZnxA9UrLnQnbRg+pmLdWNKG + OUSW1u8Y1H61L+F+i3k3q42N8qPFgdjmDLNB7Bqnv+3UG8539Pmgq1gIHADYJ/AZnCBTr2YTXKXT + F7hBWsjIBKg77NRMSDv2kn6x6ZdtswCXuGR1F9+S+Y68rDtY+aDj45V16XxdwUf4Bqx2FXTSMPpu + 8fibAZ+N/6xdbuZKk8+d4c478D2k+1UYN7i1ws1gCzGFw90c8Eikwiud70Yz/uhBSDEf606+WiEG + TYEkvQIJnEYo+K67M25md+9yZ8ej+xE6Or/l2PMc0YY7ZWokK5TSlz6V65DOeKhblOLa8EaT/W3f + 7VE/y27SIq65fxbobnD0fVd9gn19thIKYwo7vDL+7RyaDaN+ZzwegBfwY3cMR6si210fNgFOa5TW + WXv0xG7BeHe9+4sJkTZJMK07uRsg8Ht4o1QdeznxQCxs3NTGFkx0/vawBijx1Bwf/dCPUQVeAhPQ + rzQIwCXyecZRvcrwOODe/S8JZMzAd4AFeB94351buxfxMm6FZ2tuqzE3HMUrn7iGB2AOd7eIn25t + YK0WUbA6NkCuzObKnIZXbAPILS99m6xvetmwjRuS7Q0EIotXQ0eXrp6+4VoDIsVHZr39YNlgdEtp + dxh+fxzaoejhoQTU91pKriPefJBnn8UmNGY4EQErze7W3XPs3qQJd/cg9dTtnoxhjPlI8730s14j + rMdWmhuha7U7S3B3aNcNa56Fe1meWM1nimsD2s5/1y6R4g3suVJoMfAEnBzZcGehjOrpaoQGfNLx + j8KnpgrurLhHTb8uqXP/v/69C7TOPQFaW10BEk2JfybWjWPgPUVaTxXYn6WArWJIvCNbBtm91XBr + A2BztOv6yvVbjlaGteXgOB/S5ZEdB7vfG9W2ZGMIePM2lBUZPRKRjS0unU5EodheTK0NKCR8Rtyt + 9RVcN+kKB3DWHqXC/fEWZ9r8gAb/Lw7lHmpHZoWx6PQIYN1+rX/0Kvp9dLiPPv6s9jh/8brtbXf+ + r1n7KypT9te58QYH81qQwo0zxf6wnD8XrsfjedxCwI908lrDG9gVzsvDxP0ILqSKN/g6d4uwf4Xb + P16758un+nj7zBxXln8lOWiMwliGazvNi//dHNcGhe74qKuz2fzcGFxvdT3uE3tD2OUIztbiyTY8 + za/3d4lvu1lNNn7Wne52j7iNd0Mju81WztcZ2pu1q4M+Z20uBsDtxevg+shHfjCTuT6TW4/eeJ19 + Z/zqo/044Na+9F7OVubNZswmDqxbwZGqcwxCGm4KZzd0jjzu97jzxuVf8nvrl1bUswYlDO86YosM + 3xzV76c2UMp68Vmv3X4hzlMb7a0er29bW9ub313fIq8fmaeGzxu/r12NKWwJy1u3ncalSx49wper + Ne06fuu2uJ0bx55cSc/M27D6ZjVXl8SNE+jqvrD/0BPH+Mm1eAIfkWvWzA1MP9nntXXZIo0L0vic + wTzrpj8DBngB8uevEBSIoUYZXFVpHq4bs+yj7NSTU4il5GarPWxXK5VducXL4/+Datz+99YCj1tX + EP4bfvRCtO54qUWKiowCS/tH/Yp29AfUrlKxS53A+d9vJFdeWZKgcBcUYNhyzgFnA1pPaSLWr12d + 49sv8BPovOfr3/pcTcM2346Ho5PyxKb16a723I78CVLrXVcbSdavVTUZMWK4viBPrEf3LH0CEd1A + k4/23Af4OD7gLTR4bRuu0q8dpr54/nUg2p+k9c9bh+Yx0v0HCXRN6tlMuuvpyBzU/+V4z7Gq98aV + rpMCbjItl4vRMNUTFOf6vbOFvPbQ7pH1fO9Mgbux7P4+RyAb/d81t5tH2iU+aCLZJd1sH2bXqDbC + ObIZhcDWi7hcYi33va1QuLrvbCZ9bYDsdOPKkB6fULt23erzts1x3vH1I7f5eQ3jPCXCX+np7PXr + uxhHg8Oh+Nr5vrYuWyz3jCFcfvZ5jz+rvSZO+rRt26eq20faFqGdXXyU+OLyEZ67dd+EWrLlLe9p + Um4dtOe2Z7/Jjeh7AwPt2mNY5Qouvj4kAvDNFHevveGkd0SxoVO/ggbXb20MVNi/fEbr2Ley05ur + AsiQ/s+HFX20jb2BtZ72YFzXZ8MWXHljs22viST3Xe0X5qyrlTS1EP+o4rTqSFo4mXfVLdt2rB4w + oU66puN4u3ZDEXE+lS19fYSevQn1/QEQppvDeN7aDfatwfaVI3z+92NLdhP2XqONc4/et8A50B+7 + 6jYi5hzoiTQLmNZkbkR3r+xDu8bKrMGiq1ptjP/qWrz11K5TkKdfG823d25w788d+jWu3XfPX+t/ + Lws+IrFdDmOHjPcXLvS2b7/UZz+bVGeNsx0ugVdn4HAjz1XbK8yE2qHhMZz75bDJKtwpMm7Gcr1b + THcFzRHdk+XG8eT5X/tzvbvZk2z6pXj8Oof/Eq4vsevjnd06UDso63XZ3D3K6rQT3/Q//crV3s81 + nDvAbIvZ15RNX781h+yGFt98Z1v9yKHbnx/u/jP+uLq172xI4+eIX77YpbYH7bG3+/Iz2xmGGZ/2 + Jwbgr6smOfviqlL1LZu2e2xjcb84jD1E6ca2XTl2VxHgTYx+ZUTPvAOgLR+Hn3z30eQ2bRh68KBH + ZkhoeOvJdu03Se8e/3QPD92Xt+6DgcN9LQd73ucjq7h7go+iwSdG+OjjT5KAsy9fAZnn4PFtL+do + sdkl3+HBe8Y6XFBab3jZvaULOFudbURq1/oTHY1G1a6zpfDxzAXAr4t5hURuUBYG4DyhXsdT9x55 + ZEeL9xLiHn9vfnp79jYdf82B3epnhx+7hvZy626qIr3baF6LG1iR5YaoXhvSo6N/xsq8cbv2sccf + v4LLn9XxI091oFxPRuA8xIqd4fvbPa1Y7jWG8Jojfu0+P9XevlH7VHX7ZLsE2HNi+bxOhtft9s0z + yiZsibG4P7TPoSevOaLHOrjODeAKN7DHAzuV3jni58A1Vwa5Xrx6+2pHN+5udec7gnf5Ule+jkXe + bMea+PxsPPtrPLu+arT6ug10K7vxdFR8Obn9v52KXtNDXfl586F3rBkdU13H5YSnNfoZcJzpZyMv + 33q3v9vjld7N+Lbw8eTBGdB964m3GtPKmbyL9o5WqMH8il+2HGx7YjBoNx1/no+PnjeoDk3OVvN9 + p1B3OLmm8idRwRKFB3oGgZAlbwrd3M5n9d4Yx577Z89+PGMGb3Dn9XoeaGTX563JPn7tKlU7v/ha + y7CBTp5dXy9fgvDIk/auQHt813vew1FMbwvoe8zgAAw0NtPprsvNyM68bscjGN3vIyD0OUqi3cde + Z643/rxc5yf6HS9cVVv007FZsY389hbbdjbC56O3LdK+8goRMdQrDgEQ4o7Db4eU7MZ0gxfhwB0d + M/GCqYs2Qo9fa4VuHtwnuJ71n9dEWddX4/Gv9XYddHcszMh2sL/v5PM+cXVor3EZHetv2/5I+o1F + ewfkdgDRGffFjiew0+zviJBsbEB2MYXtF7A7C09QhotX366tSjMApCE7TLeZu7q5JwoTye41rMN+ + 7nD8yn65B5xt1u+it6e7Xwd0Fgf0Vu2arevWpx/hRW9C/cVqPDb0WxPancVHZv36CzLI60pnfb3j + vk1s25X8XV3Z2lb9essnaCR5e4Qb4jWE9QZw8jpt/WZk4bjJYT26NxcU6BaUPD6S8a93HnLPAY0O + 5eyNLWz0U7K75sBIW+L932E59mvf2I3tunh79XGePTEuXIWLd9W4++8tIHv+uX3DzwOdHRt01R8/ + uT9g+P60/Rtrn6puH2/P5H78/JLv7+/O9Qjf7A+0DIHCKH7SHgoqJRtU6ecM3HOPIc/+vYYWt2zf + OXq8yW2s6JK7F7YLw83lm0jv4te+nY9ht8iXz2JD3Tr7u3EY2tLLobclsNU0RtvnQLo607Oh75Bs + +/D5kPbU8HzWzey8Ixa7mV7SqJtB0OOVzfMXa4CLuTzS0aaX7e92s/ORQ2+7pkPalA+Ku6O22uD7 + nzWC1xjm4+wNH/vzbcey295nr+5fUYsdkJYqjz6UVW3WvgNanq8ML2HosfP4xFA2bXAjq0Nw08l6 + qG7POCjDLhvxGOg1jNXjN6Vj3hEM5pt3nqNGvDL915jj67YnFHtb/PwIbt3rKc8f3p6TC874vK/t + 3Nc+NxH652hh188O+z2l1DyfxWs8utEoeC8a3jc3vtkSYjYXHiJKiq16uaufPovXvOFRye3z65m6 + 9uw1Lem1/m5CHK//3kz3YtMvRuTjG7wGKtc+dWsCbwbr7Gf9OU8+9q3t+DkyJPrZIXp6kFfQR3+3 + r6GPxHzr/d3zz4Hu16MMF6NaeY4dYrw1v6sk7uZiPLndjq2d/FyFNJCxebtFcByIm/v4GPjjtpp1 + fXes/AB2WdNZ7gjc6PDGl98Kb7Mfq9F7EJ0xmWAt+4C4ead9WTYXtjPCNRjmCo2+v3JrZG/XxkHb + f0DZ2Lo9ZWoXTLDRQXNQ72dlqGx5q0PZ5wO5ectiSsiFxuk1J3Sdlr4VFLzeeK4T4Ru9bE/8OdG+ + Dspc/3+dnMRNv+o4yTdZk3VsO29NEhuWc3u2byDencI2aittpap+Rrg9JmdTfGTI4/m33urHGvec + w/YcjpPEs3Xuz61ORK89xKtbeQ0+xrV1GzZowuMAjnCWPTCsYQVbve1wQlv7bQmPtwPwRhiujPrZ + dPodbxy3HV9syPlhw25L9+vyToc1xGTGf3x1vQ0UKJvvjUCp60N/48+/g44+be+kfaq6fe12nbpc + f+hKRi9vGpOtRT1KFhzhDJcQJ4ja6h22E2vgSGR+fRxXMO568QpHckGsrh/0q5Rw88ztY7yRAs/I + 7PkTVz98vbNr7Tw9wfjaeaEqnmOgxrFxfdFajh4fPe/e2H3pOovU+1l/nwkSu+fPFqQ/5/ubm44H + aPWCFPuH9hL59uXdCl7b/Fsc/Y1p+ubfNnYfbNYqQvluQutdWz3U3i0leA67Nh7wR56/XI/nDfRZ + +ph/g41tP8wHExb/+BbsN89u3uxLdq265SMgdGscrXnnvDEKxaAlz7I1NbKBa0LRdqxsexL6Ul9d + 7qj6oGs8rwwI9Q0o+Bpv/djAryLZR9obMT7nI7g6okdQsN/4TSAQ1FktwvNHt2z35lDjDCbWB3w9 + 6WfEpv/aIo2t0HZrCldh6vEFdHT7USvHsZaF6fe7sETxFfJrK3Zx8aHN8C7v+oDWGxj1nZz9M/K9 + kuZrdPk6Wttd9d1/zh5o0sAFA3BtQ8/as3DdtQ19cksvv839AwSiHllPttJ7bn56a87EJ0bI/R++ + uzPEoyY2DbH7YsB7Eve8rz2z7RdwF6GwG9JtpPO8r56t8NWBsI/g6luRmhS3MKo7Yr/42DI9AR17 + PukSMW/cV9voNkVzz6dzbRJv2M5Q67566o00GhvgZp/ZqLOom0HuEHC3S3bo5nj9ia+8Teupw2Vd + tpV2Xk7GBdZlny1dCTK0r697bYTj/G42KVLfXEkkvG+PMyW8+vtRgHyibd7ddvP4KM/Q8/nD+y4u + RndRxf06mX4OCj/3NNh9/7XJcbzhGAkvINwW09gkoL+Kr/r19bxsohmaU6dtMmXZxUyv0otN57s/ + x7K9kyMyGjsiujBPcvvAre+269z+sR31I5+9wIdPMqLrRV+TlOwx1u1O2N13zkRy38HjGTV4I974 + /MXXffdWG0frCgq63KTNH7u7V8/JGzdfxa0trls5mvGx7amhnzmAvdUIzv98dwfknazVuz2vfx3a + p6rbd9VuUDSuFKEfNHdUQHqEgQELUIHD+uTAuYMTRxQ4xs7X4/xzvv/Rx3BBtnH171vXXuPhDYK+ + ON3c3R7P8+yZR9qVpx0X2tXt3NtIrpQevfLR6Gcva7URjxLlur18W6AcNZ/P+MIrFOFiPKMA7JCj + r65KFL+Uobrti47tK+ut3Z0bfT7Fl/FiTL7hd7ZjH1+z7a1NF74RZS7B4q3b8/v6AaH8M9B4p6Tu + HTTv7LA7YNyUQgjwcAO4zUN3VgHEz+HiHQwIjelbexVUjwqvLhHkRd9Y+AH0KszBF18kQduNTeCC + qqhEAhLYWdKuhTlHUGcM5r49d86bY7md7DNeP8Mx7Y0z0YTXH0bfTJ7d61xpO7Vsjqa8giWvYTdf + e8AuY8A26QZxxeB/McTtn4/jxNcAr6G62mCWASH90+PLTavbQysMblFLB3tA2q+LbDoZ3+T5Pp3P + 4I3Fk7O3nkPEb17eUQVsycH6QAfO5w/yneC6DfzsB3fjINw+nD0I14G93vZZYR288sv3X/cuSyVw + RT57rmYUNPfrh+EdtAt42BDWq36Mj4Locz53sdpr4Oa1szIu3bzZ2TNc5lV87cEh+lr/HW3jdbs+ + tkcGZ2zaO2sxQXYeNUprduozhrBB7evRawPjHoE9MrjLPb9VEvmdcCMXJR/bf4Ubpm98mjDAVv3P + ionsNbJjAj28A1cQxTam4rxLv4CNa1QUu7V5FwCJRoRvcBE3X7r4+2IwT9DIG2ToSV57gNklwdpv + 3Ws0dmYj9ugyY00XFDaRefu7jdnrh6gC3BzqS9pxZdg3BoY9YLw9md534J0Ra4O/FTXbWaobd28A + 6+YrV79+Makzz+S1N7/yMLZbTm6P0JjZNXS/+c/a7ebq/nxt+3zGkNgO1DW2FlfH8/ptt6IbkLy2 + Sbv2nLihNx5ScOhbQWw3yh270p9+N6j+rGe8BZv3aXtn7VPV7RPtUX7XL35ca+woc40DZmNZiOC0 + uXmy9ce8//YGcz4mg3CPWnEbp12hUtcme5PjufI+Q6TR/Xo0gnxhSd5zfpuZPbKa5wjjPCMSNguF + WHG/8tp25Ny/Zb0q0layHePUcf3yw2P32DgMbpZrh/ZvT3CbY+GRXfau8VcgbVzndlPdLsSGgPrV + rTufw7WbZ0+N2Ko2XP5/7L1psGXHcSb2ZWadc+97rxuNHSDQbBDgAhAAF3HVRs1QEkVt1Igej0IO + b5IVdtiecYwdYf/12KHw/HKE/3jGjgmNwyE7pDFtje2RREoiKXGVSJHg1qQIEACJHUQDaKCX9+69 + pyoz/SOrzjn3vdcgwZF+yGIFovHuvWepJSvXL7MMAYxE1c98eplPTicin/1aO/c9q4XfVTs63y/9 + oivqt99JXn1XGvpfZfvuVc+qLkeQgWkWsoiakDV+zkA9U458euhs6Y9DXE1bYtafK8dPvHlYgFlR + QAeTAgpPoxXK7eQToAOgSD57Z1SboTG6NVbaqs0EmlA4ypLO1NKxG6Ov8xCrusIEHr7ou7hruvl7 + ICSfkeYW34x/50ho2rrKZ7dv39U2/BgwmmnQWzdv7eW5+n1EawyGu12Vzo/8O3Xz+A9Hh37l6w6T + UwxrYjA+7/D0nW/33ADfvnRi9V5r2Y4FYGicuuC6zWEhI3p8W8t/SfXh0JfHTcpRHNZxjzhGvlzh + 4ivONW0t+NaVxxEtHTeMl6LuK7NI2r5q/uT5A2n7lm05TN72fIV7tWTMl+m33e6sT78TQeEeGG1v + mVDbfYxk0frFld/7coXdlCMx71Wl0gY7M5qiO0fl2HdiOy+zPxjn9Ts+kVIS4AAAIABJREFU+BhC + PbQDj2vfobNHic8nl+LIl7YKO7bJskO3/WXMy7F7fFTKvIXzHcfsAgd0SgcZ78JRFXR8l88gHrNK + mtN5gkep79jN9z2P3NtkTgq/1QOW5674Q52f1se3aejQo4/tlh+X7TNdfizDONzhsR0VG/WPZoYc + ecp3mqpjueF04yF2sv3Q424M+fKdGMn29dt/ELYzXV6K708Kw/zfSbe5Mus+9JztHsWTp3/n3cN2 + AICqscjBxNpepnoEaHt6cLhkIAeEgvzs0JMP9+bKv8wIo4n64wfzstoh4qGjqNtt9aDpEZgpZVfu + 9WEd4YqjO6xz+bGUQKOd3F5MbV/SPDB/TJeOG8v88rbrGx0dx7S3Lp116XDnj3vFYc74l9KOVbbQ + 1onmP9HWT1fo45Xad6WSTVdQVUBppKQ5Szn2AOFt1vjyZ+koSzny6O+9zRSrf932l7v+fw3a9123 + 33P7rgTZoTuI4OBIVQYKJq+IHE5ZnQvfSby99Evn2tKcrxyrGuHQdp7z9Nl+P/6lo8/uiPrj05+H + ++XbjNu3cHK+xQRx5aFe+aWT9PXvMFGzTh1+znaQ3Gk2/wBAvlUKAdt/t2fabGg0LuF30aX5bB/P + bNvwFcjxRaudfEzzQx8orp/nUbw8/jsuzRz80N4SNT088hO3SSeM3BHIuU1YFdT8VxezxKHt9J2u + fKmLrywCX2qF/1IEy/Fa1xU7cLwcb7fXQsSOsTrduBUNMHhobDyt1FYW1CzR/GiXKllt9yc+HoGg + jdLbRjWf49roWoHLdMSU16R2o84go9LmVXNnnjTQo0CuQN1mCqlHdb/NOn2UAR5u7ctjlc+Xt8hX + 3njHa0v+UqR5JUZ9jM59iEFPDoAZoHd8HEVccbrHMabIVnY+gmjiy1nnK9xsUjJfgrUdOxtXnu3j + Z257Cnz+v/YTzT7NL5i+mfrWEIWjukwYizCQVZum3egKOIiD080hcON7Xz4TOGzp+hZxbq/nkV13 + HKl8V4RKh6fxsCF45LFH2xWZz3dqdGRcL/3CresJ2LIzx6OyW4vCq3zck45Tv4IexqDVSI8B0AYi + ZQGH8r23CA9bMauXHst30RqmeO6omAQqV6a5JUpn5DKtShSJOU4HulI7nm0f/dG3fp5Vc4jqCONy + tLWiow8/7oXfRa9G98MROpXZ1daAeyMT+55efIU7jtkgdZF89BcfYsWxOIQ525BDq7X93+xddWVp + znIal+A614cV/EM9v+IGP36EW80qzfn02cGBc27awUSqDt5eL9jRgtzbPdm6foyJzE99m+TYkfu3 + pZ9vz8AW3R0nYWj86+W07SceYb2HV2GLRo7+cNizud2Z47j58as8//bwUw53fy5kthbnZe+LKIcS + W35S/AIN6NMlc3vEq6anAOAS9bLmZ44QAA1IgbZeMvEYqfXKknHFxT305WSSeuvM+KbvSoodNy3j + etLsqpc4poxmhUdGCj98JR2+a/vn4+T9dmdeWgSHGhNc0eEA86FuzBnQduFe1LqrTeBW5j5i5K9Q + UsdmDzxOFvmMUg5dQdjuz8veqFduhzkSHf5+2g9+zAXTTy/FQY4uxRWJbb79RvlO7vVU5mk96PBM + ziZ366crksoVO7nd3XEz/+vM+ksS4/fytL+s9f/r0b7vur1im0tTt8pOeVSKK0uqF7nZWMbb3Nyd + WSaGZXADV2BbZCcrYD45+Lg+jJq2Q+1vBsgM1t42WQJuMDciENFYxd/MmAlwdycSInL3+NfMiKZr + Z0OYHG3mzi3fsCplbg1HEtw8vDmVdZoD4XiOLyJ8NxYYAODmdWBbGoDX0lezwrLzn8eZcET/D8Xx + UTU5q0C7aTjusKM1hsfEPoI6AJcmH0fnrFVN1AzK0ykRZmADO8wdiYjjVT7m2QZhxDobiJygTKVm + 9DgAA8kWo5p9MAURWAD3mbIb09+uc4NzfOHhH4ACA1SNl04MIBewDV0XL3UjMqqacUUHGZA8/F0z + Pj7j8z6jwNq3OvOgpjPX0z5GCT+zFtyAAk+mrtLVvQAo0LnDC5xAKdRumx1iVpMuXZ3Y3YmZtiW9 + mbm7yOGCaK3btSYTAcR1VbTNqruj1pA+1Cis7/FVXv+pFztg5iAOa+MoHYYh0d7o7lZF2piAbgoQ + zbpd+7m1VQ8PNobDzDHqQxdEfrs53FyiZ+MieMP2z9W4Y9SK0MwMsCDPAjiQRidd/ERRaJvM4Yzt + 6TNTdTLhrrIOA/OMFCa1xd2UiMGjDeLhXzVUWhrZrIPCI8KAUPC8ZmP7xDVQLMPWcFp23YxfxiAN + xI1hsY0eX8DMbaCuJBSCjdZQZRkI+pl42mETs/bdAefxIMno2fyQ5EOqk8NiQajSD4C4PY5wmEyE + WPSJtsKPPV9Kd5B6sIFDJ0A43GEKxNyOqhyi9idYnGq0EDSCBCsLd5CpMwTGcIAp6gFP9Uwi2c/c + BAZ3KAeTM4AokmCnI3IIo1drJsMm01HbAyNRlo9xH80mftxWc6FlYObK6M0IzK0IZJUXBAmuZwYt + 3i0mGhvlVpV1oxLajAj3KSWtDkRsPJRqsg9bDR33Sqs6IA+ZChYnNDxoBSkY+4yOtrRqTIu7xYwr + bzUAxC120k7msa29Nori2n1DI5tRdhGYxp5X+VHnIpSEJrzHZmaOmOT6andQ44Sx37ewOO6mVbWY + vt2uh11VDQK1pZ8ybNpUmPvMWpy9GSCSGO4okmZp+BTLZ64xGZUnH1Nyb5ziuh/dXWKYpsw8f/Vc + iTGQwxlkAKsRDFbArk5F6oikxh8tKhh5pR6Tls8QrxspGU0coEmE8ZujEqHu1CbPK88ADA4DWwOo + GYpAx8M/j1SQmdiet9/CXWFOzMRbVwLH7M0tzapKUG5sjqObqs5M7WnxJLPgykRoLsVx6anJiqNj + n6ZgXL8mPcePo9JLNLnnjvZ/VGqooW7HPWEOsFY2A54kwdgmMWLNL0FHO2xu5s4sW9vc21w1U1sD + UmgoiiTBIoygDrNswj2ouW4nZtOONW5KdOxgHydhFj5oJHKlWPh4+Pvs8lBvadwC0+hH7kKH12hW + MlgBghFsPInDnWsM2GK3RxVq3uJ7FVw5FqkPypk0LjORJi+ndXccpUxq0tQqh4wrVAvIRThkZKNe + CpKnMNNwhTEfmbbpwkb4o2NquxhlHaTPn+yj/8SrfJ/4+OyerQkfGdGoTYEai7T2jEoj1Cy18WFE + IS1DozXADEKtJBAa5buBiBtH9rZ74lNIkNnkz5iVbeVINVIch04guNVbzI28Bs3cTdVEmIjagVbO + 43AJ6sixD8EEI7C3U6/gCJ3QiMpULItADFejmuw4chQ3Z2oHmx1azXHi67i9wXKMmvHlZoTJ7J9T + 3lx00+Gvpg+z9KMjVZV8tLYaxde0RXMX8JQdQA7yqvCTwwyjnVFJw2aP3forxKiZG3NojnRMkR+z + tpzBEGdLG+9s2qPPJnIm/31OG/GBR9DulRvNUE71UQaC8+zR03/MbSHagmErP8EcPKt0/1IC5bhf + x29GXrd1flqV36iDcoJZKUWSNBNvxqCbxjZ+ovl+cQDu5jQ5arhxsEpPcxO9daDOf0yXmcsWRK2q + BJju8+ZIILSAhmNbJbLW6bmSsL2UakpMTGyNeRIQ0pybuT0qc2YeQeHRbB1NmdqraXFsfMVRhSfe + Pzqsjl3B7TbqRX9T2l8p0u2vfRtZsaqXomY+maXubtr4h5WSzSJS6Fp0GHLgO4LTqaFki41EDncx + mGLIOFiXzcG6rFa+WWctuWrjUfzWoGqGbLDirmCfnUkFQFVzHkopZjb7Jru7GUrR4DbhAzKzYRhU + 1Ue3W+hVkzkKA3KxYm7NXwY3mLoWK4NphikQhwa7A+pQRSmw2i0DDKWUtfkGyJWFhYIwZzMOmEUh + S4/7XNsvc+HgMLNStBTV5m/x8alqpRTz7RsAU1UrNUA/c1C7tx66uZmPzglHWFbmBXAzy8WaN4hg + BlXAVLMW9a05q4bKOKNu0GJFy9bQ2uyEgIt7vfkKctaiDod7sD2YWdzSBCLMwgcDM1jo/yiw1WZ9 + ab1erTOyYb3OB6uVu7mrmZrq2E0PB2o2FB0nz0zHenytbak3QUJqUx/MdDSLwvybmVQOKCgDZTNs + chmhnNBSKQu2aRGL6R53gBSkcC1acinTM9v4tZQy/367uXsppdT9GTOJUrSoIiRklWDVXTzOsypU + 58+BmZnVi92taLGRss1KKRZU2N5b3xh/q2lRVR0pPeeSc553tT1/6vb4cX5NbFJVHYZhPmp3xPvM + PJdS/66OSnPXqoEBQeHzrT3fJMHRoMW1rAY/yFiXkV4RWz5ErhqKBSsan6BwK3nQHKW3yR2qZm1i + 3INWK9HknK3ySTNTtQJ3c1MtVVm2ZteA1E19PHVCYQq1qQwaABhWFy68+OLT5168cFCLf5MW1zKz + R0iBol7UrUlzK3mzWZFnRiao2TQix2h3BFWMjHz6LbqqqqpT0mvwCjWdeJLbbJLh8TTzuLeUknMJ + BmKq3uZrIgav3XWLc9lnK++mqiWX4JOmGOko3qyloM3zqMKZWYiDYFNx16Trupl7cR1KwVAwZD9Y + lc1Qis03TBCQWjFXg2XNVRCYupn67LLJOG20V3kwzKvvWA2qwf+hWvs5WTLz/4A66lLqkgBuQTkW + kq3kXLTMpqEOs06s2mYoqlOmYnXWzp4/m2aKSFusMDlgJcSSRXgjOKlr/KmAmmc1c4cVbA72zz/7 + wvPPXbq8WiuKYZNVt1cR25/a8jnMLWcdNmWzQTAQQFV1xivULKaxqFUlpEpwbaGK2F/1pSGdi7pa + fUKj5mreBw8ZSp42SWslhyrijfRMVXVk6bFn55vHfBiGnPOMucXIPFiZmZmaqTYpZuPEjALUDHlQ + Ux+76pUXllFpcUPOlWuOBh2aJVQ3WM6jhNnyk6KaEGEimXkpGn12b2xqXJH6aPNxls0NUPOSB5SM + 4cBWB+sBG60+Eai7FtNiVo9/HJNQwjcQzF9VfbaswR18mg0/JBFmstlqd2pw3BWu7rkUHQrWho2V + bFktNwldA6cjtc9FQNVqHG6qJZesbTeOs++jfjB+H5pl41ymaloAHzab1WqdhxLEmAdtfCY2ppmb + uZprq2hRH1jZQhUEc3UC8znxRoRuk44UVBE/DcOgOs1buOUxHw/GwWuABgw2bLDZIA/IGTnnXLLa + yJZHOp4eEnLLGjWOfRgvCWY1UvA4ksYPTa2sN5vL+6uDNS4f4MIlDCUmwQA3Lev1yhtDAwCDtXFZ + Fe6O5o83NzMzt2ZYTJ1FE6lbA2jrMe3hUUNwLzrbe6gseqQBYFyjcfNXGoQpCpARUjXCrUVL/dMy + UOAhP8hnmkSE+ksLkZkh56FJWGgpOefg9DabZG9BlzqyeRjHK/mpjjpY3gzDjFFN6p2qFlOzba3X + 53/Nyb8pUgAcqqbmlZta4xk26Zatm5MYLRqqTWgSxUqeT+4R0Rdr0kRrzLlZqewaAIp5aZLU2gWw + 0sy6auaoapitCmyylnGbhDguoSmXiXjCz2fuTmbQmRDb5lTBxmf9b9wMgPm4NSuDM1U1De3aYiBj + 4gJcRx+sAY6i2NQTYMjABpSwXeoNRVcH62FYO9aGoTpcOeSdA6PdbWoll2lN55vAMdk281tGUQkP + uz5kEqrxMFummO+tYNGMILb+BlCZjs+1nZGgDfUYv1gdM7XigDXj2gyh9lDlM1lLme+KWDJzqLlt + KaEUykPOOdQJip7MDB9UVlZm7M62WV+s7KQlHPaQuce2DYE7N3O+c2tBTlSTs8RIZ/vApv3SXleZ + wqybcGxx40Z+o4E2fj8O+ZBFqWHGNMfwjIvXJ4QW5KqhRxazg/VqKKV1013Hct6ubmoTd3GHxrTE + w+qEG8y0lFGj8nbNqDBvtS3XuY/ugPqCQw4dddsMWG1sk5uRdXjmzbzSzfbUjjvd3EO2+kxtc8Dc + StXDJ0+KA6WUnHPJZdwEqmZqTaWqs+puahqHyppvrdFcKSqlqCq+345rdIh8v9/G5kB4v1gqr+ex + fJSbmSICWe4eMYIA5Xp1DhFzziWXsrNYJuJ63I5hUywt+NLBuce//Y1PfvpjX/vyI088+mIvJ155 + +rq3v+3eH/2Rd5555d0TEI4AWSuouBmIwR2l8N8wc7WLCUHso6GiakQkIhxRzRbWUA1oCVFAAKxo + Vkqdc1JzkuQjvAgguOYNSu77NEV0WAAu5qAErvASOITA5MBmtb//hc997ff/3w/3afeeN7z25973 + 3uVeR0myqRE5eiLiGjDMwAbWQTuJEF30KiLmEZCpaDUQQQ0NUEwErxCZQN1CzYzciQAhhxrULK0O + SHPfd9wvkCQwEYOjCCfyDgG4IcDVkcGFoIC4L10TjxpyWYMVHeC2Waf9faS00y3Q71QisVDTFG4Q + giQQHTj2YYnRETonqsqTYnWwTrJY9Es0+deim8bsTA7yUgYCJHUIRALxeDBvvIsxEF7Yf/GRb3zz + 6T/4468+e3HxUz/13te/9tZbbjjRSYABWAkZngkJshwj1MmRSvFMYCYBWFVL1r7vmcU97ARiaaeP + eFOMCabeBKoTgSVSlsSAjSGVYSkDaA1amnWZFkbIBmcsgQVl2D6cwL07rUvyLsVxURjywlfc93Bx + sIOOxtkqKzeLH3mmrFMgC0Z5rEaURFAKiCGC0WOby+AOZmFOTAkgLVXwiYAIqgZyImd2InewOZu1 + sHg96YYqL4i3N3HITDMHvTUL05mZU2dqDohIMNspND3bmKE0dF2HpiXEPAhzLiXnvFguAVJ3EQaA + iuIf7WcIE49x6RCyRMRck8sszFkQU/IBvs7PfftzDzzxGx/4vatfede7fvBtb3/Tvdef6pcAbIXV + i2Xwkna9PykLyQYFOgKV3FPhJDADGJIAtsYbaEquH9EfqFPcws0WgtrJiVJKEV4uZk5gjpoJDECA + zjcoBzCDnAT3hZAcsPXX//RTf/CZs7/3yS/+7N/7d97zY2+5/ZardtPgvFB01vh2YAoqPXnD8+AS + dRvQCrjW7So1QOCE4mXIm0W/IKBk7aQTYibkwdyNg5E2aDXIG6LDVGs4utECxsMnww0SCO05rXhV + 2sxMF30fSzmquZWwR5WRaB6zNpA5DSXu7YTh5nmzTsKpSzXAZiqpayyUAZiDWLwFTMzhWhYC6LAe + NrJcuHTi+PzH/vT++84+9cwzb3/Xj9779rddfeN1JAgn5SJyc9wKe4EBysMgmyKLHaRlpppfIAQ2 + o+oramgsZjhndUgX3tNigCMJVAMR44mZ26DDzIA7MVKSZtk4zXaNubs5ETPHhmIm5GIAUuKYSiGH + ZXiGp7Us1gRTLBwLskQGK/AC6UBdJnb13jfAAGLQMlNyoHeDbwA47awITlgC4htYBi8KdaXJhwTn + fBmXX/iTD//Bp7780MPPHvzCL//q3/7ht+506HA4U6dBERqlugO4fOHChz70obNnz166fPlXfuVX + Xn/36/udnYi9gYiFzWzImrqeGHB4UXLtEgMOK14KiEkEnJxEQSBkhRqEkRgSALzwswAgiYzsiAR5 + zWkF3ImJmLx5TLu+Z5bK8BoizxxaYrGKae47kZRqhgGRU+WEzFxUVbXrurZ2scIAQMyV5QJSgehw + BzHMypA3XRJJoYbEG8NuZZFUOZyCpVJ6LhstQ9clJg7foLmZuaQuiB/VcnYcki3uzATzUnISZiJ3 + IwQg3GFanJREnRycRBzA5ReffvCrn/7D3/301574qV/6j179tr91+yuwDO5DBjbzMrhRSoFXS2CZ + uADG/c4TWYSPx8c8UyIqORdVEWHmkfvA1EtxYepSNnMiokTuX//zL/3R7/yuZzvzlnt+4pff7106 + ASwB2oQCWRli+NRSgByH7A7qUnMCAsSgCp+dJwSYwyvEpgG1xtVyczct/tu//X+c/epfpLT4xb/z + /je/+S2SyB0gSEIp2T2nPjWPB3nAqkha2A/htwjNNCz/EI4ichShHBMY3wfDDGOPiZzMUUBgdA4Z + s8U4FHOCw4AVYTjI55949OADv/XH+xd2Xv3aV/zEe95++rarRBKRwMXNZFTpzdSslNL1XWM4TeFH + 5VfuBqKUUlinzBQxZAGxNYGIzcHB+T/86Ec/87mv/cX9j6ktrr32xjvueN3P//zP3nXnrXs7RbAB + 4MXJBFiAxDOMFDJwZ0QJTiWrObq+D/RqqQ5yHobCkroGp6Ttf1sZ7pnXKg8Hq9VisSN9j4CdOrSl + EIVHcURIeYUuVsRk5V+uoAIqKA5bwnoAlrBKUKAHEixhgCssgxnoNybgbuEVlQLxTSoDLIETktTw + Rg329F0XmhQajG6CaI0fKGAaChAzE6dhleHc9TIesKqmTi5MDVMZAtUjFQEV49tqPoyOcQbIsg4R + 1uq6PpLtwl0bby9FN5vNcrkkpjxsur4XYYIXLSAPXqHGRYkFwqDIcQr8qxWAQAK1bOYkkESz9Cp3 + MEzi4rzZbAaWjjkRCXECMxitL+HfLyVv+kQiDCbkbKpOTKmDdE6yyjaod730jARYHkLVTykF4JaD + 7U95YBT8OeeBmZk51NcxFYxbGoS7g8BENMVtXa0QETNTgCBM42NLOar+GiYipozi8AV6DIxM6DB0 + 2Kd6vGAHl4Y2TARdX374gc/+z//L/9pd+6rXvfXd7/7xH79uD33BIgHkFpazAw5mISfYjOM7XJGL + dp2MWpvDnWwsCKyuZEiSpk1D5KBcFMTETEzBVWQsLu0oqjpkERahmIpmLzOcHGQhY7lFtw1mzrAk + XPEWTC23lwEqaquhFGNwt7MjiUCBqAjTuSWeVFWR2n6n8AE6x9Oq7mEj6taRwhUOh6sTXAjCFFEp + K1mEIWl0prrBQCCmyCFuyViz84sntjzO80tnSc5b7Dl1qLpEguKEuj0CkgpDRg0sRDxmK8QV7CB3 + ptqNozmLo8E12lxBk2juwhAr3LLqwofjcFOrsUYzZpEAyxOZe9EiLMzsZloU7l3XOcWmoPBdjDRf + tRk3NyMCB3zeHe6B0WFJkhLA4fMl5pRYFSjK7iLJOwzAAEvuCxBrwJQMpTi7Lbs1GdQWaUGOS89f + +P3/+18988RTi5MnfuLnf+bmO17VMScgOQCIyJYnniogL9YxcH6p61KSirptFe2a/hr0kpk5pY5Z + zNzUqXExb0nqYXfwJJOCwxsIwgwiM2OKlBkfDeSZI2srAH/lNhd6fyPa9wsmvFTzEbNJlfiomVtc + tZgGimeCw3X0LnHQYHN9BWiC4EzOWvDoY49+8MP/6k8+8Uff+sYLZXVi2V198cK5Eyf8nnvOnLn1 + ddBUU4lEHdmRnNgg02k+NSRb2bjPM3ZqpgrMnIQmJaclaLu7lpyEZtqKm09oiDGfApE8XjV1rcqC + UFOgoA4ChMIuUqA47Omnn/rwhz+67E+A88+9772EOYsgQs3GYAahiszm2GmqIlWBFJj6mqTfStaP + lxCBqi07efJisMOwuXDhwn2f+/ozT1+8+upr3vCGO8/cdksK1zQZkbt5zRvxkGLK1Snj4XBRCz8i + AIYXLzlrefjhb3/2M19Lae/M7be89e1vSj2I2atQoZkQrZLHQVS1SHvh/PnHH3/y7Fe+trd38syZ + V9352jv39naJINIMDNT8TqpJG43czJkoAgkThMhL3lx6/tmn/+wzf/bot+l1d977qlfekLrkNlDN + 2o8+mMJUJUUwgIO/WiVKN7gTuVZ4aa1IZaMnTiIuCHcnGh2XlSIwnm1VO2ugDCSSRYSwuapQsU2i + YIJ4aGIWpmIQmVU8HIglNMIp7ifMUT2gXKG8AGYmnANuFl/EhlUtIKu5WW3jmFucezD6jEbqIQLI + zBUAEzuh2sjNdpj8ts2HF/9ETJtbNwAwCxEFzuNoXvhopft2wsioeYzq8gR0CtIE3KCm4jQxmNHU + hI9+P1CDyTCH03GKnJtvDvafePyxP/zwh0/fe+HM6ZvfeM+dxfuiQyqbpx588L6vfuPCQDfffuc9 + b/qBxd4e93ACsxMURBCGkQcgYeZbKGoyz+amNsXuwVCYydRjotVq5rQ7eWDTw9sY1qZrixSTE5SQ + imJ96etn7/uzP73vy2cfe8dPFVAHy99+8uGnzl145vzBjbfcfsstrzh51YmuppZNPmUwETEsg9d5 + fflrDzz51LOstn/vG26/5rpFS5WuLkJCQyV4Qy0RYlHcFHAWJiJQaCqwlkflruFgqoPfrkIdwMig + h0rI27DPoCSqblaH2ayEQrjDiKZjZmNbwcysFKqeebgpAS1Rn5habHEaR3C/GpYxuBV96MGHP/Xx + Tzzw4ENXXX/9Hfe8/iq/jrFl8YPJoRlZy+aZbz7y9IMPM9KNr3rt6TvvMQEYDNS6MLH5m9N1GDbn + L1x+5PEnLx+sdnZPnrntVddfd1XdekShcDeDppJtCNZAQVbhFswKYUZAWwDJzN3ViVFjPjH1riUL + OUjAnRUUR+L6aC3KsHE6GChWHOEMouqgjPmvWdUVK2jVG6RoyF0ADKgpa4Egry5965sP/94nv3Tm + 3h98w913nb5xr1Y0asbAldpmszl79uzH/uRjFy5eeN/73qeqVopvGyHEbE3aTg5gCjdEq7RDVUxW + zBmB5taTGbRAmjEZgobJtDggVdYYHNXINqUaa6ie3VGXYA7jjkYeaFY3day81YxLENEYMK6QFqLR + C6yqBErC4QueWRMeBQbMjEkITPXoMY4w21TDqe0OcwXJyEdDmDdNacwup6ZZ1BV284gFht8WcFNt + e9Pc1JzBAhICadCplufOPf3lz3/mjz7+ldvf8uPX3PmDZ16xaN0IJLmFZhPBteIGg/DkhaS2p8bZ + 84ABlpJSqsy0Oarq3zFac/KqyDW1CUXticee+OgffjivNm/F5sd+6Rcc6Vikis8/hKclAD5ELAk1 + CB2p17NbCO7kbuRjjq5H5CpytIlw9uxXPvLRjy8Xu+94+w+96Y1F24z+AAAgAElEQVQkXMFiY5Uo + d40bWxZ/3WCOSfGbLOo2S2jI1iQSita4fCE3AyhK1W0aMUFMS0w1bht8jBhRN8pQShkuXbz0iY9/ + 8vy57sLFu9/5Q3cznwqHYuVdhJjwyS3ioZcYzdetcu+6WNtG5kjLDtcLzz1z9htnP/jBD/75ffc/ + 9cyl3RM3nDp17tvnzr/jB9/52tfcbO5CDjAlRqmcl6oqyOv1waWL++fOPffUk0+ff+EFIpHUpT4t + d3ZOnzl9661nRBbRu9QRzyh82k0zu+Hyiy88+fjjD3zjG12/IBa1gDwwsTiROZnbHbff8cpXvnJ3 + dzdqPzSGTqMGCPj+5Uvnz3/7sW89Jrq84eQtp297HV+dlGHcMtYiWEAOzerkkGfOPffi08889/hT + Z2655ZpX3rh70ymnesBauOtF2IwCvipEzhRh7zHOMTr3VZV5GqUHRhTOI9NzqCkLOyybuhsxhARQ + d1B4bCnUDyc/esRPJbaQsMyIEmlVvlVGWF1o49yqj8lLVfPwQDAY+tqrVkGiLpEHYx1JzFCDze5u + pgxzMwLYnRH1c3wsdlL7Q3XzxGiCeXINeRKIFVD3Qa3nqCQ0GlIIR2O4rQ75TRDisEVUxvn3hryr + yvO0OVDRFXFh9JGZzIDwkXkpJsKr1fqFF55/6OGHL126CKFs2Rk7vCP71A1pSLze6y4vUMqQNPem + Cf3eznU3XHv6rtfcZJv1008/+dGPfnhx453rnVve+a4fPwXA0FWzS4CWPdBY5DTfqI7P+YZoiz4y + vVYzxMzcOYVL2FWVJOincQIiM1hRlrABK3ecGIC7R+E26hyzJYs4XRi/dbFbxSk4CHmz+fa5Zz/7 + +S9cvLw5ceq6d7zjHTddd2rZcaRyhi/LG4lNDIomltF+MK9aHktFcVmIUSIorOpYICGqpZm8Hbjq + 7rXeVzN+aNzXhxthElgvA2/b7q2GVVVIGjGNIpWbyBgtK9RejXy66m7VSYCxJ+Hu8Jba7+6lFBEZ + g4KTpbnNvWlehorqN8wsoeG4G8wYKXWVFtB0lNBc3aumMrIDB/NYH8RqhQRVR1P0aokROFVTeDRZ + mwJgZqTc3OkxSy0zYvSOOJG5ebbLly7f9/nPf/1LX+uv2nvdm994zelb0s5Ou7KOTU0pmEBjphhD + yLXXEE6Gmp7AYUgiyus0sC5NLCVQX1HVCm0Zq7JnDhgzxY3VtAlznKshHMQjUt2SIhypZCKTavf9 + Fu37rtuXao0jtI9VXTMiQA6X6XOzEt41YhIh5q7ruq4jwHLxzZpB1PWLZbe/Wn/5S2f/yf/4z889 + f/7m629917veudOdPLHL8FQGyZsc2CB1mGSCICVHasqtMwOgMV1LJIWXB5GxlUvX96q62WyWi4Wk + WgNMS16v17s7OyAahiEtEgSSBCIGJg5hUtkmzATWpcSdRMIC8rDZDLLYSSxeA/MYsgkxJ5QCtwG+ + 2dlZJEkvvnhhd+F5KLt7e0gKpo7FUFWfzQYO63oVciGhrul6MkohxEybKYhJGAAxBIFyhlktvlNt + SWKS0SBSc728f+nRR574n/7pP/30J794x+13/MP//D+94fqfvurUUpKEXqzualELFcVMTRM5SQMc + EIqCgE5AIma+2awuXb70iY9/7L/9b/4HYPHen3n3Ha/+r09etey6Lpeys7ObpDPAFKqgBAIL90Bv + 3gXDevTRR37vdz/4z/7Zb1x/3Q3vec97/8Hf/wenrjozeqWjwlGMnGsNLHKHmqt6SuyOnFED+Elg + zlSAvF4fXNqXobQSCxWaGkATYlAxHQbjDbG7WjFS7ojBgZlhZklps96Ukol5sdhhJlVEkNVrgrOq + lr7vUhoLAFd5FNpCxxBOoATjogYxZjAhtRpmBrh6Ltrzgjj1nNYDrAAJXd8JFu6+yRnwpaRY+5Kz + RqWbvucmZYlItkvHTigAgIiEpRQfBuu6ih8ZhoHYZdElkYgWF42ca+8kcapDCRWFmYgNI2hLmElY + mImsunwtEAhoUr/GQlQ3m42DupREOAKq4cZVVRGpeJZAkfCIa6++jFAmULXy6maI9MwIX6eUKFzd + BAdyKZv1uu9S3yVJwjJWOPWmGEbAnXQzFHNiSV0nY0k5c5TsZVAtmzxsiipAScyxWh30m0uf+tjH + /vt/8hsPPvncu3/u7/7D//K/et09d59YJga6lKjiGhwOVdsUde52lh0Ac8/Dpu+6FBiaSCeLCt/u + MIpiVYkFIHVfbwZzJ5J+0RlI1SSNNs2YRtk0CmAzrMuFF77ytbNPPvXUG9/45je98c2vuu1Uyqsv + 3fe5D/7RJ/7sz8++52d+8Wff9/P3vPENIr2N6uwIaCOgZJTVi88Pv/Xb/9ef/Okjq9X5f/SP/osf + +7EfuPbUqeD0XZeicmkpmpJQgnstWE1AzjnngeCLZd91XWoaBrVellwiWpNSRzUp2ZhJhMy05JJL + Til1KaWUEA4n1UDolFIC3kLM2tJyuxRxeOSSzazvF33i0PvDIFkul3kY1puNuy/6LnXL9Wolgm7Z + gQgWhddHQ42YSLqkw5rJl7u7Clpb2VzeP//8808/8fQ3H/rW+fMv5DJmO4/2a9ilZV0O9i9e+PSn + Pv7B3/7A+ecv/OT7f/lX/rM7Fid3ktQdVOXl5Prkg/39xx579H/733/rwYcfueX0mX/v3//VG67/ + AQDCIAY7tQVqtleqmyvnwU1BEGE0tT0AQMxRvtU3m40ZUuq6rgvGQHDXzbBZ910nkkC8WQ/F0t4p + 7gAvdLBaL3pZ9OEmVHZl5FpbDhIRhub4B+AMJ1AkLcIJEG8aeWzjzWbNWmR39/Y7Xn36lY9deOGP + n3jskaeeevKma18XLFnSOJ1zhWFya8Fstb+/Xh1oKV2XmGi9WqW+l65j4ZwziPoubQq8gBNSYvZU + w65M6KOwOFcj06k4WNBtK7tmanlInoyoOIo5M6UkahAmEiF305Jz7vrEIgtZADDVYTOAWCRx14cC + LQIICBJVVVX1YL1JIgE9c3M1Ayg44Wq1IqIupZxzStIvluO4tWQigqdhKDDqFyICEVnwgsjV8mq1 + 6vtF1/Vd16FSfp25WvLRAQIzh/NOo7KjdCQiAhC5VWgxMaVWiNMR1WKKaVku+lquzg1mpkVIQIxa + boVZOgIZMBQDEXSwsiFbw/PB+mA1ZPUFCPBieZO9cCfdYmcAFARQGTZmvruzM1pGPIqw5g9jIjff + bAYEHAZIKSURq/XgHG6uhVTRJYZvSqaui4yHg4PViy+88Nwz51YXD55/7vkSFhKOb80H5xEB8KK5 + FAMtdkbP8mELKUw7eA1WtbVz1eKuwiT9crVaXbpwAVexm3OrNQ7ArGLnsw4OI0bijhCJIOQON9RQ + nwcKW0spXddLc9UNuaxWB3u7e0IcxQEJRCIEqNpms9ZSWOTE3p6ZebUMR/QQSGAt75gTWBzwbBsW + LJaLi5f2X3hBDlZD7J1hyKljpjDQAbVScuo6SSKdwGGqOeeUOkmCQAebpUiUafmkRGCqoUBGhEgU + mh+4//7f+Z1/+eE/+uN16V535w/cdc9bDg42Dz/0LXMlZtWSElWnEre6hz2YGNxdePbiX/zF1z/2 + 8Y9/4hOfPPvVv9jfX/X98tQ1p1555vQv/hu/+Au/8HdPn36VGR2s9k9etUsNAjBbyJkz0e3RRx/9 + k49+5AMf+D9zKavNsL+/MhBL6vqFoSas/dqv/dr73//+W269dWe5jH2EQ75NwnPnnvz8Zz/+L3/n + /xmGk3fd+cO/9G/96u17N3dSQ/LFzEgSERPnzb6WkqQ8+MXPfPgjn/7QBz/2d97/Sz/1vr/9lhuv + 7kjjjijmtruz2wk7U7Pn2SPqum24F9VhswlJKl0XbKqo9ou+dtG8qGbNXd85YbPZmBsL7yyTQzCW + Zx/3ZCvD2txhDkJKFZOuxQL/FZmO4bSQxCcXOxE92kkLMxQrWnLfJZam8xClhP01sua004WLGq6g + Vk1fJCVxolYZAWqAoU9w8zwMAk2CfncnRA84+JLXcqxUU/1Skk526rGucAjXYpPVWRRuRa4i2b3r + 0nj2V1EtpYBImEXS6MQkgIV66ac1JwoG1bLKWlHx2GJaihYJ9aabCuqGVgP4kIech+Vy+cL55+/7 + wn2/+Zu/+cAD9ytssAGJTsoJuox0wAdC5Zrdi0tc3r/Ql7J062hx2+k7f/SHfvLv/4f/bs8Hw8Gl + Mqz0YP/i/iob1BGVjJ3gDiaR8DNq1BCDu3MAhZkg6JhdXYs6QZIQh8PXHVBXphSQT9Ws5r0IUCGZ + KaWqQjd31DDk9Xq1s1j0fbfYWTbLyIFaCCaXQixd30mlsuZqM5dENSxCY5pDlWeXLl368le+9Ou/ + /uuPPP7tV91x1z/+x//d3lvfuLx6j7m552tiAkRS+DqjcgXRBIghkDurFmn4rSiuAjgxBbbFHKZq + 5khJRKTrw+9ozYpkaXjbFsceXZw0U7DHZubDkFlYWGoeMI5tzScJQj3SsIUFm1UnwgCpQ5zcrahF + IEG6bjzsGtXXjIrvouq7oOaxBRBldqil/qxWq67rdnd3R69upOSHWln7ax5KRTgmRRjenOsBUtOS + 47CRPvwRnlikmbumVkylUVwdad2OgS6QKHKbh425J5Gu68Lk9ppRLSFDHGHyM5xRtGgxDt5B5iql + QA1dD2EkEoGAOEFzKUNer9bnnzv/+COP5U6ee+6FIds1JzlhqrlppWzWaxClJP1iEU4gNSN3Flks + Fh4WK1MtAunedUkkmbmV7KZdSiKpwY84JQJQig2bYblcEs3KjjtyHuDWL3oAHJBbwNyLWiJB9XUY + gWqteAeI1FRVWeTKpdv/hrbvu25fqjHVAjczEKQRjeiN5ihxIHy1JE5U1HIuIGZJLMibkrT0SUAO + KnmzeezRbz36yOOXLpa773rzu37kb/29f/OXFmkhcM/59jO3d12nefOVs1998pmn964++eo7X3fz + ra+YdYoc6jXRu/qAWgqeMEvXMRxMslgsWWjY5NVqtbu3Kynt7OwENnC5XMAKSoEkoAIqfTZqEmYn + Im1RYkKXFiwvXrj45IMP3f/gN6++/sZX33n31ddc33dwICUQuih1sl6v86YsT+4sFjumSigQgoi7 + FpWoDCmRnAhTFLJORq0wqh6x1OAvk5pZ8SSClnlKhNH2MQ+UWcsij4qfze8Fc1MvuRCQUtXH3XMe + jOlESgCqjdF1nXMuyIw+llnSaFkxi3QQwIZhs3/5sunmYP8yrCTBsk99x0XzY48/+4UvfL3v9m6+ + de/uN5zu+gLvarEBYveocGfkVnIeNmu3Ciqsp7gJ3AooTgtpyTwUYDaP0F9YlE1mGXwzDAeS0nJ3 + L/VLB1Q1MWlRy0Z9b8wOEu4Wi+o1l445DZF4qcU76QL/1HV9SlUdMa+IPfOKjU0kScY8EmgubspC + zGxum8FIEiVnInAH6kpRdggjZxDAHZwIqUtCFZaH0E0BQNWcnJm7XgIJGyDT1HXiHmHSiPx3XRee + +3DpciUQMmAKpTKlRMLNsCd0XQdS9aKaw/mSUp9SinPqSoYqUoJIJHeYlQwUZgvvW3NCIaxXB7lb + KRZp8t6SN0VksVgCkQhMkX8DESJmgaqRmqTEPENMNleXm+Wcq4LrAIOI+64zN3iFazk8DwMkSWIA + KSXe2Ynqjlpy3wXYxsNoDihZpAeLCKd6tGAurmZJpIeHT0qEd/f2qO8GVYOLoFv0dqB5vRrW6y71 + fb9kSUPRtSUDEisHikkVnlLqIJ22o0eIqesXwmOI2ODGUR4lDpw2q8EYFmLu+t69Au8reDp4QD1J + xpsaXD2Jl/cvPvrQA9985Fvm+JEf+dGrT10zbLDb86233PSKm254/pmnv/DZz95www2vufOuftkH + 7kxCpxvVYoa++MKjj1z88lfOPnveXv3q09ffeNPOznLIa8ATSycLM4Nzl2QzZIAWi5SzupsIp5RS + ChirlZJTcJCKOWQCpy5UIyKGFhTVJCIMIggTdSmliCjYsF6zTEcycQWO1WoAwckBp3ZmgkgSNsDI + FA5OMgYOU0p1X6gerNZd1zOzFpWUwMQ+lUcnQEtZr9ddYgLlYVNEILx34uTucrfjZKoMSanzVmek + qIlZAkiQmJf9or/m1HXXnDq5u/zm/Q89+I0Hz37t63e96S073SyQFjIqEAFk559/7jOf/cwDDzxw + sM633nr6xImTodKVDHJdpNBoGYQhu2okJiOQdHCJtI8IYnDb9fUdjr6PI8iC3uFm5AMj7yw6cBcx + tN2dfuFIQB5gqovdvY4Bjjp0SuSdAJAoI6AONSRuG98djC4OTBHAExzzMycJWPadmAL5ltOn77jj + jlNXnXz4Gw/c9/n77r3rdYslaHZ8UBD3BOeo/3Mm7CyXfdft7++vDw60lJ29vUDKa9FSlJg4JRFE + 5l5RJ83sRQK2E8ZnIHCZakV8ggGljHBjY2Ze9KCorZDQTK8yZPdavYSFO+rMlAKoQsRMi0XfHIyI + Uq5EzEJeGS8zy3Jnh4iYOHA6IklaGYzFYhE3s7Cb5WETuookWS56M8u5dCnVYCNFVfGcOhamnZ0F + cxoNQDfkApFwTaAUDKYpgYRSSkROY8UOOKieWSIzuNCYvpeEhDv35GabzUbzsOiTiHRdPUgTLAI2 + p/VmQ90yMYL3Gny9f1GHgySQxJQSCOsNOh24TwuIkudSLPXht+v7RecVvt2ifbM6AOabYUhdEpad + 5Q4LR0aFmbpb13VEqChyB0SgBiZJqXhopcQifbfYXewMfmBqxUIMbJVowLZTQXMWIrCQcM992OIx + Z62wQIumNCInGZlzTU1IKcHJXctmEzGkq0+dSqkzBTqkhMhEIXKwmykLCZN6CbZGkCi32zXwTUhT + n6phagjTnZ1dFnF3NRMSc8s5x7HAi8UCfY9IUqEANbRU3/F4oKqExdiV4IllgOY87O2e2Fy1s7tz + giWBKdBzDqMqiCkFMZjVinuEru+Y2N1LeChSIiAXNbfKwM0D9ek+pv0WbFbfevibn/7Un5bsP/TD + P/pv/8p/csPNZ8xx8cLle++9Z7HgxH34i5BDJ1cUB4mWzQsXz//+733oIx/9yP3333+wOrj5ppuu + v/6mixf3X7h4/rHHH/8XH/gXX7//4V/7D/7j17z27pMn96TVyKEZpxn9kfHnwcH+uWeeeejBb5Ak + NV+thyiAJakfywtdvnx5yHnEuI3+IEQpJlNhu+naU6+97RUHF88/8MhTz+2f+uH3rk4X7BIsqzJI + kkEKiiBLv+ykwPJDX/rMfZ/5zLMvrndvuOOGW+/Y5LxYrAULoOu7hJSajjlBKIR51LIINb9OJC2W + VSONIw0IJE2hD6ijCJP0UcJpsdhpmHtp6ZJksPB48Qxm1ibM3DRgfmaowcI2C+51QtSwv78mwokT + S2IIhJMH7MBMJaUoOpR6iHdg5E32slksEggwzetNSj0tdtwp8r5D+sDcnYQ59R05QQesV+bE0qPf + aXiJ1uafzCJgdPHcuSefePxbjz1x9fU3veLMHTedPpO6tEgoFhoRmRZ3jRoGIkzcVecZyIE8lPV6 + vbuzK4nNrJRChEXXw6Oeei3kgpb9ELsspcQiVI/orJVPm6MwwDcsNehS1gcHzz577sknniwoBWri + O7ZcrLvlpt8XunRJNktAN9J1O9C8rzv9tZcv7vddz8UZ5ZpTJ1bLhTplAzG6fkzNrGr5GK7nNGEX + Z8urgKeUzM0KwBTOvpItdTVwI8I1B4xYRBZLcVApllVZpEsMoOs7EU7MBJSiFWfpraIQS9d1Thyq + kNb0+cDURDpUsaJCCZAoZQXyUD7MbcibYRhyUZGumsuxkckj5m0OVYXVGg6ona1Drb5cYjMjCtc1 + BTLVTQ3OLJzEmEy1uhVZzMg8YrlROoCaVRiRKRMOx7iragBRa8KuNNwTR1Gjlsg221Xb7Kj+HXk6 + ieGwqK0cNcoQmh+JgUDCqal+tdRF8y+3o27dXdUCutTVKmccplnLcQMz7+7uAoiK5BNopnGdwJK2 + wmWICrsEdNxch0FTqSPywi1BuZ6raFCHcJIUCoqPJTdrklnVuFro37u+i3mF16DqZrNxYLHcBUEN + Qy5dlyISBCYRGYEzxECSiowpCnNfJg8IHlHf93u7ezBYMe67nZ29frnrbWu4ltDWl8uaMKT1GxqV + E2Ie862YOVEX9raDPFiisLuamxARkapqsdT1SZiWi9DlZjUh0KUO5ETkauYGClQJd11HlMJLHI7g + yNMKoDRLYpbxeMuXaFcIEvz/tn3fdftSLTQgM2fUwghNtWgH2UyVHD2ubJunGlAWAV2OKK8DirI5 + f/6Z5559frWPW2+5881vfts73vlWJkNmXfluvzTdXLhw7rN//pGzX3/otle//qprb77x1lcMUVty + EktjdyqDHC3BMSX6EIwiNsNqtQLAfQcvVbkzMzKi5DOeGjrvmIUU0AsQzj//3Be/+MWPferTr3rN + Xbunrt05cWrZL4DwMQqDB7cIBjIld+Qhp4WxpOqAbDihSI1s50dBDQwQaz1phxBZT0xkkeeBOt8t + AWQKwYxww3E2iNB3cvKqE+94x9uv2rvlxhtuuO3Mmb5LRA5Xt0JotZmifAsLCdSGYqWTjqayBY0I + iIRlsehuu+3Me37yJxk7b3vLG3aWfZ9YBAJery4//ugjf/ihPzhx4rp733Tm9tdczx0oYkvRO/Or + rz51912v/+mffu/Ocu/ee+7e3dkZB2CubkbkJNOSVTWaiAReeXdbTHOQkecyrEzVnLVV+sVMs/OW + AVgjpF5pwtDAS839Q81aQ0v5aR5L1MUlMnVXj7yKZhc5VVQaQMgAQUBiDg7vYQEROMGJDAlEBSA4 + O6KMYS1DS0ThbRhlGoAGaK35wg1vGxFwjsgCQO1f1LQXijdG590hEiDxZtC3pLCJchrFU508rxs7 + fGQ1TZjbzJDDW6I/UcuUBxFzrWsXSkJUAAgMTi0ThHo4VS0zFIDcKN6MmjsFwNUMFn5oa6f6jDUT + HCgKBrpOtCDsizoY1eo3q7NYlRUQg0jHFaVKSymy6gjZfNBS3AB0SVT49ttu+4l3//izK9z7lrdf + c931qeu1FgDxeph0TAdznLpevNbTDO+Mu0XJycoYW4Q+yryykLu51bC2N941M5+0JQAA6lGbi4AX + L774la+ePff88zt7Z97wxjdde80OHOR+0w3X3XrzjV7yow8/9LWzZy9durw8tTcyPhqHDAfZxefP + PXD/Nx997DF0r7nz7nuuve66vu81r8kDtJfhzGAWUGxArjCACA4xiEVMs9czKAit0iIJEbgd0BHp + xY1LRa4GELapai0GUcPwox9nJMZaHovm3xAYZgR3wlj5ooWpExGpDwYlSRHFqal45lWz9DhI2shN + KIqWRFo5d13HLOwUNoWDhuJJyCr3a0ejwxjUS3/9tVffcsP1Xxg2jz7y6Be//JVbXnP3dVctbey/ + WTvzw2H63HPPfv7PP/fYY4/fcPMr7r777quvuWbadDFNpg6nTrwVElYzNw1wAjGHr6cVxamWomYd + cukXSxauhzBEuliclMMMIgeHH7YjwKEOEAd+HSgwHeupOfVO4mAbT1euI1aQMirXMyfmpKDxILSi + eRHlxQ1711535syZ2287c+7bT335y1968X0/16WrFkc1rLoTG4eKMghMDATqVbU0HhXFEarhEb0a + ClrRmdpFOMPiQJeqFIycbeTqdYOFTyqqfJSIKIBaLVZXAzkLk7ZDb7hiWeq/7q26nkctEQeKGQMs + qYofi2zkqJkbG0fC/u+SOHOJYz3cYCTMDORskqZD4ee8OEmKUolMHEw4RhVjmnyLNcXBgKjyM26b + 9q+1o8tm9mTNXvIostbKc4+oUXeQELFajoTYeBiTw7P4RkiJ2aUpThQ1gJjhkQBb30LMBNUySioz + A0bbtk4GGAFV25JKdaAeHADEyANIhNN4IItI6iR1nGAwjYONRnDsKPcbMVRy8HoaniQQk5PHwU7E + NUHeq3RuDrSxzEHLFEHdmHFWrJnGwag+nmZTzWkmCmd+FHsh1BOMIsuCzFoi/Gwdww6vsyfCTKVo + PY+7Rm3IAEbNtnF3MwUTzI3NKxNo9a6a67bqPDD+/9h7rzA7jitN8JwTEZl5XdlbvlAoAAUPAqCH + JQmCoAg6mRZlW5puze7MqLtXPTP7uN+6p92H3pmH/Xamu6Xu6ZVaokyLlESJEh1AECAI700BqCqU + Qfm699b1acLsQ+QtQG22532UHwHCFKqyMiIj4vznNwBSR/XAR+KMuQDcctaIMXuusW8mxkg2QGzW + GWsiAOIOgNYGUDc41AiIVuPwwLOyVbaE0F/O52en55xU89DQxr37n/JSnDHQGjizXsQEQKCVkpIh + WQN50GZ5uXDt+rXjH508f+5CIuk+9NC2des2ZLPdpVJtev7e6N07o+Mj77///uDgRi4yDz889OD6 + 8tsjv/KYTeAHtWq1Wq1u2rylu7fX85IaCYghcW1saUFDQ+uTyRTnPLaBtUtLfEq0JHbjpZzubKYp + JcrVfO7uzJ17uY0b+lvTglQNwFGMWSDKgHEYQFhXufmpm5emJiZEak26a12mvVmpCqqAGCNgSBZ4 + tW6eQIhxfg7dr7pW3n0LM0Gj6gIAtPCgsQFM2lIFGZGd15zxRuDs/eOAtd+g+3+08tbDio9cXPrA + bz9EbEDLD9yXvSmLd6y81dqAjosjgBj1tuuMtkeX+FCHoB50ubFuqqBjlQEBKN0o/mKPphXXJNuz + BGu9CvH9z07fO33q1Kmz5zZvf2R3Mt3W3edwzhCsF0kDQYJ4MO/f/cr3Eyv5GwUsoAatNcQ5RWZl + NbH/KaU4Z8TA6JWG8v2dyGh7oCWimHTjeV5Pb8+uJ57s6uxUoBRpRcoNnXvXJ5fv5vNheWBga2J9 + XzLhZBh6Wpo6dLcPbty4OeEKrVGg4WiIyBCzGzFRnO8VLz8fnz8AACAASURBVA/x24jUANvvl44Q + o5cAcXlpm+UQJy7Fhy6jpF1e4m+GEO2WGE/+lVM/EBExa5ZoXx4D8YYFQDYCBuORbWz4jdfSjmFj + 1M3KmIDjiK6uzmcOPLOwVOnqWdPR0ck4V1IyVCtJMIhIGtT9EyI8+Cu7dSMAxEWEnfvxSVBpaRqt + 2YZSHpU2SKiRjFUMEgKg0hafRWvSClrbR9FI4qTGTa/cADLO7XNXsRnRg+/uygc/sMkZjcbY1dtG + a6OF6uzjtP7yDTDDEpZXJiqCfnBJWpkBplEYrtjXrnw1a74XG93GZaCxf/5bW2+8TYJ+kGtjTAzA + s3ijlEYxe2aOj0Z2zTANR/CV3RsAQEaSLIfDriANI+/4zdUNFbAtZ+OTAMbaRaMBTSMRyOjYC8We + bRrZ1PeHmTgQZ1xGcW9ACBcZizQQAWusEojWXRjAGCllvKtbE0RjpxgabaJI2XfJerhbkyu0dkta + I1qMIR4cMDZj5gEtnX0mxlAsnlOwAl6jQQAiFrPEMGatRVFkJ1G8Yjf4K7+7Hrx+B93+M5cxEEWh + 4Izbs7Y9fMY58lppzRkHxgBQyigMJRDnjus6zNJ1lQHXZdwgqABAAoEQGEV1vx7KkJrS3S0tHRxZ + pOpk3GQqAQbqy9XxydtHjv3q1Nkbu/fXtj+6V2mo+cA9EA29BsZoD4Ax2LAUAACptJTKEUIbkFHk + OMJxuOM0rUz8eB03AJzZd0srpQBJcGjsxQ3KkVFKoZbIsVEi6rnZmXNnz7z/3vs7C+XNDz3c1bMq + nXAflLATQ9d10qm0lLperfu+n3EFEiqlAIgxiJlqZH9CBIYEUQCRijwX7AaIFg8kQkDOGIFtMILS + RitNRPfl4whEDKwfStzNZAA6nUoO9Pf/6bf+lEyaM3ATQAIAQ6MkgBJuAgwDBVEEjAPnTIGWSkVa + MmasnkopYATEoOEJSZnm9KFDB3c/8Xwm2eImgHgAPAKQABjUqlMTE0ePHGlu7jLs4ecO7042JzlY + FoM9T+q1awbXrVn78ksvgSHrVRaXK4xUEPpBPZlO4AN7jP3LuJaEmP0dq8+1RG4IZRT5db9erXnV + WqC0QSItJTGHhGOQogbcpiTZ3wBKiRIEMGBMNNJrAEIppVTGoOclVm4BEZCBUoAakEEUSWOU57mM + 2TEBQIMGPI8DgEQMNBryBHAkhaARGEEogBExCVyCZ0ArqcgogZIRBwSGgEgKPAMQRgEanfAYESml + wjAUQtim6Ir4JaYlxihtY2AQHxS/EPKYgW3AgKEYdCXGhbG2qga1VkYTI+Ac+P0AFWAMGQgAjFQU + hb7RKMjhQqCdE2BLCkt2x5V5bIc4ssojAC6EZbHYeFFkwDm3HxT4gTEmmUoBgFaqXq+5riccRzju + ypCHvi+jKJlKIRGRkTKSkdTGeIkEMpIGanVfEPGkwznDRpQTSCllxAW/z0iPgzjAnjUJgXNkdpfV + CEQc0RhTrdaEH4RSSmUj6pB73p7nD+059MpC1Zh0Z1PWkQBh/LYCgNXrcMtANAakASmlK+L4IKkU + aCU4ixelFbIAxD70jLFI6UhFjutqAK3jmLgVaIVAC7C6UQOgwEg0kiPl87lPzl8uS+rv6Vm3prez + DRIOaGVaWrr6e1Z1NDctzC+Mj9xeWlhIZbuavDhlK9YX2UEiWJi9d/nC+WKxtHp7/649+5pbWjmQ + EC6BkSoM/JorEsQYAHoetwciYpyIOKfA941WnusQYwBkjLKyfa0UxuRGsMPleR5jQIQyMmA0J1Ay + NAaQkHHBVri4DVDBYltWJ0WExrbwrblzvOBDvOrHeS4KgIyBMAitGwYRknAcJgyg0lobze2nDUPX + EYjGSImckKGb8OytOhwBSAMqY6JQykhxFEhMKV2t1RM8yRk4hA5x0Bp0pFAqlBygo71t/bo1qYQ7 + OzN95tyFvc+/ono8MMAQQBuUEa1obGW0sLhw/sL5xcXc0IZNu3fvbsu22zVNOECGAxhdDyKNDksJ + BwRwAPDrMgjqDCHhOcIKNgkZkpLSaNuAxyAIiqVya3u7Qy42fMA5YwCW0xsCdyKEKDIOoiAAAkeA + BGyUrxYS0KADFUjJUDseYhy+bG0FAWxFGAkSWhppjCTGCLQBKY0QiCoKa0Un4SARaAap1p7evsd2 + bnv/2Cc3r1y6Nz3DnGRrhiec+/X/P3rEAGOiMJQysuualNL3624iSYwRkes6gCANAIJUUK/5TQlH + OAIMgpFgXUSDSGtAhwwYQ2ifBiEIHqMGhCuIJACCMlCtR5xTKsFcN97ggygiNK4riDHQ2miFBu29 + ASBjnAkkHpeEWoEBIxzuBzKMQuE4PG5D4Upj1YYOMaIoCv16PZNOM8EFCYsLGyWRMQTzgKIDAICI + HHKsbz4CRDJUEhxBRJwIHKchBLKrt4jfBwMyikKOgho2JqDjYFk0IKWxq7NwGCEoHR8e0ABjxMlh + tt6IO5sGlI6k4gKRCy5IUwzWIwCR8RxymAaQQNY8CzwXICQTBsAFMm556yuDrrWOotARNpaQgiA0 + GhzHBQJi6HmuUkYpzTnZqoaTdaJohMghckc0EsrjIWRIGtAeqgjJSAWRjb1vdL3+wZyzcdQIwASH + Wl2GEU8kbGhDEEZKGyDmeq5pPDljQEljUbKGmtwKZlfgLotWURhGpXIZgPv14P7XQxtjiQDY0DwZ + hqQQlVJagjEMGyQxi+oSkRDcsnsE3Xc+rtXqiJjJpAAAET3XsUmPSsq42aMUKDCkDVrLDESwiXsA + DR0xxBZKGkFHUVCtVIMgDPyoXg+iMNLGcGKWcgFgtFIMrDOIAQBCtCYJlpiFgMJx/MAPozCRTHIe + 0/ANgFW8xrQtaxxppJIBGOO5qUxLNtPU4jhcx9Tv+F8BAAAHo4xubFocIQwX5mc/+ODIlcvXjIaX + Xnr5M599defOxyoV33VT5Vrp/aPv/uCHf3vs2Okf/+Tvmpt7tm0fEtzu7g8y/e/vv/Z3UkaI0NzU + 9MUvfuHlV14d2rARiNt9MpRKKguRExFznJVXS3FGZO2MVogABKmEGFo3eGk0vHmvOHx75OGNHWv7 + VgMiURyLuzIBa+XS5O1bU+PjYRCu27axo7vPS4D0LTOhoY81WkaSESFniKgiKaUkxjnn91/qeFWJ + 7b8taXTl4aPRgAbFfV6HUnZeQxQppY2XiE/d2gBZL7a4T6SNVlpJ0JoYIrN/Q9ZXJFan2xRHBECQ + IQAAF5BOe/fRcRNDHsQYQ6aklFJq4oriZBRiDLSNeNWAjCeTtreNAFKZIJTCFVYQoaTWMlI6dBwC + InA5NNxpgMXeaisECikN6MgT1OAk6smJ8RPHj/3qN+9K5Dse3xVbYwPElmFg4rOZQa21TYAnxlcM + QIXDhJOy3xAhccexQY7WLkw4rlFxOpx1CI8smwIxiiLiTHBCfr+QCaMgiqKE5zEi4qiVymaznR37 + d+/aBQZAGI1So+IB+/P/4z+/9+N3C8PXv/61rx742uf7OrMmqDqh3+y1QMRIQdIFKcllxq8uay8U + nNtCRCvQoQJEG55swznjjcqAUcrYUHHOY7SRQYMRTMwYqbRSWoEB5PYwFvqBx4GEa99ko42MpEFC + xj3BVt6oSGoZhUnP4wTAOWhpdCNc6z5IabQ2RGjPJlKBkkAoiRkkIMFBERgEhgAEqMDoVCq1c+eO + /3PrdoNJskotpcOg7AhgIIDZF5CQiNP9yW8a3E2lIIqk43Buv01DtoNg11gAg0aCNgDcUpgY46GU + SiruOCb2xonFC2GkOKFDAhEZoTYGjTJKSSkd17MNcrz/rZoVnFRrCIJQOGIFmvjHzz/GgFZGK0Rm + tDZKceESYxYJhxU5yEqbQGutZGxKZ3t8WikZgdYWeAFspIMQGWPCMGzYzcVWqlEUWdzWc10r5gjD + kIisP0A8exp0EopT/2xDz4A2OopQaXSERtJkwihyuQAErTWzh0pjpIwC0Nzz7ONHFjeG6nWfESRc + Nz78ayVtBqDjgpJgAIg5jmMatDTGgBiTCiIpuVaM4QP9GW2A0ChQGpQBIBD3xUmMOABIqfx6ICPt + Mo5IUpqaUsLlQAY5PdDDAgBDDeK21jpmlDQevu/XiTHhCCQWhkrKKJn0tFIyCsnCLwAAQMSE3X5M + DETjA/LoxmjrSEaCW02hbQ0zAFRKG22EYBBDWJJi0gDYhhHn9E9MoP92r99Bt//chdZPoNEoUMoq + 9C1+wU2jlYbAuUDkUhlLgFs5PhkDoCRIHzACZaIw0EoiMEZJLV0lEQCIWa89AA2IhnPFuG8wCsIw + DI3S4HigCWQ8YI1GKdjaKj7hEyEjQkH2nUEUdkFHBCW1AWC2DgQABJARmAiE8yDV0UDMTjQAVnSA + qEDLGH/Ryh7clZKRlEpra7WjDDAEZVSkAut5KKUGFQFAUyaNXAEAI9LKqhlivEKDIlQEGq3fAhOA + ESCgXep0JCUg48A4AsPYuhWNNTLCmKgbAyBEYKzuAyzQzAiTCU9zDxRwq4A0GoxuxH82qhts9DsB + uRAIjgYtldKScUuDsHYtRnM0hOi6DmtKutzuegZ0aAE/0JGWkQyierVeq9YjqZQBhmTrPY7AueCo + jAatleDccxsKHztzBE9QAgGtwSUysvk9K0S2UIKJXSliRigzinNIpzwuODLuJZKciwZSp9HoRoGF + tEL+Y9ZCT0qQGozNN7J9ZkaMudwYMgAylgbGjfOVTiQXwhoaxGcEZjuD0kgFAIoJQ66MvysNqBHB + FQZ0qCXXXEjgAEAcGBhuNBgJWoKBCFnEhEBgjsssPqt1HE7CY99bO2bWVQAROY+xBhlFGJNhrDsl + 2lRye2kNUoXCsQWcrvlVAEp4KfvqEIujqOKd2oBSwDjYbipjjDkcDSHYstU0HkT8iI3l0iNFSllP + KMa5PUbZaF/GABkaA5ECYWkXiPZ8EPNyiaxCdmWZ0EqFYYhEnuchgJLSctYcl9mHYNs2Cc+z1lgy + UmhMHDRPyIWwOTlAK6m1LHa7N/d7x1IBj0JATWAIkQvhJJJuMsW4UABhGDqcAbhAqazn1ZgTSggR + FAMHwFj5p8ZGFAjGxD3kKwRTsq4QMcyrjJQxsRQZY8zqcWxbOG5AEcgG0hTD4UohGTCN6pYMmFDW + /dmZ6TOXrgKJlmx7JiWSAgQBB8ETrV3Z7oc2bbpYuVDMLQwP30x29TX1tYFtnmngNmPdGAj9uenJ + G9evhZFszXYOrF7jeZ40AajQ4UwwIkcUlwv3JmduDt8Zm5is+mFrS8vqwYGhoXVbNm8SXBiNYRg6 + giEnBFJRVCwWR0ZHb968NT4+qZTp6ulds3bd+g0bOzu702mvIfLS1sLVrtk6ivwgcF2PcQ5IVjjE + uLDH4HieEVBDaqcNMAKBBrUEGQAgCMeWfI7jGCQgCjWATQkAq1lzgMDI2G0F4ua+sT4OwAUgSTSK + MAKtAqW0Ycg4cULGmEgkk0gQAtxvKRBwRAVIoLNtzevXDnZl2+fmKqNj49PzC2tWtbck4iV1ZXMC + gnw+tzA/VywW29vb+letSmfSgoPSEATa5cRBh7Xy7NTEnbuT126PFCs+56y/r2fjxg3r1q1pzqQ5 + F2CMVhEhACPG2NLS4uTkvenpmbGxiYnJqd6+/tWDa4eG1q8aWN3UlLEeCNWFmYvXb+drWAqFllKo + MCOotT3b1t3X3Nnb0pYCBgTEiMAoVVm+cn24GLm8uW/9pi1pF4g3+jLIgAtAA2Fl5ObthZKvnNSG + LQ9lm0gI5GAYascT1k5QKWTkZppbH92+5frlS4Vy4fadkaZsf3t7+j6yAP/EZQwYwxglPC+dSiWT + Se7E+zIghGFkELnDIw3agOO4jUgJA2EIKgQhgBFxBsRsyArjECkIDbg8NlxGsiGnBpQyIJCRmxRo + INLACdCA1poYYyssnhhNAzvNGGeWXahlaAww5hCCNhBGGhgXnFvekzKGsRjuiSJJCJxzpTUnSiWT + bMWS2yIshKAlADDmAMZiceEAklFaSuUjKle4gnPOkJCMBikhDIBzECJe4ZUGYyTjmjEQgjfieaDB + Lo1bHpwjGWYg3p+IATSK67j+k5IjawCWCjQI4QAJROQcJQAARNoQgQDlCORMGS0jGUZaKWBSgwMa + BQdijVxOkACRBmOMC9oRAizCCMgZB45IEAaRNsZ1HJvgDBaDMNqWUtZ6x5KOwVaGiMAFgglkiFwo + oEhrMqClCusBGaszbUgLH6AQPTjV4v9zxu02obQCcgTXyAygsnweO/UQGEetwGjQzII+lrjdOC0a + DQCc86amptaWVtdNCeFYkDcKQBto2BoDIgWyrrT0nIQVaMWiS3uIiHPdQGslpWTMIONIKJXFAiCR + SGqjw0hxHv+Thv7XOogYIoFgNGpNMdNR61gMZDSoCBQAcsWEJNAKIsfhLa3Nrutx7nLucO4wm1cO + OmYOEWkZBbWal/CQcYaxGD/OpVS6XqsyxlzPXVnxlF1lOWvoPRtHTSNBR37dL5Uqrd2e4G4kDfEY + TI5UDKgTEiLnrgc2ohN14JempydPfnwSkT/66OOHDh1aMzjoOE4m4xAJErh79+6rNy5dujQ8Nzt3 + 9+7E9L2Fnr4WT8CKWcRvD3q8AvGYyBwJzl1HEGOApA0qbbgQ3Lm/B60s5KwRngdgCdF2T4FU2tu9 + 67Frk3RtavTG8K3x7b37n1gNRNroWhRoIRzQlnhQLC7fvHY19Ou93b2PP7GrvaOVGCSSKYZBjDtF + fhRJA8CEA4aMVmAMI2KMaaWjyOfCsSJ9+5BXOJR2LmoDUkqHDKKCOPuHgAnOuZ0InAs0IGVDsR53 + Hu4vvwA6BkcQwGhtLe+IAzEiUtoordEwJCCCGEm+j1lBJBUa7XArZ1FhGDDOOOfyAbcWzhmhA/dt + 6Ag0GFCaGDF0PbFyiGKMEBhKMmGIpEG4AABK6SgiN2lv0vJ3mSVMk0AyYCyjSCU8r7kp4zqOVsr3 + 61EkBVg1CpABpqWIhSlWE2/TB2hlJttTh5LSvt32BUZAxgURggFEssQ6+wQcIewvhBAaQCqjtLJ0 + VGM0EgrBV5wM7IJrGp/ZoCREBRqUdghcRDLaSGmM4gCum/Bc8my/OgRVB9IyIYCj8sO679cBgAAE + AyaYDYg1DRthrcBoZbQUjND6kNqzKyMgMFL6tZrrJYgLxpgGQwZXsl091427dUoCcbQpt8SA7q+Q + CMA4EbpI1u1AMkJbvRqLxMWvCcYWDCZe6+LxJQWgQEFD0mAfJtnwW0ZMAGjgljHBOXHmICqIPXvi + j9catUEDcXxGo6EGYNmacbqEFUaiMcr2NixDAIyWUaQMcOESMSAODe6vbHwaJLaS9GDJagCIDBx7 + SDJaK0ONzp6lZMfOOmiFOH+PivTbV3w0tZ5mihAdwYFQKRUpDciQcWq8PjHKSEQoGmixATBIwO3g + AhqlrHawwXi4b5uw8jWt7d6D1OkVbT7cP6QhaC1lpB1uj+/KaJCGtCHWOGVZRUfDmI+IYrsTIi6E + RgMN7UsYGkYoGCQSCQJDZJUrJv5IKYNK2XU9EGRvxs4HqWyHFoiA29IyCn2pNXcMGA3GD2tJTUAc + ACGIoBbqtBcRhFK76AhgjPGElxRMhIEMAqkBPY/HugQ0DyTtEuBKDqSluKI2IKWyA+p6CSIyBur1 + iIhcN4FoDdwcm08mZQRIhAzxPpxoTPwspQQAE4uykATnSGBAKyWJEQE3AAi0ohRiRJ6XBANRZHse + xNjvcNt/5PoddPvPXNZBCyk+JGgtjVaMNXgI2vj1eqlcXlrKlyu1StWv1OoGmZNIdXR2t7a1NzW3 + NCW5QAMmgtry3ML88N27V2+OzM0ugHZn7uUvXbzW3Aac1zqaVm9YtWN2YmFy+vzEvfP55Wkpa/Pz + 8+fOX5HcZYmEm0l1NTtr+1qFo2xfXUpZq/u5pdziYq6QX5ZSGQOM8/a2tq6urq6uLs65Ahv3DDY0 + aW5urlAoyKA62N/tcZhdWJxdWi7WIs2cvtVrO3r602knFgSt7ARGg+/XSsXRu3cvX7owOTFer9fz + +fzNmzeDyLRkWjzHGxzoa2sVCU8RxQwyx+WcC0Qs5JbmlxbvzUz7kQKT8byO7u7VHV2iqVURMwaM + jAwDbGRhG5BhsVSeX1icW8xVavVIAzGRTje3tmWz2Y5Mc7PrMgLQDWv2hmMkKgMUKxU0GIXA7o6N + FfPQ3Jzp7mluanXAKCAtQ39xcT6/JJXMrB5ch0yVq/mp2bHl8kI9KiI5mdTq9tah/t5sKhU/B0A0 + YAB0YTk/cWdUYLK9w+1bmwUdVUvlmZnZ2dnF8bFRv1aPwtLkxNSZs+dSI4yzds/tHFi1pb+bOKEM + gnyhePPGcHNT66r+VW1t7WjpbIRGqcD3F3OLuUJuuVis+77SGplIN7W0tXV1dPUEoXLcZLZVNGal + AR2BCbndRIgLN4HEYuG2hRfj/cFCmvHxwNTqM4vTUwtTTenmVX2rEVguX5iamq77YRQpYzCRSHZ2 + dq9Zs9aaWUGDYNGg4WDoB8uFXCG/lM8t+fVqGPhaq1Qq6WV7M92rE+2drS5w4kb5URj65eWl2emp + fLV11bp01+q2jEWPUEaRwxRU8lOLhZllP3TS3Z2dnW3NGUdIi/QBMMaWl5fz+fzc3FxnZ2dXV1cq + lVrZYivVaiGfn52bKxWLdd9HxEQi0dTU1NHR1drS0ZRJPkBfNpEMq7XijZvXCPn6oY1EolT0JyZm + /ZpizGlrzQ4MrG5p5QbAGKO0Ujrk3BBjoFbmpCyXyvnCcr5QWC4WK5UqMdbU1Nye7Whrz2aamxMJ + DxGkMvV6fezueL1eT6ZSfX2rMk0pspRA0EhEjC0XCiOjI1LKTKZp3bp1LnMsEpzP55eWFpeWlvr7 + +/t6+8qVyvz83OzsbLVa1doIIVrb2ju6ezt6ejgHNKAkaG0YGiXV+N0Rv17LpJPtba2MsXK5MjMz + ncsv14PQ81Lppua2bGdbtiPdlIkVOfaHklopQGaQDCIQaQsuMIR8fnp+YjEUomOwc6BTcdDMtjts + IUrVciGfq84s5AvVWi1SgJBKeK3NzV0d2bbW5nQyAQhgVFxeIMgwXMoVcvlCYblUrlSlNoy7rdls + R2dXWzbLhcMaLSmmbZR7w6TFJp+boLa8MDs7fWdiesPWnR3dPZm0EAAmAmQMeLqjvePxnTvmxu6M + FnLXrl7t3rRjsK8tLt1X4AqtVT43Mzk+NTmezmzs7V/d1dviuABgFbemVCzOTs/dvj06fOP21evD + ueVSpDGdTt0cbl+zZnD63tS2rVt6e7oQQWml60GtVr1z587w8PCdkZGxsYmFhSXH8TJNYzeHb6+7 + PbJjx85t27Zn0qk4xsZWigZASaWkRSkqlUq+UFhayhXLlbrvAxAXwkskOzs7sx0dbW0tYJEGpQGJ + tIqq5dmJkWK5OrhuvZdMR1LP5/JzC0u5QjFSJpVp7ujoGFi9OpV0yerBkYhIK8UMAhlVrRRyizOz + 84VypRbIEDHTkU13ZHubslobImY0aGUMgBCgVqpiC/iCQrLyUtPSlFm7un/t6oHhwujM7Nz4xL1N + awdb+hIWum24aBjQemb63sTERLlU2rR1x+ZNmxzhWMqQMdqvB8Xcwo3LF8ZHbt+6O3nn7lSg0XHE + 9XRybGxs29bN27Zt6e/taW7OaKUMGBnIiYmJ69evX7lybWFhaWFxKV8ojt0dv3V79ObwrW0Pbd+8 + acvm9f2go0Ju/sK5Mzcnl+ermBKOK2sZjFJNzU3dA12DGzZt3dLf19nWlrbQT72yfP3qxekyS3SX + OldvSXqxsy0AWKIUGA1+efT6pRszyzLZ2dy/sa3JEwBMR2gkMFuNMUWuRi+RTG1cO9jbmlhaXrwz + Mrp6y2NrIG0aJcE/ht4aAIMYm7/beiMKw+VSeWJyqlAshpE0AM2trasG1zS1Zp0E5w5qpZWOGBnQ + ykRhVKsWSpX8cmlxuVwNdWA4OQnhJlJNzf29vU0pN8HJgAlqtXJ+4e74uOTJTLa/d2B1wgOjQWlA + Y+NuKIz8Qr54b2pSyai1paWzoyOVSnGGiBDUa7lcfmZ2rrhctHCwYcJLpTt6+ro6OzOZBMB9kjtY + rSIjYkzHGTgIAEbJwK/fvnULAHq6uzzXrdVqs3P55aIfRiRcvmnzuo7OFtsqljIKAn9xMb+0mF8u + VMIAtOLGsK7Onp6e/my22Wk0QOOjGpKRKqzVC7nlpVw+VyjUg1AbJC5SmaaW1rb2zo7mlpY4yihm + 21nCpiIEo3VYri0tLizMzxeWS5E0xByeyjR3drd2dTe3pC3nVCsJOiQTgAGlo0DJSujcuzOi5u/U + 6nUJ5CSb0tnu7rUbeYppBRwMIDDO4mgZA0qpWs3P5/L3pqeXC8u2wvQSifa2tmxHtrWlxXGEQdDa + YEwUNUYrFURhtTY9PT2Tz+VqFZbwkummTEvb6q5+ow0ow2Al9s/Oqn+q3ompWbVaLV8ozi8s5ApF + qUEjQ8aE63V19/T29iVSKSFivuqDnwcB49OQbWcabZRhjDmO4zgWeHkANUZQSvtRrVQpTE2Nzy3M + GgNgOGee52W6Ovu7ugbSKddhYIwJqrXZubnp6RlAzHZ2rhoYZFxYA1MuSGuSUmkNuUJ+5M4dANPa + 3LRmzaDnCERUUmoti9Xi+OTdUrXk12UQaM9JZ9I9He2DLU3pdJPNKIstmrhgnueBQSXRWOf8+xSk + laXaQgEGOQKglhLAkKCg7udy+bvj49V6LYwibQwx5iUSHR0d2Wy2ubmZNTw0jNGFmen50Ru5hfmx + 0VEZ6mrFHx0bP/bRR+Qlstn2Vat6kwkvxWNgBIEBE6B8UBIYFgoLkxPjd27fGVy7cdvWh7Zu2dra + 2mS0EcIBAJe7AwP969at7evru3lzcm5+cXpmpq0j6QqOf3+l+S0UnzCm0hut4y8c/7DBYLEmGLBB + m0Vg7AHJf0MXDGjAc7Zs3rBmbR7ZxJ3RsdHR1VH1mdYVBAAAIABJREFUCeEp5NSwIDF2/87nls6f + PVMuFNp71+/c+Whre+NOwGhQrOEFZPOTwBglIwuqKCUXFhZn5+ZLlYofhFIqQEymUi0trdmOzpaW + llTKhfsGB9pEvl/3R8cmlIZVA4Pc8SrV+t2JqVKlBsTb27P9/f3t2dQKzd/65VgGSWxqp1QUhqVy + 9d70TL5QrNd9xhzbio/7h0wgUTabXbd2LRe2PPJH7tyWUbB6YJXr8Fq1Mj4+Vq1VFUu1dK7q6l/b + 1RZHMRmtw1q5sDg7v7BUKJaCUEbEyU01d/Z09fS2trc7TtyzJiJihBogCo0fzM/nFpYKi/lSaEiR + ACaSqUxLe0dvT1cm5XkOAhhZr5dycxNjI1evXJ6bndVKLszPXb50qeSrREuHk2nryLb3NCfcFLdC + cKNVpVrLF5YXl3KF5aLvB9qAEE5LS0t3T3cmnUkmkwiglAFjiJhSMrdUuDs21trS0tqWbco0z83N + z87NFkslxxFt2fah9esdz7VI+MqcIyIgNDYyTDeaaSaWEGJMj4lAGQ5aWPcILZWSBkAAurH/NtqZ + RDpiOuQgCbRFjgrLMDUzlpuZqgaRQZ5pau7saO/ubE8lvUZ29YrvhAJjIDLlUnl2fvHu3UnL0gdk + biLV0pbt7VuVzqRTHhAXNsgSkPm1Wr5QHBm729XT293bV/ODmbm5udm5KIqy2eyq/r6ubDtnpJVC + oNCvLRfyk+Nj5XJRA0oN3PPS6WxX5+q21s6m5oZnQwzlaqNNDJjrRrVFCAC1WvX26ETd514iu36o + 3/FiXBeMkmGQLxTz+WJ+ubhcLEeRZtxpz3Z2dHR2dHR4nkMUJ2HqB8wTASDOcTMqNz21mF/WQG0d + nemm1lK5OruwNL+Yq9R9JJFKpXr7etva2prTHjJmj8dGS9CKQAMQaKlDmVteWFjMzy0sRJFU2hjA + ZCrd2tbW09uXSqUd12WM4T9YiX5rUYqZQUb7tdu3b0mNTS2tXb39odTzi7l7s3OlSi1Uhhhvb28f + GBhIp5IJ13G57Q0r1DZlwwAaCINCqTo1s5BbLlerVWsH4blua1tbe3t7a2trKpWyxFsiKhQKMzMz + 1Wq1tbW1v6/P9TxbVyqlEAANjI+OFXN536ieNQOZrmyKJwAArG0aoF+uTsxO5+plTHlD69cLxqwy + xkgZlquLuaV7uYX5UkGh9UByM+lMT1dnT3dXwnUIjFEyBo21mpm+V8jn674/NDTEhbuwuDS3sFip + +gZp1erBru7uTHO6AW2T9Zsz3E4Uo7QCg2AUVOq58albCzNF0suouOF9nb19Hb0sNI5wBXN8FZu4 + EIGRxhhpqdBh4BeLpYXFxUK+UCpXbDfFTSRbW9ta27PZ9g5kjRKCoFyuX712LQqjdCazZu3qprTr + CDJaAYA2JgqCxcXc3Nw8Iuvo6BxYtdqWXrE63RgVqtGRO8XSsuuJVav6WlqaAU1uaSlfKBfyld7e + gdbWtnI5mJ2dW1hYqNWqAOi6bnt7W09Pb0dH2+8cE/7h9Tvo9p+54taNXWqtA6bWIDgggNJR4M/N + zQ3fHH7v/SM3hm9NTE7Pzi8C8Wxnz9MHnt21e8/Djzw6tKbfSxigqLAw/f57H373hz9dKNTnlkKl + wlNnPrx5+8Qbbyabm919T+7+k3+V+ckbPzh67Fezc6NL+VylCteuXxmZ/I+JH7a7mfahzQ89vWvb + Fz99MNmRsHHatVpt6t694x99/NFHx0+fPpPPFaRUqVRq7959hw8fPnz4cHt7OwBUq5VMJs0FU1of + P378zJkzS/PT3/qjf9XRmn799R8d++TsnfF7oWFf+fofvvLZ17Zt2+gwQAQpJYHiBECsUi5fu3L5 + O3/916fPXRqfXqhHMDIy8sMf/Si/XHGY09vV+7Wvfump/Ts2bugBo5RSYSi7O5qTyVSpVL508eK7 + H3zw45/+pBZEntvR073h0POvPnto5+O7hghQGV2v1z2edF0ABFCqXC5evXrt+Mcnj584efX68HKp + wh1346atu/fse+rpZ7Zv397Z2c3iJjRIrVwbR3yfJQRGqVD6QT382ZtvXrk4uXHjhk9/5rntbZts + r3t5uXDixMeffHwjCpN/8j/8e6DoyvWLP/zJdy9cOTW3NN3a1v7IzucOPP35Tz3/6qp+RwhrRmZP + /eratWt//ed/JyCxZ++2f/nNrwCqqYmxv/3+67OzS3fuLC4vFyKoVU8v5qqT5WAJoGX16h1f+9of + dR1+TDAoFYvXr1759l/+1cDA4HMHn9u1a086nZFSEmG1Wpmdn/v45Imz585eunJl7O54uVphwnto + +8N79z/z3KEXlIJsZ3d764BdwYgIVBT4dT+oKa0QiRHXWispuWAAcVdLMzJADS93AAUzMzPHLh17 + 4+03tm3Z/vtf+VrCTV24cP711388PHxrcSkvldmwfuOLL738zW/+ccLzViK8jQYZacFJyiifz129 + fOnUqZNHj3wwcXe0uJx3HFo/tHbjY888cfDVjY89kxlMuYQqiMq5+bFb146888v3Prn6zCtfefLQ + Z3c9PKgBwkCpynI2zZbmx48dO3Xswu3Ibd+/b+/Tux9Pdnf49brneUIIIcTly5ePHj36zjvvPPvs + sy+88ML27dttv1RKOTk5ef78+Q8//PDixYtjY2NKqf6+/oe2P/TsgYNPPrlv29ZtwjrFMwdRlqqV + sfGx73//bxH5F177UirVfPXKrW//xd8sLRbTqeadOx/5xjf+5d5922wGTBgENb+UTImEIBlGnDlA + FNTroyMjZ8+dO37ixOUrVycmJhPJ5OYtW3bv2fupwy9u2rwlkfC0hmq1Oj0z+/rrP5yYnOzo6PzS + l7+8fceOtEdRII1RjusqpW7dvvUf/q//UKlWtmzZ8qd/+qddnV1ciCgMh4dvnjp16tq16y++ePjQ + c4fm5uePHjny69/85vz587VarbW1dfeePS+8/OoLL76UyqTRQBQECdfhDMN67c0337w3NbFh/dDB + Z59NJBJXrlx+661fnjp9dmJqqqurZ/PWh54+cHDP/v2bNm1JpF3GAUCADlQY+X5da1UPgnKlIq3b + IQIYGLt69Xs/f+/GdPGRZ1/92n//h07CRhcbbWIwe3Rk9Pjxs2+/+8HVW7fn8suM0cCq/kd27njh + +UP79uzOrB0EMFoq0IoEB8RKoXDqk08+OvHxmXPnb926E0rV0pZ97IknD33qUweefa67p9d21xkA + GogFaRgbIIMxoMPl+XszM7PVSpjpHOhbPdCScVS96PvAkxlGTltr51N7dl89/dHVyUsXzp/ftOeg + emQjs54RK5qdKJyampyauFsqhmsfWzu0cWN7FhwEDoyEiAJ/eHj452/+4sTxk/emZjSwJ3bva812 + 5vOFjz766M03ftrcnPlf/uf/6bXPfy6VTPh+LZ9fGr979y/+4i/eeustY2D9+o2bt24bGBi8fOXa + kZ/8OAiiVz/9mW9847/bsf2hhJOIMS0AMCas+0iUzKTr1dr4+PjHJ0+ePPnJ5avXxsbuArHm5ub+ + VQOHnn/+wLMH9+zd24jJ0cZQFIWL8/M/f/PNG8O3vvYH3+hfvabqh79+5/1fv/v+J2fOIRNrhjbs + 2bPnG9/4xpo1azmZTNJ1GHJywlrVoOEOX5ifvXD29LsfHD15+tzE9Jzk/NG9e/YeOvi5Qy8bbTjx + 0IRhGMTspwbUqLXSYWhMqAmQIYChVKKnt3fnju0XZ2t3xhaHb49s27huXd9aAwBIyAVAaHlQt+/c + vjU8XC5Xdj6884knnrAuwILASfLZqaVPPv74//6PfzYzOa65O7T5of616x3Bzpw5c/TIkXQq8fWv + f/XlFw8/+ugjxhipoqWlpddff/2DDz64efNWd3fvwODg+vVDs/OL586dfeONNzdu3vzZz3xu87/9 + 1yCj0nLuzu1bH5y8PrWs1/T2pnSNVfOL+eVCSC39a1/69Ksvv/T844/tcBK2aigP37g+sqQzq2HP + gaCr1bWmNKAArKrZKAgqYzcvn7u9EDavfvjZV9aD5wKAjED7ABIYAU8Q9wINjDu9XW3dLYnrRo6O + jm5dKvhRt7PScft71wrDFdFxHESs1WvVSnVubm5k7O63/+qvz5w/XygsZzs7Hn3s8Zde/fS+p5/t + X9XJAOpVP1R+xhMohAmq9+5NnTpz/uTpsx+fOT+9UKhGJt2SXbd+445HHn3u4MEdWzc297YxwLnF + hQunP/7e335f8tT2J/Z/+ff/YHCg3ebpgQEGQIyKS8WrVy69/v3vVcrlvXt2P/P00xs3bExkMqDU + wvz88eMnjhw5euXK1VyuUKlU3VRm686HDxz61FP792/Zstl1CBCt56CFHiw1hTEmIxWEoee5URDM + zc7+xZ//udHq8OHDq1cPjI+P//Snv7xw6WYQsXVDg//23/3x08/s8TzOmVeu1qempt5//8jRIx+d + O3upXAoZee1tXbt27X366Wefempvb29XOgOAHNCOlqnV64uzC2dOnz1+4uTJT07NzM7Vg8hNJDdu + 3vzErl3PHDiw89FHuztbHhyEwA8QVDLpqcCfnpk+dvTosWMfXrx4ZXpmwXGS3YNr9z936OlDzz/2 + +CPNzUkDRsqwXq+oyCcCY3QYhYtL/M2/+/Hl994YvnUr1NQ3uO7RPU//i29+a2jLGiIguJ+RAoDG + QKlUHhkZPXHi42PHPrpy+Uoul+Oc9/T07Nmz58UXD+/du9f1Wu02xwUjREYkEYvF4ujwrddff/34 + uTN3piZZIrF+0+Z9+5/58ue+gIiucHxrnvlA3ux9tmWD7rZy1SuVycmpC5cuf3D0w09On5lbyEXa + JFLpgdWDh19++dVXP92/alWmKeNyWKG82PUrJiWB1koRQ61UteLXqtUgCFwnZdtSACCcGIkIg3Bx + afH6jcvvvPvrYx8dHZ+YiCLMpFs3bth28OALh557aevmLV6aVKTn5ueOHDny9tu/DsJwz959X/7q + 73d2dTlOXKEQgeOwKNLXrl79sz/7M6P1wzt3fPOb/7ozm+WMlYrFIPSvD1//9n/59vDt4fm5XKlU + y7Z1b1z/6PPPfe6pfYe3P9IsHG7vnAERolKqVqtXq1iv+zr2JzUEDBr2jETMc11qUMWtn4nLRS6X + u3Dh4s/f+sXZc+fGxu9KqVzPGxhY/cLhw/v27duxY0dHZyciKmXQqFOnTv7kv/znmcnJmXzoOomp + yZn5n/3iw1NnwRGPPf7I5z//2Yd3bm9tTTMAUhA7r9rAIoLp6cmxsdFSudLR0b1u3VAm04QAURQ6 + jmPFdtro7p6uzVu23Lu3XKlU792b3rB5AFJsZeQb7aIHC1+jtZZRFAQqikKlFBgNxK37ORAoA1Gk + tTaAxBjyhp0BgOWjKwQT+3ogBy/V1bdqVeetDhHkRi+P3O4dW6j09LV5LiWZAYgESA4R6GBmfvGX + H50v5/1H1rc8smltNg2OgQggMMzRkCIkwYUj4vvUOgoj4TiEWCqVLl++/P4HR05+8snE5L3lUomI + rVm77vEnnnj22WcfefSxofWDFu9ighspa9XKwsLCd7/7N9Wa/9oXv9La1nFndPw7f/03N27edr3k + C4dffO2119rbHsJGEq+SikAjGbSHD61UFJTLlfHxyb/9/g+OH//4zshoKp1JZ5pT6SZjkBjn3GGc + Hzhw4Fvf+lZTU1MUBnNzsz/4wQ+Ky/nPfPqVjmzb7MzMm2/+9Oy5c3XF9h986fNf/hcd+3YYBKVU + vVqZnRw/+dGR9z84evLU6eVS2YhEU7b7yf3PHH7x5T37n+rqbuMEWgMBIufAXLVcnr438867Rz45 + de7a8J1780uVQAo3ufmh7bv27Hvp8AubNqz1OppBm6WlxbMfn/jOX/75rVs3FxdrgYJLly+PTS8E + wN2m9t41G155+aWnn9jZNTRgKaBhEEyMj586c/bDYx+dPXd+dm5eStXW1v7kk0/+3u99/vEnHs9k + mohBvRaCMamkVyrVzp87/5/+0//z6COP7Nq9Z9vW7W//6u2fv/WLK1evdHV17tu//9/9j/++f9Uq + LpA1gAVEq4w2UkbWciHheg0RvWmglZpAExkOhhkNEDEwhEZBZAAQFGpppCAD4AHUZFSvoNYJV6ST + Hmi4cf3aR7/40Ttv/WxqdtEwb8vWbYcOHjj8wqHNm9Y3ZxJgSMuAjAZOwAjCsFKt3rh54/jxk2/9 + 8u2741OFUoWYM7Rh05O79rz86me2bdue6UmDwcgPpNKJdGZpaenkqdN/+Z2/ev6Fw6+8+pmlfOHN + n/38jTffWFpceubAM7//la8cePqpttZmrXWk5cz09IXzZ3/0w9evXb2yXC7Xw6ito3Pr1kcPf+qz + e/Y899D2Xs5tfKjkTDHLKDWN80acjwNGq7m5me9977vzi7W+/k1//M0/SnYltQyFCzpSxeXipYsX + T546e/Lk6es3hqs1P9PU+uSu3U899czBgwf7+vozGeE4sabQvrb3V39jwMiPTxz/6OOThvinP/t7 + Dz/2xOjY2Bu/eOvtX793d3JKeMmhoaEvffFLu/fs2bRhXdJlgCilVGHAyQhOgMYE9aWlwqmzF46d + OHnk6Idzc/OVahWQtm7bvmvPnk996oV1Q0NdXd2pVAL/a+A2o/NLi3/17W/X/OChnY98+rOfD5Q+ + c+7c6z/6yflLVxeW8ummln379n31K1/ZuHFDf2+3m3a1AaOUjnzPGkIpWVouXLs+/LNfvnPh8rW7 + d+8Wi0Ui6urqeuqpp/bs3v3Io48OrVsnHEcppZS6evXqW2+9de3atccff/xLX/rSunXrPM+zG64x + Rkfypz/96eXzFxXHV77we4/s25XqHmDIiKFROvSDkTt3fvTmTy/cup5d1fu//u//W8JxLcc2CoOZ + 6enfvPvOz9/7zYnzZyQYwb321uye3XsPHXz26af293R1ckIVBZ7rAJp6rfbee+/dGh42YF577QvE + +LvvffCbd98bGbuLxP/NH//JK698Ot08hLEzrQGGjFsTdIMAgnPQBH64MDX1zq9++aN3f3156m7O + RNnm9kNPP/f8M4e2rtlESJwJNMA5R4RIAmplMNZElkrFa9eu/erttz/++OSNGze1NulMpq9/1eNP + 7HrmwIEXX3o56TnaQBBEXIj5+YW/+Zv/d3x8orOz84/++N9s2bS2KePKKORcEPFqrXrs2LGf/OTv + BHcOHjz0B3/wh57nAUAYSsfhUupiafm73/vulSuXuro6vvb1rz755BPJZOLm8M3jH506ffri137/ + D3fv2T05OfWLn//83ffeHRsb87zEmsHBZw4ceP75Q83NT7ou+6+aS/8tXb+Dbv//r5gUs3KRzRNB + gCgqFYuTk1PvvPPuhx8em52bT6Wbh4aG1qwdyhfL+eXyiRMfj94dv3z1+te/8vmd6zsEDwmj0A/y + S+VyRYdhRFwqU5UqQ5ApL6t8rlgszdbCmSAsVSu+X4MoAgP1BPM1qnyhmC/41WqoNSJAGAWzs/Mn + T5489uHxGzduhqEcGBjYtGlzFMpyuXL79u1SqXTr1q3Pfe5zmzZtTKfTjMekwsXFpel708XCwodH + j5IOfv3rt+fy5Uot9BXWfV8qpQ1IANTgMMbAgFEgoyDwq9VqPper+3XOiQPU6/7i4pJwU0hUq9Vr + tVoYhohgM5o557Vq/eqVq9/5zl9dunLy9tio1ppzHvjBrdu3/eCXC7lboXp6y0OrulrXegmvkdht + xm4Pn/zk9LvvfzA+MVXzw61btxIXfiDzxdKx4x8N37798suvPvnkrg3r1zsCGQetHnylG71FIjCm + Vq3em5oaHRlJJxOVcmUlakHKcGlpcXRsNL+kT58+PT41evL0scnp0brvc4GlcvnCxYsL8zqfrz97 + YO+TT641cUNUA0CxWBwevpnxWjds6ITABxFFgV8s5GanpxbniwTIgButi8VyYHxiUblar9WjMAIH + tDHar/tjY6NGw9LSkpLSKgbL5fLRD4+8+fOfTU5NBlHgeYlHHn00knK5VJ2ZnfvFW7+8ePm6Adq6 + bccXP//FdYNrWpvBGIOMCWFtv60zgAKwQV6R7WcSNjJrViYyglKqXCmPj4+DxpMnT144d3Fk9O7c + 3LwBk0gkKpXa6OjYz372s+np2dde+8LOHTs8z+UcCAEJi6XSyO1b7/zm7WuXL42NjeSWFnv7+h7a + trG0vFQq5o8ePXLu9uL6xyae27Xthace7mmipkyivTWFujo5MXrm7PlU/84tmwczLgiHJdIpwMpy + /t6NaxcuXboTut0tLe3bN29a09vteZ6NtYmiaHx8/OrVq3fu3Nm/f39TU5PFAorF4tmzZ48ePXr6 + 1Kl8Pp9Kp/fv3y+lLJfLIyMj8/OLd8fuvfDCK9u2PdSeTRJDqaQfBNVqZWJiIgrV6OjotWvDN66P + zMzMlEt+GKrFxaVKpRoGYBAYAyFEkhKCAyBYs6h6uXz23Nn333v/5CenqvV6Ntu+Zs2aIIzqfnDm + zJnunt5UOtPU3EJkXZXExNTUtes3urvzfhA4DgFYwQuC1ojo+8H4xESpWGxpadVaSyWtBUS97s9M + z1y9erWpqalarX184sT4xEQ+l2tra2OMFZeXT506VazUJu/N/H/svWeQZMl5nvtl5rFlu6q6qtp7 + b8Z7vzs7MztrZrHAwhIkQFEkIYG8QEgkr0SKwQiFblwFKV6SEiGBoEQQjiDA9buzO96bHtfT3ndX + V1dVl/f+nJOZ90f1LEDeoPT/ivmroqI7orsqT5rve9/nfe1Tr3e0t0myzLdiI3gwGJydnS0VC+VS + qVQq3r17L5vNcs48Hk+xVJyYnIjEE9lCQdONnbv3SOJWfIooYEkQGWOCIKomEyaYABIEAbRKPp+L + RiKrq+HW0QQmQBkwDAQQQSQcCDx+MH7j+tjMzEowEu/s6OgftTHGopHI04mJwIY/FAw8f+LY9m0j + iiQigQDAwsz03bv3zn/0USyRZBx27NwhSDLlKBAIPHky7nS5LTabU9rylW/dO1mtysEAI8R1qBbS + 0WAykQAQ7d72eq8XeNkia4ybMMa6BhiJ3R3trQ0uEcPq6kooFC6UwCSD9AlIj4FWrqz71iLhTQTQ + 09vX0tZeO80IWCfcmJmevnb1yqVLF9taOo4ePrZj116Xt1FSLZquxyIRv9+3sDDn9Xprz5Ku6761 + te985zsrqyu7du06c+bF7p5ej7fBbLYePXb8XCC0vr7R2NRMhC3NAmIMqFbzPkmySCkrZDNvv/Pu + 7dt3ZucWAGOXy9nW3l4qVTK5XGhz8/z5jzYCoVg8uXP37rbWZlESEAajTCvlYmQzuLgwNzXx9P7Y + w6W19cVVXyyRsdvryhrdDEevXr2RzuTOnDnz8ounDVkiCBHORUmsFLKbG+G3fvqT+3dvLa6sFavU + ZrPJdXWrPl/47XfWppYy8xvpYIgAqRmHOd/yVxIAgjEWRQCOCKNQS3JjoKrDg4Mts0G6EF5Z8wU3 + Nxl06Qwwp1t8SEMvFArLS8uBYNBqNXu9HrfbXXscNA2qpdLFCx9fOv9BJpU6c/r0vsPHvW2dZkc9 + wejsiy8GAv5MOtnY4BFEARAIgjA/P3vt2rXr16+rqvorv/IrwyOjjY1NJrO1VNFSqcxmOBKJxFwu + l6HrAgLEaKmYb2ltHTo48sarr1hpQamkNcpvTyw+WvBfu36zpaWxq7tNAtUiMsKZoVXKVSbqBkPP + Zh8FBICJCIwC04FVBFbhnGpAqkg2anoWzGo8S0AMEKIABgZBkm2qZMaGXskHAoFMoczwP+Jc//lD + BueGYVBKK+XK2Nj9+2Njj548CcfiAiFmszmdzjx8+DCaSMVTuSPHn9+5rUdWFIFhRHhyc2Py8YO/ + e/PN4GakUK46nc6G1i4NiaFoIhgKReLJxYWF1189+8qZF7xOm9ls9rjdxWIhEI9Q0fr8mYS3wSWJ + NTQHYMCU0Uwms7q6urq6KkuSw+Gw2axEwMVs5sGDB/fu3b97934mkxUFYdvoqMFYrljx+daTb745 + Ozt77ty5Hdu3Nzd7KGWcg4AxEQTEuUEpoxQDiJJEDUPTNV3T8rlsNpuZmZ6ampxYXV29f/9RRcMc + 1OWl5XQqbeg6KCQU3pyYeHT+/Pm5ucVMOtfT06PIds7FTLowPz+/uRldXl46+cKx/Qd2WywS41pV + L1BDv3H5xntvvRfZjJYqFZvV2treruk0kUqHQqGrV64GQ6F4Irlv/76+vo5all4NyahpmqFVr16+ + ePPG9anJp7lsRhSlPXv2plK5ZDZ38cKlUDwVCG6cPnPS7XUpQg2AxxiFzXBo5q23chvz2eUnPBoW + JbFUrAaDwfzNmzmDnDj72qfPHSUATGf5YkFRTdSgqVT6ww/P37p1Z2lpSRKl7u7uwcEhTdNKpeK9 + e/cSycTyyvKLZ860tbXY7FaEkK5rhWIhHoncunLt0ofnl1dWMtWyw+HAqpJKpT6+8PGmL4DD+Wwm + S4FuEf3gH5tyCAB0phfS6Yvvv3fx8pWNQIgy3tTU3NHVW9GNXL4Qi8Xef+/9tTXf577whaGhofr6 + elUWap8So1tJ5bWjFiYYEMMYK4oMANSgfMve+GxWMzAM/vDhg5t3ro49uJNIxURJPHjwIGOkWKiG + w+GPzn+07gu//qlP7dw22Nzgcte76+rqKGOrq2tujzcej9c5HKqqwJZtBTCGaDS6vLKysDDf3tZm + NpvsNpsoioV8fnVt7fKli3fG7vg21urqHbv3dCAQ47FMKBR69913N4O5UGR4x542dyMxiQgAqlql + UCgIgmg2W8xmC95q1wADulXqMCjmXJCkLYcpJpIkRqPxqVu3b9669eTJuD+wgQnp7++3Wm3RWCyZ + Sl66dHFycnJwaOgLX/hiV1eX1WoDBLpWLRbyhq7pVa1UYgY2IZ0WikXClEIxn0jGq9UygAUAGAW8 + FTKHATPgRi6XzhdyqqI6HK66OidGiBCMiLjT5iIzAAAgAElEQVSlI8QII2yvs3m8HoRxoVBKZTKG + Yfx8oeYfLDNbVxiMCMGiALUsgS0zNHDGOEKkpgzAZEuWznkNBLF1lCSE/CxbiSMAkVisnS2eff1N + EzMbuWxmdiNBHfVNJlCBAc9hZgBhyVBwfWMznOfe1pGu3qEmu2oD0A1gIujIDphucZgZ47peY1Ep + sphMpZZXVi9cvDQxOenzbxiUNTY2dnZ3l0rlUrly48aNlTXfvqmZky+8MDw87HY7augAAFaplALB + jWQq69/wX7x8bWpmfnp6RjdAlEwL80vxWMLYirF8dmvANWM3p9VKPpeJhDev37h95er1eCJlNpsO + HjyAsZhMpYOhSLlSFUTJYrWpiloqlarVas1iWCgU/H5/PBYJhUK3b92Yn5+dn5stlEqS2bURCKQy + GYOCLEAwGJh8/ODCh+9urC5m84W+vl5ZNRtYiqRyC/ML0VhyIxg+euz4np1DAjCCGHCWDAXv37r6 + 1lvvhiMJzeBut6ehpaNs8EQ6t7m5eeHixUBg49zLLx4+uLet0c0orVarpWKRMSaKoDOoVMoonyOm + unyhEIsniqWyYdQy6mEzFJqcmrpw4dL0zGwkGnd73B0dnZgIsXhidW3t29/+9tzc3PFjx44dOyoI + Ys3kzRkrFIvr6+vu+npJUm7euD0+PrGwuKBpejabi0ZjxWKxXCnLXBJEgQNnjBJCOGeMUYyxJElQ + S8eqTcVauiiuBYEawAGoDoaOwZAFLEsEA8fAMFAEGGERKIAOgLkqYa5DIZtamJ/779/5H/HlyfWJ + e9VyyWq2aFxYWVk1NC0ei7527uXt24YavPW1fKRaft3q2srVq1fHxh6s+TaKpfLg0KAom8pVIxZP + 3b59byMQefmVV587ure3o1GURMKAGkYmkw6HNzfDm4uLi/bbt27dvjs9M5NOpyVJzGQy8/Nz+/fu + rq93lA3t0scf3b97Z3pqspDLNjQ2DI2MVClLZXPT0zOFPPP7E/nCS/0DHfVuBRGCgAJwRATg6Fn0 + b+2RY5QaxVJxczMUjhaJ4Mjmcl6XpAoYENsI+MfGHn700QWfP1jRjJGRESxI1aoeDIYeP3nsdnsU + 1aSq3lq4Ru2hpxSAMyxihLbc/ZVyMR6NpLL5G9euzS0uX7lxa80fzObzJoutWK7OzS9+7wc/DIYj + L794aqi/12G3SAQTSSTAgNNMODI18eT6jdtTc4vrwUg2m+vp6RElOZvLJRLxS5cuLS0t79q9+8CB + g8eOHVNV5R+mt/x/B6PVSjmdjCfSWSyI+VJ5M5oYn5pN5wqqydzQpKbS2YePn6Qy2VdeOnvi2OHd + 24dqjWFRFAFDKZ1cXpy7fu3qvYdPF1c3qhQcDsfg4GA0Gk2lUnfu3FlcXLw/Nvb6668PDw83NjQA + gEAEznkoFPJ4PNFo1Ov1qooiiKIoipVKJZ1Or66uTk5Olpg2sm931+ggb6hFwUKNjZtIJO7evVsC + 2tTTWaMXagYtFcuXP/z46vkLi0uLmoB27dolKIpWNcrFysTE03gsMj8/+8anX+/v6baa1ZqwGmGU + SiV96+ulUvHq1auFYunjC5diiUSxWKYcisVipVqhFAQBOONUrxLEMEEGaBQQByQIJLTsm3sycfPC + 5bnxyZVwUDDLdrMJY3L//lgsGOtwt0xOTBVyBcXrwljABAQBBC6yarlUyE9MTNwfG7t+/cbmZphS + tnv3bg5QKJQi0ejNmzeXV1dv3b7z6qvnDhw8tJV/g5AoSul0plAoxmPxSkezo86MoCbGZ5lM2u9f + n5qaRIi0tLRGYzF3vUdVFVEUKOWlUimZTG5s+KPRiMdbL4qiJEkIIJfLBYLB2dnZx48f5/L5K1eu + rK2tpVJpQRA1TVv3+z/88MNUKpVMpp577jmn0/y/mkn/e41/Kt3+rwfn7Of2+S3QCqVGtVrJ5bLx + eCyXy7W0tPT09nd09cqKKZZML6+ujz18PD+/EE9m9u8e7agHt1ewmMX2tra9uw8FIoXV0EZ+Y93T + 4O7qGBkZ2qeVtd4eVVZ5d5+rUBh21NVPTSyVU4k6l31oR5+3ZVu6IDW2jra0tiiKCYAVCoWpqclr + 167dvHFLkpShoZGdO3e56z26bsRisfv3HwQCgVAo1NjYaLFYRkcHax4WxnipVEqlU7Fw+ObNG4rA + CcZDg4OSpa5sQE9Pj9Vq5VCjuz5LBOYAlAmC4HI6t2/bxrG0FtjciCTMdXUtXb1tHd2qpIpYbGlp + tVgsNa4mQgghnM3mFhayyawfcMXj8QwODyMiZjN8bS0RCoZu3tx0eVGd60SDo1sQMTKAGqCVs0/H + xz/66Pzj8af1Hu/OXTuHR7abzLZipbq4tDoxPTM+/lRVzbKsNDU22awWUdwKOPrkq3n2CgNwXavm + c/lsOpPL5nStCvBJR5+Wy6VUKrW2lr529Vq2mMrl8j29vbK5l6JKOlvwreQmJqcpVWx2dWi4y2oF + aetGzyuVSiqZ5BZSKZeYoWOB2qzmgb5eUVCoEY5EVmXB7GmwbN/Rg1RdEDz2us56b0Ptb6KUVivl + dCrlrHNWSmVGKSCEMKLUiMViqysrJou5tb21raOzqbmFIxxLpMcePp6ZX7py+TIgMZbINDW0mFWz + 3epBgIBgQrYY7jWAIQJEahy6mjAGbeVK/ax8i6AGZU9n0pVS1WK6tjC/JEny8PCw2WKllGcy+bm5 + Rb/fv7rma2pqdjgcvT09W8ZLDuu+9bt375z/4IN8LqMo0vbt23fu3N7e4knGQ4sLU2MLqadPZxbj + opaJDXd66nvqVUXwum02i1CtFBaXVtrWNnMFUESQCciSCFo1kwwuLUxt+KNlmbrnllKpLGNcFAQO + oOt6pVLZ2Njw+XyappnNZrvdjjEul8uBQODSpUt37twJh8Ojo6MjIyNdXV2MsVAoND8//3R88vbt + 28BFu81uNnebLELNDK9pWjabiUYTY2NjkxOzWpXv3r1bq4LZZG1v77LZ7IwBII4EwALBgozAAADA + GBhPp1N3bt26c+e237+x/8DB4dFtLa1tuVw+sLmZTKZEUWLPbIQ1JEIul48nkqIkGwatOYYIAcwI + YxQhRCnNZjLpTDqfz/Fn3DhMCDWMXD6/GQo9evQwmUysra7Z7LYdO3Y4nI5sNruxsbG4tDIxMRFP + ZXr6+hx1Nq/HyShijBqGnstlg8FgIZ8Lb4YIEQxd7+joqHM4VJPFvxFYXfdPz0zLJrNqMnd29zjq + 6qSavxAhQjCrtQEkaYuSSTBwbmhaqVhMpVLlUrkmE6hVU4uV4tLi4vvvvTc1tcyZtG10dGT3Hmdj + k24Y87Mzc7Mz87MziiwQzFqaG73uelESma7NzMxeuXLl0aNH3b19u/fubW3rkE3mUlmbnVtwOByG + YXD27JzJa5HGGBgFDDpCCEDkFGglFw+nU2lAkrm+qc5Vj3kZSBkjMwAYDGQsi/Wuzlavp75uKpoI + hDbDsWxLg53UbHUAlEGxWFycn4+GUyYV+vr6mpudsOWkoEa1Mj7+5Mnjx4l4/JWzr7788rl9Bw9T + IKxmINVhczP45MnDjo4OQggAJBLx2dnZ6zdu9Pb0vPjii1/4whe9DY2iKDGOOOByRVtdW9d1w2S2 + bCXU1VjhNcKdQAjjWrW67vNFIhGz2dTW0dna1t7S2loolkOb4ZnZuamZmRs3bwEmdU5XU1OzLG9t + Q4ahF/PZ4MbG/Xt38+VqIp23Oup37Ow0WetKFX3Ft7G8snzp8lWz2bpr5w5ZahKxzBmVMEomEmP3 + 7127enl1acFid/QNjrqb200u5/y6bz0WffT4Md/MkmQRANAz5t0noAkEGAgGJGDQa6Aa4BxEob+n + t711CYSJ1TW/zx8oVkEBEJ914QxNS6fTPp8vk0l3dLQ3NjTY6+yEAAMoliohv3/s/v35udnurq6z + Z06ffOmcWudiZMs6kYinotFwLpuuq7MDZ9VqZWFh8dq1a5lM5sjRo5/97Bt9/QMWq41SwIKkaUY2 + l5+YmJIVteaeQ0AZNVwub/+2na+8eqqOGaBlQJBdbZMlcn3sB99fWl7dDEddlmYgDANFjDIGBscU + C5/oFGvwglrsO7CqxKoIgGJZIwrd+gkGtdhpzoCBjkEHkEXZbpLtMiBD24xE0oWSzrYI4f/zQSk1 + DKNcLj18+FAQxGw2Ozg4YLJYdUqDodC6f+PevXuqxa5a64YHe8wCFgUJmFYsFmOxaGDDLyrmvr72 + 1q4+Z0MLI8rimn9mbnFhZe3W7dsel72/q922fchmNnu9HpvVVlyPLK2shDbDHR3tLqeCt+iOwBiL + xePj4+PxeLyrs6Ojo72urs7Q9Y0N/43r12/fvhMJR/v6BwYHh7p7ejEm0XT2yeTs5Ozctes3BFFS + FNXtdtfKPYxzkSBgiNFaZgjCCLGtTBFNq1Yim5uPHj0sl0qGYbS2ttZ72rBgLRSz9ro6DlDVqnNz + s9dvXL9y5YrZbOvq6t6795CjroFTIRiITk/N+nz+y5cvWyxyS2tDZ1cLwkzXdGpokWhkeXnZZrF3 + d3W3tXe0dXQajAc3w48eP1nz+2/fvqOazTa7ra+vo6aD5xzi8VgiHtWr5UuXL925dZNRo6e7q7dv + uKd3MBJJLvoDq8FQNBqbn184eHi/y+0QBCwIWMCsWoX5+bmAZBiJ9RFPXUfLLlFSMoWKPxidX9+8 + cOEyVx0njx8RzYhwruuGLLN0Ov348aNLly4/fTphsVhGR0YHBoccdXWlUikQCIw9eLC8vJzNZlwu + p6xI9jrb1n6RzT548ODypUs3b95sb+/YMdDn7WhnkpjK5DaCm1PT0zyYRnpBAlLrMPNnLuGfO8L+ + 3ExjtFKphILBjQ2/IMo9Xd0dXb0OV31Vp5F44sn4xOz8wscXLjS3tpotZqfT+fePwp+82gpEAowE + UUDAa55MUmv9cACAagViyfC9e/cuXbwY3PR393Zu2z7c3z/AuRiPZ6Ym5xcXfDdu3FAVVRZ4o+ew + yWzyeDyNjQ1Pnz7dCARWVlaamlvsdht/JhzjHAKBwMrySjQa27ZtW0tLq8lk4pxHIuHr169duHhh + zb/WP9S/Y/eO3p4BWTKvrm7MTQeWF8IPHz4uVkJm+3HZ3Ghy1W1VRwyDECKKsiiKCOFP4H98a73m + z97Z+p/L5fL6uu+DDz+4e/dePJ7o6Ors7etr62h3ulzr6/6l5eX1df/4+NPlldXWtjZBFPv7+0UC + DQ3eXbu2Z+JJPBuMpAMm1dLU3tk9MiSY5c6uNofTLkrCJ/CJn0EuEACwXD6TyaQBkMlksVistWTe + TzTVNcmiqipWmxUQyheKyWRSN3R4hiJGDKCWfgQ/9zu1AhFCAOD3+8fHx4PhKMICw4QDrvd4HS63 + xWojwpZit0ZPJc/6T7jG+t1KOCeAJMDQ0eQ+OtoRXVlLxmMP59bVroE6J5gwFY0iAgMQj26srm2E + ElVhpH//4MhOmwJAQaRQFcEAzABzoADasy2SAwBCxLe2dvny5ffff79QLDld9f0Dg00tLfY6Z6lc + Xl3zzczOTU1NJ1KZSlW3Wm02m11Wa/J2Rqmey2X8/vWHD8YmpubTudLg0EhdnctirZMV1WKx8Wer + fO3MhmoYDcQMQ89kkmMP7l+8dGFs7PHefftHRrd1dHZRg6/7AxNT00+fThmGYTGb+/oHent7nwGs + DU3TMpms3+9//PjRwvxsJpPeOoDZvZK1vna8LFfozMzsxUsXr1694nZYh4ZHh7dtczjrNRCX/aEn + U/OLK6vsyhVJUTpaGl12k6wQoCyXz4XD4XWfz+Hy9rZ0tPf025wejeFQNP7wyfiKz3/lyhWv29Hc + WN/idSqK0tjQsG/fXpvN7Fv3+YOpeld9W++Qu7VTx4pkczY1NZvMZsqYVi4uLCxcuHDh2vUbhsE6 + u7p379nX1NQsiNLKytrMzMzk5KRhUIzwwMCAy+mQFKmmEDUMPZfLra6tJlOZgD+gquahwSGb3SYI + Qltnhywr8Img4GcxGQC1fsAWMeofLEz8GYYXEDMwMwhwDJwAr/kHMfxcbgxjgLiIGGKQTSeTy0uh + SKaOFb1uV9voIFbs+QqfmVvYDEeuXL3m9dY76qwNDfVAcC0EIpNKTE5OvPfeu8FgyGKt279vX9/A + sNXuyherUzMLs3OL9+6PSZKqCrSx/pTdJmORUF2vVMr5Qr5YKMzNz5UqlZnZeVk1HTl8xGazOp0u + m81GBFIul0Oh0KVLlx4/HCsW8rt37hgcHGjv7DIYX9sIjI1NbPhDt8q3BZEo6os2e58s1TDHzxyR + f++exjnn1NCz2UwmU3QWCpqmceAYY6Da2urKlcuXHjx4YK9z7d13oH9wWJJNmUx+1bdutzugtsnW + VksG8CzO7hNufs0vwQy9WMj7132aTm31nmA47qyv7xkckRRzOJZa96/PLSxhQbSYVHe902YxIUKI + IADVaKW8MD978/q1Dz78mCLB6nAPDg4NjYxYLNZ0JjMzO7e0sjo2NhaLx6ua1t/f39DYaFIl/o/S + ogBq04Xq1XIpGgnn8oXl1bUqwzpDg8PDdocbiLS4vLK8vHz/1h1FUW1WS19vtyoShQASCFTLocDG + hY8+unLpoi8Y8bR09LX3dHZ2dnd3B4PBlZWV9fX15eVln89nMZtlWXa73YIgWG3W+vr6aqUaDod9 + Pl93d7fT6cSMEUK0ajUSiWxuboZCwYKhrfvXY/E47+d8i7lByuVSLBZbWV5uHej1eDwcgHNWKBSX + ZuauXL58/epVu6Nu+8F9g3t2SCZTuVSNhmNPHj9Z9/vDm6G21habxWTv64UttTUvl8rxeCy8GaaU + YSIA8MGhQdVkpYA6OjtMJtMzGjAwxqDm9AZaK88wzpYWFy9euHDj40sKx52dHZ7BPnDZQIPQeigW + iwcW1mOBkMiQhUg/CxNDUCqXN9Z9V69euXnz5vTMTHd379DQ8PYdOxEiiWRyZnZudc03MzMzMTFp + tdoaGpv6+gaIgERRbmxqFsSJWCzu9/v7etubG+uxIAAgTavG47HQZjAcDnOOQqFQJBK2mq2qqhAC + nEGxWAwGg7FYTNe1hgavxWwmBANAtVLNZrKRSPTRo8eRaNTv33DUOTo7OgkhhWIhGo0tLCzcvn0H + AervHzCZehT5760dW0q9Z46if5wQ9f/P8U+l23901E5ymDHDYFwUAZGaSwlTJmAgnNkEaHHVnTn1 + wqHjz23ftc9e5xREGQMCXUuEQ//ju9+/cPu+L5qaXvP39XjczZ2kofXkK50njp++eXf8hx9cWAgE + Rg899+lXP/3pl09hCiKpqHKhr6fxlRdyvsW1//SHf3rzaXV456Ev/tpvnHzuBUqBYLASsEuM6Ils + PHbr2p2nT5YNJr3x2c+fPHNy7/bdIogCxaVK9tC+He+899O33//48s1ban1r/7ZBxAEzio2qwjTI + JnwLS8try8O7d/3a1795eM/+9saWqsGRyQKKijEQBAKmqGag4goHsLtadtQ3bNu1+/atK++9+9MP + Ll4d2DH4xle/uX33kXq7iTDNqmBFNASogqahqi7q1VQinc7TPLd/7Zu/fubkiZYmj4B4Ipp6+mjy + L/7ivz+en75y60n/vqPDwyaBgwiglbMbK4tjN67fvXXf2dD+2S99/Zd+6UvAqCQiIgiaXn3r7bf+ + y3/7q9v3xtS6pr2Hn0eiaiVEFWoWEw04AUAGYBEwQEVkFHOOJFGT1SIRqoIEgghQAShbWNbMC5jR + cCL/k/evnHnpxD//zc/s3Tta7zJjXg2HQj/50bs/+Kuf+KZvTPd7fKePt6puFxFk4NjQBY4krAiC + BYhFI2ZJoO39Q/+ivSObznx84dHs3B+ZLK4DB/d88/e+bvOYJFInIpMigFkEgXOoloulYpVDVZQN + SdaJCAhzIKrJvG14+Etf+tKR48eaWpsVWREwQgC6RmeOzb311rt/+q3vMFGMROIfXbo0MDTQ0e1R + RAyACMMCFwEIRYIBhCHyc6XamodsK6l6C5jAQYCyzAsSKy4uREOR6gunXj336smzZ04QTBHlWqny + g+/96P0Pr9659/TOzSduT3PfUC/HAJQSrTh19+rHH3047Vvfc+TYuTNnXnvpRa/DroqAaDUWDtx8 + MPXv/+jPl0P3p8YCc8/tqnO6ehusNmdDs7veYXMEErlQNF8ogcsGiACnFVQpZxMJ3+JiNQcVQV2c + nY0kU2kKVgGJRhVXc3qxGAhtBJIpT3uPp6nTZmsQEIpHfIvjNy5/8OO8JuzYe+zf/t7vd7R5CdJU + CSGqR4L+//s//vG1sanvv/tu5679zuamdpNNxKKKuMyKEiuu+6I/iH7saep56cypf/Pb/1pACCNB + JLKqiKIIGCOEDODsGXeZAaZcK8cj4ZtXbsUC6aGBHb/zu7/fNdADGJerGkdYAlEv6hbJohIBuGaw + NNCCQIgg2UTZzphAdSAiMAQcG5hWEed1Rl41tKQu5qmzCC6XaBZkAK5LUDKxFKomp6dysazx6muv + v3TquQO7t4uYVsrZDf/Kt779F9fuTMwt+B88Xmxo7PR4nAJBWK9q+YRZL5QzGf9GzFbf8NzzJ377 + 33+9rs7msKh1ZiXgW718+eof/dGfzD0aI5gcOPFCm2JpVbGAOMeaDpQibHCRGYgYXAFAoAEpi0KG + gVY2hDJ4kLSlTaPlVGZjbfHBw+sfXTS7O46fffm3fud3LFaTIGIwdL388viDB3/259+aXfVV4d7e + Yy/IFptDQMVCfnlpaX5mThZN5z71ua/82r9knIqYicjQdaNYoVUD26xWAiBwoBpQxIkkA9MAOAEg + QIEzAKOQjuWTKWBSneqxWr2GYJbxVlyKigFkAEHp6BscGg7NRh5HfEHfwnKbew/hQA3gIlCeSebj + 9yem16LgcDeP9Pf1eEHmIBNAhpTIZu/dn14LZAd3HDx+9pVtB/caAscAhAE1mCigttampsaXCUY1 + xd3s3OL9B0+qVXro6Ik3Pv8LnsYmTAR9K1SHqKra29ODEBaEZ6n1XAFRAqRzriOMgHCzJO4d3bZt + ZMfIrj3WOqeiEOC6QKBarsajiT/4g3//8fV7t8ae7jnxyi4KIgcZAQZN5RWZFhKp6tsXb3RtO/jc + iZe++Lk3OlqbVUUSEJx//73/9t0fjc+vzvsjY0+nHU6HWRVBKwLRw8vj7779t/P+oKNz9I033nj+ + 2NHernYiS+Fk8sHE0w//9p31/FwqkipBUQONE4bwVqD1loV1K1OHyCDWQq1BBnN3V1dHc7MJJxaf + bsx1JuIvOZ1WSdwif1XL5VQ4HAsEGMLbjxyr6+ohVhUAJKDJbHz20ZPoashhc//iP/v1HQf3mpz1 + n4QjAYDTbXM4rcCAIAEq5UIoEpmaWl9YHD18ZM+LZ3v37pURolTXdSZjUREF0ek4sP8AYCRIDDRd + ZVWLiIqMaoxrGAxRELAddL2zu33vtp43JZaIbPhW10a6mwG4aGgicCwoumitENABTLA14TkAIgIw + BryIWQ4B5QLS8bNkESrVPhOgRu0dAqAIBljEepskC9gXS6Uy5UoZQATyDJnBahSQ2l0G1XREBuZV + wdCEqlYulMcnFw6fOv2vfuNfbds+4nI6kFEKrMx98NaP/8Mf/cXMxNOGztFPfRqIGRDBhINJNQ0P + 9H/1q1/p37arrXeAiIqAJQTEoGzswf3zH5//3g9/vLbmuz+z2j2yu86s1DlsA/0dC/7oeiTtW48N + 9Be9LgXXuhdGlnA97F+9fv4y4VJbU3/f8D65zpqMbEyMPxh/eCMcCw/v3f/lX/7VE4ePqYJEONY1 + LZvP/tl//rMf/N3b750/72rvGtq+zeuwKmAwjhAXWE2nJxJKNaaXJQGZoMy1sq7DWiA9vRTyeN2n + T7/wta/9RkNDKyFKIRtt9loVUsymcndv3rp6fSxfhtffOPf6a+f6+gclycq5BJQ/vnvv8vkPf/jj + nzyefNo2us3W0u40EYWUEaDRoe1f/LJy6NDh1pYGm1kWMa+hKJ9O7vvRe5e+9f2/ezjrbx0InAbA + BCRWxnrW9+j8o4mFXJk9ejhRZdLZc2+8+trre3bvlggGxpK53Nzi0vj0dKVUsoqyiYsCF0RJpYKY + LoLv/h3Pic5f/MpXPnNk15BLFcHgtHrx4gf/13/57vRmZn49NL2yvq3b22AjJpVIWEv75t7+wXdn + p5bt9R1f/eVfPnp4f09XKyZMp+VEKnJ0ev/ffPenT+5P3736pMHb3trTKxIqoArNBi6/88NHj+dk + Z/PrX/2N508d7RvoqhqlbDYb2gz95Ht/8zRzP5ooI7BiLoqGUEujp7XtHwNgxjllHBNEMCDgEpVM + O3bs8jS27N53wNPQqJotBmMIE72cX5yd+P5ffef771y4cvueo3fXrsMSrWWyApBakesT/y0CAKCI + UgacYYGJApMErKBa300ALeefenz39p07C+uJg4dOfvkLnzp98rAsiQZHFY0W8pXvfed7f/2X3//o + zTc9Dtv+g4dFETwe58E9/Y/uXwpFY1ceLHbsPFPfBDIHmWkAVeDV2OLd9cWJqsY6B/YMju7GSKgU + 0nOz83/+rb+kHO3effAb3/x6/0CPw+XlIJaqNLgWevcn7966evvWjfG+UY+z1eVxmQVAJjCbZcow + LaFimZU4MgRAHBAAYQhzACwK3DDKWkWSBEIQAI2t+2Zu3br41jslrPTvOfqb/8dvDnR3NrjsksAr + Wm4juPLxRx9/+N7l+dnVyx9ctFmdTW2dglXef+TI/u1dmUjsL394cW79TZu34+yr5379m7+OJKQS + 0SRgCT/DAom1ZUECnQKtgsArqVg8GkkXyyWiMNViiBJjFW7oqiQDA8JLgIsWnpawbmAxXCLr8VLZ + IBwEDIwbDHGBYMCYARIBEOcIgKCarNioVKvw7rvvXLt9TzVZOMJEECXVcuy5Fw4de27Xzh1WQiQM + AKA/yzkADsB0wJghAggDUrbii2i5pWY5AnwAACAASURBVLHhyP7RyxcvLgQW79y7PXjoiN5uoSBJ + ghVYCWgp4lsN+FZZtTK4fdvw3j2GCAJALa9DAkDAGSCCCQBBiog4B6pDpThz//q7b/4kkMjvOX7q + 858+d/zwfpfNZFAkSKZQJDHx5PGf/Kc/XJqf/iAZ27NnV0tPn1lVCDdEViXAGBKWg/GNH79T7/ae + PHnq93/33ymyQhCWZVmSBAk/qwVywAQoJYbBZRHJiLNs/MJbP5ld2mzo3fmFr/2bQ3t2eh1WgenF + TMK3NPe7v/fvfPFi7+ieX/mX39i/q98sAdKNslFWQJOhEggGvvu3b3uaW0+dOPlvf+sbbofdIKZc + lZvsdokbhcjq5LW3rn/0DkPSi5/5xV/+6i/b7TZRFAF4tVq9cePa37z94e1HE7fuPxwYHNy3c8Ri + kjlnkmId2b73V+sadu7a39TaoZjMHIAybhjG3Ts3z3/4/l//6G+Xlpdn18Oj+xRrY8c+l3vf4UOX + Pnjrgzd/lIiO7du36zO/9LWRvYclk5UiYpaRnVRFLZmIBh6MPXj7g5s6k869fPobX//1Bq9LMZsY + 5sVS8cnY/b/7mx89evjowYN7ew8fG9m+q1WVBAwi0gUEBAsTE3OAid3m+NoXv/LGG59x1bs0rco4 + dbmdhCAOjIOBMBIBIaCAOCF4S6z9yfWEwxbKaqu1QAEZmFAsVghQzdDLGgaQOAAD8gnNAxSACtUN + BgiS6WKBxrwdrjdef+XLr5xocduwJCUymQ8+/PiDdy88Gpt48mC2t2to355dAAJwBnp5derxrdu3 + rtx/svfQ8U+//Oo/+/IvmUSZY6xRmisUb928+Qd/8AeP7l0CI3Xg0C7R1GRSEBG4CWsYQRVJD6bW + 5jbixw4d+Mxrr5x+4QVZlqiugV7xOKybG0tP7j+8/3iyyK0nzpz+0huf2jncZ7XbGULZQuGNz0T+ + 5A//n0f3Hr3z07We3oamzha3ywxIwhxEJALgWgTk1qWD6yLSHawsljKGxstIMVQHSCIHXS+X5+cX + r16/JUjKiy+98lu//X8SIhiUV6u6IMoMsE65ajYhAjUJBDBKOJLJVhQVcA6GBnrRahSoVvZF43PJ + 0vAA+Re/9vX9u/e1trVwzIKhwJMHT/78T78VW1i+pFePH93X1NmgABAwQKvkM7kbNx9cuvlkKZA4 + 98ZnzrzyyqnnT5oEWUEEAY1ENq5dv/jHf/rttaV1IswcPhYhZpdXlWpfHWGcAENbDW8AEGubE6Cy + hHTEqqFwIlFMepo7zr546guf/2xnZ5vVZqWczs3Pv/PWO//1v3776d0b9Vb5yKF9Xq9btIgEaLmQ + mJqd/4vvvZnJVwdHtv3GN35z+47t7Y2NCkLIMKLJ2L1H9/76h399+fq9tz+6YG/u7hsddVqlRq+y + baDXrtQlN8pTj1YO7T9JuYSMqiTqlYIv6BvPJYN6tWRgaW4j2hpK7wNkBiRyDogl46FwcKlcSjc2 + NnZ2DnImIAaZRPitv/vho6cPpDrTZ7/yi8dPn+kf3Q4IIU5LuezTJ49/+Dc//uijj2/cvO3xeLp7 + +kSMgZYFLauwQrFYmttILKeMo/t2feNf/86O4YGGpmZRVgVZQUSqodk5QUS1cEYpVAUADLoMFAqF + 1ad3b968Fi5pp176zGe//PmhHUNmp4UbWiS48dGH777zvQ8wIAQmDAoGgXHQDVAIzSQjjx4/vnj1 + zpo/3t2/+xtf/9WTxw/b6uxARI3yUqH40x/+4Pw77zx6OvX00XhDa29je69DEixmsnO0b/LJg421 + 1cmJqb6B/t6hYQxcggrSMnHfbD4UkAxKQcrltLVI2tmJzAJgDKLIKsXU2vxUIRkzWawDu/YRl1fn + VEaaaqSRUdIZv/FwaihX/vIXPnvk4P7e3h5JkuKJ+MTDu//5j/9jyDf/+J4+d/q07G5r9UoYQKj1 + PLa4y4C2WHT8ZznX/3uMfyrd/k8H44hzggUDER0AARIEWUAEOAXOZALNDqtqs5dEs6OhmRDCGHCD + mzFpc1lPH9y5FgxN+IKbmXyibAA2A0KgMIKow1SVJAAs6qqDWKyqFUQOBBQBGELYbub1FsUh6gLG + lFiYqVlRQQQQAFQOBEpQSmWi0eW5Vb0q9fRv33fseNtwH1JkLafJXLFbrbu2962sNl26IS1v+GfX + Y1kNLAKoGAHmol6WyjnEYGjn7t0vvtKz93BDc4vFZLYYjItyVdzikGPQMWAACQAQMQNigHRsRlYT + sol5mekC0gXFJtlMZitIIClASY3Xw2UVsMx1m4zd/T2HX3tp5NBJT3unSUYy1hVWQYOugRY854NQ + vLQWl2IlcEsggV4tpmbHH4V9PrNiO/vy54Z3nZSUOplwiehAmImjXcOdL55+/gdvXvJFMjPLgX0W + u9VekxSUgRWAy5ybKQYBAHGEGUOcG5iUBalEpAoRNAAJGPCShaVNNC8At9Q1ju47cfiFk7sOH/R6 + rTYRi0yrQ/KBwe61oYaHE7OlQjxZKts52IGonCNGBQqICpxKOqgVZOaEqVjDAjdXiyZVUmRRliRZ + MZmsTrPNbgIiAogMCAOgVQWYLIlIlnMcJSrVMmADAyGCJMn9Pb3O+vr6pgbVblUIqdnHJCIMNDcO + NnjdilIWVIyFQqlcpYaBgQHDAIRhzAiAQEGgiHDAP1e3/UQz9uyQigAACJRknpN4yemwdw0fee6l + X9h1YNBiswHPSIxjVT15aHd8Mz12d9a/Flte9meLVdUMSrWoJyPr0498a0sWb+Po82cPnH3F0dKo + bjGAjQavfGAH++efee78hfdm15dv371nahjqaHaLUG5vaNw2OBIcT8WSlc1otsFh55JGtUI6HIpu + hHIx6rbaCkSORzYD0WgoV+pymxUCvJpNbgZiiVhVELtGd9Y3dYkiAgph3/LyxK1qJjS69+yZVz9v + 93bJVqKQioIrwGm713Tmub2b+crFx2szwehANNnkssmIKUDtIlVRhWDAiufEy1859fIJq9shIY5r + 5fOtT4lCTWwLqHb5BWQwWtQrpXK2YhVdjd52hoguIEU2yarKAClMtBCQaI1UVRV5mhs5Xdc0Q6RM + 1nVMDUASAAADg6AqVCvWSsaJcRhZiqw+rdvqKcgcRNBkXjCxlMIMZ3vXthNnj5/93MBAh9UqAtMV + WZfAefr5/fGM7r86ff/hUldX3969QwICAN3MKy6sqZhwZDrw/KeeO/di8+BOWcY2rJuI0dFUv2uw + 98yRAw8ejscj0UeTM1Df3GCzCpxTrFHMKCIUZMSJQEHmFFgFIE9wGrABkq3IPZkimFSQhaoM2sTE + +Nr4uJZjz716dO/zZ1VXvUi4jDSRYCSo2zpbXz19KvbmB8FUaWJpo95TbzE7Dapl0+lCNu9pajdZ + 3QaWZRVUxGSWZwJIstkAi0CAUCAcMAMqYEaAEVkARhjfim1l1UohpRWKwCSRmQGZDGyqApURAq4D + YoB1wMjT1tXdOyjfnAmt+mefTO7fvsOmCIIIGIr5SmAzvrGZzhG7ZXB0T7PHXS+DxIAgSKaKiwsb + oXBBNjftPnTC7PFWBCQDF8AQAIkEOOcIQBJJLWpWK2vzC8tLK762zt6Ozn5nfSPCMuXAAQRUsyqA + LG3F4Oo6JwgRjDgnlFBOEGUViYEsCft37KgQxeJuEsyyRBjiRTBKEgOT2z7Q2jThbUhoqMBUHcDQ + QUG6YJRFI29BZYsJmLd78NhrJ86daersdNhkE6FglPb2etefP7QcigfTxbm1wMH9xWa3VRa5kYnG + 16fnZsYNydO449ihV7/Q6rXbLRgw6iD14vbtHiT9KPfX930bTKdI4kgCjqBW9hF+VrolwAFBDXWI + QcBAUJPXub+n6cnUQiGysbAwP7Rzu8WkGoCwoaeSifXFhUomY3M4h/YfUlvbDEKqPCcyrZyOLU9O + 05zW4G3uG96pepo1DJhvJSlyMAB0REROMXAEFZpc8xdW12ih2NbbZ+nuLSIJA1UJEyVSA7oRAUwm + mQKAkQMwZFqmxRxWnViUNAwpHSRdsEiiKOdsom7GZZVwURQRIGBUZIaMECKyJtgqZMu5WGNFMASE + EwADeEnkBYQwxdzAQLdUjSLUig+IISTWPitAGoiGTSVmVTZyvFRi5ZofGhsIBIYQq6netsQDFJAG + qEqgKjDdigR3nXv06PMHTr06tPewq95qlkBmoLbU7e9x7B9qWkzqoUg+kqYYE2wCExYdTpcFdzmc + TmtTm+pwYhAEDkBB5vpIiyvZ13jZLjLGokWUMBQvIMUi7do5MrUSXwwuTE+v9fcM9ne5MAGMDYzz + yVgwHQnQbLWzo7+jZdBsd+sCxDOZ2ZnxTHzd6Xaf+fS55uEhYrVKCGMdRIJNIuwf7J4ZGbr6dDaY + LSRy+XqrjAUDI5FSxjEGjBgAJ1hEALQs8KKCQdOYxs1qnevwyVNHTp9q7h6wqJKAwGnxiCQP+c1s + JO5fW8vkjW27Dm/bua+zp9diswLIDEAENNDbnNvWc/WyKRSN3pqc799/2GZSbKIKiPT3DDs9vW5v + o8OCsagDLwOlgOnOnsaJ7i7F7CpyS1pDGQ4mxFWehUogNPHxw6tPU1VLsUDae0cPn/p048BeYhWB + gYTArYij0kC9p04v6U119WZAwAgHrGGCVegcHdp+9ODho0dbWxvtIgKaAZbZ2e44dWRn+NpMrFB9 + urja0mhtqLPJMoJcIh9YWJ+ZkIize2DfnsMvNnU4ZRV0WhIVcKrqiNDTea1z9o5vYdznPxwrUWYi + tJKNxNcmkxtziiw1jxzp2n2isavfYpEsINhNgtNMcicPKdnq1UAKqIxAFHWhtukzAC5wjigHShHF + IAicAAcAyWayj4zu6OyteptbREVFhEgYIYSIpA23O7c3Kw0OtaDTTV3JANhrl2wOz+q2tcoLAoJq + kfYgEIJFkUlcx4wSCiAQAC2bjc5NProWiUYt9Z0nXvx83+CoWbZJEqKARQUU2bRzdHjjwL4HY49j + 4cTaRrSto9HhsHa32RtcwkSw+mghcjxOujqgQQLgGmhJKITCszei6wsWm9PZ1O/ytCHAIf/6yvJq + qUKHRncdOvJ8f++w11mHJTNFCMnQ3Ow+sLdvY+7uajCyvObrjO0d6jOJwBRdFjhhiFaFsk6qHBhs + VaPxFvUWAAQBI5ljThEjuhFYnN+YfKqnc9ueP7L/lc91jB6or8MWAQjoqioS0Xbq5K7YRiC+HvMt + rG6sh3JlXVIlRRTAYjJbTKJsokhl2CwoqtmuEMxNgEwMwABOgBFg2KA1sDCWES2DURX1IgYumC3Y + 7sZWRxULMhExQoauCyCAoAHkvaaK22k2sFQgdRViK+mEAhIBMKaYGQC1tHhSWxgYAsRBFInNorhd + AsI4X6oUNcYoMwyjovN4rnp3fL6tqeHs84eP7hn1ej0iEnTAnCECtMZqrlKmcywIiICEOBMA2ayW + 9mZ7W6NpyVde96/GkslCyeK0AOUqoRWoVsNrK/HwpslhdzV4Ld563QS1I6wAYNpaBzkHLCDAgIEy + KKRCK3ORxclCOtnaPTxy5IXdx57zeKwWojMmAlGaGlvJNv2V50/cYaVZf2hmZsbTM1S/d1DihkDL + TKsAkUCyV7H83Nlzn3rtU+5GtwBAGNTIybUVmDJgUGtvII5EAAqGJpQyWjouSab6zh11nbsVh9Pg + XMXUYZGUBkuHg/ij+no4ldQlDYMJgSQwmRgyVCRWIYIg1Ln3nf7UqbPPe5s7bDLSsCQy4BiMdDqy + /CTve6Kw0rEzrwzufd7saScCIgREZCgy2t3fEj2y5+nsgj8cfTI109/bXe+wIE7q6hsVydTY1m93 + eWSbQ5ZrunoKTBvp9AY7nE6F5Yv5QKaSZkAQKLJZkYjdZq63EDMGSUCCalGsDrMCDEAGkHgBKuHI + +vTGxkamLB07+dqBIyeaGjpsFgIy0sCwyqaBgfZXzhzdXJuLx6O3Hoxbm/s9brOAGBglvVoql6tY + UDu6us++9Or+w8dd3marFTGuUgaIbIGQAYCxqsGoJAjP0BQ/dzfZijutPWkiIAacAZQBGRwXORgU + IcrVmhaXgkTQs7MI1wFzjgjjIMpWb1vv65/9haNHtzW2N5sknQgGksmOHW2zE41P7s+kY+VUvFIq + gywC4RzKhfW5p2ura7pkHjp8asfBk4pSJxEAAWQAWcL9PS0vnTp8796dTCbm39w0udxtqgiImnCV + EFxiArg7uwe7jp96cfuO7U6ng+kaFomKMLBSbGni7vWrqVy1Zfv+Yy99vqN/0O60CqLAENhFRRWl + fTsHssGFe0+mNjf90WTG4jBLmHCuGJohEhBqDAleW1B1oGWbkTcbJczUClZLxKJhUBkydL1UrpSq + Rkdzg9Xu0HVDUVRFEU0qAMIGgMaActApEAwCAYQwAQOAw9ZpjgMYAFWrnkdUM0SlY9/R5587tWPP + 4Y6WdpsVMVYVGixGd0uP26UH49VUKpdNZao5VTCLnEGlkEmm5xZ80Qxr6dux+/gLgwf2W1xuEwOs + AdBSq8d+cFvHZ8+dfvfjiUSCXbs1Y2tssnsttQ6NgAFzDmAA1wFqUg1AHAECGRtML+lcEG3N+068 + ceS544MD2+osgij8v+y9WY9l2XUm9q09nHPufGOep4zIjJwjs0YWq1hFik1Scgu2hhYa8I/xowGj + 7R/gF7ehfjDQbtiyGi1ZBFtikxSryJqY8xwRGfN853uGPSw/nBuZWaTchl9b2kACEYGMc0/sYe21 + vvWtb3n2bm156uyda88+eOv+w8dHO1sPHz0sVN4dr9WAdOP5/TsPHu013erNTz760Xevv/vh5NRw + KQiQGQg5NVb/1ttXn27c3D452djrPtk72zo8qhSHamU3Mz48OzK7/bz/8slx4zRNLUVFAvX6zSfr + jz/L+iflMAxq4y9Pu3f2W0fAFFAhArvtzSdbmw+iADNTMwvzq9XymE3bx3ubjx99bZAsXFu9/fG3 + 51YuBZFwDiGp0lD17bVrd35z+Ze//OzhoyePnjz/fj+tFbWCVRwXXZdAKIzM3/7krY/fX3vr3cWJ + WqlWh9TwsBBOwBNs3rtOSkBrZIBB2jp88eToxd1G66y2cG3y/e9ffO+TkeGopEHolfTY9757Q/f9 + X/z5T/c2e912FsdZ3qHJZv29nY1f/v2nB8ed8fnrf/bf/tmtW++Njw5DK4YUpCpR9PuffCdqn209 + frL5bP3Tz+998sNWIRwqRbQ4NzoxUpXMezv7h6ftHqBBwmeme7jz5AtzdnihOt7o0Vmj/+n9x5Nr + t8YENNibzunB9oMvP3O99ujs3MTyqhiZYMFAv4Z2QTrIYPHq29/53ocf/94/W1mYrtfLQkCH0+7y + hY9uXfyi+eywe9zodk+cHs0pOuclJbkvkmcYz9GO/095jv9yxj+iP/X/9+C8jBBKSJ8zNgEHIqkH + qWLhZTkam5qcmJkzUiZASrDMyBLY/ur0yNhQNTO2ZdHzOkUJFIEcuBOiCWEggp4spVK6XDaKQBTC + hcIi4rSIWAKJC7uoZ4N0PDQn8L2suX+49XL35YFCfWnl+uzKpbBSi701xrnEIU1GhqPZqcLQaPGg + 0Vw/7JzFSAyYPWBDm5Rtvwisvf+tWz/8w9GLV6NyHZbhPDHnALGEk8golx/ivOuVcByASFJSoNPQ + Az4xCDJCLoZOSMEWluBU6BC6rBrS8srF3/+Tfzlz9bIuhUwS7HRkF6fk8pSrVfRpx+92qo04f0Kc + dk8f3/3qbG+vVhq69dbHYzOrsYHzxNYg6SJtTw6F169eDIqlvbP4wfPtdmLOq6xj+DY4JmJHcAyw + gPcC3pKIle5rnUO3gAfHwp2VfFuBi7XJtz/64fX3PpqaHdZaE6R0QVioXZkefffSyEjQs1mzkWYt + hhkIb3rlCU46FxgfpSKMRcEiANj7hH2mJUlBDGm8tpAESIZ0gPNwWQivpHRKdYXoeKQkbU7CIlkf + Hb14ebVcKXvAOgNnYVOk/VqoZ4vRcKDKQSBlkDlv4e15qQ28kCzB0pFylLNF8n5tBCIG5QDkQOyB + AGQaccSd0PuZqdn3PvyDm+99Z2pu1MAzEsExEK9dnL+5ulorDJ8exVvbR81uJ3FJGjdPd56fbj7q + dduTF1dn3/5gemnKK9jc+zIKur4wNf7H31v7aFmgf3L3/oOHe0lKAMK58fG162thWD06jZ+v78Rx + JpDBJQe724fbOz7B6uL8pdmptN18uXewftpKASLm/tnO+qPjsyMfFVbW3hmZXBAEOBy+fLH14Jcy + xYXF+eu3P/SBTD0cnDUdZA1JnasrE5OzEw705Kixe9JmDxjWLitSWlJZrVqdWrz59id/euO9q17m + 8kXAoHUwgwxgBsUsA8TbEiWKaKg8XJJDcdc9fPx8fW+njcxAGkhHCBTAQAr4JBDtQMTsnXUBc8Re + 5TCPB3tYUIasU02bQ8QSxR7G2x5JHjUi09wt+bPIY2V5+YPv/fPLty8OT2h4wFsIU6zg9vXFC4sL + HsWn6yeb26eZAXsPb8Bx1fVKUlVqk7c//K9uffhDWRasAakBF5b08uz4R2/dnB+uxd3Oo/XN3W6a + QYLYSeOIPUmPkFhpD1ifQ7dKnEIY1tU+T7RiWAeFOHD9nYd3j588LTCuXL21cO3tRCJ1zmQ9ZF1k + vbFI3bq0WquPnsW4v7572Ow4YpIU6KCgI0XB7v7ZnSf7Z91cmjkR3A8lojBnhgEeksGEGOiDHCS8 + hCVYB5fE7ZO00xEcKFdgLlogQcGCgATUgzAQGJmdX7l0dbg60tg9fnznfue0wRYaTOg12hvru8+P + OnE0snx97f2xeq1IUB7k0WvGmxuHjZaLKnOrax8EtZE+vAF7OCIL4QkObMEOYOd8v59sbe8dnTSX + L12Zml0Ii0VPwkOABMTAAx/EKAP9BwAwHgbCgDJhmRwkDc/OTc8uBFHIEgwhyYG70ncjYWbr5ZHa + UCfhto8yAAzBmfCxtp0C94qlcPrijaX3f//ye9cK9aLKFa6z1spY+MGtK5VqtZFh97TdyywIJHzc + 2GvtPjra74XDE8NXvzV9cSaqlkEMl2otFyfGv//B+6srC5WhIgmwZi8dw+WJOzWoRSKQhFewGjYA + QqcLXuvZqdGPr12YD21nf+vOnd+cdfsWsBCpdafHx0/u3s26nfrI6IW122pi3IAc9yS3s87pyweP + VYzx0ZmRqQUqhyng8uonWI/UI2U4n98+iWu93Ob9/QLE7PJKOL/YAvXhGE4pSUxs4QyEgJAAG/g0 + MH2R9gQYQhlCj9ASlCi0Oo3uyVbgurWirlWrkiS8194EYIgwU+XX0C2ng0osAmCBvkaXkDnhzWvo + VgEBOIQokBBykAZPIEytIMqFEF7EKSVx/r/NgAfzjaJzB8ogYok08LbEqJVq7337e2vf/v7wdIUC + WABkozKuTujbK1MFrVp9asWib5EBLKQslaPxsdkLS6VaLYW0EANmTdKdiPyFITkcWOf5LNMtUAoE + kbx8eXF+YYFE8eHjrfUX+yYFHCQyQmt3597B9guZ4NLUlQvz12WIHnB02nh4/+uk2xqdqN/81jvh + xHjHcWzhUiBNYdOL40OrFxacELvt3u7Jscn68AnY5jX0DnBgBwjhwTG4X9TkrGA9PDJ96f2P/2Dt + /e8V6gE0SEEXJShGd/do89HR3p5x4cUrb80srJSr1dSZ2KV972KT1CpqeboyOVQ4bpz+6vHGXs8b + ADKE0CPjkxdX54s1TVICFj6GaSE9RYHHyiVSlY4vtZ3qEWIYZ87Qet589rPNO3svHj5l1jOLVy7d + +nY0rvtASjDwQmFstHbj8vI7N67XoogcyEnPMhNKFXHt9s233r998cp0uUIQACkgXRqVH96+NDRc + a2a8fnDSzowHCKZztNXYeNDa2aqV6rMXbo7PDcsQsUFi49i3rehURsKJsbF6OH6w2TzYbzaTLEHW + ae41tu5lp8dDw/XVd74zunIjrAcW0OBiIMaHom+/ffX2rauV4RrrgJ0ILCIzgG5TsilMBmOQMTw8 + YAEmkuH49OzSyqViuQqpHYOEYGJwUi2Y+VJcL1HMdMjlJhDnys58rg2Sy2D7wb1m4L0gKZT2ARnp + rXAMCCBttI8ePb77i063W5+6eOnmd4aG520CF5ssy1KbMdzsxNitS8tlIc6Oz55vHvQtVWqFxZnK + hbkqVHB/u/P4AMftnO+ZID7C4cPG80/7Z7vTswtDM6vlehVMu5ub6883rJNzS1evXXu7Xh0VXqax + SQxShyDAytLw9Jj1trWxtbNzkmZOwRWkCaQXXrhUJ1ZlIJeX2PEALYIBHEBSexIWDjbdffbk4MF9 + laVXrtxa+/BHxQlB4blALvWrpfTm9enb11eWp6bbx82DvaPjZif2zIS8hx5DOESWIk/CCw+kmgED + GJAHkDmkFi4DnJQgAZsGWQ/sOCiYQiULoh7DQpJU3jt4C07AnRHdqRalVUGshmNZzRAxSwEWZEA9 + +ATWwckBQRbwoOGh+tXLyz/4/vc++vg7737w4e133nvrnXfX1m6tXLrc7Wd///lv/s3/9m9//Nd/ + /fTuV7bTIG8kMZwleJAHsWekDANYKO+LoAIK4dRo4dKFkVpF7h/tbu8fnDRhAYcCMqDZ3l9/3jk7 + mZ+fH52aCId0qhGHcAqCUYALwB6UQhpSeYl81uvtPX/c3n4mXLZw8fL8zfemZsa0jkBGCBZAsUDz + U9Mfv/vW7eU5Snvr6y9e7O47AOyUT8gbTwrVsfELVz747g/f/fBbKoCUUIJFjtPmSD2fFy4TvABY + Ist03NJpNwwrhbEVDA27CMYROQ8yhSCZCDLpzUGzf5zqvocHiFwobFGaCGmxVJxavnLrkz9Y+/Z3 + ZSGEkDjnO5ru8c7DX6U792rav/Pu++NLNxKirkXfAs6B05mqvDQ/USpGx63Og2frjU4/szBOFMr1 + kemFhZXLUXXYSrKA9wyXgeOJjLhH7QAAIABJREFUslioUS1wmTWnKbUFukAPSJiE5JIyEQFsU8+G + 8jAOCil8B+nB3vqdvd39FLWVG7934eI7WmtYTpOkl3YNx/VacP3S7FhVdTutrx8+32uZjAH20idw + 1llfro6uXl77w//mTy9duakicgKkIANYhvXOw+UiVcwGsASLVyYD57HuK0kmL+FD+AgsmSzLHg+2 + WuTOlRQGZS4CoATwTJKkKFaGZ+YvffL9P7h0bTEoaq/YoR+EvZWV4bmZsUgW+m3utpGmsDmo2Wls + P7mzf7AXjUxPX3tvYvFqmgF9IGafJuSTkWrwzs3l8brsdk/Xt7aOu4kHAVnEMYj70KXZq9ff++St + 9z+am5uXkuCtzpvq9huN9QcPvv4iY11fXLuw9u2wPmSc7PWzXmwyy1GolhfGlqYilyTHx3v7p63E + wwJekGFtc+fn3F8EG7h+zbTLti+YE1HsSTIEQBBzGBYqtSEWwcHRyb37D05Pz7xzWuVipIMKP8eD + nISSROTABmyRS6CzgeuX0ha5zIbR/LsffftH//XM4mK1QJKhXDxUcIuj1dXx0ZmgKNMkTjpt1zPI + AIt+5/TwZGvnJOHy8o33l9feHZlbynIfyQPWIsCludqPPn5/YXap2w1//dX61nGnDyQDRgyB8hso + BWdg+HNlH8WJ5EyHldrU5bc++qMbt78zMhwFSpFLhe3U6urm8vQnb18fLepO43j9xYtOPwYcfH9r + 4+HT5xupL81d++Tmt384OjelwxCe4TwyA8kzs6M3by6tXrvU99g47m7s72WuVyplUyP1lZmLZUwc + bHZOD+NezEIA3O6cPXrx+O8jkS5MTy2urB4l/t5RdydFLw+ibbbx4tHm+r1ySc5MT09PLRdCajea + O5vP9naeq6KcW12auLCgy6VeBmNgEnCWjNRKM1MTY2Nj+4dHW7sHrV6SGgufAf0y94VUKI6tfOtH + ax/+YGZhuVQpD1rjsiOwoHO4HcgAQGsgQOI7JycbD/sH6yR4+urN2s0PShOR0JAWwplykW5cn/rB + Dz6cmZrWqFkjveM8pjVp9/hg+/79+/1MTC7c+u4P/nh2dglK5gGOJ5ASl1ZXvnf7+sJQvdtsP9vY + OzjrxFkShTw3VZ+ZGKkUwv29g/3jZitDDzAmSTv7+8++lt3WzfnlxbG5JOHP7j972Y17gIVN09bJ + wcsnd74IXDY+OTmyeIFLBQbB96q+FZGFVIvX3n7/O9+/eOXSyEhZSHhGWFAz47W3V2eWh0BZu5Mk + Z57ifCORy+Os3D4Msh2D794UVPgvfPwT6/b/feTKPBhIQ0mAHUica2oIglbQAZQUbLO+1UEh1AhC + kfZt2mgctdv9NAFROYqiIBzoD5zTIX93jzHYM5N1mTHO5WKUcN5bmzdtxiC2BY4Pj54+e3rcOJ1Y + WpmYmfLGmqwXuLBaKrmWbRwfqqjtwCMjI9g8ipudTsMWa9CFtOwcEYUqKBfpxvUba2u3aoHQyGWm + BhADn9+rOQmd+PU9CyIhhBCCBr0OFL1+eQw8e5tl1rhcHnd0+Pr1qTgvdhESXkJr1KvjY8O1aiXe + im1mCZACcL7b6T598rxx1tbjE1KCiTNLXdOPTcvbniLbbsVxnDH7dvd04+WzXu89znP2LIEQIhRC + EcG73FfG+WX4DRmhN+c7iqLllZWx8dpAAogB50BcrVVmZ6ajkKw1cRwbAy4AQp63qAOz9+c6Tvnz + rXXGWs/ee2edM1nmc6W0gcdiQRacWWcz44uiGIRlEtIDzjuXdQPhEZS0CGPjs7hbjwJkjttt8iyF + UYKVlI6UdfDnywEAELkbRK9F2fi3/tBvfPeGguX8/NzH3/14aAQecNYXVQSKOe1SrTAxOT4/Nbu5 + 2zs7OWv2+sXRqo/7z588TNvN0aH69es3a8PjGZCdB3HWGs1eSLm4sDA7NhmIZycnZ2etduLA1tdH + J27c4KH/cOfo8NmDr37xvbeG5fiQCEovtk7WD/qqiI8+/ridip998cX25tbL9f2PLk8DvtM9vv/g + q5Pjk1Jxeu3mW2PjI0wA42DvdP1ZExZKhpCJA/pp38UtmbQKri/7vW4nSxOLNDs+Pup02mEAxGyN + MSYrFgrz80Mr7783OzdRivI09EBggl+f9zdpyxIIhCzV6/Wbt1Z/+bdf/PwXjzqq9z3/z3+vPDoy + VlB5V4w8SrZthAlCXy6XlSwSWynKWgV5vTWkBxSEBjHIkLBMGZNRAaQCEWAlewWvACwsLtx+62ah + 9AoENCBABKVCrVgoa6mcNWmWJQYFgZA9fOaTbqD1zNzyzIXV4TE4RjCoHREIiuXa0ML83HC1hOZp + p91OkswDgGLOcTlHlBIMwQ8kCqCZQ4YkYs+Jc1ASApzEyc72QeOsX69VK9VqGCnr0E8SZxLn0iCN + 48Zx3G8TUeb8xtZuq9MXMiwXy6srS5cvzHx9/8mP//rfHyb+X/zLPy1eGClVSghD8oHPA8p8CSSk + xOtFycVhvUPm2o1Wp90Rqs6Sztuc08BmyVx3j4cmpy6vtpcXJu482Hz29P7e8cnw3FgUkga19w7W + Hz5rNvrLFxeu3X4/KFdeWQWRnsTtw8xl1Up9bHahXBmKIBS8xPl7CKI8dGA465Ik6fV7RLS6ujo2 + NibzftB0XpTm4ByctVpJpSlvzPVK4Y0gAkRSeTgH8pCkB22GwWACMbNJYiGJiLJGq9dLvIcuAKxA + 5JzPrFVar1y8ODs3Vw7zIgPAMdgjCkdHRiqVSpSEQtCgsb33pycnzbMGAXNzc9PTMzaPhTxjQPMi + KeTCwsLKxYuHvQ4zm1x+mH5LovWcbQfKQWpinp+b+fa33v7bn/zk0d7+L3/1m7e+/4e8kMeHvZOT + w199fbedigsjU/PzS4GAArQACGmavHi5VY1mRsbGw1CLN263HOsmUgJSBlJ4OJedHDeyVIwMjy0t + LQxXagCnPssclFZSwljEPRuVlQgAqZFYa6xUCoBnZqCgIBWcx4MHD7/44vMsTWq12vj4eBgGeJXr + +q3hHQt+4+f0yoL+9l1CA8vxeghRKJVKpTIQmyxNs4w5+O3nv37m69+01nrnL61eWlgaovNgF8Qo + hLVadXxstFJxURgqRVrhVTMoCIEoAsiyk6/6pidJnCRJmmZZliRJnKZSQQBC6bHR0anx+lCZ9zbv + v9y63k5/KEIUWVCarN+7+/TJsx7T7LUbFy4vE8N1O8d7Ow/uPSsIPVSfKYYVb1w/6SmvXcba9bXt + CiUrlSoxjg+ON15svLMwPqwVJJMQjmGNF3qgIgpmSAoCDUGFUml6dubS6urcXEkAELkXzpDSdftP + Hj5qNJsU1ivDw0rpNE77vS5UyCLopr0w6WZwqhC0Xh61N9ezXg++COmhPISVUJLhyUuhwQV4hyxF + 1zTaWdpLVLsr0qwOELjXSd1hZ7dlj1OcAbOlen10eKiGSEADAYE9ZyYj+EAKpRSnTIPMqACEDrCy + srKwsFCW5wwIJUEKter83Fwhirzx1llmdnBJEq+vr7948TyOsTgyMjo61ukAGSLR0aHtpe2+O5Jp + IQiC0dHRje39ZrN1dnYWBarVbJ2cnGQZJiYm1tbWhocgBKx1rDyxh/cT0zNzc3PlarXZaBhjftfd + +Ya6JAMePo1FSBDKOcskhSAA1jqRJOgnaZpZ44xxxnjH8LkNJAH259s9f5T35BkeYEFEYuAa5Z5R + mqZnpyfPnj2DXhkfH5dSGmt7tt91vYR8JimU2lhDRGmWHRwfvXi5eePda/P18szU7PUr1z/beLn7 + eOfg5eOz4zWMhiBC6vdP+ntnKVRxbe32/NR4tQjh04Odp5svnvT7cbk6PDwyHsdp2uvHnpyOjFBB + 2lZaRmFAhP39/dPThrN5WpbO//lzN/cbM2Y9CIhErtiTwqQ72/vrL48zqKnpqYWFIhg+T0oobY02 + olAI9crK4u21hSfPH5+dHmzvHM3MziKKAMlETINr61xv4hufxwP/m5lz8j6BvbEmy1JjsiSO+71+ + 3I8LVRFCEhEcwzkQ2LFzXkoFIvY+0IEmATh4B2vAQF62/monEF24uLo0O/693/8j6JIRkXWspMxS + c9rs/vXf/uzHf/eLz37xsy+++GK0rBdWViejqoqE994zSUiApKTwvFBJSgFWCAoYGr1+c21uF3e+ + Otx+9uBgdeLG7KIg2Mw1D06fbe71U3v7o7fmZqcrhUEgJAjeOkmepJav2AVgCOdcenC40+k0wjBY + XFwaGZkYTJQXYO8JRAgjNTMzOTxci5P05Pi01epJAFJBSKW0c25oaPj3fu/7y8vL5cIr831OVRrI + 6ULQgGsNhnNW2szYTBJrcACrGZoQVQBfQr+LTGc+dEKLUAUFGWoEDFgXEpeLWmhfq1dvrb21vHxl + uJZbb/ZAZkESnXbr/t07x0cchuHQ0LDUutuHcJmRLGVcFmmn2202WyQoS5LD/b12q5nGU4EAhIYg + Iqhg4OUnSV+ZTiCszVLrnGNABhQUhB54KyaNvTXEDMA4ji3ZAQMHAh5EsG59ff3gYF+KerEYaS2z + lI1t9jiNKdURuNXpdHrsyVh7fHSYZgkRQF4qEYRBVCiOjU8tLi1duHChXMVA+liAGSYzIKskhJZK + aCVeKw+9YZbO9z69XvX8e8brY/I7oQzyvodwDiSiQqEuhybGxycndKmQl4pKAghicnxiYny8VCx6 + 54w1A4/eZv1Wc339RbPVnF1ciQqhcy5xAkmccd9SIgMfJykJaaxrNDrPnj27tPYuFoZhrTWZMwbM + s7Mzt27dmp+fr5QhAV0oEDzSvuu0j44Pj4+OKhNT9XpNCHQ7BrZpTOyIhFYVbYWgYqEQRWg2mycn + x84PViQMf4c9x4NU+ZsQAQMstAqi+dnpG6srX3z19X/sttK4/yd/8mfXbxRHRmuskDccJDlwHZjg + CRABsaOBXJODN8hSY4xzDKiZ6cXV1YVIDewfQUGWyiWzdGHh5cPnp61D7wEOJDTIdLvN3d2tbrdT + qY5dvXptZGREAolzjqUQQBBCSpRKi4uL9fqQddvbO7utZsuc033/YViNAGeMyaIwGBkOC7Ozl1Yv + TU6eW2cSeeVOtVq9vLI4VAz2kmT3uNlMkXkupL3j7RdH+9uk1dTM3MzMXK5Q7hlCBXAAdyHNwlhh + eX5KSL1/2n+xddK7fWG4VBsZpZtXFvfuH371cmf3aPewe3V8rKhTPto9fXT/+fjk1bHxa5hZffJ3 + v2rtHLYPYjMeORWLrPfw6fqDF4eF6sTk9MzkeJE8dl++ePboUavRXppfnZhdig2fNTvSh0OloNdv + nXUOCY49j42NPd/c6vf77U6nrLkUGQihlFJKQdP1a9evX79cKgFOvbHm5zOUM6kVopx6zjbu9A6O + DmO2I5PjN9bWpienkM9z5lMf67INS+WZhXq1UqmIji+qKIpIw8Il/V7n7Pj4YK9QujA5PTM9V1US + 8CmISEolCc4i1KPD9cXF+U17mjk+a/f6iRmrhMNj4wvzs5OjQw9fvNzd2Wq2DNV0Gvv+mdldPyRd + vvHWDbXTaO2fPX34pHvU5syrwHT6rf3Dk7tPd1Yu3pxfuDw7XPGAhIE3lpwj78FTU1OLS4taD2yz + c5BAGOiZmel6rcp7rTRNs2wwI4LolaD/AKX6Rzn+Cbr9zw1PlKM7CogYnr3KAX/rICSUBmDb7c3D + 7Z/9+uv1l7vHZ+1AsE6aonfSaLY+Xz8SREmnk/b7bzQgeBUAfqMNAgFERFJKqZjIkyAhAq21GnSB + feU1SyIIsuSfbL3I/qp37/GvohJHPiijSH0k5oDC5t7Rg8dPGjYphQyXpFwLvZIgKZXWUktQIQij + UCKHLJkhJUTOEmPK8VrwQCSbci+HAGLPzsEDQkgt5au39/lbSYHUgBBGBaVLQfA6ZBUE8ACl0IEO + tIZ1WsgoAAnA2CTJer203zed3d1//a//Z/1Xf1NWLkIqbRcuDSTHvdbGaXxwuBdNFazpgezgznMS + VkMKBOdRFfj8/sM3UfI8SpcMIYTUWoWBIobNSwIJEALOkhBRoSCUyn9ZvELBSJ53QuM3YnoCCRIy + 7ygCgpJCSTn4rTxX7D2cARsiMMsgqBZLNc+cGcemX9KMrN8+7nz58MW9Fzv7my9cp4l+m9Je6M3e + +vNW66Sp4MRwaQjMr4IMkbNG30hhf8OG8es3fP3Vqx96OOOzzAIeoShIGAhLBQ1BhWI0PjxyfOi8 + 5V6S9qwJkrh5duKSfqjK9fpwWCjnOd6c2StDSUzIJKCU13CI+0mcpZbBKlC14akpPzFSONzZfXrv + s7Pjd/rzRYr52fbZQVdOX1i5cv16q92p14pHuwebz3eT9O2E+8enu/cefG2MnVpYmJ1ZLBal89DC + ZanotYpxN/1PP/3Fo/Z/J4phESb0icp6RZcVbHLU7P1qsw0Pb4231ntIKUgIa2y/H5MYnp6cLBT+ + QTj/HJMCgYmJiAWsAJWnpqb+6I9/JDlp/LTx8NGD3X8T/+LTr+eXlq7cvP7BpRvL9fFI5JqgGWwv + TgLvNHzV24CIpPJC5q0+FKBA8GQtEqZAyFQpSJHn2gN22vtQCEglhXKDRaWUhAMzWI+NzoyNTBSi + sNfvdHs9y7BMIQGaQuGJYEl5XdQaESEAAGeZlAh1VBweGYoCaU3a7XTTbADdggMABEsU04BuTGAF + DjwXAEWCdWCjCFLCsUnjJE19HKPZ6f+7//3f/eWdh2EotekVfFKBCeIutc92u+7h85NMDMepcZ6E + iILAvffWTW4cZWnytHH447/5q/XtzYuzQ5cXRz949/biwsXhoTH2b6zA+dIw4MjLPNiQCpad91CC + FUi8isIGtilvwgitx0Zqb99aPT46aHTPHq+vVxYuD1dJW9/a2t949AIcjEwszixd0qWKlVAMZC3q + 76XdI+OzVCpDSpDKq3K8t+yYlM5RJRA7z1mWdTqdJI7ziNpZS/khPE9dUd6VjhSYnYUUsBYmYxWQ + IHKv2nwJgjUnO1ufP9n+6vHTk/0nLjkUri1TL1L35OH6y30DXVQqOA+7GUQ6DCEkCTE0XI+iwObR + KAEkoANApFkmiCQRMQuivHN2mpo0Nc6hWq5USqUBACME5PlUBgGR8MzWOs8MIs5FIs4tCQOvbf2g + zY0g8pXh6sqFuampsXtPjp9t7B83kriXlcWZaewe7O8+Xt8Zn7m4dPHaaL1kAQkXgGGTbtxvdPuV + arFQrgJeQUjAe+fBTOy9EDL/DOeZ46R3ctJsNNLUO8BrZBoqEIH07B0JglTQefCQG2YVqCCUKmi1 + 2n7r5aNHzUj69unRy4dffvl3//7gyaOba2vXrl2bnJxQSuVsEMfsvWfnyJ8bzNctL3F+ZYtXX70G + At6wq5zTEJ0HiIQWUp53YX+F1dA/ZHPyPSyCMBRCGpuFYRgOJFYGmD6IhFJBGOY9vl41onLMghmZ + Tbvdzx4//+LFy53NbdnpBv2Esi679tnJ1v7RSVBlJRXlnDuP+nB9eXHy6vLYncc7+4cvn241L67U + dci23dt58vTw6Lg4Pjlx+fLk4rQWiHwDJkljypy+8/Xz/+G//5/6UunUl5wMDQLf077XaxzeP+5l + 3Z4cdDGSYLDzLEECkkUeQgK59ipZ52WgonKhUq0EoZYED0jAMmzcV+SEZRtnJMRR8+wv//qvHt75 + 1URZmyxjoTxpcmlFZqZ1+PD50yyNRnSgrWELCAMySM6a7d7j9Zd3Hj269+QJW1tI4kIcc8pfbrbT + TlybQAVCWoRSGCN3TtLjpNBG3IUMhsfqY/VQcglEuWcshFAK+T5jIpWvClvjnGMBBDqUJBzOhQQA + CALDGOOsVULUKmUpBIOCIAzCUChtHJ48fbL7F//Hr774VUF2Q2pL3TWyaURTZoWj+9nRema9dd4Z + Y6SMvPf9JHU+fxcpBCSgVN7RT0BqgDNjW62m8058s5/366zkm/tOQAQKPj09PPv63oOHz55v72wn + aWqdKaXHFXuyc/fnjYbzdS+JRE4mFt98yKAPls9zxt5Z9rmssRCvDg7luSHf7DV/8/VX/+O/+lcT + hbTKTXY9q5UPlISI989OX2yfNZujYRCWisaz85BR+eaVG6sP/ef3Hu0+/Wr3SuhX1wTRcbP/93de + bDVceXj+448/np0clh6+d0KuLWCY+ac/++XJ/suhEkUizYROIDOIyDZ1crZ+79M0Sa2xYC/OUYGB + vci93d8ZryZSwHDWTXtxqx13Uh0GI6VKsVyEImgB5QEWQlVB5GGFRKA7ippZ2ml3EmPhEUpSfG42 + 3sBtv2EJ/Kvusq9AZRJKaSmEzVKTxs7aMIwI1nmv83wvSUB0+0njrGnSlCpUKEREsGCyWZjfQ6wG + ZVcOPOjoK6AjkkP1qAodgTQYzsM6rg8Nf/LBu3Gnffezn21tb3/9YGR997gwPDFcLAqlnHfOeaWE + JARg5vOVth5MiEqXrlxfetjEl1vbT+9tPZ9Kby66EM1m586DpwfNfrk++t57702MjohzvE4AQgiw + 5/M+SjQweyY1vb397bPmKagwPjZRKdcGBCtIkCKR+/leh0Jo8ox+nHQ6STdBRXF++rz3xUJhbm5O + SRmnII2QIETO7BhEBSQofxnP8B4kgDCo1Wtzc7Mbm9nmo7tbT1/OVxeiGrqZNcftoxcHB6cZ6eL0 + /Ey5FoQCygHWw1nnU0cmKkZzcwuFsGwZObOXAK3gGM7aLDOecXLS+/M//3P74zuVgi4FklwaZGcl + dKl3uNG0L1++zAoTYE/slaBIS2Ln46TRM1/cf/r14xebT+6V0I98V9pe2jnd3XzaaGfasmGRGvgQ + GihoIcDOOc+ADEkX8k6tgwo8a5N+ajLP3ie99l/8n//24ad/OVHMAuql0qXCejJB0pO91qPHzzJX + BdhkcZLAyITBQkoSSulAaU00aBP96m4LAp07Bt57CJID1O5N6JZeI7b/wLmjV1mUN37ht4dnCKmK + hUKlVMyvXI+815ckCGYIkkEQaK20kkpBSHhnenEvM6bX67Z2t/78f/1ffvV//eWoQ5gkXmQUekiT + 9JoHe1sv1l/K6nixWAyUBBh+0IRYCaqUCkP1aqgBhvUuEATvYYyxNn/n05Pjn/7Hn3T2N8quVeW2 + lOSJLFiZbmvv+fHGwzRFmqbWGpULtHlI/FblM30TIhgEbZaReRTCwq2bN+2/6HPaf7G1959++ndb + OwcLFy4tXLh0+/bby0vz48O1QIIEmAfZUE8CEIpZwIIt4CGF0EpITdCKQinOWVsEyBAQRL1Ktagj + lx73vWNwACiwaXcaW9sbmUmjclCplAOtBwLoA+89R86FUFJIcs60W41+v2ccpLTuld9EGDQOzmkA + OWTMbI3xXipBgZZSDsgQkJpEGcKFYTgxXC1pmK45bsddp1lowKfN427jmJ2USodBGBAU4CEEAUEE + khBZVcYlDYJsdv3BSb+bSoaKCnx1dfbp1JefPzt+svF4dP/mhcUL5rR3vN9unuL2O/OXrt3E2IWh + z+51jk437t29tDaX1F33rHHS7ls5vHz5ndHxySiEJl8IREErdtjY2On933/35f2XBSjlUFJC+VjY + vrPZ5t7x042dNEkEEbxXUua5d+uccxYsy6VCuXS+1fkVGPTa45YDoI4lGCwVyXavfxL3Y6uqlUol + jHReOCpEoEOPNIOwxMxeee+8J5AFMpumcTduNzqN02p1JSqVRC44TCHgkPcOgwdbJVGvV1XQ6Wfm + tNnupZZRhuSpyYnV5fn1ra3T4/0XG8+vX77Yb2fHO5247Wtz9dX3LtFcc+fXT+9/uXH68rB9MDkz + I8+Od/ePT1tZML50a+nCtUIuRsYJhDfCe8FKyUBrKWVmYAWkgJQ5P86HYaSCkIQAM50zH1+nXf9x + j3+Cbv9zg4XwDJFXvjMzOCd6uTzh7JGeNR483/78N49/8rNPX2zvn3b6YaBKlAa+34vjvbYXKLkk + dZk533MCr2X9IJjpdR9OIhCkFErmPZoBBIHKqyFeX3OeBUhIyVq24t723k6/e1gIjOZQea2c9qLp + 5SmrxtjY+OTkhWtLK8UgVIFyio1nJhIs4Jj8eXuE/NFycM969vJ3jsar9lfOs7GOGYKElIL9IML0 + A/qbyLHeIIyCqKi0SlP44qtnDViiQgopBLxRQmgFAbD3znlr2Bj0Te/l1gvbPAvZBt5Ik5C3hUBJ + +J4IlpcXhy5cnF+YLJVCGtC0JFwAoQYM2Lzr9/kb/w5PanAvkhBSCikp91zzJp+QApZBJKQiEpSH + Lq+g2wFFi3Ms+w0wXeSsh5zzoqTS+pxK9uoiZgsyBDCUECWtC+yZvWXOvE2Pd55/fn/jJ5/eu/fi + sHm4K5KOynra9rTtm/aZtch85jKXZX5AwB58ruTBzc7nnaXP9+1v7WN6DcXnw1gTpz3rQEAgCE5B + SigFeKGoXCgWZSggU+cTAM6k/R6yRFJZ61CoSACSBm6HDASBYAUskxHkyTqfWZM5cKBRro+N8cL0 + 0IvdZ3sbp6eHu83GOIzb2G+3bHnx8vzs/GK1sb84O9k+a26vH/Ta6KB1eLL99NmDILi0MLcyNlIP + AzDFIPIusMmQhTs+arSef220jxwCyypJy/BVgYxlpTyy/NbM6sWV4aGazSAFBAkQpWnmnS9Xykq+ + kUX5xnTRwKHIXQsv2WkSQTis3v72WtzfaSL96tnB862Th482ayNDa483et86ya7duDE9q6YYlFnb + 6/ctcShRZhcygygVEB7Cs4YXILB0nlKIlGSGwQYGOASHjFBKMLM1fUYFAMkMsDAMr0R5qFKuBUo2 + enGapQ5wObomEZADkHlhKCBCCAQwYG88CRGSCkvFYiDhTZomfWtyTnreHZBAligBmfNYQ4FD5gKz + ZLZKZVEBgsDeeQ/npDEq9r3t7e3MWcBrk0ZwQ8KGcbNgOomuj09MDE1cWbpwsVodzo/8xeXFuv9W + t9vWd7c+32p/+ulnX4oH9KjkAAAgAElEQVRsdry6f3T2yQfJ7es3his16CBfATq3kgA8QYocZAwC + qaWQXjDnR/P8NIIEhIBnOEBQrVp8e+3io3tf7j87vvv4yei1D6/O1VUnPdvc3Xm+PVSbmJy7NDQx + KwqwAspbcEebYzYNB5MobUl7T3nxu7Xes5fQYGYGRE6OYmOtZ2b2uRf++lDl0K3I3xnOkndOkPTO + O2e1CASRRY7Uexhzsr718zvP/sMvvrr7dL11uq65HckkcJCGz05a7WwIhboS+jxbxACpIISQHgiC + QAr6RgSkNEg459j7vK55sL09nGPrPHuEOojOTRMgBm2MBCDJM2fGWOt8zrAbZMBejzf4MXmGgwFC + IRyaGF5amivspptH7b3DVqvZGit3zvZe7Gy/3D9r3/joysXLayVCAkg4BYZNM2MMJAclEYTeOwGR + p0MH9hsSLJlAcJwLclifZTLz3tiE0ZcoawSCiB0gIRQCQR7wDgoEqaUKhJTNVvPg2bOf/+xnkrPm + 0f7G/V93djfGa7Xv/7Mf3Lx5Y3hoSCoHR57hPHvvmd1rpg+92fGAQIJJAETMvx1n5et+nuvMDYhj + OGZmFoKFfJNV/IbF4YGyTc7+l0oJKQZ8m9cffp7WFVIHAYOdzZw13mtmgNnFcXt354t7937yxW9+ + +fDp/s5+lGRlxxEZJWKTNvoJ9Dl7mHO4olhYmhu/fW3u+YunB4dbXz96NDT1QY1NenJ2tL7Z68eT + y7eGlldq44GmfmBPyaTwkXVh4zS7+9WDRHJoqYhAZU65XoRYU8aqtnr12rVLl2cmp8IwBNlB0dDg + 9szzA8jXObOGpNChDgsh2HsLIcEEBzhnlWCybFPjmTNrdo8OTfv4QHrhfS7pLsiWAxuKZHhyvF6a + n758Y7hUlOTBBra3t7Vx5+6dL+4++PT++lcvdpUuV60vZlno1UEbbFzR+4LzMkZUpMwHp11q+GoP + Z5BlLtfDUiS4E4GBgKEZSgiFV7RsBTh4DE4T5XR8Zv9qMziXe1TGWOec1IiCIEczhdJSaRLSAe1W + q7G9ddI4LaheRB2mlg+6Xne1LRbSqanpmZmFwsLCfBRFgQ6s8/1ezIAgkYN/5wrUg1AZxhlj4jgB + 1Plm+8YOPd/U9Op7sN1cf/bVvQf/6e8/e/R8ff/gwFoDIYbRHqZOum+TFGBSQsrX8dKr+/G1vcu3 + lXeOmYkghKA3T40QRGRM1js7ffTwwUmY1Kjtbc8F2gWKjY9SXwCuXL92+caNqbkZGWjnnKTw0vLq + pYXTUN3de/b1y+eV5HurRcG7Z72ff/X0uK+nLy28/dbbE0NKsRWuq7gryBDRwVFDun5JpeXQOhX2 + rE8hCq5dsO1yFL319kpl/urk+Lg8p9y+gYy8YcG/ebIBT5yR6fs0STOfckEWQhVInfOy86lxYFVi + hAYd6zJ2J5LAnFgrrIdnSEiGGEDE/yBO/OYLvXIahYiKxUKhwNY6k7F3gZYCzMwir0yBBFOSmE63 + Z4yJAl2rlqUU7D2zP0fcB1TL13+kECANAUg7eHshYa2AKBXCqxcXT/YuTo3W1l/ubB2e7Z915hNb + B0jAsfQeua3W5JxzIM1CWIaCRFCcX1penN8sh/Jg88nO+mLS/Y6h4PD07Ne/edBKeXRpem1tbaRe + JCC32wRIQYMupOLVzvIga13abJ92+ykjLJfLUaAAeA9wXm7AngmckWRSBIKxPk1smjgfQXg455kh + lSwWIoCtBefyzwTv/fkefX2hcS5ARkAU1mq1mekptbnx/OmDT3/6Y9+7Nj87YjvH/c2No/t3D06z + +tjM9XdvDY2VNfJyKw+bpWnXIlU6qJQrmpR3YDW4FvLtRgBIkECSYX1jo9vQpUBWCtpniYiPI9es + UD8OR+bn54OJlaurF2uVcqBEIEW/1TrYfnn36ebf/Pzzzx88P3z5ZKZCVdGz/abL4rjTTQwyj8yT + HSTkoRTBO5MZ50EqEEHRnWNAIk8vOuSJXfhsd3vDntkD3SvqLFNIyDk2ZTZ1yRMT02PDS8MXV6uV + omfrveU8j06ChBZCMjzRN0yOksgn2jlH/MpPeAXdvnGwvrHvB9ghg3gQlZ7Hiq9jFxAYUgLkGd57 + rXUUBt6dw+6DW0Yaa73zgkgKEoIEgQienXUWgry3/V57Y/2ZCfbGIYM48dKIiC0n8CmcmZ1bnFy+ + snzhQqVcZu9zCC1/jlYi1Cpv2cfOQ0hmJu+JBEh49lmadI4Onoq0YBpV7motIUXqrOs1qjKrVKpr + t8YWlxaHh4byGOScaf9qVs6niPJ5GLClKC+RgiAdLi4tDBdk62jvZ7++82B994uvfvP53SfDE7Mb + u0ffeXft3eurs5MjYamSZyOcGCjdCiLBABsQQ0mhtBAaLAdSKgKM/ExqeAWSOpCQqbExe5APCQrg + OOmcnh17b7VWSkiwJ0ARvT5P58E1A967NLNZllpjHZwXwufH4PWq8qsrRQhYkzkjwS4v/hvYBKmZ + JCETSlcKQSis876TcoyIc5A2btp+m3yVSEgaiFYxwVJeTkZAVkI3FE6Qik3QTijxoYEMtFlZHFue + 1kp2H794NLKz/5G90DrunB12sgTTM3MXr6z62uxoudI8az/98ssPZlVPi63d7bNuqsszqze+NTo2 + rqXVyCLFoVJganeSZO/0qGVL8JGz2puAXCC8d86QHh8fG5uaubC0VCwUgyCEyOC89YMqFSWE+AbN + GiCiXKbonKfikcs2AlCKVGxty6R945VSkSCViwcLCB1YyBTI2INZwjlLDO8Bz97ZxCbdpI86QWrt + CF7m0G0GggCDOF+FMAwgRGp9LzGZg4eSsJOT41cuXfjlr359fLT36NGDi0sLnUayt35qY1UbGVm4 + seBnh+7uNfCLJ4cvdk+3R2l8dG9nfffwxOj6xPLb80tXQoCdlf8Pe2/2ZNlx3on9vi8zz3L3W/te + vaA3AN1obARBEFxAiuQQI1GyNNKMxvKMZyz7TW8O/xd+sSIcdtgjy+OwPZ4ZhUSJIsUVJEGA2BpA + N3qtru7q2rr29W7nnMz8/HDOrSpQtvxu6QTQQCO6G/fmyfzyW36LT6C9ZfEM1qwVMcFZeA3FUAzv + kN90xCo/gupEy+VkZPiVn/39ef6hdft3PKLIC3nviaBzSHleXJJhQLC3e/3ja//mf//2d374S47q + z3/2c7/92Zdr5bBEHZ3t7u3v/9XPrv/o3Xulcj2Oy8fb60iTtOA0yK9sOvHInE8z75xngoIXILOw + udGW8700TbIkjMxTZy+99oUXv/z5FyZHa7EqSUraB2QOM2x5tev9cJqN1WoXJ6fBBhnQ6fS6SZZk + LrPinBMH0mBGwVIGQGDhfuVKfRBrv1oHZU6SvIFITBBvYR2sAosYgElAIpTDiGKluNdLdSkoqlpo + KAMVELNA4DzlQCcBMbPSYKV0MDM5/Uf/zX898fSVqlHU6/pOy9u0XikbRS0y+8pkpVq9XJ4Ybgrg + HZSgQEDnlYT0sxQQhIqb5BjZrADjofNvZzQbDc19QgcJFBOzhzgRAjST5j6+T/rzxVzW8rjAJmIF + UJqmIl5rFRqjjjBTAEgQsGLvxYuoxHK3Z0EUBsoQb6+u/uWf/19//Cf/cbMXN2eufPb5lz//3FNj + tRCdberu3P7gzf/tr94U3zwISu1O4pw77oP35+gER8Uo81PPp+LZcVlQwJKFvDbQnDMoBcaxAZBl + Lk2zBCRa60AHRhmtNDGct5lLs24qmeTC80y5eiMBoom9I/hQcai0cbDdDJkhFdeGhuipc5PzD+cf + Pnq8vba09ngSFK5sJ2k4cuHqi6VaTfmdF5978scfra0tL+5u7bLsb6wfLq8kp14YvnjxiUoZgYHy + DAERk9Ia4ev/+De++V/9blCPjHXodn2r01DBYBCnordMYzceqAwOjjG63SQ0CUBhWGJlvIh39sSy + nEjfAUI/wS/OKZHWBUzDmM989atnP/u1uUd7P3nrkzd++qNbd2789K//7JOf/PDB17/1O9/81quT + V6CDTCTpdcqGmxqBz7xNez63lCeQkzQhMDgEh0QaXrIENoUPAfbEwopMABHJ0iwv0YkNXIqi2Zem + WeJsqjjHN+XgJ8nHLTlY0vd3hgF5Yuttbuma09AE4p1jiAIAFigCsYgSx8etf0LOPRZKeh3xSRSA + AMNKV6oe5MDloPaHf/hfXPrG1+K45Not7VxTu7C3W5UWV4ZXXHVHD1eq1emhEnl0O2kcloefevYP + Tz/1uZX9d+6t/vTNtz5468f3rv1y9fbHd6/f/NZv/7OvfOWrU4N5/7mAchfKMsUEVkMH1XK9VCr5 + bQcleUXARWlalBM52KBUCi4/+cT0RO3nN+c/+uiDcy9/w11t7GweLj/Y2Hi8/8yXX3vi/OW4DBRs + dIvAx4EPA8+csZaoFIr1PhEOlUaBshfvvUCxUorDMKxWKuVyOY7jUhwzs7UAoBjMRScgt+TOM9rM + OqVUpRKA4UAGhgnetTrbm3/6b//kz3/0zvWFzedf+dIXP/ebl86NDNVMiZVrd/76Oz/43rXlgy3v + fTGZyZMUT+xIOS+dThuShQgor2ldPoQJo7gk3onNGEI5mNQ6LxAoAZzN2Lu4HwIK9Z/8zpE+4IWI + mRWp4x7jcfikk+el2Gpx8PQzT88up7c+eLww/2D7fHR5MJ6fm5ubf+hUeP7y85eevKwLewEwtJBW + Jqw1G2QotYn3mXiAVU6uFAAKTpx1CJRSHIVxaWRspDpQoZ0dmzrXr3Rycc5c1Egxuj3rCaEBvM88 + POhgf39+65Od/bZPWiVDZ8Ya33z99S9cvfDMs8+FzXGvkY9onMB7gXgFzydbt+ijQig/ESpfr6PL + +zh6CERyCjDnbchOYjvdnojTDK2Y6MQr/NXuDQPkQdZ7Yg7CQLzLdZmKSA2BAEqHcRwEhknSpOuc + IUApXllde+sHP/pv//iP1xNbGp/64he/cHnm9OnB4VrA+/srd29f2/iz76ZAr9dDznHWEWxnYnzg + s8+d+9FPfri6Mv/m27945vnnR3yytbSxubYbRENPf/Yz9YkRDgD0su6u7XY0hc3G1KWXrn7rX/5e + eaQxEFcHS1Xb6khyUDbWp+0dife4Wpk8d2bYVJUF2oqDBD4VZkGQS0Dn3HrnkyTJvHXkwT7LEm8R + ajgBk4TlGGkvs+7gsNXpdIfGxv7Z7//B5y9fPDc6qASelCOt2NrejqR7HJQTM0aVmZmZWjkEUtfe + 2/nOd7//P/5P/2Zt53Dy4pXf+Z1/evrMhZEgiHq9Egc/fOvGH//Jv+/ZXiftZN45iBCx0korpZBB + xNkszXo9m0VGk/bFdicixf3ZNAoNLQIpIjBYExeUHQ/YDErAxgShZhaXddttiBDYptnBYbvV6RJw + +crlK1/9/ZdffWW4ocqma/1u1++kvBejXk2mKsmkFRXPxNG0DtHrdLo7ewfMCKMoMKqvc9//KM5B + oIwplcveCys+Asv36+PiWxzvuDTZ3lr/X//t//J//oc/a3XTp5994Td/49fHJibrjfqw7pnO+lvf + +Xf3f3o/1YHuexUePyJ9Je8iwFGRcR0p4PoTqDkF5sGBgStXP/Of/vN/cWm6MRJlSW+/7W3HO5uk + DY6GgpLSpbQx6JpD5SpEMogZGJ08Ozk+1TAb8x8+uFvbP/iyL6nFrcOffjjv9PDIzPmJiclqBKUs + qhq2lXT2mfHN1//xb7/+xZnRciXIMjZt650ysT9UvZ3RCqExs5lGeuiUUbldVx9En+Pi5WjBiqZC + Px32cIBXigOllLBPXWJtImINaQbg4VM47XMHuMz6JPXew5gwjmPkoqpgT+wBISkCgZyEVAEEJlXo + EEMKxjhzvTkwODjADPY5rxQMpdWRph/Bw4lm1gzUytHo0GAUGMMIOABS+H64VsUbY4CYPBQX2uUZ + vM2p5gIRm9ZK4enJkeefeaqTOgpKKZnMk/XQDFYgpYrZlDgtzpPyYLAGAoRlMzQ2NTE2M1xdXXu4 + uTjXa+12dW358ebP3r+emurk6fNnzj4RlAv+wrEaTT4VJTgPEQFZsOP8imeIE28dS98tUzSErLeZ + F8p6pEgFWpm8sDdGqxwMw6yZKUvTne1to7lWLnz2vBc+LvMlz/HzYRwBxAKtEEe1ejU0vL2+9Cf/ + w3/35/+uVK+HvtuSg306PKzV6i8/9+I//U9+a3QkLIxtNcHZ7t4e93o+pb2DQ6sQaKgCz+ucMDMZ + o1lpD4yNV373j/5o5JlfG2rW4FJDvoy2TnZif9AxjUPdsOXRZjkarZWMgrfJyuLD7337L/77P/0/ + 1jsyfu7Kf/6v/vXZ4XDIdLP2fpb1bt+++T//xRtg4zyCEKawaPA2y7pJmnmAAzaRE2SAsQL2MEEp + rhFpQCq1+D/7g3/ypRfOnx0rxTrteLef9KJSVIELbY89WuFANxoamBqrxggR9A7JeRGw0oEJAmNY + FUO5gubsvGUGM/URLydBRydat/8vPZacbFocCznavgAgHpQXeko7oU438TUXaFYEo2Aox94wgcWR + s85mmffWuzSzMAZakYlCZUy1Vq1Mnvqtf/FffuWZF05XmnTY6rp24rs921bk65U4MJpL9bAxZEpV + 73rKmCCMjNbirM8Sb1MImMFaEQDFCMMgDDPn2+3O2OzIZ37zW//693+nJq0g2UmSrg5DS0DvYGqg + VKFkq+PM0Gk9OJuD4bU6MRij/qHOxSmQT4uRe7oZRpSvMlFtYOgP/uW/eu31/dsPlv/6Bz9954OP + b9+f+9MH92++/+TOb/36N7/+1VOVKhGcgxModRKYonPdP88sYJ8JeW0YoQb5TFzOKyEiYyWzaAtZ + ACQB5fAF9kpDGyZImvTSJPFHdFUBrAUDpLjgZ3mjtSIiCInQ0Xk/VqXrf3Fmw4ZEyFuSVJElGEcw + BCHyed0t4kQ8SFiziRxpJwLnlHjDMApKCYl3GZMBKTiBA0JxIGfYBUppFaigFsdNbSoCwPihsdrU + VKnRVPcf3BxbnE+yVx6v7e9sWaUwPDo1Pjsl8cjkQP3R0sInb7/ZeuV0qxx+/Mkn24fdeHDiwjMv + DIyMEjnba/c6B0mnBe/Pnbv0/Nd/95VXvzjdLNVVpmzX9ro+S+NSmcOyp2C/0x0cHJqaGokISPbR + S0HKGGMMe5faDM70l+goQrncuhHI19QXuDQBMxTn4nG+SIpPNJJIQxtNHGgLSSS1zjIh1sYq1nAE + eJc5l7ni2iZ4EAlRftIghNRmmbNeRIchaZMDxMfHRp+6cLZZizcfL1//8IN/9NpX29v7N28/yiSu + Do4MzYzbocbYxKKCXbxza+nJMp5p3J+7/2hpLa6NTJ15amrqtBao/DCTCAtICF4zGa2ULipdxYUg + p4CckBcodRxqjjdOwXc8meD8Pere/kPr9u94POBIUnHsSYMLdjoDII+su7u58tabP7l1+w4H5d/6 + 3T/4zOc+d/b8+SjwZeyZZGN9c+v6w215d97B+BwgCeRVp+8fRhbPfWaqiLfe6jzIkRJiERFnyVvO + WwP5b1esg8AEgbUZwVcr5SfOnpkZayoxZJVGyKadoil6HzKc2VHv4DJ4hjCUDsKoFEQlpbRWyqh+ + an4Ey0F+7xYFZn4uxB0fDaWMDkragMDirSmA/2DSRB7ioNh5f9jpqjATQqkUuOP1LFpjznt4z8yG + iCWXUKMgCKJSrIMwl3ubmhhvlqJQvMpScTY0ShO6OjxUQSdQJo/sADGgNayH80JeciVeoRzaXIjS + SR6Ljj6D9tCZ85lNCF4zDAA453w+2Xfis3xwDyHxdNSCLTpkQixc4NIg8PAuB94qxRAvzlIfo5vv + IYYHe5AFgU3oJEgz76wlsd52FxfuLS7cbbX2rzz/8pVXfutLL79werTeMFYnO5Hbk9Yy/eUbxui4 + VKs2asaEJxZTiyiACJ6kTyk7EcPoV+quoolNAIhJaSIFD2QZIg7BOdAwa3faG5sbSWq1MdVyNTRh + EATVel0FOtlP9nZ2e4dtjxoDTGJzoxJAi3S7zqZKqajRbJZrMWl41pAwjNNnLs3e/SSev72xsfJo + ZWVGR8Pr+5ai0dmLz9UHhznYfeHZS+/d2dzfXn/04OGBX1pe3ut1MT4+cfbsdBhAEZgY3imjwzjg + Dler9fHR6dJgpaQk8JlK0pILIkSgqGnCnRBsUHco9cK8tWedEBvFyjnr3BEMoNjjfWBAfsJO1Lni + 4TKwIIxRihvV0sXKdKkyfenM9N071976xQ/fe+fmz9/65eT0Uy98+XKsFYdRpSQl8qWsq5LQKBYT + 9uBMDiIwClY5MV6Mc+wdFEGpHKqegSzIgeC9s1kfACgaWf7RaGdzfWNzrdtrNxrjzUa9mLZ4jyxL + M+tFVG7I6nMlUgCstQGo0+strawctltBGI6OjFTLZS42A0OIIUo8yZHkH4HYQxd8Qp/ZFFZBjBhj + gihibWyaDQ8Pz05PmTAKhANwlZMo3VV2DxSWzUDLNFihoqABhDFYwF4FmAoGdGP01MTQa1dm7167 + 8J3vfu+TGzeyeHj6yedrtXK9v/z9sYcUaCEiEJdLlSguwbdT27POMuXABXIgiLAIKQ0RFQXTU8PT + E41KzI8W7y+tLG2un9649eDx4i5L6crlz8yevihAImBCiTJwVmuEZ09PGPPeweHW+uOVs9XZoByg + 55iVQGyvBzArlcsIEFEpFy0TrK+vd9ptVv3PCBDDeTjnSTPldXa/d5L3N5gU4Pb39h/N3/vk1vXD + 1v6VK5e/8Y1vfPb5i5MjsUEnEAkgt+/O1ecPsdWG5P6IAOAJiXXWFwLT8IVijPPOO8cMgEHMzIHm + UCutmIS8F6UM64CAg7291v6uykEc3mvFIIJzWbfnQVoHxMp7sc79P+RA+WVAucBH/8UQEJmLT186 + dXMbby3cuPbh/TPqSxev3L17d/nx+vDkqYnT54eHR8UjLPRsFEwpqlQHhgc63dbm1lqebhcAapAX + m9mEFLQKc1iZ0kF9oB6UubfW3d89GOo5FWmHxHtnOEK//aq1LvRjWDlwkrnRsbHRqee+8NrXAnIl + g5GKuTA5cGakGpdKUCrPg1kgxD6HpXC/QYAC5HPy2pK8dSui5ERQleLHI2O6POIftHutdlcpHRgV + 5DXeSfDip9aUAPZCSZpZ75VSzmXOgkwxRIJ3cEUzmvP8VVz+3rLM3rl79/1rH62srj39yudf/MrX + X37p5dmB4eGoFLPf2VkCp+UfviVBoLXqwyE1lBoeqD55bnRmLF5/tH/n3p317d3qwcbdD2/vbLWq + Yxcvv/hCadjk3qH1Cjcq5YBCysJKPHD16Rei4UpZqbICJcK+G7CF646i3OJKFiFSULnLOJN14hma + cpQoFcwQKDaBg88ktT4zhkNdjB/701MycXliajouz2moeq02PT1z4dQ0i3jSnhQhE7tP7gA67qnB + REWBgfeSJK279+/dmnuwsZtcfu7Vl7701Ze+/NXBwaEGJOh1yiZY2u9VmmUKSQxUrBx5NqrerOWi + SYBr7+3u7ew7bzzKHuxF+MQbExGf5lpVzMoQaRGIEwYVb0oBoiAENkEYMzMy57IMXgjwXkrlSlyu + eSAKw5HhwScvTddihJyxHkppP+NDncXlZLycxELoVdADCByGpUqt4QRpmjqb5pvJejEsIAZrsBAr + 1spL6sUdH9bjDfapjddpHdy48dH9udtJr/P8cy+89vWvvfzyK5VanZQuZ3sVd7By68P43TULZueO + du3xmIKOgh0EQoDOB4nkvbfij9K9QkjK2izQ/OSlC2dHy1VqM48lkB5ErKtCV2E89GEUHkZQALwG + DOLazNjQM2fH3r9xd3t1/sHC/Uq9Mvd4595655mrL526eLVaCwIAWQvULYWolIxWVK3UxsbGz54Z + KZs0Y52ydqwjd0Dd7arJfGksdKWOgeuvkICKBO9Y1eR4vXJPH4KANHQ5KPtKvWJiah/sHR7u9Vrt + SrWerwcX8C5HQGp9p+udIIzKtVrFFDKz7HE0FTpqEp/oYdFx6amo7zQhaAwMDg8PK8LW+urK4iN3 + 9RzFupi65QwZ1nsH7dXVxzZNm7XK1MRYFBYBCc6jwN5CpPCPIiatOLGOQJFWcD34FAFBsRaG98h6 + rrPXPdzrJYkiE1aaOiyDkDmcUD7POWTC1C/7oaEilGhyYuy5S6cP3/5gb+3RwtwdOzW1+Hjj1sPH + Fy5cnD57sVqLScOgEIk6kmPjvkAbvBd2RDYI1MjYcKVm7Hq2tbnZ2U95OmACPFtnoVlrEov91v5B + +8BBarVGszEYh2DRxDoIQiKGZLlqkAYYSFNPLi2FupD6guQJn6eihBEROthbX1q8N3d3oFn97W99 + VtXHs7RzuL/js6RWqUyMjJ49+8TF554/Oz4QMBRyFSGAJSKqgJVIu9vtFsazUEWLnrwAQFwqQ0OI + B5rNmenpidHYpggUKpyqZDeSVhrUDrnaUlEERAqanKTpg7k7d25+vP545cWv/PqLX/mNX3v1hamy + G6ADl3Xb7XbqvOc3nZDPywDAiwW8UkrpQAie2EEVwL28fPXkPQdhSSsmyiYmBs6dmzk3UdW+2yPu + CesgiFxqsp4maplaS4e2r3vnxTvvHSi1Ps0yiM8zTe/ywhRMqpjpEBHlYeIIpf+3miv9u7b4JUS+ + aFkCfeu441/LKGyFiYWUE3HWwbtQw/Q1GPKhf2gixcrZTLwVsfkfr7QqVcqekKY96XVOTU9cunCu + 6ak0MmzZpkgzSRR8HBiGZDqQIMpA5Hogdh7OOogrQKrek+RVssB5eE9KKx0opTRTs1o+MztQdo2S + rxMJtM5EpHcwXA3AWTPjJBjoBsc45AJeehRgi79ZiItmNTz3paXhMhAjKgdxbao8UGqOVptDz1y9 + euPm3b/5wQ8fLTz8zve+f+HipZGJ2TAiOjF4owJPoCEM66xAwN4xe1WAJNjC6xyjK1CecidOYVYK + IQNgKtfi8clRVtgGClQAACAASURBVDg8PNje3vLOmk+1YPPdJd1u0ul0CG5ifKJRq4bGGEWq37mF + oJ8LHfUciIg0K0WOJWNkpGJwYXUnYBC3O73FlcetXqrDRnNoOIhKDIaXRqXSqFbUlu91DtutQ5fV + oYpL2AEhPMgl3dbh/r5NfaM6ODY2o03Jw4G7qJrJqcrpMyMLq7trm483t/cePlzb3rKleKg5ODY4 + OsLBwMXTU4vzj9fu3z7YfLwemPc//HC71a2PXxqZfSKu1hiOkFVLQaNa0sSBjuuN8SfOXZ5pUlUO + At/zTuCEdUg68iropY61LlAdrKBD6ICIUdhOgjmX8wa8A+uc9Xs0R1QCxZxnxZ4ojivVsBwq2+20 + 06wjKKceWgCIAmso8S4Vm2qPSEMVZJ1SoKulsBojy7rtbiuzSAhGQUMxCRUuQZLadGd/L3M2LpcH + h4ZNGKXwAWigWZ+dGR9qlldX9xbvz7X29ndWt+8/XIuqIwPjU5WhgWbWHR0dGG1UdlYerS4MtA/O + zz94uLm9Pzxxemj8TKMOLTm2Nw8b3rosTXvwjpmMBgjW5bgc8SKZ8zafq4on8XmF7j+dnZ/cgn+v + nn9o3f4djwAWkpIoDziBIihB4ZOYdfd3Hn907d3Nze2R8Su//pu/9+SVs+UKFFCSBnpBYKRWLQNs + PbvjayiPyznVXRi+rwQHCMTnRuUqCGNtQiJ2WSouPW7dCgNUKlcajTog7dbBwd5OoFQpishpKKUB + hJGmisADVRdy0kUny1UNyZjQhLEyoYAUsaGj1y9H8Coq8sjj2OqPlLpAJojiSt0EcM732m1FRbmb + s+cBB8Ue1OmlcWoFEkfo9b92EbUFznnxEmgVstJ5mswchFGzORiXy17Q7rScTcOwVlakfIh81gSJ + TeAYKLS6IXmwUzqfO/nclebo8gMjt4fASSSKAmmBck6stYBXDA303ZM94L331vnirvF9FInkqFsR + +JOaCQKIh1ImDMJSHEN8miQ2S4GAACf9Rj8cJPPiiY1HYD2JCMRlaWfh4d2NtUeB4edfeOnVX3v9 + lReGTIogs2RLULWR4bq1PWhoHUZxWRWaNwSwiJYj1C35E1/z+KGTFysK+V8Bur3u1s5mq4MkRuAA + bcDGwbe7rZ297bXNNaHBSqVSrdQCUmEQjY2NRuWot95dXVo93DlwrkYKgBPAwlqA02xr+6C1b5nC + odGx5mBdhxAGrAHTk2fHz02EOrWrj+5XBibjJjZbGJycGDvzVLXhS2H58qXTzdq7D3Z37t66XXeP + 15Z2lcLE+OTs9JhR+XiN4FEqxfVmbf1gN0ltt51Vh4M44BjaRJHOImQhCKUAPQULGIUgynXfKbMO + pIhVDmqTPrTzVygqBSC1OBFSeH0YcipsgxVHtTpdfSp+5vz4xtVTNdl6cPvBg8WlW0ub2z0ZKmkd + hLWKKrOLkgPfUt6mGTEXcxMPAg4723udXoosE3EwGiZv3dpUkAlZL2i1Wrs7e1kyKxoQDc+55ej6 + 5trW9kaadScH6gPNus69BUTgnIg475IkOTjYb7fqwzXkJYkmba3b3z+cm3+wd3gYl+KZ6al6tdLv + JeUccLB4LsrUHBXEjjSItVIMZzN4crkgXbXeiKs1e9jq9bo2TUqlSimgkBAgUmEdSeo6aSmgKIQH + dE5+07GwWPjMu6gcnq5UL80M46mphfMjK/duff/m6psf3vzN7c55i2rfsUH1V1/6BhjwVC7VyuUy + /H671+r1OoxaDr21OWMVFCgNEQQqHqycnh4YH2s8mt94tLgwNzf16MM7W2udemXswsWroxMjFsg8 + lPJABiSohWdOj5diXttZu3Xz+rMTg7o+AEcIFIlL08yYgPJ5tAgRxXGp0WgYrR/Mz29sbtrUaaPy + EMJFzBDfx+xxH36Tg66I2Fkc7O8/XJhfXVuK4soXXn31C69+4epTs5FK0/Ymu1QHqt6omdBAJJeV + 7RPx2QosWHIhl36bJh9+MVEeWplIQUg8g5iJiOO4HMUVImxvbu6sr3nkATVPtZFl2f5B6+DwsN3t + CciJWOePfQB+NZocdxyK1m2oTz1xamZ2AUbP3b5965Nw++XRubn7u4ft2fMvDU2erlSYLcIAOh/d + qSgqV0bHx+7debz6eHl3d7vc4DCsACRCIuLF5UKe3hN7KBXUB+phRfey7tLiysDG4bmZIREnkkIF + cOw9vIfKEUcOYPakrJeRkZHZ55/757//zVoAA2iPMjKyh2mnC+9zTh8DQkqEmKAYivptWTkZTAmk + PDSJZ/FKhAvAYX/w0+/EEHKpRr/f6ra63TAcLEVBGKB/3P42W7rfugVZ572Ambxz8Ef4/7ysFSuw + 3hOByTuX5LwY5+zCwqP78w+c4OrV515//ZtPXnyqRhpWIJkJpTk0qsNYaaM4Z8rCQymQrganJqvn + Tzdvrm8urSwuLK/aZOGja7e6HT82Mn7u8tPhQC4C3A0qerBerZca3Za2XcSmXIlVrKAsgpiAEpAB + psKxYbTy6aQmCDnnHViOOtaSLyhDGR0YD8kkdZJpzUYDAkXwkILiUCqPT05VKlW/7/b39pz1YRip + HM9OIAoZNZCCKEURF1d32uu15ubvL66sO1X5zCtfe+2r/+jS1YuKEGcSpm0ibg7Vo2qIkLwhCuAA + FejBwWYpCgKFHqhzsLe9tdtLkHpmld+V+XF2zmbeMZwibQqMP1g8xAt5UUfHRClYyh0FCETeKxSK + WESqVm/WmwNE6PY6adIpx4hCGBgTxCHEgqFNxDEYxNA63x9SrdaHRybA2N8/2Fh7bFOQQLwXCDGB + VKd9eNhq9dI0c5n37ldatUe9waP/1m4f3r17a2tro14rv/LKy1989ZUrV59znnqpNd04oGatOcIc + kICdy/u0cgJuezKrEHiC9Mme3nkrcP2VUEEYV6v11U3bbR8GRmkNBRNFoWYylHf7gEzYgoP+oII0 + OATzzMjgZ586tTh342Br8caND+PB4duLG90WD5557tTFq1EEnXi4DrjTrJqBRplps9tLur0kjMIo + UIq0UXCMUMpKp3At730YmozgbRFLi7sPJyXLjhdN4AiOAZCCihHRwNBArVnq7S1vb2/sbG0PVet0 + kt8F25Puzu7BxtahAJVqvdls5CJAIPbEQiQkQjn6tk8zPtnByD9XEX0EIo2BwZGRkSjUj5cf3b93 + J+m9ilgXiXT/elxaWb93776z2chAfWZy3Gj43J3RC5wDUmhtSTsR7yyDoALr4YkNQN6ztyjE4wki + aefgYOvxxupip9OtBuVSfSgoxXk5TX0fTojA236wgwMXby3gybHRly5fuPXRe4frSzc//qDbaS8s + PV7d7bw09cTUmQuByfNvaEIhF8bI2xPHJYY4wAahnpgarzVr2fLu6vLK3vaOYIwB8WytN4EC+wzu + 8frj9Z0tIRoeHh0eHNUaZDVYsdJMxCDFlBPqBHDOsnfEQb/OAZAngT7PU0Tcwf7uw0cLd+/drZ/9 + zOe/9qXxs1c6rcPV5WXr/eD4xKnzF2dOTzTiYpSn81fvPSAVY2rEBsi8S/q+AflcxQMWXjHXm80g + orTtDg4OnbVxBKugGaEKtKpAEOhSxFEGKAtFIHE27T2av7e8ME/efv7zn//y668/dare8F34XZBr + 7u7XmrczTwExSOUhllwGdlEYlspV0kgdepmVfH7GfVS36GqlEUWBs3vOHirOSiWDLFMqjHXoAGMD + pQMQYhMKoQ14b8F51kCktBWyzolYQiACEcekmOFc4VOoVWGjcsSnP3p+5bARjvswQuz6qFv+NOq2 + gPi7HFugQEq89zYz6jiNz4fjrELNGt5DPJEvRKsNx+VyWCqJ+IP9bYYNDXEGbaCN1tAwJZ2Xc5kV + Ikek+gzUzPksyxQQMBSJs1a8JkUQD+8hgAmiUrlcLneSXtI59Cm0QTmMTBwIKPVe8vyDQCrUOiiM + xHKF5eM84igcEIhcrtFEyFvYDLDkGHkNFUDIROFoXBsdm3j6yaeevfLw8fLiW2//8p33Plha276S + 2CAyOYCjoPcKvDCTyTlNNp+4wSjRfavflCjyHiwQUQILnbAWgMgXE8xKtTQ1MxEEentvf2V5Kel2 + j7uxBCiGwHWSx+sb+wd7WtOZU7ODg81QIYDWOYApf5HChadI/7fnguPeJkln/3Bvu9OpBZVjCI0I + 9g4O780/OOx0w8b06PhUHAdEAPHIwMDIYJPmN3d3NrY3NzBbz9sJWe7EC4e0t7O1/nj1sc18oz4y + MXFaG7ichhBjcqp84dLkG+s3N7bX5x8+unN3aXfbDw7N1gdGK426MaUnT0/fH7jz0+tLW8uPOMH1 + Tz7p+ebM+GxjfCoog3DI7Bu10lCzahT3eq7dFq0pChALGQAUgQLJICqAViZURVQmKdwpSHkv3mbs + HVPftcJ78UIsRPmiQvLpH0ERAwpExKZebVSiitjdjfW1g4Ndh7L18JJf2WRdurt9cNhtdygNjBHy + 5KEgpUA3KvHQgNnMkr29nd19BHVECsxaUd8wOk33Dw/WNzecqIFmc2B4OIwD60WYuRyPjzRnJ0Zu + r+6sLS+uLC6vL6wsr+7NTM8OTc4YUy4bHh0bODM1ur+6ufJwfnVp8dHCYrtLMxefbI5MlkpFqAXn + /WnnvLVZKt4xkVLIlR28CIsXgXXeCRNx3i0p6IIC6RcfJ3bg37vuLf9//5K/v09xxyullCrYpR45 + v1LgXK/TXV9bt85V6824UlUGVpBmcFmGNNnd3m61WshdUOSIHMVH7EvAs7hjTR8ipTWY2QT15kCl + 3giCoNftZL2O7xO5IYIsqw4Onjl7tlmrHmxs3L5xY3tnu+eyQsNB8v5cjiUlKxCDUgWhRkQ6VMZZ + 30rSgzTLrM25n5LT7qwt7qHicx7NpvvcOAFAUanSGBjRAVqt9trqSpYcTfa4gBNoZqN1EHoiQPRR + 8Zb/CQ5wYr0ACJQKFRW4Xea4HJ06Ozs43Mxs+uD+w73tw1CT97BZkiUdsAPbzKbdrs08CPC2D6Ag + B+VgSGmlCOLzBlwOwSIuUPlH668ExpMGM7Mw+bxIDkgHWkMrMAuRsFImUMwQW2CkSRXwGhLJCRn5 + xB1EOtBxqVarDQ0NEnzrcL+1v2dTESBJYCXXF4PzPrPW5taeWodBqBlJt7O0sLC6stztdsuVclyp + CMAaZDS0gdIEyrvMnU5ne2srTXr9aMUCAygCWBznIkHFd8TxW/z0zwWUkwweLSz88Affv3//4cEh + qJAqVwrB5urmo8Wl9YOtykh9cnq8XgpCoByXn7j0VGVopNXu3L5xfe/xClJ4B/EeEAXt4XcPWjfv + zC8sb2WCmVNTE1MDpdx3OyNkbnx4cHKoGirM3b31zrvvvPfhh63ERvWhxvCgDiMVx9NTY6PDVZ/t + XXvvrffe/Wjh4d7gwMzkxMzwcON455MbGqnNnBkOS9ja2nw4vwoXM8IMWSdtO2fBQN8RzFrYtFgp + L5JZcbl6DkNr9KmgdBT+5FOrlD8OSIEERA6hRUlAR9YTk2ONJ2ZHZqfHS5Vahuiwi9QZoByGURg4 + ZBs7mw831lf29jsKAUF52KTbuXX7zrXrt3b220lqGQg0Cpa4TazLrHeZw8LCwkcffri347IMABDE + AHfane3dnXa3Y4xuNGqNWqVkECpAaZhIl2uZc4sLc/N3rq8s7Xkg9eycECTrttY31m/cvrN12ClX + 66dmZwdrlRNDCWJRyhn2fYw4CQiOIESBMYYVeYRGKVJplk1MjY/PjKmA7s3de/RgoRISH6ksswab + FBDp62kI4LzYLLM+hSITaGO0Isl6xDJcq5w/fWp8bJzDOIGyUvip9AvT3NtcfO5D4Xyp0ajWa/C2 + tbO1v7sjhcQ2OQGgCtlWMFghCE6fOXv18qVI2+V7H/78jR++/e6HOx01efrSxORsvQoGIoU+PokR + NwZHxwca5fbmwhvf+/O1pQWxgMk5okyslNbEnKWZeNFal8ulU7Ozw8PD169/fPvWrbX1tSxzzom1 + edECbVSSpt1ekjuTACKwxMJEJOQy3251tra2Or1OpVo+f+F8s9HQCgAHcUVHMWzW7nY63Q6szSsu + pQBWxIp1CBMqE5TjXAAQDBitdRhBaXhKMuudzXqd1uG+c5li4igeGB5tDI2aAI9XVpYX5luHIIEy + AYi9da1WZ3Nr+9btO3fu3EmyNPeMkRMHQk78eBRXBORBYA0Tqebg9OToqenBztbi9WvXfvTTd+4s + bJKpXLn67NBgPTIoB4gABjkEqQuDqHz67DRzZ3Fx7tq199bXN/NYTQTFKgoCQyyQtGedAwfhwMhg + dajqvP3g/Q/mb88rIKLAaAJcljmbAfkNlnk4Cw9hzToQgXifS3IrQOfgOG2CSk1r05fVUaSMBxHE + kM9BVOIFVMjh5NrsOVEDYBbRYj9Fcuz3bTkvTrxHN9trJb0kq9UqjVqpFOeWR7/S9Tr6GQMszCoI + WGug6CBTf8wIpWFCsEmtA8S7tHWwZ7OMAcXcbndara4xYa1Wr9dqTOR8PmvldpLutztWxFqbJV1v + c6xeLuaOqFp69vKl01OjvYOtGx+8+4u333n/k3mqjEydPjczPVIxACQDQcfVWn12aiykdHN1+fqH + H21t9CCFmnNRFOYSzx4RITJgApzrpRkKaVZ45/JbEKyhDCkNhpAH+ZyQniU5GdwLBEwwhuM4CMKs + 1bn90cePl5Y7nZ4AmUeSwXnkoBqXpGnaSz1AIPZZlqxvbGztHibOVBpj9fpArBB4hJRRIL671+7u + ZWITuER818PBRHE0NFBvVsJmCcPVAN393Y31zc3dVrcANRIK+Zr9/f2dnR2lFRs4kTRz1gIClzlY + ByDN4FxBaIFHmlrxPlBUjsI8aTBhNDAw3Bgc1gFWVpbn7t3e27XewRgwcn6xAigvzSAgLsbqjebA + 1MxpE6pHjx794s2f7WzuwcNo5Z2IE+/kzr25T27derz1OMl6J9P5ogfwt7KALEu3t7fStBvH4ejo + cLkcO2d7vS4TB5UadNjL0Gp3nbXsHfv+7+//ox8H5MT/Al68iM/n5Hmhr8NoYGjk/MVLpVK8vbk2 + P3enfdgJwsA56XR6rXb3yIIPikQKTUYQQ4cgPT46/MqLV06PN1p7mz/76Rs/eePNG3fuQ8VT55+e + PXdBCFoDgYI2oyNjY6Oj4tLV5QeLC/PiBVoTI029tYAIBSGU9iKKERCCI2Gv4ny7PuqWjo4nAwGo + gMz6vCWGqenxM6fHNdzK4tKDucXMoj/8AiF1/mBteenGJ/c+urnsdW1weGJsdCA4RkbkLGJfKKQJ + +KjWPBEO5KSrofONwaHJqamhZnNtZfHm9evtg0PnfH6d5PqdB+vbH92488EHH2mmqbGhmalAMbzY + HBuOXrq7vXPYaqXOas1hFORBMwxUmBOglYY2xxmP4oPD1sra2qOlJSIZGR4caNajsJgQH5lGEPW1 + gfrgVYvcD82MjQ6//NxTk814f3P57Z/9+Be/ePPegwUJq1Pnnp4+cx592h0DCp4h0h8hFqGQQflV + p4PRsclqY9A6v7Awv766mPZyIm/R3rDOHRy0bt6+u7j0OIrL0zMzIyODaQIAXihJMoC0YoaQeO+R + 9rwxOi6VcuqM+CMm3bFmnXNZq9Xe2t3d3U+TJA2j8NTszOdfeeWf/M5v/97v/s5Xv/LahfMT1Rge + yNL+iQB566yQicqiDDOXo0CLeMAKpG+tY4jK5fL45HSlOdhL7c1bt9ZWV0SgdQH4hQkgnKQZEUJC + ZGAYcLbXaT1eXd7Z2mCSoYHGULMeGCRphiQDm3aSHnZ61nmjdWwUXG5gGIBUrTk4NDYZROh2u/u7 + 22KPRiMKKtSlxtj4dLPRtGnn7u2PHj2aAzL0j2CSIMsEKhQraebzbrvKBT+IlNJRXAqi2BitGAzH + 5BWDSbxz7VZrb3dvf2/fWe8tnPUn84ajwNH/S44RQwBAHuxJ+WLU6flXIpcXZJl1IqyVCYkgNoOD + F+SiVeLhrECYibViJq8VhSGUBjRTHE3NnhocGjrc21l+eH9zfa1UQv599w/baeaKT8pahHvdFC4X + lWZrvXM2MCrSpOFt0rM2g4jPBxpBgLjUGBgaGhne3d1+9GDu0cOH3mUm0Cg09ziMivTM9lLvfI5/ + dBlsZhXjmNtxHBHYQxV1p7h+DWtzgipIgQ1I5UijeqV0embq7OxUo1E/aLW7qbU+J7Lm+hEg8Uxg + xcIKpKEDrw1rE0dxQAoWWWK9d2DOTas8kHln4UHkMuszCOCtC+J4bHy8Xq91O4e3b17f2lhPXCLo + K1gpDeseb26/f+3a1tZmpRI/9dT50eHBMBdVOH79fX3/ExcVAUmvt7e9tbL48Mb1a/MP11s9pIUv + IVzSe7yx9eHt+1sdV67Vz56absQwAMLy2MwT45PTNusuzt9+MH83F7t1DkknJZ9659Kd1vW51Ru3 + 7ovH2EB9aqwe6P4UO9CNqYmLl59sNkp7jx++/bM3Pvrwk4OePvfkC9X6MCvF8KenRk+PN5G05m7d + /eiDW4uP1gZHRs4/fb45Am3gRUPH1Uqt0agFRm9tbNy/c2999TDtQReQNIEgs872PWqYoBWcd7AO + DomVNMtclipyOl+SfNDLKq9N82mZAk7A7AjQYVweGR6PdGl3e+f6Rx8tLT60uWGPUrk18ePFtfff + +2B5bXUvO+i6XpolYi2DgjBqDgzOTE87m6yvLszP3et1rFa5u2qeKavO9u7co+WHS8useGx0qF4p + lSKEXNCMS6X42atXpsZGWltbH7z91oc3rm9022PnL4zOnGNUSqjPjE88d/W8Uu0HD+69+Yv3Fpd3 + 4qjy/DNXRpoodLFZQRSswBUDNk052BhKIQhgNHHOLCNF2igdQDxJwQHqw/k/nUj/rTjz//vnH1C3 + f+dT0I74RIc/x0ERjImjuFwqA529g/25+fuNoeb4hCHC7vbuxtwnf/4X3/7w2tyxVS0KRBuoCMoQ + UeJyTR8gLxQIImBl4hKbKLV2c2Ntc21lZ+tpVaUk7Wm734hZVWujY2PPPv107/37D+fufe9vvrvf + ffHyuaeHy4NaGXixSbJ7uLm6tbrbjiqD5y+eiTRgBHCUpjYVBEFARHCeNRfofZLia/aT2Fy0Oke2 + Sh+HFISlUqVhBZtb2w/m5w52DzuNKovtSbvEvqLyoSXiSlkZY23W6nURqcLx3gMgKMM6UMzknaQ9 + lxahvVqvvvS5z9y/c/vGL6796Idv2HAgAp+ZHa2GDMOQ1Cadrba9tbAppcFT05O1WBWgBpfAd6FL + OKq2SQFKwCS5Q4Q/crMksIdx0CBiEkV9UC1cMYon5PKg1ov3jsX1oVj5EJ0l71rkOLoi6ciBNjqO + w62t3Y31x2urK5WhalCtH+zv1sulsCTwyFvaXpzzVrxlEkVstKrXapVSSXzy6NGj2ZWVS2fPGgYy + l27v3Hz7uz/5/g/bLbSyVqJ7JRVTUQ4j70GLKAgInuBORq+jf6OT+cDRLEKwubXZeu89U/2P6dbL + 33jlxbGqdt32+trC9779Nz9/84ZX8sSVc09duVQOAKEoiILxqXOXr84sJB+vr3/w5k/PN+iLz1+I + 6gpK92wyv7Tw4K0Pfvyd7688WhscHX/pcy8+cW6SC9p7ABPpSnl2fOjsqdLS1tri4TXTPAzKkxOn + ztYGQWxggvHxkfGxhrmzdOfWR6U0axCPjp4fHp6o1SIFCJz3jhWeuDDz7GfO/fyDN2/cuCHfeYOC + ypWnx6bGjDKcAyi7bZlf2nq4vzMyMVZqVFBScI5IRVEZUNY6a1OSo/oBrj9TkP7GFzlSv3OQFJ3d + peW1n99bKZ9++pknzgxGEA/b2z/YXlpbmVtfW2Y1FlWGokpJ4ASlUozTp8Yejj1cebB27YN3SmdG + PvfKixGn3b3Nzft33/ib77//k5/v7Le8qikml+ViGx4arMgTMou5ufsHP/iBcPzai0+/cHF6oKoP + 9w7u3b377b/8qw+u3TWheeHF5568dF4DqsgHdAKT2Mwe7vz4e3+h2ovJ1z//1Nnx0YE6bDp36/rb + b731/kfXO1ZmJ6eeOHNmsJYnCS5v3ZJX2gcsmiQf1vh8FOApV0r1YvO1MiaKnrx8aenW7b9575dv + //KttN6sVgdnp2abNXaABbynrpW5W7eSjGenZgdrVUW0u7d34+HDzW736cuXR5v1SqiZYQ/215Ye + rTx61Ov1KpPNoFzhXOZJ8mNFDq4/nS+6V/Wh0ebAIMR1drcPd7dFThXqzqSORkskHkIQnpk9/eyV + vb/8yQfr96+9ubXcXt44OzB64cnnqo1mqNGfJ2UenjlE0Igq7sVnL68cfvjw1vs/+/H3B6Cff/4K + Gw9FQRC2253llZWPr18/d+7C6TNnGo3m+fPnnr36zM2bN999952hwcFvvv766OhoFEXOQ7y0W627 + d++22+0oii4//VStVs5BBSBNpAybcqk8ODSoA72xtfHee++euXh1eqyulLgkW11auPbeL3753jtr + a+sUDjHIZnABhMWBHSkL5bw4Z5XPTsgeEjIPUkEQBloHyhvujyVYVWqNscmZJ87N3t5Ob1//+Dvf + /s5rL10JpwYNycrSyicf3/j5T3728cfXO70ekcqlIT6VF/0tpAwK/CgDGiqEttOTo1984dL3fvSz + h/fv/fu/SOZWWxNnzl64eHGgUQ4VAgAWDiIqENaDI6Off/Uz925+/NHtT7773b8SA6OikaHR0Bgw + rLeP1xdXH+1sb3SeOH35ydOjU6enzz15burmzXt37gU/ffvJybGnZ4dLVaUQKKU6Xezs737w0bth + Wb/2f7P3Zs+RXfmd3+8sd819X5CZSGQiASR2oAooVLH2IovFJtnNbnZLamtszYyt0SgsPfjBMQ4/ + 2JbDdoTnaSyHx56RRz2tafXCpUk297VYZC2sfUOhsO/7DuR+7z3n+OEmqtgth/4B6T4hoiJQyHtv + nvM7v9/3Wf2bzwAAIABJREFU+/ke6VQJMzniYOeLCnqgPcEAlsUoZyDJtq8TYzsPjTABIDjmFmb2 + tizq54n6hQEkjiQQjAhOOKsjdg7WfnHQIePMhKphVI1CxbK48LhdHreu63DAuoXfva2AABADzBFG + mHAhTNMkGBH0ZEWqh/gwREzGDaMmCcvlVGWKMAAlVNN0SdZqNWtpaXlhfj4eiSOqMMsqbG9c/Orr + Dz54b2VtXUs22EmnACBs3DwQUOTOfEv6/o64cuP2lUtaZX1/q3xsoCfdkve4gAFgEAIUwHooGj3/ + 7Il3ty/OzY2/+dprZ8wKPdKXjDptqCJgvL+7u7y+Mre43ZBqbU67OAGMCSFUIGLPV8hB2w8AAxcV + o8ZBYAKEgOAmYqAQjAQDxBBGIDB4PU1t+WwmO7L4cPTugyvJpE9Terq7NZebSIAQCNMy9nYnZuf3 + iQMF4o2NCQ0xjMHldEmqs2TuTs6uti+spKJBh8ygVqhsLV+8ePHzz29s7W85XV4LEwsDAyCUqrrS + l89t7rEKDt6bWJ8eG3n33Q+GTj3b254NehCrVrY21ibHHy/MziAkvfTSK6FwSCDgAglECaYUU2q3 + W59wRDAGRJkASrAEICwLCUEAAxDd60+ms739PSMr1Qd3b/3mrTePHelqb014fIotnAeBNza3i8t8 + Y3M3lAtFMx4MyOXyxBKpeKJxcab48P7dy1994cIDva0Jy2Qra4tjYyOffvTxja/v1GctNjwOPb3j + B6/yQXMSAaHY4dQQhmJxf2F+bntrM5lMS1Sq1ozt1blbX37y1dUb1ZpFOKeC/xbrFtlffPRkmmMT + LTnnjFkcOKaY2HhwDiBJwVC0r//Qg7nqo8WF137xc2vv7NmjfX6/h0qyjIBx2NkvFNY3Z+YX1Gg8 + 3NZMqV1KUUBY8ro7WhuTEc/UyuLtGzdJoKEgvFKmtSHb6gvrlgBAdiIcTjVm2/NGJDg8O/X4s09E + 1C/3dGZDsQZKCMdgMWaWi1vLs0u7hhZodESaVLc9IUQHH4EfzLx/p2QSdRA4wkAl4DzbnO7Ipz+/ + Kj+4+4D7vwj6kx3pdNxFAPH93fXppQdffPbFjZsPqtzTf+REvqPX60EKrt93DljUtRC8jviz/5On + fXUk7CEz4vV/Ekj2+pOpVEtL8/7jxemJ8d+8/c65c6e6W1olxnmptLo8c/Gzd2/ffUSpPDQw0NHa + 7HKAggEjVK1VRu/cHrl1c3hyJtXS0TEwNDhwWEKoUiqsrm/evHUXEWmgtzviczjVugRwv7i3srTw + 1eeffPnph7v7xfYjA6dOHAsHPBQDs0AiyNavMgYcOEYEOBMAwtYzCBkAg2mput6abmhJBJdXVkce + 3FveKuxzRfdFo035cEMK6qIpACEwOrCoHBCTCQJiDwIEJpozk2tLNeW0G8tTE+PXLl9M+eiRzua4 + R6USKZfLk3PT929du3z1+sb2fmNTtrOzoykdoRRAIAEEHUATCQIMgiDABFMCCNfDn7+VrMQ5F4AF + AkIJ1nTN4/V5/Prc4uJrr79x8fqjUMDrdugYI4YIx8QXCDamGnPNzQGvg2hAAGMiW4iWTFaxBBeg + EqQjJgOlyG5321hl7nK7unr7Hl7LXZm6c/nKFRRu9bodzc1JTYGaAEoQt/jW3u7UyrSluON+V9Lv + 1omQCXY7dIemIM4W52aW5udi3kaJSqImikurH37y+SefXyyVq37LJNyU6od5BBaXVIfm9jGAjfWN + uemp/e2hoh9xy7CsopNyUFytbV2tLYuXHkzdunE5pBlhh5VranT4FMaAYLBM2C3sLy7MlQA5oxF3 + 0OdSBIBgjBmmZZiWxRgHDojbqiSMyM7O9sT45IcfflwqlWOx+Msvfy8eb1A0GX77Er/749MjFKA6 + yl/Uv5gM/46yzB5IYMIQttU/BAlhATogumJEMJbBEjbUWHALwKqHEHAASe7q7Wub374389UXn3zo + Ydh14ZWoO0AcRNFUgXGxZO7v7C7OL5jA2zrzsirZfyCikkQlwUywakgwRSY2gAhhBJwD40BotqXt + +QsvzL3+yfjIgzde+zn6zjk5n/b5fYJQbuuni4X1pbkHjydb+o6GGjOaBJgC4eRJONWB9NYuJuwW + NgYADJwIC3NJWEZld2d0enFmeb2nbyAejeiqyk2jWCisLS2tLM5VSqVgKKI5XIhItjoZCxDMREgA + ke29mGIKVC2bvFypEUCSEFgAxQRjUs/D4mBYlsk5q9csgIXAgDCmiu4Kh0lbW8v8mrG4MPfFp58I + YhztPSzrPlUgsGrz09NXr37z3gcfbGxV4+nc0aMD8WjkYAcRwpbV2AWVbXqwHytj3OKKJIHgWxur + 7733TrG0e/bcmVwmGfZICi89uH3j68vX7oxMWbK7sSnb19Hq10EwCxhONnfmOyyv+9781KOvv5Sz + yUh3R1s06tKo4LXiytrS7atXLl5/vLJR7ew43teeawiDJgEBe5RBiD8YSSUcKl2cH79StbYmFg81 + tvcNnPQHY4RQArwh7E2EnTJYow9HEGU72+XeeCzTkpJsKxVSACzV4YzGon293bdmzYmRxx/+5l3p + bN7VG3GqACCAc4Twzu7u5s7exuZ2JBLO5dLYRjkKBJhiQjDiCkGUgDg4ACFCQAguRD1ygh8kehDg + IBBgWXOkG7OpZJNLn52bnrp142pXKnSkIycTVtjZXFh4fPnyR5++f2tlfZVhjagEkO3DA1C0RCp9 + /OSJqfdvzE+P/uJnfy1+8JJ8qCvkd2MkatVKYX35qw8//fK9jzb3K91D+VMnjoV8RCWAACzLoIJR + TWtrbY3feHTt3sTVSxcLW8UyN/yZJn+kEYFTAiPiC3V1NF2/9MnM3OKnX+DVtWKixd/b0Rb01AE1 + GCQQBDiRsCITmQIQEBiE4MDQgeYOwN43LYEtzgUILNiT+vmJIvtpOf3bEIV/CNc/tm7/3osfRCUf + nKawfQxDGIjkcXtaW1pndqZX19cvX7nCgDW35Ii1vz93b+bepa++vDQ7b1A5iRDAU9WnnUNgF/w2 + mZvBwSso7DEkJrKqO70+RVHX19ceP7x3I+SM+pzUKnt03teRllQtEAg9MzC4s27cHLn18acfrZfX + dzd2s5G0j7qYKO1X1pfXp6eW98vgyXbiXKZTASAMwBQWExYmsq4TQjDndZEEtdeR+jAZPZ3YATzd + bgUAaA6nLxhRVH15Z/fx8MP7d27tbgUxKvs9StzvVgJuxCyBkKQoiBImWK1aklUVgXyQ4koAU0Ql + jDEwA6yaqM96QXPp/Yd6b39z9eu7Iw/uP2RSEBm1wUP5oFfBmFlmuVLZW921bg/Pe2OtbtXhTgQo + BiGAcwNDDZAMAKjeySAAdh4uoghJCCNRZ3kiwExQgSQB2AZf2DnlgjNx8BgEwgITiwsuuJ3pdFBl + E4QQxiCAccE440RgUZ+uYUVRY9Ho4sLWytLinVs3C0bJ7/Xu7ey2ZDOelAeDQJhQSgGAC4txC4Bj + QKokZ9LpZDIpTxZGHj+W4td9TsWvcFTeKiyPfvb2OyPXbiIEhGBKsSwThAGEbcYmQkicY4QQQRyE + BfUZwJOq9GApe/Lq2TfBVptwUS4Vr1z5Wjb2XdRsDLnM0vLs2M0P3/loeGI3nEp1D/V2duc1CoKB + TCTw+tsPH+lbYaMf3Xp085sP2a5unUyGHEiT92qleyPDI19ev3HtdpQ4O3v7Dg/2u3ySAGAmAKcg + q4CVVCzYmc9NXR6ZW56Cdd51qieRadY0AEsCkIiuJhsCHqd4fH9CrckkkG7t6QqFYrpEMTABFggO + BJqyscKR1uRHgYfzm5e+uI5k1/5OY3u7RyGMVpyioBYK7NHCzNja0tnnzia0JtCdIAQgLMkqwlQI + wZmJgOH6/E9gAHHgYxYHZ92DkxwDMKGyMzOz/tobH/vzO+Wj5YRH01nZKi1uLD54eO/a+tpiLN8X + S2Y1BwisC9BlFTrbm5ZyY7en9+7cuVH1eahEdVIrbi3MPrx/6/bd+cVVJKsSUSjG3ATBAEkMCCIE + AUKWgFKpODc7++H775tbS9ZOd0PQsbk1f//e1Q8++mhlB6eajwwNDeZbslQAERYIAESrNseFioXx + 4a+sHV7d2T56KJcIUbN0/dJnX3/91fT8YnOupb2rO92YdOt2LcU54iAQBYkwCTF7u+R2xi9Dgglm + mpYwGTCbgyJRTWtpb5nvaYteCk9PTxU+v6hpnv7uvnikgaAaiH1m7FRKhYd3HwkLaWfOuWmKSHJh + d+fK1at3Z2Y39vcysXBQV13C3J8dn7h+ZXp8nDjT2dY2tz9IlTpqH+qPgBMQdk6sHULoi8ZC4TAg + KGytb2+sWQwsO2nqYKO30QpIYAAcisbzLfuJoGN4emp4ZpaaekvToVzHYYfTI9WRpgKgJgAAKyB5 + Jc145sihtZ2dt0dHrl/+Uq5hIZjqIFQBhMXq+trY2Ni1a99cuCBCoZDH481mskcGB2/fvjM/N/fO + O+94vJ5sJut2uwHAMIztne17d+8KAYlEQ3Mu60Y6YCFMCyEMmBAiezzeZCoZDAdnh5e//PKLdK4b + WyWHxI3S9vjYvY8/evvR6Ei5qmmaSgCYCZwDB8EEGAwsIAKQaVTBqhEAzu3QewEWF4hIikIIkglS + JUoQEgIQR1h3JdPZoaPHli/dn58ef/uN12h1Z6+1UcZofGz87q07ly9+ZVVqwWBobX+XEIIJ+btV + 0N/t3vI6xVQGDA3RwMnD+Ud3vxmbmPl0e51jnA8msrlmr1uTEBAOwrIshBmSBAFfIDQw0H3nm8zM + 8sTNG98oLje3UHNTs8vhRMQoVXbGJx/NTKyZVVmXw+25OI6G8j1t/bOHpr6++/D2g489WqmvORPV + ddkvLOfOFp9fnL14+dNEY+TU4TwgYEAEogJACFZPxQHAAIwxYIxKCBDGABhjYMgSIABVKuXy2vL4 + yAysEo+1pSHTxIqgWjwSCKsWIMqRZBjFva2tmfHRAIs4jR2dVwSmAlFfMOz1OHVdEZZl1IzdvVKh + YgpEAkG/162rBL61LP8OMwEJwByIAFw/B3Nux5Ic9N24YBwBsupQBROB5XaodiuWEBwKRyLRODx4 + OD42fvXKFU3R3bJuFaqrS3OffXnp8jfXd/aLKoAi4TpwABBgGYCARLNNjY3xacyq08P3sFXSAUdz + vanmNrkuJBQcFAFWKBI9f+7E3P3J2W+Wv/j0U+ZygFlqzyVlbHDOMcErK6szM6sjj2dPnzIbo0ep + KrAkUSxZ9sYoBLYtrbZGkYmqYQIGQhHGQjATOEgyCMEFZ3U6p9vdkPP39vSNzRS+uXHr+tVrRFjF + SiUQCqu6QwILitultbm7jx7xQCTY3hWK+7wyU2SaSCTD0QTD23eHJ4Nehy7KETcWle2NubGP3n/r + 9sNFEMLEyCLY1phhQkAmQ/2dTm+8wF3F8le3xpbfe++j7ZpS2N1ujLiN4vri/MytG9fWV5aD4ejJ + 02d9oZBAgAjFWMZYkgilCCM7cMaGTSIMQIRAlFDKBDOqyL4DAkB3JtPZk2fObn52c3xp7jdvv1Ha + W9rf7og2+DipmaiCLHl9qrgxU9rbLw0oh6OZbgTI4XDF4qnO7r6J3eGHc9Off/qxwvag3AtGYWzi + 8dVrX9+5eXt3vRAJRStFy05lFeJbUWFP2LSo3iRQVDmRaPD5PPNLKw8f3veHIoCoqrl29krzow/f + f/3X86OjVHZihKlgmAMiT1rAB3vlwfzYbjMwiwvBBQhMkEC8zpGgkj8YOnRo4PrjjdGle5989J4G + ZckqNTYmqMNhEcwttr++sTY7NzI21nbkaKi5GeiBe4MTcCieWCCbCF5/hB5OLlkbZXeqp63naKQx + q7uAcQAb6SEQjaW6Okhfz8i14bVvrn7l0dHu5uHmfJ6oKkMIavuVvbWFyeHF7WquZygju6OuMICw + gQnYfjCCHyBpno5sbDoKYCwIFQCYm42ZZF93S2s2dXd5dfPzK+FQerd7LRsPEihsbI09Gr38/tsf + LC+wULzj+NlX8519ep3/YwJCvE5F5YCY/bX+dpzcgejXnssfwC8BgdOdSCSODBxa2TEWFmfffust + JBgrlhWAys7O1MSdN976zeb6amO669yZM535nEpABsCAKtXq/Xv33n/r7c+u3Og9eux7knLoUB8l + uFoprSwuvPPWrys1s7r/YmM87HdpCBMg0sb27vDDBx+++87jh/fdPt/Ro0NnTj3j82DbF04pAAIG + wLmtv7XdetiW/woEFlAQhMqSM+BqT8eGH09Mjmws7lSUcKYh2x1Ktbj9dWIMsnkLdhTFtyx5B8Ge + CAQCWXUlm1rbu7PNS1cfb96+cUXnBWt3KJcIqQ51a2/n9oN7Vy99/nh00u90dA8Mtne0x+P2gBBx + gREidbK8Pci1HUEIAIAJgQEBqm+NdjQFACCMEcZujyfWkEimGkdvz117/wPquh30uQNeN2emyTgT + EI7Gunr6njl5qq21pTEWCLlVJCkCS8Uaq3IEABQsFUwFKLVrqboPWhDdkcp35Lv7Io/WbkxOii+/ + 1GRpb3fQ4dApK7lkYZW2Fjd2b49MOIKxwa72sJbVXaqiyulUMhGLPZhcHH5wTwmlZHHEjatsb3Vh + fubTLy7dvj/MhQBuIVaTMWAADohzpOguty8k686Njc3he3eaMs3rHtWBalGnlI14XT5nOtPW3b3U + en/0wejYV1/tu6XysSODwViOUy8gzSgVdtfXJibGkK7kD/cpDgkrGoDgnFuc1QzTZFwIgYAhIYQA + hNHe7vbDB/d/8tf/YWd7r6Oru6ur1+8PORyy+PYB5HfLCfEtpYQQXDABDA5algedmt86xVAJMGVA + mEAAQBC3w3ZtBAdCGBOZGZZgAmMEYAlucFurggEkuaO7t39p5+Ldxw/u3JBKRlhxZxNNrqCHS2AI + q7xfXF1anRgd192OTK7Z4axv1YhQQgniDKwa4qYiU4kQAA4EA7OAMaA4nc2dP69dejBzfWz5o/d/ + E1CYsdPRkEwITJgAbhrFva25ibHLN+780Bv1Rhs1iVAMtm7qyY34lvQfW3YijhBYMAIcAwhm7e/t + 3rh586Mvr23sVfLNWZ/badWq25ubs1PTE49HEED/oUP+UARLiImD9DBmHbjZEAOgQECSawwqNRO4 + IJwTARJBGIhdHwgOFmdMCI4B6vkJgO1lWdN9Ae3w4f75ler8pfmvv7xYMQukYiV9ETfWrNr2vXtf + fX3l0vWb90OJnp7ejr6+Fl/w4Bv+W/KY+q+s65A444wpkqLKlFeNibERKlNDiNWufDqkOfj+5x++ + f+ny9dn1vXzP4Y7u3pZGFwcQhgkcy8mWjm7o6rhxe3z15o2rXo9zY2ujs6XBpVq1wurM9MMPP/j0 + 8egq1ZuOP3O8vysT9oGKgdg0BYzB4ws2xIN+56O5ieGFLbQvye2Rtu4jbl+YAAZhRgKuRNjp1uj8 + 1GyNC8NAsXg82RQ7+DwYhASKHo/HTp08vmlN3pjY/OzDjxzWgmy0hHwKgG5akgB5ZX1jdn5pa3un + v78v15xGdlSOAIEpIUSmmCJ+cPa0IWmYWxYDIJjachrE6+slQwCAqKR646n21q7mzMLFsaU7t65H + HYTWTrip2FqfHRm+cef25dHROUnzOYgTZEBEEDuQUZJjicZTp05dn9hauD3zwbtvhlxUVHaTyTjG + qFjYX5mdfPfdD4ev33IHfINHjpx8ZsjntrcVwS0LEANFzmSaGsJhXKs9unvHAjkQTbgbUy5/AxIy + BTno8rXmGhwuNjG1UrhRNpkUCkTaso1+ByAAZhcNXAKgFEkSIlgAEgwEq6d6CDhAWAJgwgRiNjQB + +Ldr56etW/GEbvYPq3f7j63bv+fCQFQAatvSJQF1AipGICQwse5t+L0//Ocr1ddH3v/qtb/9q/ff + fU1xOBErq8a2B5eamrI5FcY3LIVYWJj1atr20hCJEgoYwDKYUQN7ixLAGSMYgawg4u3s7uuc2nrv + 63tv/uoXX7z/pk5EMhI4NtjeEPmnDXGv1+s9depkrUorULo/8WB4+tF7v3zbS12qRZkoWaJsQsmS + PAOnXopmujkcdKQYw4QKSmuWhTGWyZOh5kHdaGd7PamoMIAA0xSYCkoAAAWCkZa27ubWzqVbc9eu + XB6ZnJckpqj8lZfPf+fsibi/X1JVTGm5WlE1hVLicTsNsCzBCcMSBkAUGDBOQCDELZWApgK2TUOE + usKhCy++YCmBX73zxf2794bvXnXqSJEMhCwhaghME7lN7Dv9/I9OHD2CBAgBpmWqlNbNbQjsOhwI + WFxUqzWCsUNVNEUCbpnMFEQAEzULCSwDwpxZplEVzJKAgBD1whFjTCUiq3Z7nWIAxuoyTQYcgMoU + Yc6YYRhVqqnIjrbHNBaLv/jid7a3Stfu3frLf/NviENRVVXTtH/xx/9V8gfPuZ2UcWKYFkIcoxoI + AwnOLMCYDhweWp+d+Prh1qOR4asTf/n6z/4qqBPFKpHSeoNTeH3BFqez4s5tkth+ZY9bNc6ZHZHA + OK0ZHAGSCHCrKrgF9VdMcOAISP2E9QSJyZnFOWNCCGjPd3Q/++Pbj+Zu3PjmyifveGROrYJV3Njb + KQdTzScuvPjM6aFsroEAcMYEA0Skrv6hC5ZvZls8mFr96L23rn/xjooNRsHEolArKyWIevznTn/n + 2I9faUhEDAACYFYrYGEgBLASDie6unu/HF6G7S1gtVQqlkqEEQChBJADAOWymVxm8sGNh2BoqsPR + 098fiUQJYASMgqCSBdzEbr29o+tf/umf/eKDGx9fG3/j9Z+//96e7tiXEJNqLlpzAmimQh3RQHd3 + m9XYAAiAUsahWKoirCiKJlGCEUd261Y8MYD+bpcbAABjkCSGcbFUnh4d//TK+Ac//Ssd12ReImIP + WTtmueL0hn/vh98/drJXAZCAYFAB854jgyvzm+/dvjkzM/noZ6vv/fpXElQJVBSzcqqvp+N7Lz6e + 2745VyKkJlFBMQIgwCmAgrBGCBwZPNLQc/bi19+89sbP3v1VSUUmAtMyy6sbO10Dz3739/+L7q68 + 13PgskIIEBaYOlzOdKSx7djzrFr45c9/8fG7b7oop2bRLGxbXATDkee+8+L5770S8Lmf7G9cIABQ + ZYUKxAyjXuAKBIRaiBgmZ5aBhaVIABw4cMDEGQ4fO3Xyz5Hy12+8d3ty4v/5P//SoeoORVVVXDX2 + TFYQnBNQejr7BwdPWBwAI43C2tzY5+/8+psvP5CxRAApnEuVIikVShYcPjv0/H/++y0JosPBQRDV + B8sYRD0dlwuwWCCRTDYuORVpZ3lhcXa6WDKwR5bs3tATlShGgGQQDGSX3+PryDVtbm7Pbe0hogUS + qbaeLpcHS9heJywMAoMMggCWZYc43NdnWDW2V7l57dFP/uN/eO2NXwbDHiqLYnG/WC45nc62trws + K5RShCAcDg0ODpqW9atf/erK1Wv/81/8hdPp1HVdUZRisVQulz0e97lnn23OtWiaZs+XED7QvgG4 + nK5EY+PhwSMr+zdvPpj41//6f/c5JJUwwqsIVSkxA6EI8UfGlgVBvM5xQcRiolg1BFFUTeeWiVjN + NjgJzi3BKcKCSAC4Vq0KC2RKMALGBJgmltVcW+erP/LO7KNPb4xeu/zV+P3rThmI4Ea1Fg6Gj588 + GQuEN5fW/tObrxFKJEk6EMP8/10HL5DddsRIAiSCAW9XWyrmU8eR2CuxeLo5mc6lU0mXPSdAgAgh + CCECDIAQ6vG5z79wTqjKa2+9//mnn33+yWdup9vjchNJrG8ucVGLhZt++P0/jMb8gAGqLJvv+QM9 + soEcXz2e+duf/uStv6kEdeR1RWpVUipAsVzMd7X0HOpwuD3ASljWVYcLTGTWatUyOFwHcdcYI5AA + YfHkQ5hWuWYiQpcW5odvz1+5+o0X9h3GpgqmiSSnL/xnf/rHF4baw05JIHl9fePK9Myd0fkAqanV + LZVXBJGJrP2TP/pn55893d6aowiVDGtuYWW7UJEUramp0edxfFtpWz+XfcsTIQALIBxjw2KAiKpr + jFmcMdtKa9N5kACBZFl1UEoxcM4MwS0AGQA6O7o2hpZuP3j4aPjRg8nx137xGmEIm4gg5vNrTc0t + 1dlFTZURt+zWrWFZKiGAZMCa5g2lYg25RGxquWQZNe52JvJd8VSjZYAsAwYwgRDQZS9KtDtefPl0 + UcdvfHnl/Xfe+vLjt7wuKqyyyRgA4hwQqA7N19yUr1X7dE2177DJLYEptbsk9kzadsARKsuSJNXz + 4+ugZoyYaZXKRZeDgCQDVk6dPs2Qr1yqLC7N/exnY2++/RaVZESpijitFUhlv8qtvvMXTqZSllFB + MnW63P19fQ8md67fn3304OrUgy/e+BsSckvIKNT2NwKhUCQaR2HXrlCxYdqUQwIyEEfjwIlkO6wX + xFpRFMSVq/fvzy7Nv/+apGJLApNZtXJh73B/b0dHp9PtAgICkKxohCiCIwwYiydPVgCyEYbABMII + YcG5adiwJm5xDMgfT7z48nf3kcf8+u7I4+GZyfs//xuQVBDUZNgQJoGSFtSSJ0+dqRkVw2BUFljR + Qw2p737/1VXLNfLmF99cuTR656uf6gTzas2oMGGePXMucTpR2a59fekbwCDJdaknBsB1S7utNagX + e26Pe/DI4MTUw8WVjRs3b9y880DVXIrmqtUYMcpRrzMcT0nYvy5UZFat6oHFvd5fEIxzO5XsAMUg + OOMIY0QwE8yoVQ0TFBUBIsjt6+7r/35J4Wrs9Tff+eD9965d/FRRJEawhQkhGGo1bJreQCDQlFFs + zp0dnY0JcAyEdHV2ts1u3Jm+alYMl8Nx9OhAMCwTAIJtjgEGpIMWbGnz/ckfO+kvP7z81ZfvvPPm + Z5+8J+m6PTmgoiqJqqgVPNH0n2Q6ZYolDCCwQBgRLEsSxTVuWULUk7vrH5MxLES9EAbBgWFh6uHQ + 4YGIKy9xAAAgAElEQVSjf/RPBX/v0qXHC3/17/7t6xp2UoZ4gbEdi20bNdHacuLYsRePnz6ZSscw + gGFaMrIkgbkALrhADIAJZnHTwlRCAjizU84AAAgQgjG2HcsIAyaAIRKLv/r97++U4KOP90YeDs9O + T/1HXVMxglq1Vt0qFrZ6uhoHnn3+9MkT4fQB+AoEwYhSiWDKGKxtbGzv7dpdGFmiHqejWty/dev2 + 1MhDwS0sOCCk6k6Li62tLaNWjcUaT5977vz553rzWZUCgad/IQKgFBF79EkpIGLrFmwGoSTrYJQA + eEdrc258/tbYZqlaifoD585fCMUSdk+cIsA2f82Or8AEbJgcJgf3HgPDwDAQ7fCRk/8ZD1Xe+PTu + 9Nrbv/7lVx+94VURkeRSzShUyqxWyTUlzxwfOvfij1KpZD1hkiABqFI1MEIUI8Esy6gyU1AZPV13 + MRICmZYhYQRY2KnGCAQITl3uRCqd7+y5v8JnKrs9A0OpSEAjfHVhdndvp1QpTj++Mzry8MMPPn71 + 9/7gxQunTwz1SEBNojCsEcVDaYXXyqi2B6DZvW3OOGBBMAChoLtOnj2/S8MbP317fPTRxNjjn/7E + iTEivCJDDbOyAQQU55GTZzszKc4swAhkZWjoyNrC7MWbD65fvXx9ePKNv/VhowC1fbNWybU0t7S1 + F2BWlwgxS5QBIiAAYVkLRBrSuXxrW8e1sdXPP/zNjevfaIhFvI5XX3j2e+dO9gS6wIkPHz78Tzj6 + +Ruvz409+Ou//n9f/8Xfyo4o1SLFMrdqFTANZpn9zwx2HOqVQNhtAllSZFkWSGBbagACMOB61Sgw + AkWWOeelYrG4XzBqxu9sd0+uv6O9Bc5ZtVqrWdwSqP4yCOsAVQIIgHFAgmOC7eQnRXMYCAvLfBpT + xu1uJeHMAgCCESWIMaNUKjnclFIEspLINJ89SwpC+eWb79+9eeMvRqYdROcKMjEXEgILzIrhc/uG + jg2WikW3WwMFASImB8aYIlEZ21qrbxVHGAORAHNwezNZ5dXvv0Iv3rhx5dJ/+ulPfvML0B2OqmmZ + XIDgWHCZAFEcz+8XKcH26UMIjhG2rbaWKTC28eUYBLKDSYUQwC2COMYgMLU4Wl9fv/XNlQf37sp1 + hL1gFjdNq1AsD50486f/8l80NSUkG/dlPxWikANeE6730QlSNFlRQBQRqyL7NAkKCLBMIBgkRUJU + AqwiZILgSJioPhJSVJ2eOXOmyhzrO6vjMxO/fv31rz/6TOWSyihB1VJlhfFdX8Dze7//o/Mv/Tjg + A4rrOxGx/XNPcmcO8gLqvGDOEYDL7c2ksj3Hz6+srvz7f/dvXRr1SqYTlfe21qqgBOOpC9/9wblz + 51QABCBjDFgFzd+S7/qz//pP//3P3rxy7cav33r9w48/8KqWi1ZRbbdcK+wUqs2tDeeOvXz+/LPp + ZlCwvZuZ9V3R6Y8n5e6Oztml7YnVZZWGNY/PGwjJsoKgBtzyBDwNiUg8Hl1Y2SxXuMfti0ci0bDH + /jowAMIAY9UTST333At78KAgHg0/HvnpT66+86uiQrkQCmcyB4kDUlS9t7+/va2VWYIQAYAsgWuW + AECaIlu1slFhSCWE2o4uxDhnnCNC7a3gCcqVAmFcAAPQnT1Hj71oylM/f2dscvxvJkc++tXPFG5Y + rGCY+x1dmT/8oz+qFpSR+4uPph7bxAwOgAUBf6S3L/DyS1VDvvbpZ1+89drffvKb123LoMG4qJVY + Ybsxlnzhez88de5sJuVUACgABU5kCTgHSQnFE4mGeNjvXtmpqD5nPNvoCocUXaMCKAen5o5E/P6A + jils7xYamzpSyYaQV9UlsBdbBkCRDERnFjUNDpxzs8pMA6On6wUCwIRQWQEqA8aaolAkLG6HxtTH + 0RjAHvkgQE93qX8w1z+2bv+eywaOSAAChEGFRbgAogigCMlA3bIn0dTqeeX7ZiCenF3e2C5WKibS + 1Ejc15mNBTo6O+e3So/m17v7OpLxIEa87uWX1Egscejw4Zf3rKFTJ9pyWQxgmQwBkzA2rZokLJCk + vkODRerzpfKzG8VKYZdwoz3X1NHRoWg6YIJVNZVKnj1zyhf33Ry5M70yW1jfQ2UmG5jIvkDE7fKp + qjea7z/R0tJMAJgFEgOgUks+X6uUmnp7m9KN9Ekqy2+/9HYXpP4zBkwQQpwxJphBJLUhmXn5u68G + GueHp3d3Sgxhw+vTOto7GhqShEogcCKVvPDSd2TJ2drbKmMqgBGEMAZmAgEALLXmu5971htJl9ua + UzIFxjgmAhMCAjK53POSX/Umxme3Njfm9ndXjOou41VJAr/P5Y9kXYF0Z9/xSDBAMXBmZwXUR1i2 + C872CVNZCQZDgwMDLl8mnopFI2FCEAACLOkuf0tb1/kLgY2aN5NO6arMLUFB1JOIDFPVnZlc23df + +YEeb4uGgrpCkADBBEJSMtV44YXnZc3T3tehawoSggkuIQICeby+oaGhUtGKNyUWNlctCrrTEYpE + EqkkVVQQhqJ60umm7778Aonn21rSmiohxAlVaCA2NHT6j0T4wXJ5eLW6u7biU3DIEUl68oeaG4KS + tViAHSmygQIlo5huTEgEceDAseLwZbNtZ07XlspSLpt2OTXGGQYuEAGEhJ2GIb71bDEghBHCAMjn + 9Xd2dCSae7cWxhZG75U2Fojp8arpRDyeau/PD55sak4oKggBFAEiEgBxBpP9h9z/jHrujc3MT45u + Ls9b5YKFOdEkp9ed9DXkE61DnYPJnjyosgCQADSZgKAgDOBEDyUGh05839Cal/crJHTq1FBzE0EA + pgWSRUChbW355581Ja4owt2a6hw6PhSORBEAAybAAKgAsgBh2RMaOnrS1BuiLdOLG6s7u1PF4jw3 + a4rldpFQJJxyx4KBVLwt1+xy6jbwRHM4k43Zk6fPrdWc7e1tHpeE4ACXjgCB3R7B4ltvf/1LwQG7 + fNlcy+9/73uT88W9veX93SXT2MHIHfS3JRqCTZmBo8efScZBR7YNXwMsfPHEoWdP/wmhj6bm59d3 + isWCJEEo6O3KpQY7mgMqNM6sxpYqUjTv9yGKASwMoHAmW5bCOCSSyZOnh8Lx4NrM6NbC5N76iqZo + wUDI64+09x87/MyJaNQpUagf8AQCi3EAWVH9Ad/AwKGQx5EIe9YWpotbK1Dbj7RkovGGVLpp6Jnj + zbmcKoNhh06AcLg8uWzuwrPPuWOdrc1ZVVWAWcAsoDSWyJw8fbahlXQe6ddVoE+Ug5iE44kz55zC + HewZm1taXN7d2jJKFYEsn191+NKRaMLjiWQz7ZHGnOpwAzYDbu2l00e9Tr64vrm8UdwpMkXS/Zoe + crlDkXj3qVO9+bRDApkfgITrs4f6dN5u+wGVqcfr9fujfj/f39taXSkU9yWnhxKJIIo4FwgEwgZn + 1BIEU5Dc8VjiO8+dSzRE5xZriESPnj6bysZVHYAD4wxEDVMOSBGgAGAQVZ/bN9CZdxK9LdMxO7O9 + XyiUKnsIscZ0o+7QY7FYZ0dHWz7vcrkZY5TQSDh0dOgIpbS7u3t9fWNvb88wDJfbRamkqGo8Hu/v + 729sTGGCLWZRclCzMAAQRJJD4ehzFy64Iy1N+anVjaJZ2lcQiwRcDQ2BpkxMkulKwXF3Yr85k9QU + IBhAYEXVG5Lpw0ef4d7G9v7eeMhvI1wJYCwISDIYkqrpzz17btekHS1Zt9uFMcJUAhDYE2hr9/7o + VSveMj05Ob63ucJqJU2Rkw2Jlmyup6PLozq2VtbLwPL5DlVV4aCT8VtHr2/tEnZ9xYFgkICAx+1o + aYq++PzxWKZll7tCieahQ10Bn1tGnJnYDmFHAKjOUkZASaYl+5ykunyRmfmVtdW1vd19WZIVjTam + U8GQr6mxeWhwIBrzATAgMvjjrXrw1R/w1NTM4vTE3toyqpYoUWXJpWsel8ed78p39XQClsGquAOh + I0ef2UZub1OXpgK3wARBJUQowVwCIBbjlsCUABDJ4wsODh2r+lvjGxxJmm7uaMa2jCwLSarL6w8E + qKSCjLp6By7IqdiqWZNccnUbFdbcsuBYrjIIRcKaqtkWylKp8vDR2NrWvtPlPtTfFw37fufgisS3 + bybigDlgRXMcHjziw87VSiWRiGuKfSwGDBwTClxyeYNt+c4XLJ8ebY9FQrJEGOMcoXhD4vjJUxZC + o/NT8xsre3sFCWSP7m2IhZtbkl6/Ojwxa8iRUKbFpQIFIFgCRMEiYEmg+vq7+v7LH+PJpULBMmWv + Nz8w5A9HFAKUA8JIgM7BAomBGx0aamcBZ7AlO7q8sbm5VK3sWqYCiOpOVzAYCQfiAU+kt7tDUxXG + GWKcU0xwPabsoMBAwBHIeigcPXr0aEOh1prOhEMBhIRVrVIFE0JkRQEMICxgIhaPHz+mEyJNTI0v + LC1sbm0Wy2WTMYdMIs6GmFvzBP2ZgcFsa06zh0tUCoQCZ08NEsU5Mja9tDC3t7XhcWoBZ2PIq+fz + HdwZWSqKnYpoa2l2AWgCgEvANVACWJGiPuns+dPuWLSp9d7i+nZhb9+qGX6PN+T3eD2uvp7O3kOH + XR6XQIAIicbiR4+d4IHW5kyz2+HETx4mCCAEHJ5onJ44fnxD+I4cPhTw+sBuciACDnc6q194QQ02 + tt1/+GBjbWF/d6Nc2zehRlUU8seCekMq3HJ44EgmkyYYGYahIAEOd2t71yuvOPRoy/jscmFzySrt + OBXiD/mTjYljQ8e8qm9nacfjCYayaUye9ju+JVt7+tXFihKPx0+ePKno7tn55cXljb39ssPpdXkC + DQFvR3MTZcZiES9XpdbWjEOxSSMADCQJEEIYH2CgATHBBAdZVg4fPuyS/aruTjc1yvKByYwqkkvv + 7e3hStDn8++urZR2d7a3t0qmIQjx+nweXfW7nKl0+lB/rybV1xUuANsaWEXr7O69YKjClahqwVBL + X/dAXygAAGAIMIWQQAbkACIrPnKo31M0oS0bX1ldXVtb3ykUAGNBsEOGeMjjc8qBeCbf1up1ORkD + k5mYyqFQ5OSJ45sFI9eU9Ho8gnGTWYhKQGxeIAUhBLc4NgSYgAVIiiOWPn3GxQPp1omFpbWVvfXF + 6v4G5orTEQn49Xi8sTV/pC0/1JiJ6Q4QADKhiDMQ2OXydHV3v/LKy55AtKe7U5UkYosMDszhcJAt + YRkmxQQwBlkBZEmqms00vfzC8/FYeHJmZmllubi1QSzT79ID/q6AX+/oyGZ7ziXTjVivP2sODGPc + kms5c/qs6g9FmnOdPT02kViTlUQs8gc/fKU737K5vbO2sbmzt1+p1jRVc7hc7V09sXgincl2dLS3 + tuS86lNd8NMXqD59ok81ggI4AgYgBFIAgSJ3d7Y/XyXcESkrAV+2v+3QYX+wLhIRTNiAw6f+VoQQ + CECsrh7lDIRku2j88ewzz4RMLdQ7s7Y2P7W2MMPKe4CloKy7vcFYJNjd3tbV3prJdysumQMwDtwy + qCTHYvHjx0/kSuJQf1844KdIcIvbCb2YYCE4gE2TtLHZgITgSAAXOyur4w8eDT+ecPrDL/Y+f+z0 + C+mgR2OV/c2lanXfskqLKyvX701/ff3xlxeveH3uvsEeGSOkOL3h1JGjZ2NFo6u3IxZQpfrNQZRQ + BOzg6Ed9qaZTZ4KGHhue215eXl5bX6tWqphVNEn43ao3GAnEEm3dfc2ZJoeu2TtwLJE8e/ZcDevj + KzsLu9VSqShht0vPhALeQ/29qkJTD8ckX7ypLaMdBHYJpEiaO9GUe+UHP0qOL44u7W7vVwgS8Ui4 + ubXDH4oCkoCgeEP8xHGZqnh2rH1tfmp9ZbVYlTl2Kqrk0rVoOBwM+tq62lKJuFNT7AhUWVaamjI/ + /OGrjkBje0dKkigIw9b7+H3ejvb8yy+/tLmxE4nGmpqaHLrOrHpH5Qmu7revuttNCA4IKZra3dsn + DB5v7ezq7gr6PAd+xwOfoQBRMxGRIrHEuWfPcz3V2NHn1oEzMBiTCRacMc4plXMtLS++9B1Nc3V1 + dygqBTteGkugu7PNzS9JDl33zj6er+7Wdjf2dquFChguv8fj8DpVZ2OisbM77/G4CcF2MlIgGO3q + 6n7pO6Xmnv6GaJjaHk4BILhNzwdkgaz7Ao4Tx5/RAg0d2cTm4kxhc6VQLJqMIyppmh6LRCKhoDcY + yeVaFIps+Q150r20aYUIgDOo1QCQLxQ5d/5CpiSrqR6PW+MCLKDuQHhocKBcLO7s7m3v7u/ul0xL + qJrLHwwnU+n+vr7ufM7pdKgUqC3WQsAQZqhOOqYH1tNsW+dzz2uJFbO7PavKYNQOMloJCA5EQrmW + fKXyQqajls00OVRsmkKmCJAKVIpEXM8cG5RU8nhidHphdmNpDVWFzKmmCH8wF4k54qnWgaMvNDXH + 0EEf4VvC2wOV/rdDRghWCBVMEFkORGPHzpyplfb9Pufu+hIvbSui3N7a4k80N7R0Dhw/3ZhKYQGy + bZmiGnDq9mlHBg/XBO7q7phbXl/d2LT2VnRWCDn8us+v+3zZ9s6WrpPNbc2618bIcwBDADBBKHU6 + PeqJo8+4HP6FvgLiwYH+M8GImxAQVgmBsDN4v/+jV1dW92sGlQKBwf6+kFsTByMrC6iMZND9jY3O + 5876vNHcvYeZtZXRwu5srVLknErU4fUFff5gOBLN59tbW1sIAmYaRADVXR09/btSsGnHyjWlNIWY + FgCzsD14IxSRunRagK16Bcw4ICCC2pllwWz2uOQuqf77U6ubS3O1vS3KDNVJGnPxzr62rtzA/qqR + DCylc4l4PEokwkFgLIHikik5fvSI4g42N8a31td2tja3dgoWUEl3RwLehoAr3xQfOPpMQ67ZQe2p + CZAn/W4sgUMaPDL4zwu1+fU94Q6EW9rjTWlFAVEDxEElWiQSfPb505F4plSR4/HcoUMDAZ+kSCA4 + mGBz/AkgJRpNHxkE5MoNHurzetyMAbLZUwDMsgSgcDT2zKkzzqa9RENcUyRbr1QPsXjqDLSD2X6L + rfIP4ULi7+Sr/uNlX3U7h73CiiLwIjAA4mZEr7d+LAZGuVorbG6t3Lr3YHJhc6toBoKx1mymvTkX + jUYX1tfGZ2fDkVAi7IsH3VhYIBgwo7JXGFvcuj+11NZ1qLEh4nMQs2pgwXRNqRT3MTcUhwqc7e4Z + d8cXhqcWN1aXCbd6u9p7ujKxsEuRLRA6CB2YVLOq4wvTw9Oj82PTpY19aiDNKbfkm+KpiCeSdEfT + VJNVAFwFnXGgaGF0ZGtjtSR4Q77NEW9QMNYB6EERyZA9HQOb/2VL7BEAF8xiNWaUNYkBNgs7+4+m + Nu6PrkwvbmDK4g2h558/kw6HZKgBE1uLhcmRRUCKO+FIdSYZMAl0CYBXgIoypruFlcnpJWtqyWrt + OpXNKpwbslyhgMAwACEQ+uaOObu0tzA/PjvzeG9vxTQqDoecbkrm2g6H4i0ur0/XwDIBC5CJJeEa + QAWEDMjJbXWBYMDLwJS79+eW1w2H15FpDYVCmgxlIipQ2F5b2p7fpSUcam5LOxWQhKFKnBAMwGvl + Qq24W9nbWlpbt/SwI97iD/s9GKRaScKwsbzzcHiSKo5gQyjTnq5xSxKmTkDUKmBJCDtWZpYfTY7d + ffyQEeQO+NLZTK41lwl7iFmA8s5SEW7ObtRcsUzQ1Rzx+LAJVhWQBeVCsQyP1kvfTC6PP3zooigT + Cx/OpfubG4FVllZ2V0xtC3tAhpZcLOCWqVXUBYdKubxXuz+3uWmpudbmoIu4FAsjhogMiAqb+/DU + OSkAKvOPrn/96a//j//r/w53nv3xn/+v2a4BUdyYGb4+PXwHqrVkKHT40OFoS5sWDFYAJA6KsBQ7 + sohZIACoZGI6vbw6PTo6fPdueW/XBKY41GRTqq2psy3d4dUVwwkF4AWoeID6hAxlBKIIfBvYJpji + 3sL6bMEsg7ex9Zg3QkMYlCrzWKugy0alOr+2PjoypxFfLJDO5puEA2oYLF7VcUGDIoAAQwFTBeoq + V8z5ncL04tzs/PDS0li1VNaQN+Rs6Mj3xTJJf0PY4ZQ0AZJhAliAqsCKt2/e3LKcoebDnog/rIIT + ADgDIjiACQjbgW8cRL1fyBFUmFGghAvTub5SXZwvzC6PTS883i2vAsZNmUxXT093/hCxJEkAsvmp + uGZDiQzGqiXzytfXJ8amt7Z3FU3N5nLPnzvq1S1RXp9YWJ8vYNOZ6OvKuQXoJgDh1z546+3Xf/b6 + e+/+4I//9Md//t9ImrIxPzk3cm9mbNypBzKNba35nnAq7Qo6BAaJA2FAkYDaJmzO/o//3X/7ycNl + FO/8k3/1vxzpba/t7dy88uX85Aiv7rdmU82trc2trU6Pj2pOBlATIDFDgyJihbVtdOX2rOzO5FKe + VFRXcUFYZSzD/src6Gy5aAU8kd7mZpApyLhEgEG1BlyA7DAMmF7dHh15PDcxvrWyWqiWXGF/PJtq + 7z7sDySdbndABZcAMEtg7oEoWrsr1x88uj2+PrVhqK5ILNSQakg3Z5siMa/LTZhlKBxkwIARp6IM + wgSmgVABAWcABoiqqBmffXzzf/of/nJ7x+x4pudf/W//vT8ZDMi6BoAswYFjSgzTIGZVowj+P/be + pMeybEsT+tZauznN7a/1vZm7R//yZfMyk0qyhAAJCZjxE5jCAAmJGRPEAIFqggRIDJBASAxqiJiU + hCoRSAVVmfnyZbwXjYdHeER4Gx4R3pib2b33nL3XYrDv9Yh4WeQPIPMMPORys2sW5+yz91rf+hpS + 9NfPv3nw9OmDxy98x/t753905wLBwRS5vyb03puTVhFSBqeld9fgS2j1/JvlwyeLR4+ffPzJr3Pu + 33rr9tHx0cHBwd7eLrFYzquu896Lc6aaVS8vX392795ndz/75tk30+l0d29/f/9gd3d3PBlXdVwt + l04sBoYBSawrBjXXiAtY9+zb5a/vPvmrD++9+OZJsPzeWxfvvX/r3Z/dvnz18t6T/sMvXt9+/4/e + OcVAEEzJVsiLjz785b0nL/cuPpge3t4eSQACzCHDsl69evpyce/RdzcaJoP69unRbFgxEtINbAWp + bzr+7PHzDz/88P69T2+uXo4Gg3/wx//KnYvbo7pNN6vL7158/vjB9OJofHrQNG0LqlE0mBvqhG3M + oQWrYmMKFahYj3yF5fMvP757/7J+Sfv17Ph0p7514G21hJFzoZAvS8CnsIpcgRY5h1XHDx599+X9 + +5/d/Syl1Azak5Pj84vzo8PD6D3BJClIkA3OlpwfXb389tHTh/e+fPH02fXVzfbO7snp2a3bdyaz + mY/itUd32T9//Pnj72781M1OT4+neWWSlsMmlox3EC+7nJTaQGTXuPr20YPH917gwbKCq+r0Kvav + Imsmj1CdHR+eTauqslcPHnzxih939ZJruvkuv3qyNYqZ46vr1enFnbP9na3ZCJru/fUv//v/7r/5 + sz/7p37r5D/9r/7b0/d/72CKphjNv6kq3lyce+Qe2aX04vPHL+4/eXJ19cGf/qvN3pQBs+yQAnXI + 1/bdo88ePH/yWtz07P33L8jg0FfMAsYqXV+//s3nH//63sefffZFEwYHO8cffPDu2a39Zug++fyr + Z1eS4+777x9tRVRAtCX6F+hfwntc8uOny6+/vX7JPk9mx3dODhoMAUlIDGUQtNZr9FdIHVJ8cal/ + effrz+599Ojx/a6/8aHZ2t6789Z7t05vTwezyaCNNVYpQXpzXtn1QASqYgiIhLQA+g7yT3/54fcJ + R9u77x6fznzsltdV7ckDlIAetupX8G5qubpe5AePH3zx1f1P73767LvvbpbLYQzvnBy8f358fHEa + 93e1GfVYDbCssYJmmLu+Sb/55PMPf/3pp3e/qOv24uTw5+++/dadtxYW7z34btFhOh6/dTEPBFHD + 6jn6SziHQQuWy1cvP/rk019/9PFXXz29ucmHh+e3Ls7u3Do73N8dTmclttItXvTfP3r54O4Xr6g+ + /ll1fPtwhAqo0LEuoSssL2+u6f/66PFrv/3OWxe7Ez8KcGnBlmAJCODm+ev+8/v3P7/30cMHX3z/ + 4tkyLeph9e7bP7s4fOd0787u7oQrdITVzcshZ/EGUOrDw5fd//0Xv/r6s09ePXs8G7dvv/f27/3i + 9+ezeX/ZP/3y6cvnr8N8fPqzd6xCBQRAoBmakAEECJkgE2gFXqxePH367Ysn33z/0cefff3w6XA0 + O7t46/07t94+O71++fzTx6++Wcrk+N33bjnO4A45d3XlnStLuGBeqU/XZH1w7ce/vPvim6tYDc7v + 3Jluj8wyuwXkGmCj4eXKffPsxbNHjx5/+dXdz+6+urmhEI6OD4/2do/3d0/PTpvxhB2V/cRZz/21 + 6AJY4fXlN0+uP7z/vY72wu5Je7JXDTAGQsoTWlaFtpozmMGuu1l+//zywcOnv/rw4y8fPvAxQHg6 + iO+/fevkYHu6e9ROdlQi58ypC6SLnv7FL39znWh3PrlzfjioeNl3LgRyzpGwAYpsC3VLo2WAw8ph + 5eGaLtkXLy8/vvvx55/89bNH9x3y7vb87PjkvQ9+Z2f/rJ0My4SuZAt7zZKuifjeV9/+9d2Hg/H8 + 9HD31ukcmzRvIphAORsyZdVlit7DJdAKaQmr4UarFT+/vPzy4cNfffjrr+992l+/vjjcv3Pn9OLW + 8d7eLE7PO5FrgBSRwbiixbJ78frp5/c/ffho+86d6dnZ4dZWZdkX7KZbff/N04/ufn73/sMHT797 + /vJlcG5ne/ud93/29gc/3zncjYyKwNYV4jJIgOLksI7CSRvxM4okphDQEgb5W+i3eP3d5ZPXv7z/ + bT84sNm5370zOcAeYwBUXc9QdgxNAL2RFgCWLVmvyObYQwyWoD3grxIevHj96Mt7f/Uv/tmrb58m + dePp3unZO+++fefW2clwUGXBirAEXI86Xfn+KsP/n7/85FX27737zs6kHQRKqc8A2HkvZgqoJzJ0 + UvoAACAASURBVKP1jwDIKQnl1cf//M/+j//tH/8P/9P/cvSLf/vf+ff/kz/51/7h2QhtBrob8BK8 + ePHNN//zP/6z//wf/Y+vlvLv/nv/1j/6r/+LqrJ21fnXl5/+8pcvVI/efbfd3RvEiITAJYQ2CyXk + FbprUIaMktafPXl19+7dX/3qr1++esXWDWt3erR7fvutw9PzydbupHbOEvIKuYNlrJb5pv/zT774 + 688e3Pvyq+FoeHB09N47d26fH1PufvXRJxoGw+3Di1uHFUMAD3hdYPHyxcvLzx48++TLJ/e+ehSq + 5uj47E//+A9PZyPvFNrBc2JcLq9ePH345Mt7H/7qw0dPFzdd5cL48ODgg/ffu3VxPtsZuYoc54AV + uht0q8ev8PHXlx0P9yb83q3tSNcwgniAL5+/+vM//6ur68VwOPnFL/64aRtVOL8e2xWDFfvhDCzw + V0mrS2RKkGdfP/rm4ZOvvnn27h/94fzkxAyR4AHKEALU+sUrh5vrV0/+6jd3qTme7L93cDxjg6bF + qPHQTvtVcNXj+88+/+RhXbfbp1uHt/cTlp56ofJeugx5+u2L7x69+Obr7z779P6j75/eWLd3fHi8 + f3ywe3h+fL69O4kVYOp0BaT06vuvv3396y8eTfbPbp0cjttYCZjINDMzsUITrAdFper7m/zi2ZMv + P/vowb2Pv/r6gRLHejCdTt9//4PT07Pp1k5sBuRIe6schA2GXpHBXiAAUp+vX4ozLC/v3r3/TWr7 + 8fH5neNJRMxd4/Ly1fffPX3w8MGDz79+ev/hs+vOJvPds9vv/uEv/vBge+K0c44hrvTyPdAriBFQ + buMKugS6119/8dnT7umVP3r7jy6OuL9BRZ33wTlownLx8tvv7j///uvXK3f+9u/H4X6k1Shm4iXI + wYKZ7zQ/fPL4N598/Jf/z1/qUoP6uqG33z16653DizsfwG31imUPFyG8jiV06NfqAuO1ry4B2qF/ + ibt/+R/8h//RP3+aJr/zr//H/9l/ebI9efzFJ59++Bcvnj5Ad3379u3T937v9P2fuwo14LpccwYR + zJATiOB913WPn19+9PnXH3762csvP/Y3z9/am+7dfnf34p3J0fl4OideiwNYryK/YvgEBYSzPX34 + +PL7l5dXYbEaz3fef+8dQOH4OTmFWXrx/Zf3v3n5IqsO4tZ0fudAJm2PXEMiEBTV+q4yuL5e2L0v + 79/7/NdffXX3+YvvNHFTD8/Obp2dnR+fnMxn87qOQtQtrwISKD/58v7n3948vcbPfvEn+1sOq8x5 + 5USqGH8LgyJCVvhcrJ6K4YyCtE/h+8v88WeP7n384TcPvvKWxvvjP/k3/8HO6c4A4xf3X776+uq7 + xauL33+7PZgOoBFL5GWxdbq6Wn7zzbMv7395/4v7n9z7OkkznB++89atd28fnx9ujcdjZe4MudNI + faAeSMWYEc4tv3v59PGzh99fXlUj2T08PjveJTQ3iBmIgHt49/O/+OZbXSzbQbt/sDc/Oz0A0AEL + AwQjW5K9XHz50RfPum+Xcf/W7+7uTct2EQWekJaLfP199/r7l8++fnTNbuu8OXx7fyZVGRvmHKQ4 + QNE6VZh/ey70//vr71m3f+v1Q/aTgRKYYbn4yDAAFoSa+2vTHtbDekCdc1XVxGqwWPQwDNsq94vV + IqSuDo6QNS/7m0VHoNl4hNytbq57PwjOCTsYWETIl3BpNetWi5vXrxZXl8O2Dt7HEIWdpay5F04g + LyLDuplWg+exXsmNUl7l9OL19XjZz30IxFKmEoxsEM3GTCxIKsSB4H4yTv8x+5YAqGbN5nxJvmZf + 16AE46YZt80iOCHtHUtT1wTKpn1SThmEuq3NBGTX11e+DaEYmARwElvlVU9EMh4GL8k0+rCpDn2E + EZTrxjvXd/31zc3r5bIztZQYFp0LdQwxgIHgwQYhpzlZ4q5PodJSchMZcr66uvLejQY+Vl6Y1sFi + KffLdLPKq057WfVLcEDlnXAumpJYNXm1yBA1ySmn1ZIUxCASpGRETdtkYrXcdVkCe3hDIh/BHsbe + CxMW11c3qSPHIQTxHuTgKiTpu9Wwrclr7m+0rxEIEpABqX2wlJ5bXgQP78h5ER+ysZhXcgZl6p0T + oby2IkzAUi8vb+qqnoeRsMEyzJxzRqTYWNJtLrNMlhVGVHzAmYWDhwqzqpglxaKzm5WuVn1Uc0zC + ppqViJPlm16McyRqJEZUtRMmYclZu2WXuwyQqyJiqe5NsDIkqCA7pIxQAYPcr4xEpPcw0ZXLThje + CaiBQRNRJs8MTj2WnWkZOrqCUBQWplTQIRBCsKZWs8vV8tXNzevcq5ec1Ug4xtDWngurw3tks2V/ + efmKXaxj6xw7wSb/0wrjlgoc9RPDBAPEhQZIpG4+Hb94+jqtrlK/SKmHhC7Jqg99jyCgDOuMIsEC + SHtNmSU0zixpt0BambqU0mKx4rxCtzKRpq372i+utXFrAb/3MdZtiFXXd1evX02rOcFAcMH7EJ2v + YtWGEITR9egVAFxRVwmEtE/94vqmB3sPclQheWE0Awot+6qqGyB3XSchOIJ3gazS1VVOOpsMqSKy + TjWQdyQNUpfRhkiBxfLl61eD2cTWkQm+QQIyE5njzNY5zk6USZ1zIL9Y9kpCgmWHlsFM8A49ac6p + 61OfUkqrVb/o+k7RDCdVJWRWO3Il6Wyj8C1/lsx5MIMDVeJ8kJzy9WVe3lRVzLBOc81i2ZJmBsS5 + KC1MYRnUiYvigjgKnrr+9YtXw/kEwcFJZHOEpEogCEFcBb0pL5YPzgeAUghelYk5Z+1TSik7V9K2 + N/xs5mLO5JyY6Wq5vLq62tZc1VXd1N57IsSqIiRDNlWGUMFw2UEZ7MSHpm2ILaUOOWtWzdDeul69 + d8eHO9FjtUDbQNVS119dXZr40XhCpv3iaukaEjhXzFGYq2Y0CtW3l30Hgq2Wi1XkOgrEI2dkiyHU + wZElcUzMq667vrnp+r6e1atkVRVn06mIpL53P+JF0Js/f9R4bf6JCIxstlz2r1+bkHOOlISL+BfO + uxLRYwC/yVk3u1n2xipkMUaBak4isuq6vusAOHEi0vc9zAJQhmrI2Tk3jHXXDr4P8QWwWq1ySk7c + cDhwTjRjsVzWXthXRpJSRt8RED05djDNWc1MHHvvGTDtKWVkNbB3VHvpNDHMOecdCGLCLELiwVC4 + vltdX3ZJupCXzjEzuyrOm2HTNiKMnJE6U1TNEFJlRc4dtN+QyH771mHdvFIGk9Fi1Tkftre3CZYz + RCC02fe63GX2oa4qVuirlzeTYQxBLCtUi4k6Fz/31GdOWVXNupRdgo+hSS57tg4aAELXa0BAHBf7 + uyB9YARPWrmbRX9Nvg0IJc07mS+Kx9RBlwBVlR9V3EQxUwVnw3LV9ykTUdVUPgCMEFw27dfB8Zb6 + TourABGypa6/TMs6VqNAnsBm4qRuG0M2yyXxCxR8cIAjRi0SY3BMpFY5j2DQlE0TM7wvDmgElBww + 9KuUE1I/rGTYVDHWYG8cKDZZXL9cLV5/TxKiRa+F8UQILUrTTAyYExrWIVKi3Htxmi2nFEIQEdvw + 0FkkZROJw0FdNQO3ebyKXMR86PT6uh+Pxim7Z08eT6sDDp4lYNkj9SAgRO/Q1iF4EabgPQnqqnHi + iThb7hJcAgmij0IJlKDIOWvuGFnIYLpadgAPBmNAVv3NcrVkxz56lvJL/PDarhPU3qxCAsChagaD + XF8uxPmsenOzWK6WKesqq7Kv6noawqAWApDBDO+CrE1vTVXVlNmcC1D0q75LqdcslherRb0axLhh + V/Up8UqIZpPB8yd0c3N99fq6Sym6kPvsRJpBU9WVMHI2FhIQEYsPKGubI7scQnPTK2uatQAQgMrJ + D47lqQclRAuNDLvaeTFD6nt2rNluFnqzXEqIo9G4GOZ5FnFVf311eXntvWuk+FhmYtfUtTFnaJ+6 + 8vYRkyNZC0YzkAxI7CRIWl49T6sbATmJ3g98NXZhIMJsSBlJkYAqgFmI/fL6uk99jBI8CwEZjlDs + JVcdUurIqfMkLOI3MjISGGs25hy9NFWM3gfvncgy9atuuep7AxsHIqaS9bvBQ7z3vm2rWBsoq3Yp + LfsuFu2MGZwEITL13oe6rbqsqVczIzbxidAv4VwK0q/R5Y0zRkkBMIhuMAVkEEMYBogHKKJ3YC8+ + hlAt+j4QdrY2RKoezGCWzWeW/qp8CoRgTrKWMTiQFZ111rmqcugtr2LgEB1nH7z3zjsJaqKKXBgn + gujhOUBdt+ibul51fHN12ddCPgbvklEydH0WBjMDmrue8pJjtW7vxDXNcDCcZkhvpOzSm22aCaJA + R1ilbrG4fB3rnRDrFYFBlXhkGY+2NS+75XVcvsw0JhVzXoFutYyeHBFyUfmSc44tp26ZuqVjRBdB + mtWyKjMTtNgsFB82LDsYpKqFoGmxuLlqhgOJdTueKTmgH7QDCnXtkW66VAcSKAD28HVVZ0391fNv + Xz57sn1wMhgMjCQb+TV8BgKClxC8cy6GKkbt1dV1Hauopb5kspTYWxEswjpTFUHXL26WSGkSPUp3 + ZH3q+p6YhIWI+r6DNd7/NtP2X8q+ZWaoaZ+6pMZ+MBr3XXd99Sr4KvpYMDoQiCjECpYl1HU96IW1 + 7yzBeVAIxGD2jkh77fo+a5+077rlzc2Vq2COAdKk2TLIxk2zqG4WNzdXV1eL5cIiJ+2NrGmbuqlj + WG90WY1SenW1vFksp+NxWwXLSbMzERaxN7HeJeyQDKyB0VShqWJVVd57CTHECOIS41i3w6ymvTkG + E5BT3yfylQhUwcVXqhkgL5AsGRGTY+QeCPAhwJaxbqazra+/frjq+j6lnJFyTqnv+k5VnfdrijGR + ZhjwEzJiue99XiZT1RhYbIVctzU4h/IF7CGJl6seVA8GjakKpboKRGlNulQFkhMKwo4ImgmUNF9d + d8uuz0bifAaIUMX1T6efPHFas2PeFEEpadebYtXnlzfdd6+ujraG29PmWeOunSxW3Cl32VKyAhME + YeR+zUTlsIk+TITEnJ0oCMtV//q6a1+vpp1ZErLNFrZuKghgB2dg1eQ4kHG36kCq6FedbwLIOVBG + 6ntyvh3i6tp6MJP1SZADpCAnxMg9yZIAQ+yj5GGt0TOxF9em1C+7tFgsV906GEAKc8N5ZEW/UpIY + 4picI2ODDyJoePOemGrKWcQxUS57bokqMwAZfeqQ+5yrGEj7xfXr1WrBjoWdKfedrbQzsnZcu2mM + MZBaZlNlRnktLYi2USrPnskLk/MiIRtX7bAZT41hBjFEzwKGMeCQFKoAdzkvuiVIvSNhSgvkAF8s + eww3N6sQ66rKNwsjzqZdv7p2jtnVsTjaZ0EP9sNQLfPVsl8tcpqGCiJrnVYITnO9uuIMZnHj0ahq + ZPPxG1lPYZWBFMQ/lhf/3bj+Hrr9W68fSIuEYqgBBoo9SzFn5BDjZDZ9+523J3tnlysZjrYOt/cm + wyERzSZjVwuxDmMAUFQz4mM9GO24No63q8G4qQLMmIv/jXoXyIojM1VVdXZy7OvhzdVFHcPB/m5d + t8KOYKWxBBllmg3Hbx+dzeLw6uImZyNHw0k73Z6Mx9PKOTaYggUqLIrp9tagigvLw8HAEckbCdRv + c6+LiItYQGRqWU1FGBnaK0nc3tp5/92wt3/EUSbz8aAdqiJnOPJNyzt7xBJ4QN77wreBFldPR64e + jnf2vRtvxeG0FQERKSgrpBjRMFcVdndborPtrWG3WsLgnMznW7s7BzHID0pAAoCcGVo5R0RshtQv + vRgx+yC7O9vjcUUBTQVFMhjYhXo4244YhgVN2gbBQYiQ8zoVSVys2tFkTr7u3JCH4+gK75jUuGkH + J2fH2ci3sTD2Fdb3KTCDGX0eDQe3Ls4p8EpTGA0OTo7qQZNBQh7VcMTNUaNXPo6ZopeNlMhDEGve + 3dn+IFQnu/OGeWs43J/PpR0gpflOxeZGEs2bd5TRBxEow7ejkWDkl7Gt2yr6zJJ+VBb/CDFQ6ywJ + qYGYHbMYkHNixmwyiXfePhm1y2XyPm4fnjbDkdDatd4YCQgi4mpkFrYMbUfx+ORA0u/nRZ8tQ2g8 + n25v77sKK6BTMGeHxFnXsTPioQlopK729jho7jAYTergIIATADWgMWBrso0Lb+yrekghF7xQ1oMS + RibkAAsAnJPxyJ2fzQeDdy9u7VoWr+OB2zo6OJtOp0GQFUWHBWbysWpGB8enNzLm8TD4DQ5VTDbI + frL6S5m4rjBdyoqUXRX3dscd3do7nSzytXE9muxv756KeBbAOs2ZesfOmRM1NTIndH5+Mqnrrs8U + qvFsu27q4IRD3vJj6vzSjevIYV1QpK5frroVO+edj94P27Y6OhoFujg+ExqMhruzra268lzu2I9L + YDJH2WCd8Yqc85iOmt996+xgZ0YhNqPJ9nwY64qQMhWYo9iPepZ2OMD5aa1uOKjgXNnfIuDqdm9v + P133zJVvahVRRa8dHDcwp2nFnmbT5u07J3vTweL19SKrG0/8eFoNJm3TxgBXRiGl4JAQhrNbd97z + 8/5i4RBGdTWcjLZGIyGgX3UhMkg2YvI3LzetHehL8g/LbDb7g5//7J+9+vPl68vvnn0zHzoMawCO + 2chlMyImAorNIcV2ON8C3FjMz6Spm+pNc8tEpNn6Tp2HFKNvCkAEpGrc9o6wo+l8bEbTyaRp2+Gw + ZZai+vfel826QP/B+/29PSY6PDqIsZrNt+ZbW957NUvJileAgdSMzdYzCLXUJ/IUqubg8OAP/oBu + zk85paOd7e3dOXGom9GWi/WklhrRl9Uv4kJVtds7h80UCK2vY7W2brScMpsSuaoOB/t7i0TRS9s0 + RKxJGQBJsZudjQfvvnV7b2e+XC2F6HDvYDAYOO+4rnmkKzaMW27qN0lZP6iS/ubx8MMRyeRCaAZb + eweYTto0d03bVrAMEBPzmrdr2ORDGEvVwREJs0zHw7OTk+lk2iX1XuZbs+l05EQUTEYMBQPIMJBR + JfVsNKWL893Z5OZmNRxNt7Z2Y6yEoQZyDkxSNbOtHa+VtS0DjuFBTDAiIwYVe2kQEYjh42y+ZeOq + zc0qW2WLGp1nyySZZTwcuCBgG0+2jwLilus5BrsJdl0FIDQqta8a5xlkSP3r11cPHj1VDrPZvG2b + GFjeQGi/fcIWnxZSEDk3ns1jGKfopar0hy8AwJAQ2/EWj3znVjIMnp0QF5M8JRBXVX14eMgR+/sH + npvhYL67tz0YVq7Ks9m0GlbK4zbAFR09O6ACO6jC5XYgh74ZxdgNh9T4MlkAgQHJJCWrJHj0K5DV + jZwdbYX4ztbePDM7V4XY7GzvjadTcdJnMOACCExWKn0wsWblUju5wITK2/Hh0Y1RZAle1ogokxET + FJlgDHaq2q+jREa3zs5Gbbvquj71qV9tzcd7O/NmMhZ2yDkweRLAKTlhayMf7GyrVaPZsXGYToez + 3W2pYs18dDjx4kdNvR7dQeFKG5MsdcbmxR/s7tLPfuf48E5vla+ns/FwNp1551NKPZOyC843g2Gr + +2LDNBxn9yYGvYRAM0I9GDaHddVqY9pH7wkowbYbEAJVcLvb09xfbM1Gfe56yy74+Xx3OtwetSPx + 60qTyK/PKc3MPGzrt26dHUyGy9d3LKf948Oqbpi4aQd7B/umoGEjP63o6ScvsW3WIZGvRmN3QAEc + j47PwWG2tbu1s+1iTcQzqioV35AzFHPTgr5ZyRPKBgKDoQRjFtnd2x8P1fk4GA3Fsa3H3Q5MTOQZ + rgp7u9vo39ne3s1ELlbNoJlO2tF4yEIEc0xkRmRUSkEjmEMcjOfDc5kvqKbRsCYUI6a1HNiY1YED + eAnrQTlW/uDg4OfZHZ0c+8orNDra2ZrM5lshxgzWEuNAxD7UtR0dHSpcFcV7ZwYiohLWW2ICyAhE + ZSGbA3OZ+4nDdOTfvX18OBt1NysiqQej0XTWjucuCFPn2YzCetplADnnw2w2RWx9bMZVbWljAElg + ARurKUBExMTQDCicQCKRK7SLILKztfXBe+8f78y761ezYT3fns+2tkM1KAdMWYTlBooI+bA137ow + VPv7Mp6I84Qy6VFobqt4dHjYTPeOOixXneW+iWG2uz8YjcFgX0K90jo4YsOv3QjX10uSCcxGRD0A + IBVWLhxi287bczd9jSYNdoKUXazw7/hNcWqb6c56I4QSORCte1IyMJiyOJ4Mq5Oj3UH8/dXN6z75 + GKeT6cF8aztEX4aH7s3snQBxsXKHhwdj9U0VYnAEJRImKibQtE7sM2YmE+QMESJYyg8fP/347ucZ + frq1s3Nw4CMMQAIsgxKoC4Gayrex6knMsFITEBzHerS3ezSghTbkKvYCYmeKlFXEUeER+ApQsIBp + Nh7euTgfNHXK2QmEbTRqpvP5aDx0win13pVoQQE7gCDh5OSYQrV3dFwNZ6Od/dn2XlUxkuzt7ZLz + IdaVp2Jo3hsE7Fysajs+OmKS4+OTdro7PzhtmsE6WoQdSAk5iAwHw/2DI+/qs9dYdjHW08FoNJ5N + 29HIOQiJWFrPgFwcDHhr27dUTSt1zgFpXa0xN217fn7ed+pDjDGYWVaSH6U8b4rrnw5/17sUscho + MvGuGWuqJkPvnXNchraaoYa1ZbCFajA8Oj3vaSTVJG6SAMyymsHAxNPZjG8F730Ye+cZXCL1WJlY + lQkuyNZ0dnF2Nh7OX6cbqzkO6/FgOh/PQvQpQ/vkxBw7Eq3a4ZyrgYlvhm0VvXNEVCKTTI3MqIDs + JEQUPWHQHB0etJH3Dw7YBeejC/Vse2803WIHKKHY0CED6oSVsBYkwYhKfe9RNzv7BzUN+mZrY1lm + fd8LcTMcH56c+8H89HbXG8V6OJltT0cDKdWtFgeK8kzwxrUA5b8kcGEw3doPGKc4GFW+cMO1QA4w + dOQw29ppB6NMUlXROxV2uTeBgzCQiZQU40F7+/w8UqAsyAKsdg9GWztDsJhmmIj88Iw3Ke8/RXHL + ilC1pDFWofaITU8Sgptsjf27F8f7u4tOJ1t7053dOlIoFQUTTGBlKMmgDFLnaDioj492Yx27411c + X81iHMx2JruHTdN6wqb2VGbO4GwZWVmZlEaDqUPla1MeV0PvPJhsvdeJd814stP6utfecRViCKIk + G0gaACRAfDmAnO925nV367Qdz/pkOWUmmozH89lsPBp552AoZG2Qh+loMs+Vtdm1lS+lFv/ktAZU + iZV441eczbqOmOAE7NlIWMT5k6N9Zz+/vjiNntvpYGu2Xfs6aJSJU29L66sYmInBCsdYJ7QHz7Nx + m48Ph1V7cHy7d0NutibTcTscdVqKQPOwdd7dmijtjInYxcFge3+37a2PI223YoDfNAyqAIXpdC9G + mU4lxvGgDeJArEzqwVq8PMz7wWwuQJMHk2H0EIEaskHKjhJCOxwJHQStw3SCuNk61l2UbSI9f2CV + /J0Cb/8euv3bro0Zz8b2r7BC7EfQLRNiHFZb725tXeS41IrYRSACIFTSjNAAEGROPYjADixNaOqJ + 3yYqU+yc9E3SJDtXtGgA6rq9c2tycQso7UUGa0dsAFgkgzLMC40Gg1HVnh+dG3NmNoaygSBgMlBG + NsBDgcw03N7CbA4ChPrfZtkCAP1oKspcnKHUTM0UkJSt7y0Gv7U1mG4dsmejtWCKUk8Qcr4euMq3 + FBwiOkoLW+aUmESZRBjcRu+3pzUoAFBGhharLDYmc3Amgt29wc7uWMjDxAwpgQnksOg62AIolTGZ + kapjCj4AhKRdnxbMzgnHtt6uBRnK6Bg9lgojiWjDuIkDi0sCMwLWAW5r7g6xxHrg/GDLGddLUGnx + AAZjMKwGs3H5tXsgQzWrpux94afkMBqczoanb11A0DM6oAMScoCgGs8G7IEFpIJVZesxAA7MEHd4 + UE+Pjx3Ig0NxsUiKbM3INQ4LRqdIulBNwgHCqGITx5Xw0hf7GOeslAGlOfsBk1SzZLkY3xIxk5hZ + ygnAfCxno7fx9m30dtVpaILzhV/RZ5gBCeZZyJduHoauCWF0dHC6e+iTmBA5NlgyNsGyR5dz4ByQ + xYACu3BA10Ma1H5/OJ0BCU0G5wIjEsARlsm78bQZT7eUkNkS5QwAjlEyyhmJkP1aiCg0ClKd7Nw6 + 2QPEEMgG1Hkna4xXNZvZmsBS1bFyu9N5x+0NoG8WuAHreOcf3vcNaFX6CdflnlJ20Sa7k8lujZqU + VdFmUDK4dW7hghlJodmzwMgZZYPduXPL3TqHjyCvQM69lwSu5nC0pBvUgwrRgF6R0qpbLlYrEDnv + qioO2nY4HMb9PQL1nfQrFytHDgp4XjsfWeHEsIklkKnziYP3mIUwe++2cq1tdZ3h1LxPpKl4FOb1 + /7iHHw6DDCaS147vPYhhHszVYLA7wjKZOQrOGClr6ns476DcZ4nRZuNmNr5AOocSXNWzuwQur62u + EQnRlXbMoAYXMNk+muwfybCnpgf6hJwRA1KH1HXmqvLq/Wi75ZI7XJBBBRS0s7P7b/zDP/3q1/e+ + ffn8k48/+mBndDCaA4X1w6q6foRKUAZHN5zNh+3EIlybN5ucvaEOZc0pOwatT38PVAB87beadr49 + AzxIqHg2oGQWAwRHa9kwzAiIMR4MB/v7e2rGIgCyoetSzllVRRhivO7hNytNbdWZMIdY79XznZ19 + ZyZ9JmaQwrp2ECNVQ2AtPypjBKmcd81oODPplEhcmUJrzjmpmjlm58P+3raWVC4gZ/T9ypGJCNiZ + 2WTYjN5/J9vG7F9VQCBw9LUNZoFt3GT/21XBv7Qk2rxEBmLECnEyHM8am0+T9IaItWZ4LYwspUZa + gAEJLrQrVdME0GwyGo8mxp5FmGHr72DhckAKbAXJMEeKimI1lK3RUEjBHuasPNESGRIjdIWq2d4d + 1Bo6V5dZK1MBmNlI3lCHiQkiiHVTjxo3nGP9a1dkQFJIAqsm0R7IPNs52G52mXuCMzh0jssUGwAA + IABJREFUQJcpJvhFUp87EOly+f33zz+5+7mrBqfnF7PZtKmE/78LSttEBzPJdGcXE4YnkC0IsJK/ + YCCGryFu2LqW6iWcKrwYw4gZTBCQ8/uHh/vH24AAobw0RpqxnM+rCRoDy0ZhIxJgAmTA4HM9CPV2 + O6vkNVD8DZDXOHso2jRmeG/sKBOcbB/Otw+272RCrIXWzRkZ0hIpKZmKd0QspTYHsYhlBZXPiRxC + SxyHsw5kOQUjK9UFUGhmUEJiOE6aV9x78uPxYD4c3L51VvrSru84lPxQs5QsqXMCEUBJIokCGMdm + PDu+eLvJa7WGCVuMMmx3mQAN0IRc4C4PZqitkhFr9H66vTPd2n6PaqBeZSYgCDR3C819wXtY3GiC + qtqR8TXV1+5NP6rrEjE2ddUcSDUmqNaBNnwZF8GMrCB4z/P5ZDSszC58FXrVbOYk0ptNosD2JBvr + bxXnxjGMRiOP22xYXl3Bi/cRgB/5pm2hMIcl/eiAW7+nP/5bgRwIEnxbb7eT7YNjQMzEaB2e40Kc + N9Qkw2a2ugnVKcsSZa7GxCn3BHIu7B0fQ384VFXLjxU4FhIGSPhgb3d3vuu8FHOhPiPlrHlFZAR1 + 5NRy+YikICUmj1iFODieemMsGdcGoxKq02cozHsDMyAVqIf23ld7Bwfbe8dqxo6IQMimPUOx5rCv + jxTn42gcx9NRUdykXg1ZrQAxJWav3E5CJmQBCSSYd8QAuslApu/dBiLUwdAZuvKEuQcWwgiI2ECc + IHGx2q6H0x0ygBOo28yHBewh5syUCl5KQCooikCYxEEZql5kZ3u2tTNzeFu0pyIBZAaoHOjFKZ7F + 1r7i4trZ1tvzrTQeL4gDoLpEyiBDzlxXZ6cnx3HSeY8yxzKsFEnQA8FBwMh54+ho64KoiGE2MAwX + 3Y9pApdHkjMEHqFF3e5tN3vcvoY8T5svFmyiwC0bYOVR0g8zXgJY1v2HeJBz1ENsOqrH7dFbF6dQ + TTmoVkTCghKWxwRZDygUphDHPh62k1XBc9VIO5gSy2YgXE4tYnGgjK4DORLqkz54+OQ3H3+WwMPJ + fLK1LUUtmDNyB3SQTtE5xiBWHVXiQmLqAANC5bC1XbkecdlTKiF+qyXMrKojlw4pNNAMCMxm42Y6 + Pn/nrfP1yfWjGKJeVbtOVYp5OiRAFM7vnJzsnJz8HvyCpGM4ggfEXAyeAGEi5xOwAvoEJ3DsqeLD + k5PDk2OQz+QWQEqb7YUd0BvUgUbD4bCKp8cX2dqUa/aAFAUUHOCMSWmN3bhqOIi7ka2RQSGi6+Z8 + Y2nawdnFuEDomjSraTYu1NTN1kAbTsSbCmJdfhPBudF0azRhOGSyhIQCM9s6i4gMTAQWrgd7p1NY + m40UGyzekNWQTVwYb9fjrRkMcMi86tEpOMMTO6EEyxCaTKaDd6bio0ZkhyXUEnKnjjglTWnF0ZF3 + xLGdzOsJKTljYcDMyLTAxGX8sDanBpPBC/umag8Pjg52CvjO4sjXidYvUuEVsgKaYUrOM5BL0WMo + k1OIQzvcqkYTGSwdacnbMev6Pgj7enB8cfvolhdfgWRdXxlY1++oqimMRdyPEFMzA4jYgVDPdw5n + sVMPoeIutoE4TLFiR9u7B6nTZd+RF3YALCUjCBd02DqojgbtaDh66/ytdQvFAPfAjYLUtNhMvmGY + /OgE+hvVkCrMgo++Io0tQl3V2AntTnvLqOm4zvITAgGVF2ZzqwBAMwuNhk0YNqcnJzVYSho8eDOD + wppBT5nAGWwpW6fenJfQDqdtuzXZcglkDkGAlKBa9nzXtKNKxnNBRp/NGGpUECBDNngWhifkALqB + Ww2m8a3h+dFF64PnN6bzBhiYimIlixSsn4bzGFWWKuTXUbq2OaLX37hp49+8Rcuur4InEohIFgOz + yOnxztnRjqbMDBLKlBUmcOw5VVm6ZRV92WoNTglcbomn4NrDenB4fAZueycrQjL0Kyz7LMyuhGZq + Xp/rxmBn5IgojoZxUIN8orYDMuAzrHwhw4W2qcJ42gAR5kCpgCUEJWPSMvmNPJjNhnGyE0ycCtYP + TWHr4Hrxg6EfNkM/XKFa/ng5reFk3dzav1ugbbn+Hrr9267NMQ8i2TTRQgQpmutS4IEAB2JxMcAR + QB1yn8Q5M+TCmAKLFJ9FK7pYCLngARBBHBPB1FJOXhjEcAFrbfuGIr6eyQigUEqmmaBCgQQkay6B + EDOUQKDSLft1lV0ctbVfrQYSYEBOYCfY0Mzph/0CP0JvNWe1zELCJOIAEheYHItneNnwqMrE2zvv + SkaGEFUMgmXtcifRO+9LWHbOWbSUwgoPZPTaJ+rNkYjrOy3kZFgCOpgHOTVoxpsJXgzeyAiaVQni + 2HnPbyARYVRVECaQlbLTUnk4mxKhYBpKYDCjiEpAgPPrShCAkSnIWFWzshIJ4L3/MahtBiscRnG+ + aggEZXiGEUytT+bYggMhw5JqNhKIGTE0kIV1sNrmUDPA1DkfwQySdf+TOSuM1itAQdZHJ4Alza43 + JAfHptAE9lDTMrY1wDY1crlY2JFnMjDnbDkrEwUvrvgrKaACQV3BpHx7VvSFIZeQXc8BHgZkXeZF + rsyDnXMFdwVAxFKCIz2kCNBRBVdU4QYFYr2OKILSWlgq9Gb3UbM+kWUQEBxLGZCWAzIV8A8YwDnk + 4i9RKpFSURYIPAtBPEiRFQp4J6JmqkRlPOuwedQF1dr0VeVX+ptXSVYgx568lpm9miIDXOyg17p8 + EyVhEu8tlh/giRhEmlxpKdIKjhTcdSuOIuR65RDrEpGpGZJXoFxVPtZh2S2Xy2W37LpVn+tgcAQw + O/Gu1CpqShsZUi4xfSJ9v+r6RM5JCMKwLhET+6AAC9YBfaUGwDrTVQqh2WCWSz4Cr3k7DCHLyArv + SSmpdsTqWFxdFa1TlAApbYJBBLTuPAIwrMkzpNTvAFjg68IEALvSvqmCShNe9k5fGW/IZWt0FGsl + PhX0lozEQJPJ5Pd+93f+1+nwky8++t//yT/ZfevsZ4dnfa+cyIS8X8uyi991wX7BwiK2Xohr3LY0 + yc55x349sVVLKRmxuPJwjRhZFSplP6bSaWfVnFXViZAIiEQK+Z5KW4jNXi3OORQpIXJOfUrBudK2 + wQAXGjdWB4NTWEZmNecESsi2XCVfR7j1Qn1T+cGQ1MgxkwQhbP7VMcOH9ZBGy3jmDc8CIKay0wPd + amVEIdZElFFCCIUMucuclAiD4aALfANkrAObNyPFH007flKGb2hiymBfeBDeg4FgcAb86Bcx9ERd + QclJQYlVKZM6IRGnWJtXmWW1HuYdu5xLpN7KuRW5AasPBpADGagH2FRypqQQV4S2pimxgkOsqCp0 + /dwnSkvnXS6BwEKqZqYiRm+MxNY83LJuzdSUFSDVbIrS8MD4/2Xv/Z5u247qsDG651z7+869V7oI + GUkYkBFISPwwFMaBBHCVnVTylH/J+V9Slec8JFV5zUsqVB5ScVKFscGA7AKSYMAYxD3fXrN75KF7 + rr2PZMhrimjXueee78dee635o2f36NGjmVBg6Uy9H1NyCRjDJgew/vSP//j3f//b3/43f/Dr/+S/ + +E9+9de/9EN/Z07ksy3+wDevfz06t/UCco5qxkyXFCudxNLb25u/3sYEHIiMiGGjF3lCa6XdbUxw + SlwCXAQHveb+IicQqFpsUBjtnVQGa8C8j7aWqIOgVNDo79wNqrJQv425WKUbMIMT4wCnGY0GVvtE + SQpF+nCASp2rCl/cDpsgzAbaKJ1xl2nYgB8AYOYVKXLz/LJLL47bka7oCqYBJVZCSHfzF0RgnUjC + /RgIQwhLlaoIs0KjgEz4bF1/EOYvtxsY1bUIKcje1goewwSn+TiooFYNYd2nH4fjvsGgREjJAJIw + ZoCOo3IxuGAKZgo6zQLD53DQAY6Kkuo6RC7ESmhNx0BSgB9mPsiVWcTKl9fXNGZWThkA9P58P8F3 + sw9lCG0MnpKT/RmFk7O7RbGcB4K1E+iO10H1kANChgCRdC9uEAiNceyMLrFRUZTCCa2lGpTQgtkx + h6p1PJHAdLh7yQxZuWspEG7m44AMCMiQXlGyEe8MdyRxF1YqTRN2uVET4wYdxTWDGGuZ06gVSaYh + aERRhmFG67eqUCODKKYyzjjPdbfhNt04jBNFoXVPA6D19hny/e320XVcVThAgkmsgJQ84dPqpNl4 + Zam6OmGzvdFz4YRomuMgUkpKGKOKYk/kSBkEt0GmIQkX2LdOyCIRKq8DO5MCAFgLNo7Xl2AlPvH2 + /j7jtNfbJpS9+BhIvN11m5yG4XiwmIBd7fzgyWIbKdvQLbSQQbuRmBPu7xAn5MBACohh/snEauVl + gERqRUpVINOFAHtRWlXO1WFHVRlQDFqz492mtdktY1mp4QRgSW6PunJBtTRJUIpIeMDezjRiuN3s + 6pVUfZN8Hi8/8OkP/uAXv/yb/+oP/ugP/uDbv/0vf+gLv2AfAbM1CJDz93/3D//l7/zuH/3J//XN + X/ipn/yJH//BW+MHn93xmiruonGlFv1mE6UPv5RMDHdlrhXgaXaYww0rEZlnBN3G8DrNebuxRwEY + Wy9ACcCGWUKBtwQcZhzzQC5T+dXuD+e/+5VAgikCIYyBhvqEWOuO+zx8cGAaMCycCRJn4v3CPOBC + nOcoswfCzIa/m/ZZqTkrvajjMEVEpFdNCw2Uu5l/mDZ6PgMfXz39cSvzvM7zrs+UPOa7yTFnYzUR + IS1j2DyUGctXYMxS1DXzQXfAuthLQK6wYiaMBTeYQYwABbPxMisMrJCCww43Fwi7He+kda5lgJvR + qui+trBAs15tTglURtS9ubkPK/W8PQVt2JewTrwMEDjPN8Z9MuGjdsAAKGZI63QH3DGcZDUxk8FL + lMyGOM86TUphg3USp2BwiF51Enwa+5QkiYbA+fZ2O5zDDrMoTemAoendBmC4QJu3lznLNzHwOG46 + sd7OMbP8j6rlpU1FdaoDUJokd7Nxibc8/fkeFLcmyYf58RffefuzP7/bd/5yTD+KTuV12jwykecK + ZM4it+1DN3O9rb86XrwQir202pVEMhOLmdNsgPBTb8bjNm24rLweGGhjEkTUXDmRDhHyt7TzXC/T + x8DYrbESthALQXg/egJxAifmsDFvmKUtUfSa6gKHPiMTICJzLZvHLGxkW9lKrrnBCJqN2Q4psiTd + bby+yJ3mKBcy877e2zyO6dZ9vOAY1bMvJNzs9eUj2yhSJTkyYLaDBHdooiqsBAZuB9x81EZSIKIj + aBKWNAk6z7d1fz8GbMTh3l0niTMBwsfRX2coBSZNMDKJVqY02AEaMA0egSJpuD08TwibgtvV7r2Q + DCZ2UInelvoPWJq/5a/vQ7d/7Yt7oYOABvgKeGLqkVFaYECQfNGSXrZvMA3vgWEYUmG8zIRlgcCj + +I8ZnTAkS4dV7c0AaoCGlJSZoLuRKOlaYJDihpBw+UDXgVV5vMhh1k8BoUhh3apTqAQSWJWsV4z+ + QYherrwSbKvOJjN48eihB/SlRDE7QcEJSplKDFRiL6z2oA5w7jxUWDkLcMFppYNTp0U7IFtvlag8 + JRY9jCbusM6gRCyAixbu1ne/x6bLMVF4lBsG1A6iseUXC74Ryqt3GiAT4GQ5ukV/qVCWzn15EGa0 + jLAIcCATGblO2rHzZIR5il48J+uSsAekkV1FzOaobYNk1jVgBqByAiQUQGZmmsnL26lZtf3Mj1vb + 80iillOkViRhRlYEJkHvQQADPhBEpM54b0NGF+iohECvB7dJhNXKeFS495rxx2fOgQER1sRUiIiA + s4pCaqF7Lb4eXgJC5incR3EpcodXE3G0auSV5sdwHOqWIGnK2kdCEzEARex6Vv9AypbYGEo33/jA + 7ldQUDc56Rg9EUwHW/BtgCakQSxHbcCa9gHF4LIqYlSuDMeguU8XPeHJ4Zcj07V44UM+EbkykzDn + NMxyumDmo8YvQ29jTmhk7EPNTGT51RGRAbL5OGtBAwCW5Hs3lwXJ7OpFa1xVQNkaWVVdVmijiDg7 + qN+Y+oYKliLZ/nCbkhe2IAMToXAaxiuaWmhAWq4jgqiil0Ef8LkIaw4O9DBnbPCQqAjy9fXdj/zI + D3/rp7/+W//uD3/zn/2zP/z2v/7Ot37u09s7eoHlKCpD1xbRMmfCiEydpAjPBOgg1oKXR1Abjmk2 + 0yYMYgJRRQZPlrXuzMx02cXrhIh173Jbs7I5V6pphSTQJ0uEMgOZcHLc2IS6IJJWjh4BjnEjD11J + uy4nLWs2CgJo3q8UEUaSDmOGItPcTQBKMwQ2Rm0+Is2NEhTkELDO5WM4QS8DWTBwb7Irhn/aGvpg + nzxOHatYPYGwdDqvrE5V6EKwhC3MDqpceBESc633mOnGzWQI8KQt4uiPsCEi/W65WCQwAzzBN4Dk + QcKrMFCZKK4EQIdUfWdpcOsV3BXF9eotWCFWgH55s2AHPmbe36vI3KwxGvT74xqL9+9/+1/8i9/+ + 7d+Zx+vXvvHNb/70z7y+u9U4fDCAj7i1BRO2tQfQT1eLWapkHECHHT6y1LmdeNA+1O8i6X1WZ8rV + aiNl+7OzGU/ZkR0q19MHMg4q6KbhF53FgLWWoHkD5o4VANIaJt8la9i6JsSKdMKIWKusJskkJMJn + 7R2X1dvZXCUSTgrwvQsMTGOWXHYZyr4tY0onctIBq7NNgZUax2EUeJTwSz8yAUtiCavlaMuY1q7I + QC3OdukmsiT12tXyKztJWossyGmQYaXgOyq9aIPVg8XWUiaPA2QDmARhhQ3sLV1eTfXZ4NPiMLiT + ac4nWyxFnkYjHRJ8WNXcJixhWQSvZqB/9za91l45TJX8qNGrvb5Te1eagVJhbD6qeFt717S/GpFS + kiqTeFWv1CfsnSVQVGi9kVYBZ3dUH3BCndol2rgKgZ0LrJSCFQbitVoVQpIJlmBYR3AKmg3YUMsc + FOGqHDqv7UzAkElEFql/e3S9dFmA4DBqFBtNkTJMyCCdjGUaKANT1T1dWFDcz3oGYAKwaimAnaBp + uqEyUtn0CqDe2Fs3lAxV6gPGLKaYdEDIAE2yrJBegWrqRtsuOlgBPs7+kU24wyewVYtK7wrsINwn + QCemsySDqsOVUGeSBuurXfFe/hrtcvMMqq41tt3ttGF4RdTcOYDBJryMK7CpDACNjf8ninFAB5ze + /sg+9pnnMhc68CH4YPNd++U6s1ojuHgRV61khVAGGjqMqkV8JlZpySHvC5lf/erXfvlXfu1//63f + +93f+q3/9r/5r//X3/iNH/n09sUjj/irePv3n/3Fv/1Xv//t/+P3/vTv/PgP//J/9iv/4B/8/OcA + AUPIA6s5yOar+dRyEFgSRSMFTyM9DUaFgnRrF67qw4CUyOLa74czR0RXHTpR+j9C7tw0WMCiFIvO + CXOTKxXq2pvO2IsduLR3pCw/nABRLieNVC+o9hngtM2Cq63C/UVhML7JP9aaNxWoxaIZNfjg3D6s + Er/Lk1BCIViEAJqBxiHCemWSvc3ZMiz5UD1qYiUyqzZU42o2T4Da9XOe7U1OYGGdQG5+AU6dIhzm + vM5rRnEX+xAwbgtXh796sthyf0QgWGmpXnbsDFnNq1Pb1yielbkVilZLk3WSmMFH0wxAZeVf4QKR + c3jaXJg5ppVzkDULcCjNkm1adowj5ILC4GkjSbhzTjkJxTqNk/UWgkDEgqehxMdYNR+ptSKmuQza + vzpke2Ka6qsOPUvrmHth7Xn4nuzh40iyyfEim8IdWnPW6q+DTwOt5IBWXCEARJZWDwxG8zHV53AD + NjtlCQDGnKazrbstDXAYaBYoCKaylo7HeQqVORKnaBh+Qik4aVktdh47p7NYsw6GATjoDpytTls8 + aABZUPzlUIgOehHaRKv6FH6Xz82ykNu+UzzmIifIJciHMRG0FFxESkw4jeFCLEM6BgDJE+VN5Dbe + 3TU4y3sDNxrYVjNDyOrrC3T7JdCKGgufHKRPU9rplxVRJW19JoJi+dpPOwJxl1uVDHhXeEnGUsvq + eCclr300Rmt5bCkJbl+hgJD2PtmL6UO352/56/vQ7f/LqyySMBOubVMBAAG8lXO4MO5hsmoZBLPA + OGFhqKzONtFK2DCfHJXMWDTCHERmUjnGgCRphbyaNCkYCzD4sYECo02jWAs/L/ZhL/oEU4hERh61 + I4VUoGQFVLS3cn7wOBMvc//0MjPAMtfTYLS52RGnlAqk+chELJiq1B9AknQblIMZeacZ7Fb3CQIM + cA1jwAtIoVvjd8CF3KpS6J1hQsRyrTHHoAuW2uTMgLCGL/fRn8DNV7X2i3b+aGTpraBVfhAdWkXl + bm1gDq2ktZTkFX2ntOI+vGRBm08jMEIzkmMnMaVNhyrvySovlCoGsKxr3QEiMs2NZlTZXggFCDqO + Uj0WiEEYGFB0dLZdasd0FMq8H/hDLH8v4nKJzcfHH3/y7vXFmQYosN5Q1RSYhQ/G2/n2Mg+HCeaw + MbwlrYy3eVs4eXlf4t4iHcY7ESfcBnwAHVO2/CiANNuZgvZk+n8DCqxAxAne6dMLt15E9Tp7LMAd + ig5noQfRqQ/2Mb9rT5RSpPwpjPoAbG5qwxPr9vHjrKQjG2qGBonZqlSZ2DqQaTgx1BJiYEKxnCeO + AvszmWZhtOOYCUYF/uW2BIjqVLTGwdvr/OiTd6+vr3Mcx3hxQDBlgGaOlUidqRMy5ciojzQQr+/e + ffK5z82PPwYUUUljQoqTWaXL7YqRnQNAhDrvKrvmJpUrYpQaUgXAWonY6wrbG4FByFhrTTtABpBL + EIdjGEwrcyUtzGijADcHZprFG3VvrqHGtM8tYukB3aKvX0vYtioNCeIgPvV/+B/94u/95b/9737j + f/rzP/6Tv/x3//6LP/yRXxmPhEJS0ghYwBIwWcQafje+LJEYJKITGDUHJzjMD5b0NFZipeS7CwWv + hQHQnM1P3liScp0naDbGd4nlJ3Cu5W6zFCgViBOZ4AQ8gUQETq94VIEkbI7b2BFpJk5oAI5EAnYw + 2zst1EG5zu5TRQYYqfL8U4gImrlz2wufc0Irc8GZybXOw5yDHBvi29ugKgD7wa+ihst0PzbRxVod + IZ4KIdg07/bMU0jd3YIIDEEnVgI4gDR8toSZRWvOwMplvoaH0Soq4QBoibV0jgDPwgQCdgcmyGIo + iEgqIsZwwCVGZMrhmGaYXjFuzY6V6iLUoT5KoCusaBXSRqgwbMCEpcL4KMyBMopACCj6Z2bg/ft/ + 8+1v/8mf/OlPfuNbP/Wtn/vRH/vqsGczXcPR3uk2mU+wfC21DBRVz6BISCwkwn0Mu5+RscYYZd+3 + WCQkcDhGwakR6RyXkUtgWUwnu+LZ9mZBk4ci74Ru0Fon8DJKkU2gsEm/LwEsnCPPFvlhW97GJasa + lACwYrGqZCOMhsOzonyzZsNXui6k6qZCCHSbbY4TFkThahKZm0NOREkejohY0BjeZyodqZUyHcZL + CRVYYAXCTc09E6cBBlnJ8ERqLbKWUwKVixs1bXX5HURfO1od+QSQWYRHDGzmR/HeBmRxnvKDB7oE + KKugpjbWglYfMR2870Nhr083mgZUIIpARZxr3W+3G6BuecReuSs0Iu3weeDtsk3NKP8QMKl/+Hza + uZXX5cVUq2fKeNP5JoH+jnTbIGFfIxFLqSTTRxsLPSUqVJTHTm1EnKf7pDsqexUldFA30Uid0UyJ + 6gLsDtqSKk/FfX4PpWybJD4kedaCDTdYjYoR7lbugPsmRlVvJljn5Wrj5IYWyqMxjuGOojZm7g2T + yRNx+t3ox9gkgyJz+/XINX8HnF43fRnJrnuNzDOXO45eNu3+A0CGsnpsKyEELbATqxEhWzZUOsII + IMoy0uHZ6ripFTg5AxgYsx+3vBDg9ThwAmmdHZKj2CXzsT6qI9taZzoK9n3Ey7RrJ3QaXAt5AqBC + 6bUnjC+90MjONFauDaAaK6ANNrUqIUX9stf0UQ8kE0qsM2aKx9z38Fhhe4FX6s6azkYHrbNKvn28 + TEkFBVxhTkR6BG43LJ7nyogf//GfHP/kP/+f/5f/7Td+83f/x//hv//sjE8/Hl/6wruPpu5/9u/+ + /f/5Rz6Oz33tm7/8j3/tH/+X/+kv/ty3XgFbSAcOnOBQyWuYm60np2oYSV8VXNTtrzMjYcPo5nB6 + 75MMlhhEsXt2WHc/z+Olusylm9/4oJZm9XMEMhbRCiW1lry1TrsYb7DVgjq3YWNoEshUnJqThRwC + j9ZzYMFQT6ahN18CW5apbRbN0EmXzFQwk5Tb+MAlej78dghURTBCnhHCOAaGj9nNlKztjQDBx3jw + RWjunXGrTFJkSOKwnbzvnFSZoz5nK3F1vgcWeAOhxNKd0wDXJvzV7RUHvCw3uxjTjK3dH3lB1Uha + p34rTQdBUpRaPCJT5riWn2DD623IFLIad5F1Io/eslnZ8CqOFBE0S87axFYqUHGHqqkdjfME1uVy + CMgFvSEX7Gas7mHTjzJEuda6ucHdvE+DFWEe2Gipd1iXseDDgaqTWZVp7bmWChAEUATT4VNg157g + Mc0PH/rxEgCYYbx+8vkf/Px3Dn7y0W0agSzllEwQY6OL08xLRy2VZxKy6ZjzMAXO57NOwCP90aal + vzI7ACu+Lgo+UEXxPe2R94GEDDYFAzEGz9TS/YaBoKmk++0gI4O8ULQBzobnBVULR282V8YqpbVN + +zAOAz0j1vkGEjr88F3RWWitdtkKQENmOrIsCeCRpsHp05le+VAE1ehLtKDCCQmaO6W59VKsMxGp + WKpCDjbIgBQyQnHvKfR5VeNKLHFPsxtvN4fZmTjf4LfK61UiWPCQe+7M91XelNAZGAPjctDkyirb + zQ6YkUpnwohxIM/QAzF4WlHNk6LtMOV7ltff7tf3odu/6VXOdK0JF0OILZvi20DDfHKa+9rqAe21 + NjUmbPggfAwkE7ayaTUs+kY5d5UzzEpRsHqcl8/nww2W2snz/gAYUrnyLkvfbPaF6t3AAAAgAElE + QVTC71CsO/PROHAK0KhO3Wg/lQ22sXbjB+Sgy8ZuvUmlCBSJPoEIMMOVHD6G1bk4HKNjSYNa/+Q2 + jwAEDnNhRQZjS24jgXvKFxi0KvFIRZ4nsKAQ1jhuVnUABgjm8HFzNgNjRZ73MD+MmLeCdroGkM+P + IViDEcWfrINuc+7KWlj5jYgUQKfRrRJBw2kPb4EGY9fZIhCZMNmoXgTtSLqPAfdU3rVOG7P8BkKC + CwplLhuVD6/kt5yCbNBaaA1Uly2nItzMzMgMLTGO8WKadUtYSKQ5/wNH41PYKSiR79599NUf++qv + /9qvj698/eUYbhhV0f7WvzwEDd7Gq2rxXVl1A4hQFG8tM40lz++tryEgw+DDMKx1LxInPK0A3fbW + g6VdVbB3dxvOzoQrMG6HH0EPYEkQXq2hig0fAyHECsugeBg5hlXJEVid3tfb7Zhmftzsev4VuWjp + O7ysmPEqz2sH90M/g65AYpEpC9MgZ+dn0bsfAGFdL0LYAKtyvDScqeOYdaa1+0gBLEEKBV4LHod+ + 4Ae++FPf/Nl/8p31rZ/+1scfv1jnR85SqJcq7eC3eSNYgHkFgwh86+//wj/6QvzlD/39H/3KF+dA + fHY6DW6NPgC0i6jRuZqN26LKgmAAEhSdl3QVEgY7xg1MYUUs47Hblgjm8zbAIZjRbl1L0AYANhd9 + PS/K7uuIy7VFrqWTPju23gnUaxbUjs5mNWUC+Wv/6Fff/eiXv/TNr//8N3/m9XYDS2UYGTndaFxn + TFrh3WDlbqdhARg+IBpwDGMZsnwPnhivwMDOmqiY5g8wuZeJMqF86Ahse3oUuc7syYb2+hpj0HbO + oNz2YfAhYQkLmQgzsASva16FcyEsfKZjuRmyi00BrADWeZhoAn2OcQ2YO9xGlRY+YSg4l0TeSh1F + CWCtU5yvr68PLnoIJc8yyFIFaE6m+hz48MVr26QIg7lzAhlsVRGBJegxvU6lBWRCJ9JjjbwhYRPz + OMIQFc84jnHQQCwp3793A4bBnMIw8y59qHJC1C7rcW7+nHlkGkC3iuCUWHEfcYd5lBY8MK6owqwR + FBaRGcpYucBCNnzDMQUmgkKuBO82V4WFjurSQ//o3c/+zE/Pz39J777w9Z//hx9/8hGBEysj3Wdv + 18bcrwDjUsLYuwZWGUzUqq/y9P2QdDOy+Y9uSG9sblQSKbSipDoKtEkosTxhMnIDvuhAaCVMcmpL + iaOqHLM6DgkD4jyGMUABZ8bbW7y4V3J0sj+/88SNMtqc0wFI3ukKsAsQEAASnmCKEN1UqRbIaSz0 + SlQDHDYUiDNFA2EORWaafPog6UCuZfcTY5Jj2JOiEXHZTFnSLRvQGwKEmTgQVDrpNMBP8I5YmStx + 4KpHSaBRJD6fFo1+jtsxEKVWtQMuRuGqsE3YfiQMr50TgTzRzW2MZhGZ4TY+jD9U2YE+Xt39xSet + FPAT8Jze2F8y16Nvd0NkFa09gJLrPgghzrUizJ3upMk6DKr7HcAcu9bDthdcHWXOmHOYYc4hGLjA + 0fnmmu62VFTVoRTKo1Qs6obK7VXsJQQXWsC2PqLgVitEqJZxJJxgAmfQq3dpEBJWRo/AGDfxVvu9 + HphAZhZxPZWQDBpmZu67PLVv9Zo/Y6wFLXi1ZXDVMdzYcWXt2GT1rIqnfq8n7mcKGjYcVc4idTMD + 7P2t2/TB0bKXiaU4JTcbZmPMmQkJK07nOSi4V/vFwaLGpsNoV/qlBreB7gAIGyMhROBM3A1gDg/g + Ln2E8tYMdMnWCjLMrSmbyohw9xdjTiMtHgywXYBPNMItQIm8I+7w8jKgCn9o5u+YCwoCVFiysBF1 + NviRAqg9QxptaGNykSukwVm/61WkKMuVMNoWqqurkJuNlUDqccsPL0IgfIwz4i0d1g33cuWLGY4b + YBjz5gMp3ObXv/Gtf/pP/6t//vt/+M+//Ue/86//zXf+6s/A890xPuLxid+++tWvffGnfvKL3/j6 + F77yw+9u8IWZWNWFAeeKc6wEBmxgG3p3Y7VSWgJ4VK8CZwNmSIhRojRQdaJ43qtG0G2+vAMTcd7X + +3G8Drd63CWEAMOscvEIxCoBPplVBVXN+PBmv8XCfek4aGbOQWQZq0LinLwKq94HfOU0w7nBIzNk + 3vOO4Qaea3nXSibAh2NNHMfc2c8ns/OBEXz8uzoESAM2nirgMjMlI46CkutKKyIRx9iAMgvkDB/u + cBVa24dcaeSmYFeOBkCDVhJW9xk5bARSWAmnHJAizehjVhHISuX5Ngh2E6tytx6CgSB8ziFCJxTt + uVbbkFiRyNEizmtB625xn0edu+YleNjPrHW/TyeHwcxkljjvSU8fHhGLkeaXP8mSDGqRUQEdyFPI + lFWUxcoXysDAut/fz8NudtyOG5IIxGqdvjknMASaWUgrcjqnTZ/e8mPFtVnrfHubLx9VcVndvoj3 + 9zN53uaRuah0v9Ux8NeDaurpeP34l37lV/3P3j77yk98/OIncIcfPByAzioqfAMCPFQKhlZdEeoU + CWTYtV06gVcBKXb+v3jGkfCuv7R15kBiAAhF3AP2cgPMh+kMhkC8v+fdbY6qMR6Ae3Xmi85BuQAL + mQNiJtKBalhX1VG9b5xmfnSWuxx0ETQRPvyd3QBmHWwJCsPL1SgMvJsPSBlhtFGnV9IrY2o06EzJ + bLDqxO71QUW5f3t7O4/xAg4ItSJs1H8T5u4vUgvHsWI0h0zaeRzs9k6R4Oik2n3F28Lr9BsBF/gG + HETxA4JM0JPKtzUw6EQRGczHS9uXBAJR6YqSFWsZJ4cFO8vnhA3f0nofrp0C39qn/T50+/3X45XA + EoI4KobLdLDqjJNY8KEBFby26oTGRe93L1LKYdzVeEXzYnMMmukqQNriBwSlLGdGAHfpPMtPY0dW + KlqR5FGVTptnv/vMFupWJLITKm1qFyHluST09i8HH9L3rP7GWJTC1UJNElKKQriK4U5dPPYGX3J7 + UsxCXLQr4oobuQJHXTADtkgSxcvLASTukTGsMsROmCgAWZR+wmHKGZnuNJi5aFkwK2HQUC46W+Sm + FQiATYpJ0HL7jh8U7RS1n9uX39Wo3KyZ6HjjioIuAKfrQ/IysptKocoIMYE7ALQ+smujQkopOQin + UJCwCX2xKLC3xOcNxeQ1UqWkcDnL3mmATbnutK9fE/n4Pz/9gU9/6pvfenn56O2Tr3z8Iz/8ettP + 31X0QNxzJugLMnDC7FQybdg6xCRlIzxVwE5IkAoM0aCohRiPCNF8MSbCsJE5kXDXw7UF0DryDHBB + 3jEYQIxqQ/TwxMuBixMG5wEOqTiLApgqPl2SzIyC79SV5oAZn9zLPTTarty1DtCUMxC0oCFPt0C+ + QYIdFcPkVWgpNEWxEtcJN6MM0RqykGEhWQyBnjManRhMJpAO2Je/9NV/+EvjSz/69S/+vb/3uc+9 + km8CgCRGyqM8EBssPBc5uDP18+UXf+XXPvrOuz/9+Ks/+qWXwwE/KrpjNVIzCFwo8dXLOBTv1Tu4 + hsODhPkDzKYATaAaIkZEYKqWIIRu8+qWAEr1TBtZ41jAuZf9ARwJX4CViLHDuIxhzkptX4aLwF4o + AnLTbErXoSHcH/rCz350s08//7mvfOXj13frlBnN4FtCxdyKMVt7TwRtZGeUe6Y2rnl2sTGyxMI4 + iijuBUFYuQdsqHLbh7141Mtyg22IDDS5uaMDdz7BddyC2iyYlHTrEqRWyMF1eYkIw0l4nmbp3BvL + aCzVVXBrSjKiBNGgBJVCofNs/KdT7GkIoxtYSnQtfMwLK+xA/XGiPP997RI9EKGuTUsg4cOSsXAH + jLhd7zMG8Fb05jceH9lArQ+HH/4GA+BsTRi1T2Luqro7YQiv5LNeim/p+YcRYbnslZPcJWAV/2/e + 9h7exyDvt0pAWLX6kEhTKmw5RuMB+1MKlXlMUo8cAfzE17726d/9SX7yQ+PzX6AVghc7jLg+7zJn + j7HEZd/2yXTRfgFTJAQON6aU57pPt16KDd5gwxko2loA0YRuWDWGKO3VzZzLciSaU5omIcrdX1bt + TSuNc/GUAeGAIwaBWWkoAiW6VPGJyqEoi1crMxOZCUvrDHiDQHXnIpTZXDuYmDANLAIGS85mQtYQ + hQywEkWlo6R3mW5mVkHU5mOt4iG3aI5ZgguHwQyegHDzZKFqLW/AiXwTU55gRHqpgSsR2bEKeubU + q4XN3OYTSNR7gQZyDjdrJtK1rfNikbXvl6VNKLMyy7rm81rU/WYWtatvoLriXGvSYFsRSF0YImkP + 9+PV9gOgMECS3tICff9AgxHL28JYIRGqWaqa5Mta0AQPPS79sKxgwSWWgVhe/UMfGxXY7dTUCgq0 + yuTKsIoavXFfaw/I6rtxgidMxDLOlOU+x6zvChAio7ph9YdJ1tRXmXmq1Jsek4dtMCqtDzlopN0z + AHOngVO9pHa7bVzz6sINFoaoTgqS6V4kX8CQzQ/qPMyq4l2YmZlA9rFp1iVxnetFgopFlNEvPCqq + rrfMQ7ERi2RvptW9DfmQDkDvOGP1byXcl0EYVJoWsj8PlOcble5HwE8ALXzAa/UU7ACtptzWCkEa + UIw/NXwyXOX85IC8wxgHOzGcgBKM5SVkpB0WUbCkSrbIQLgPCEg3uOBIFRAKWJUvpy791i1qto09 + ci9MMyQyVcr0AmhGeCs5FiAxgJh498nXfubnvvyjP/71b/7pH/zx//3nf/UX7+Ntzts7/+ij+bmv + fOXvfvzlT18+f6v2oWVNTM0hsxIhMYfNfDLq7UnVSSAoFhV7WYYeqQPWJIceXYnOhLXclyHdTYZE + VnGV93FBJrx7UudCBOwgHWZZ632rtHWKxbpuWkXALknR6zYuq+Kw5NNzdEhBUycurIDOKy6qu0lI + VUbUdRn7x/sfG7C7vgFCigj4YAv9iMWkqO2VJf1ZK9mKKkFlkQElCDJWoAZpOypst+f6ExV0nqsh + on0v3n5ifagaWGM7QyraBc06FP1us3pVK7WI0rOZC4E099BmKVdW7+HktksZF/pdZUSoTU93CAaz + qtQANAWljCUpb4C1bQOrXGvfnWC+LRWhNGVi2WX1vKmXj7Ht4rc6qUW2+TJUyf82J+SwvRNtIrfk + Ao00Ae2fIqgnhP0yIs+vYgd99Omv/Oo/+vLin3/ui1/83IuAE6S/ep6oHoN+gEeCkoDo6qFaYmCx + GNjk/kq0CohCIXb+oNKDTxSnYjcToMjlguDCCKS1to/T+CiRhEdtZcs+luAVtKqwUiPzXfX2Q8K3 + oE6fSs9PrTbRYjstlQ6ovEUt+0xR0fIuFAg5K/J0QqIGV2moRJjSWOlmI5HeajQG3IS49JiKds4r + zBrgFGdRKtqDKpkiBrsqyCBeKaIqGggFMNwGawZL+JcB3JxYPBeWgW6jVFMAFd0KGmVFEojd3h4K + tP7G3rV8Uo6o/Ccv3+tpDKVraPXBz/5/8fo+dPvXvgTchYWS2SpZGZ+Gsw2DVTKHJUWE6mmAJSzT + MCt09oBt064LFhRqi3I725XFNEDtJ6OhOFNerMZapFUuxfZYCR/9A5UfByOLuABHuTmzSgaCSKzz + XMZ3t1uXDEib5tmP/Lz+C62tGKmeIlORmANOIi/a6sUM2pcwgAlsVTeiTB2tlQogAAkuQ/XQsSwJ + FZwQ3G9NdSitX4UgmjkGhFxcS3aDO81Hdvq58B2XEpIoKQyzhmxTllGiSsO3RsLFbwLKvy3cRUKE + YKoaW9XkF4uI0CbSGEizq8FIOejtnSRgmJhVORWxnOOwLY5YYgI6Q2HDHyhxMlS9qRAZlYE3v9Jf + 2/IBlyHGwDATIhUhOX2TQbeLsWfXYK+f/sBHn7z+0De+ifH5v8RH9ypPyK5ehID7+5OIeXsDZqm6 + 3Vd45JhhmmZIMIdTsKwGMZKTPih6pf0NaQDgEG0BQAzJsxgf1hjqB3Y2gBMuILTewt/1Bcrbz/0I + ZasSUMAGxnQChtVmPIjTzIaRGud5RnKYRfXkIMyxXfvrvw8hFexGF3srqIp36+zUHdkUZBGnIMck + GM2WA3BmrEjZFKDEixNIrJWaSe7SyBCiukcfg1jA3WD++qWvfv3LX/26Ca7PECfetMksqSMCYzQI + m0hHbgFiw8vHX/ml//gr44t/bB/fS5ToAGIAYGgk02p8R7l9tRkbr0x/LCRrj/mCg4xAji7dUVXh + L2KyaAdhCskYJCO8jmcJnGG8A/WHwA2YAmIBARNshPlnHEa/lbyKHhCIXVTPbm5dMq51k4ZhuN+P + z33yC7/4izLcE5/d7+M4psONxazwObAVzsqtNCt9KmM+4bYFcHWzPcVa0lFMwcDIjLa3ufXUyu/e + SZe9yYRtmlXKs46Wg9tu9MNEVnZLgrASmHAaMIRza2X2nZkBbsQiTsjPe978HTpvjWkDWsgdGpEQ + ViRkPqAUtBL0OVEVy8amYWaW1ufY2oMZgYQPb9EBLweyahQ2CPNsRPbrCry4wYtcBYTlwp1ww+2q + 90TeYZ8l5x23E4cfN9xRIipGrGtYiU4k2jDyeGkblnDhFb19r7PmaJvFytqhy6LN0Kq3AHfaPh20 + qy51Q6+bK8gdcFqNAs09MtdaNvwDv7sOCyuS20WJhBmx1u3LX/7h41O4/UVJ8+Q5D0xWwk5PUeTj + 9cG3ao1VQ5F94gNYkYBNwmChdT/fnIft2nO0uLNgKDWHuqeIWFpzzEF298ZCyHdAW8mdQFrRc8+E + iJnwcINwFPRWKRQjZLTj1pnZgHXuoUNvgiW+GxElTgEUzRoBRUt4gmyJs1q0kmRmNG5+K4nCjG51 + o1uvZmWYG2kZqdLzPJzmvBlIBQq4gCfsDi3Zq3Gik6AMHIBbKenmYFykOIQV0pdzVOo5AoF0953F + +mADXHHxDhg+8JmKHewoetaO0YCLKFn/ZhdzVcEmOUZbuGxzIV21Dxf0oY1hmIMOPaJKGjiJrAQ/ + 9D3L7LueAaD7oI+qS7iADuxa6BVxsLA5awaBRHfu0oDHJYXIZBGjL11NoB4MwFpr5MJxVFnDTu3v + YUBPRVSn1BrYKJ+tQ9+8cJ5Sv89E3nEADHrqLB8D0U2E912tRSbdzaoxw/JKVUkllNdA3gPnAJsj + 6bCJMMHkuOe5LF5xG6DB8549/gZYAqcyqQlZ9Zl/MyxhZDLvNIClQpVbgxcI4QTGDcSc7SBnyuzC + zOwC4hM4z/No/e7qexNgmG111IAukRUyY+VImGEM5LhyW0X7ryumlf8BD0PeS3jYzM2I80S8wQAe + J6CrtOHJ3zQIOqGzwZHKAdVetwdU4aXIjASTEtktCbUjFWUiwoeRnoGobMhQJ9uQWWqxPrAAbbpC + gpalm0M4kEpK3ihT66211Ka2q1fRlhvHdp+HAXKcrbUBbAc4gGnvvvDpN37w02/89E8s6D0E3gDk + gpdGCzCQRwsuVRJrEWHmu+fPQ6DzerUTL6xzTS3QYQ6BSt+iFeyAJ6p7FYhV4NKsUR3eCaV73oMv + H19CJYGtsMDAyqrsoY2qY8CeRFZb2YFEaUgknYA3xleg5XZCBnBM4kSPT5sJm+aBJOA2rp25t7uk + VBaQVCIV9jQQG37Rk8kRQWTqvtawi0tS9sFAKzmUlr9m5ag6Ii6e9TYnHUunMsWSRd3P8lh4EbD7 + aqGQh4OjnbHur6v917bGMHDOA1ggtcndz1Gz9tHd1nR7ZcUlGRynmp87y38DEGdn368bM9A5j6Oe + oyQ+S5tPxW3hnNRkpJYwAA8ghZANQ7cC74x+eZujxokQtBhvNN18VBlNic6A4JZMqax1DSOQHacl + entyZzHd+eJYJRynlQhwDPisPt0VFwPKhm7/ZkzNHB9//sd+6Zd/7OXlzw1/CVQeCLQXEvmGPKvx + pcqvqN5qeuQCyXIpBh8TGLD18E4gAIPWarb1Lj+Ai2iao7PdiNT0o1piDHscytEeqxwB7ponH8Bd + +KuEGT6C7caFqmzR9vwEZSHMe8Vs7XwltBa7dKK0sgC0hKaVbmYXZ5vLtKTAcmDyDTgAi+VIjIHN + CuDEEiA48oXEcQCEciWGd9Yguiymom7EiSMx2hFfKKEFTFWLACGJMeqIV64we7kdlTMjdCI/AwPO + YR5YJ+6G4biNo6w3kYH7AmaVrwWwsIggLFMlEGU7m2ssn6YjrCil8qcl80F65j/s6/ztf30fuv2b + XnMn1wFs57YjSPSpXZQrlREsMqu1KSnzrQ/iGzX3jgDNOynEizrTDUBQHZVp225wyJ/9XrNNSwBg + SG4agACicFoARDpiC70YiON280uwl1ZdqHA94z5b699ktcq6Dn/zIrtuqLN+y683cQcbfFzSCIDl + gRgwqvlBw10FnHCCAwbIx/SOTPqGSLrbE+MLY8B9bD7Bdf73F1ajim7CuGeGbFF7d0eZyGIwblNR + jBletz9KE7RcwCqEL3CjvW/UsbwZJOWu2qYhOEqzAnBogMP9uG73iaszNm6uCr4dlWy27hhUzUX3 + b3d9L1i/WX5OH47m9N0Uo/ka7eQAEJwVLDpQjR275HgQNq+FJdzGy7TPurLOIWCMMaoEaCde+0ZE + uNFLcw3X4uZW4erQuPQFtDkg7RicgSBu9dZUK9zZ5Dym+XW+1gde/Jx+gpfjsULUyGxxb1umn5jD + 1U3W99HdE1PnJtoTYT2MLg9uT1J3knODc4ABO9rHUcV4e4tdQCM0TWZeimdj3gBBDh+GY/+Wdiha + MDbBAZt7hku9OQ2cqBafIFzsBsu16hzTuPu3OeETljB/V7Frb/QyXwQLoUQzhWosrpp/7gVZ9Lym + yD8dkwQwgdPMb7Oq9B87rboJE+bODUN0uZJ/+AcABvs8JsH5SHg8PI7+ayB8X66xjccO0J4ZZVDA + 7eVYiXOl+wdMqA4prPmAvVvNeC0sI2zAqnjVfE7tZ2s6FFre4EPiyF7Z7XJr3z9IjNFEpzIqF2Px + 8e5tOYchejZoGPag3vRtW6dT3Dj8ZTNYnw+jbtNUGRGMuWvGnFATcsrQdotsoJDdTUwAAZ/7NOCl + kWbbPunarY8ttD+9HPT20RMwlEyIwWZTvTs/tTfJdBzEsEpMPRgSZSmJMnZKogq9FRE0Z0FSsFZ2 + rVnpJBA+OGke48O64oPX3ypcF4+n3mZNKSPq2Oh0/zCAw/yRlrsc7p5zK50QbIVrI3AcxZgTwYkD + MMyB0x5dQDqoebpK9WK/Tsoa9xbYMO9cis+xF6CGmd9uvoWIbVzvuuxw7/Lpx4Dsuu5TB8nrUYrq + 4BhGYGhXx+/726Zi7JzxI8li/dRCt+zYnghtDMuN9tiAscp7rz30bFusVJrrHhPXDNt1B4+5GllJ + hWrhvWzfCnso62as9zo3qsRNL9mqPWwjdMXrqB14dL8SaJSMANDKitsRGLCod9BhVWhcq3kf5nXv + G0x4RI7P2wbcvTy4U6aPeH9vPdrzSHEvj85VfLDa7fof+wqjjEIpnj+PuW3MHvvQvACZbQCK/jmq + Y19ZGHhlQNBnj1+7SIDovmmZ18PuxTMIjfmC5MOC2OPzCGyNmH07fPyCC5MPgbKmVNb54LeuEWZW + u7OrW2BTlIg5D1aMDdZhtTlu/SAX1/iaGADd4kiG7mmPYx6GtXev29j7tZ9UO0vxmBQjvCDj3jHC + 8MtfxTC8cu/UHU0Yl8TMuXkYvXhSLdLAfiuti9xRa9Ph9qByTx/CZhCmdBKzvQIC7YZfd2IA5ibw + JiC4bbnGpzl9em0ctH5WvzxAM+sWZ4+VKUC+SWXl9mRFP15VjGY8DjAA+SMI+a4PRK8OXv/kBzLs + AN0e2X2rbH+fvy31IQPs2FDdB+eYO24vwNFj4gMULpdcGIZjuwiVemAfXMuqkWZbq7IQBvPyQJ0P + 43Ot/vI8xzx21quOBT580ksM51os+4DikzGE2e02wpvm/MEZR8fwfS6h+n/uVGW/nzuYAw6D0CqV + j9G37wrj7NlM1EPisSivaartxUHv9bmVNa87v/5818vM563qQvuk3IYRZGFGT8dGm8kn4+v0PUJ0 + c8MWuwGBkZ0XhgFjAC8H8ryWcSZCy0bWGbLilDDH0W+2ip7qAQk4d9h9PZu1VbpGkO0c1S+lApHd + ugORiAy3qnxp2T5VFLZh4l60hG0me33WsBbmLxXj3GexP4AtPU0VcBXp1qC6Dz5522zB/6fNZcCt + ElwDKkeswgff0HnfWwg2wAHa2GrGBqjTkuqZY+Ih5PO9U1+RcnWfMbCi015+3kv6kUHuMbcdbz6Z + c+DY2f/aT/uRbJ+L+/Zt/20XDwPFzuh4gAVY75utux8brSAJ283gegXYRlwvKLnf6/txrQ4gcPvK + uMIEGjjmdSBeVncOt6bu9PZr41Dw+cC9qS1wn4jS6ChmynigMdgurghijMdCMVjuUMoM46VrCHaa + o0bWWJ4QnigvtDmOvE68MrWznI66ss/HNF5zbjhuG4OGGSaGsIonUbd3mZ0exDIwNtz8A6ONKqR7 + xDLXcfW09K/t8Pz6YPl9zy//Nd/7/+rr+9DtX/u6To/ekduFekLerDd5wZtbB2uf7+q9uoUOeuNf + Z4pxo2zlUG9Oey3uvRZ1ebO6vO2mOdTNibGwBrzKfev72U58EKtz53UVc+eWoNzmw5/YIRenYm/V + Z+vu/KDk3Lb3+HA9tvkpm8jr+rZvv/UK61+8ofqIlFtc0OhVoNinD7hTum2AnzIw10+3w/v0mZeE + EAG44QBoGNhBnz2b8z7I9XDDrlF4dmoIIISFnv22x7ygwWsEe13owDpqAgRwLuv5cHTyuR4hiseF + DpIcWYiT+koNQbEz9YQhjz0CdRmOrcHTqUUSY6O3sx/62K757NOIDwwFDJg77IDb5f0NwGjI0bek + rpooGmP5s6qDxUXS94IAHHI0C6mPOgUsZRLYZVcSZHtIDBwuvOyYLfZCu8AGEHC/YiLo8urUAECj + aY+q3D3Iffr21+3l19jtY+ixgG/1VQvootKwGwPj41R+svMC0mkNGZDAhLpM5sEAACAASURBVKId + 3cYgA0g+Avcn78AAS1QrBLhhtHy1mgp4nSrNJSeAhOEKsF+LKUekgbYb7AiOcISQVoNcl9EGMDpo + KYAsNz3wAXI9dh5HZ9FxRVOF0tLILWTbe2f0VbcT1vdZv0BrT3WjCHuGBJRKQGEIAVe18ypVGBAp + WD1136XV85asS9xTsjkuM0FUH/NaJnxsZFzTa70ujFBHDg/37oP5xTZ8z3+uqSewy8ufLOazYdi7 + maCebFj5q/X9h/NHQqhBmp3930dNzwj3zbMhtqcorv5fK42P1AwcvG2some54yW2V6n94AZ0UdLl + ij52h12j9Ph+3RRs4Oiaeb9+4wA+NvgNPioh9CR4cICF4MjEzLZ0pewFmLkXxafgWu/RiX3X37UR + rwHX474KzuJ1Kj+Nsm+8cD9bW35enjivy10AATzh5SxvmutOTZGL/Xyzf1S31bAhalSxoHDaAahK + WXntj+xUC704OdtMqCpX2jBfdm3zyICjxkO9qhy48fInepjy8fQ9LqOFRdtRTkEL0B7CspQQfAtr + NB6yHZ3dVAWVt+kt05vTy++YH0SITyNrGOjCol2qAa+zUg+8twldfcRbx5yP5F4xh2usS7fbq4pa + +w6xLWhPr7cXwQ++dRRMuZvffLC89tlBr5UzemX547Q/ej74GOEHTPb8okNHX5Vty7g/Bde6fQAf + V5J508z3Lz224Z55g+a18+wpiLv+0tNk4BGSWQGRl8WGbQvj1x31jQDYG6LrM5qMSGz3dju5sytM + t/ZFXST2J3qvVcRlnKsrDYDQJKfzbXsCVvF1DuiGatNJlC199g4KCuM+vdHQ1RWlX09eGGfhwRcO + ZYX0XZM6NkREbGj8aSK3v89r5nxfpfyxD+eubqTOtAU4xIGqrUCSvl0Zo0og31last3nrsoKqv5L + T2he9ufTURpuBlqlXEaV9gPAggWoLfhfg+Vg1RCcgFoAEYPAdUD/P+y9+a9vyXEf9qnqc773vnkz + 3ERSpMRFVCiZohxZsqRQoiDYgh0JCmBAiBDA/1SQn/JrACNAIDgJosV2EslWrM2WuFjiIlEc7uRw + FnJmHt927/2e01WVH6p6O99z73uPMwxlZBoz9917lj7d1bV3VTXFsbQTNyRIjUMgTtms4iAoxdlE + iXw3wCAES5ji0NrkOy05ph5JYuR+3YE0GyOuROVHIRX7vuqHheSsYkIwACbC3CU+lE+lCE4skIQ7 + 3Cvjgc3QBNHq2QAQVTFKljbBkMqJdalyE6sI2akLDCD5WYh1D8aFMAqDahINiEj2zgVa5IQfIV3e + DzBR9XFy9Qh3OxQBo8I7ieJIx+GbVaRYL/u6ljAd0KGQ9T9dJrvz29rKj24Za+aVlW9E8dK22xrH + lCVCZagoKMEDMbpDvxu/HxYMMoAVBytpPVEybCJICiESLGciW0Fm8HQ0imStQmnRitbWbdMEthdv + f9GtiBWpaIOGKD7gOQ1ZVBPNsBk6I6IrtKiGCESQWAlrPLZoe3DlnnrLvANPAwrKXYPvWM6d6GYQ + 1b0Vd1O4nGfPqDRFIgHW5Gmm5OGWMwF+yiI3l62PpOwrBjIHqFruVRl+bW73sWCi2PMu0ScujAG4 + SehjK34YiqNIPfuGJo7NxQ6PqbDlTuajoKBV27+Y+65UsLtu/amiCadCARaCkCICvNI2Dhwfa7o5 + yoeHsDZDIcyGq0QIpamT5nG9hgWRIQ7T7kV+7dlD5gXk52YSW0LJgVCkEpbTsdDohutAuc4zJugD + TAD5xLpsRaayHIXZHAp5xRm+s1dMripHPFZKlATwkmHmsPqpQrsIpjr32JrsEYeAEqkQxmUDcH2g + GWj922VtRq42Po/xa39H2xuu22sbhc/RNYq22ZsGXGgbwmj/UjMeyQCVklc9V62WAc8mihMELaEr + ixh6tAkgsNgHV4DA5K7DQ+XzGZJxTJhBDI1NuxyiT6DihmsnL7XIy6o7OF9g6zC7zI3bXz3XQSEy + QzlhsvN3WuVQsUNZXL6+6URhg+GACPAEiopSta4NaTVm1dNoXCjctR4YjFTszhhnbJJ36hI1NlGn + VTxz1j01Ki4WZRqritRJcveWWTPYE4yQ55KMBJpX4BI4B2756hTsMXAGPOH9ELesM9AL81KvVgZh + PUII7OGZdcCOM2u1OrkkpIfaNoenz8qOtas3plGYgEDguYRzlRmCopocCoQ5HLKtZ9+eLZuuZJMv + uzT/DcwznNTIOEWmpJqyMXiqga6uCPsRt9JzWAIIQkYuyXW47L8GjhWzv9qWvRgui4UgZau6Z2gc + Bii47OMLiBWzYvYaBLCjn9ZbtaISrBbFAalpmgl0aG5XPxSgKIUlN73MgSFUC/OmcoAs4HoIWVSe + L5AEvBoKCZKBJiTOYAUmLAkCnBE4jtpZE7JCKFatSOh+aQkWjCgwbKsRAhU04CpZDW11KWii08Aq + M6tS37U3KoKnECBqiFyCwFY3nxJSLtFzQjhjL+cgYVwVlXEiTIkAzetVVj1PT4UBBuqck83w7Cbl + GDEF3xs1vK30bghl3X8dfPpnrbsR61+8W23BO5j4IzxwmyibZeWpuFjnNQ2GaP/tGqNgoKgATIU/ + xvYfR1xzCbqNTaFmZkUtMe++goasmgSEwq8rAEKxTVW0hLFjni5NByocdWruMw9ld4cNe4aI572a + wDxYklssTlmDCOzFdhE2Gl7ByOLLOn2W0vhG95vVtfSiaeXspNhYogRMXpuzBvSVPaeoNi1azBUD + 2Ol/Jg8EWxHHQ4QfMgqqmLt1Zi3mW+FvngdfTbxxtgTrgFFWw0s9WYcSWgxn8pmzcd2Scwi5yoEm + ySw+DR82EQcjK5xdS4hRcO9hEECMrG9UVy7Yp4By8XdHHadSXACD78I5LEBFNljk/65+6lX4rONc + msL2PXiIGggCV5teYeA4Z6OFyw/IRBHN6vzLKq9L7f4huhyZQVeVo3hTUCJze2Lv9JpQdRp1VUTk + WP5Ir2mUWX+v2mn5aRWXrUYfoeu4uBYJsIG5UYkk4xoDGoMzoJMGBJCnWMK9A+E0L16YKRxVACCg + pFT9Ei7EzT3h5lNMCvLaPP69lBLnshudQAdKkANUXAAYxKK0Ergj8AFydSmJK9oBfvSvlk2VEi6m + BTMKl+Zego2stsrQglxUt0bLWOKsB0JE6wlTRgbkgANZBFuspjQxiNULixkmMq9GjGnSvEIlKuUS + CvFwhbNPKioFK0L9nj1UAWcAJINXP+6FkBPKllObSORze2oRG+aAQCYcwzJv2JlAgFY3d7BW/zwD + 7jtwGKxIGeqQTVDYFIF8BCR3M9cqoN64Q7UR5mUNakXOts5BM4XS2l4CjR784q2HCoA417msIVWN + phKJEMRDEMRCULoWF9WpS73QItLiVbZIVqgmTa8qufpUGHrV84N31E3YSreb6cf+ETZ8tbIo68Zv + HYzaLmwH2RHIBtTYjProNIwhgaqnDMMaFI5DldukknEeHu5yq1BOsSZq980ovdY1UVy2dHKj/jSN + rQicuy+2OJYkqnyUMCwhFzpnyJIhmpQ4EVI5dbMopnV0VvEnvsXNBiy5gkQAZ3BEl4NScos8G0hJ + o7pxwRYjkzgJrqTNa3PdKkwjOrfWnmj4uZU3pzpOWyImMEyj2BezEFZgIiQshCvglnmEjyH2Qok1 + YQUSU8YRy9GyYTqf+aytUzcgG671GjJt17YfMU3gFYxypBpmPyfTicMTU0qQmbM7DsK4AhbgNo10 + UBAs9lh30KM+SGUBSwWPqWbpF9mYum6L7l4Mj4oVOG9yJ8YW8XiEMfS8GwJVOd2tkAHj8xQ7m/5E + 0cOtS4GCRuEBQAUL+5IqHL1XkLsUio1f1ypsooHnWZngyACAqu+gRdG123NTjkpEjNUHKtvdIgyV + 7ZmKzFQsdOuuxzLukHscLdxWw7aPdaZQoMJWqSoj6mUpt8t/h9sbrtsbmhVPjqNbEOUeGgHYXK2u + jWqBYEPC5fZJf1WIRjQG9y91/p6ipMAUYp2A7SS2FS4UeZvsOn/D8Uav5ZXG7gzYhBnU5/Za5/i1 + Mqk+ciSuaPkIVfTrvzj+YpsHtiAabmoPbVSWFA8NfbQ/+vlY4bw9S62kXZiyuacLbbobqVXHxgC5 + kleKs1hnchJagCOVMnPafxkoG7nd931VYVIt1SYim4mlnY1aHnAzpIZH1gelD2tGv8ndJAU1pjrC + r160iBYDhWnPcaCHAWusNjEbkZgoVYPcwr6unw0UNS7mUIc8nTewDca6BehvbOVgT2khSnyEcXuA + cYM/EXpJ6aC11KsFPcJ0XykjDaRSgiu+FKGS9ZEgZKeKiOZGN3uCcOh2dUrmVQgz2LwshDXsKQXy + A6coDKRNI3QMpQfi9kkrIOtZWsdBTt+hfpn2HhiY2gDzqjuhRlP4XTHh5Ugp9NsscbqNb/OoynSY + JrRTd0bmUzsfxrHZrLp+vONLI46M3Z7oDwBtv+B0uUEgdBrG3pjcAVcMMBvHcSJFCgmY78cMiE1l + SLUH7lwAraudMRSFzZq5uZkkdZ6OKjvRsylU7cgAJCBDj+sxzYkxSZZEDE6H+RClVpzjkRFTj4Pb + 0Y2AH3/dRdK9C31vpcMSENYwtIuCLwMpqdl22kM3sHItUkPJitMqjpyMY1IKE9y81/WMLeQ30KAm + nsoKdEmHZek2pmn9UqxecTsqAC9oWQ0wbfKgg8Smncr1cdj1tntauf5lnQoRHRgAhcqaE84im7Q8 + orAFV4JMmGYceqWFhg+GkBupoNozXJ8ZRnfST0+73cWtg7rMvF+ccfK9mhEM77oeqKzLxhc0oNtm + nFY+VINlN4gTl4a5WMG9Knapicb63A7HrPxj0zyM3seyhyKx0LUuJcDuQw7Xlus+pXff/vGEndqj + 1ZXt+FmnBXTT20wdDYYWTv7t+G5ulc9Fl9T+jJlYG1vcc31rLmuZGHNiF9lZdPLzf5zNEHNKnEo0 + pZX1jIM024TrFwGqAU9ARB8STFVBoippopreWwZKp7/WYIGSwRUfq5Esde+s4LJxHHRAfn6U96Mg + AXOcNmcN2AZU1l5ZzinId1Ztn5+fSMMTZA/Oi3J+eaQdDSxiZxjOIiK421/voV6orFR2KovRdgkq + aVe6rby5dFAsgbrAtjvzm2HTZR06WXQ/29NRz3aHIXS8iKuPtVJlR7tbm3CvdaJ/O5FOKg6kWumE + 9ibbtR3mGxeG+VD1VTerhBDJIQZRZAW8/DrNZDmvCyalBI6s87Zge1OrQ9USLwK3ejzIVoGcZVbQ + xIoVWBPmidI0T60L9dj/0a9JDWxUqgFRkzpbnrMDmb1LTfEoVCdhE3purkZfvndsioSrZb06zLfA + EygdmOeUbPK5tpyqYTW4rC2fjKJNaRg42YZcxy15rmgRAKtODM9P8tTVYSN2C5QRLhtw0eY2AdVc + p608KPb1CeF1jxSadyQ+ESgDKW1GabsKQB2nnVzaYqLWwLGurG732i7vtBNtZkuzjxCCVF/ZonAV + H9Ud3BFoxZ7qfeiBdc03N6tXIT1Eke09fH03O0rRje8+bnsE1F5re8N1e3Pbgn/DNa9ZHRrxgVqc + DA1ITuHiLbZuZeHBp31/hzt+YSfEjsZQtmPqZPaWEptOEVVW44Z1JAAD66YDf2OH1G3ovFdhdsAz + svxxZCfNaP/y6Qv1o0Z7VsI1XylaxHbYIzPalavbTrfMy05GyegCBrrNO+oDGOJW2WrrIdk+TjQg + RjxbtfITzYerZChjijBbWOp3KFwEjBheRlfxglCMqbS3zj2Imr4MAjEpTSUzDvCT8MyuUwabfLqO + D1b47SmL18nycZ2oq8fUHrAK5o4uQwh1uUjjSy24r+GNDy0qM/BUk/Q3RGCYuogJQ0vcRDy9QWkr + oy/V70fGQqjfpX5sNzS6TnPYzHL42OsjoEpfFsAm2gSoxmc4xUE9JW5tLC05txl0S/g4X7eT362f + 6/bx666MX98+UGl5y6Co3bUBqo0qR+PmxtEEEGyHcDYPn67fgJWErTZ13Umuw7XIFdgZ6A6vMH+e + idmK69Pn2hKsqD7aD/v1wbzHaE5FkWFPhqKHFlKrbHzDK1A8KXtxnNSYQIc9VDugIYqw+5qhovyj + GxXPQHt30+NNLxd7irdo+ciPYm+tb3q7l/LdUVixwVc3NNW8iGE/NPQib3Ay0jDdYSCjTN38fD3b + HqU/3nsnygMNrom6nI/UdOjkynUXGsBKv9ewwO0LNWKG6sWBCiIhkuBJMyhCDi3m9bTLm77ZOGGP + lhVVRlzdx1wuc6z8foTkE+DCZhVovEhtsWJmXCAUAKiCn5TZyEgtsl/R0hMGE3znY0WMWP8tLjnn + RMngW8bMIy/tBrk7Z6rLeuqIOMWfQSklINgfoabYty6xqXD4OK0H6y5ldQh0Q7fX0Xu5/ggbYvNC + d+Tl5rMn39kh7PG5bRc9dtt+V3uDciZZftbW2Tq67Q/VoLSudkEh1TLq4o8aSPako1Pea5u/u1+p + F1PXqBiPbm2WHGdclP204lAspdzAALgGbxP8OEGGIPa5KxqXFNWOPZwgloHWoGhrbNAAYxOiBCTj + M5ompIQp0vJq/bA4hS5YYagXpaBrCk8zbTW5ncXfgdrN4qF7akODZcOGalVATkhEEZAdwQPt4Aga + /7t5aEXRrfuJoVWOao2D0Fqf7W5FF0t9FeTTWe18/DEaDWCz7vqj+itscmcQuyO7tpPdq9sN6QFW + RB7rb11M+vDYtV6XxwLP9YhkJRrNB9AIhYCIDIuRsw0KjHVRruOy3zyO6//cEmZlfUQbBvV4dPF3 + ur3hur2hXSNkmyy6+V1UfWxAqc6vtiUmKrkjhdqaY8I5He0ppjsj6/7dS64sklh9975LzKzXrd82 + 7lh7jdKZ0KUknYznkeJ3h4/QFtjN07HTG53+seMsebxWVY/RtLWTX+KfvcHsWdQnOkoraQigmDRW + 9RZuM/GY3BIcsBkY6uOngos2rtuigsYKF5gKICV1mbdgjrq7dSQTUIrzA8XBLQYhd7HtEEJRwLzD + uUGOuJ7rB4OpaurjAh5pje7LnpMsE4v/ucxs52UrAMLO2rU3ikM8/ixZHXtIMNiu5XlDbIeiBPmG + LaNdBYI4hbBkMxN1aYXlqDpy2mvwiTqdW2j3ONIO8+0otf63hdr1jGXzIFCKzb0OrS57cdO3kqTV + OQsvh3a4VU4C9OR6SnVOlCLwgSrbeoLR7XAQbICxLwu6iyd6xPbvNrK4P+Blby6dvq+7nx551nhn + WP3tOGo25+nQB67VwvUo1rzzC+w1K0PaW4Brhsvgs+kQnyY2tx0d3T1prjg/+wFT3+f3qBlAJSyk + +1Yl9ZJL3iZ2sjnXZttx+Oa/2ooXNJE9Ooi7XkYGU//d53L9m9uXdh8a/ho/PPCHR0D9Cdalkqvz + AGqXA4lrAommcuy1FwstW980Y5pAqYP9ych3JnidIvb6NcKJo+NJ3sWI8qeE97iK1g5b2SHO0/tb + aqv3qOBzeaCVxKWigZTNt9QV+uiooP3FGNgKNzLb5+F08rO2UGvbX23mZQumtXrEWEnrHjj0E7Xr + Xqhu0n6DtZbZsDbRKG7LAIgTsQFKNfa89yQU2GEXBAZYq1FSy7wRFDiUsrSnwz1Z4u11g9UNqMpH + NpDqktmHURP6YkAD707Xf/ra1vNMG6+Xn85Jbu7gMb9LhYpveNi2H6tDGTBw8+/ph66/ufvsTVy2 + SKd6jklRGuv71iO7a6lxN9kOYP1awm5I8JY97LeToQ6osOcu+y6aM5LZtZ8u2yzsZ7ZQT8iLOnvU + L4F4Oku3gKxIEvEQWooaHkbkzYPnhAxYgakcO+r9KYA0JVehJptmTVQS6DM0zniOsaa+LyVYOZ4u + 3YQVj4UqA4aMuSzdIzSgFMeZnWfTXA/GcaipKcOPHFhLNiUXraedHb1tgxCTkhZYA8PKBtMwFOvu + 7smvuuuzB4a956+5sDPW+tBr1yy/G1ly0rarVqBUBGrcT9ZJ3f41A9G+sv9kg6jN2vJYS/LsariV + VhU1bBxKRfK2Ib12MO2PmvadM/sP/+fT3nDdPrJdv5w9U9x/qj3RB1heL8K3Sb4jvdrwZOFZBJxW + crz2I4TBNOryT6MIt8vvqIfbRHiheyv+EbWuRNHjCe5NeyRTfVKVjp/8lf5bJ0x2Ry1yts5bAAc3 + 2oF6J1gIRcQ1k6YIMG6CyD/Dxa1X/C89142l7qJuY5HI30ylFuQo5wwD6+zmtrMUe1rW+HuTr7S5 + 3P9doKoAsIrJRCnq5COBmRk6puw2wFFJFBqcGxshZtUqquMpUOyxYQ9X+8G3f6gn1aKxM3pSvkaR + KiDn/mJLGgGhJOIgAgyr/tJqP3bz6qpDxIuNKbSNjjH4ekgdqj3yKeQ2CF/f25lbfHWjzBNq6dPX + 3Hy0ZaHbIR9D3TcAxHlZFHY4n2o53nXNpnJ+OMBgpsS8vz5PNpib75+yhp4+TzhJu2AnL/YP1QVv + tFV6rdtk/ZKNKvEwkp074zMO1e1Qx3dOCYgGljaOvuvE+rUboDWwQyueBlvXnFJKnJgTvEivmaqZ + ahyOOQ5yZ6f+tavZ17TmdNkfwJDk0LHxkrlMJz5TcuNsj6GB4nSIgWjHAZy4EU6l18mqt0csuih0 + dQK9bol2BEP999FE9nhkaPHDtqy1fasVqJimRPWUKwYU66J6JjTZitXDmerZN+N467c2428B7d+H + tksae0+ccpzHHvO1i7j35wk7GSl291Gva4lCKfV6Az4Nr4d87ERhvUD1earlb5v4Ge6WIXThkaPl + OJCEDXK4m0Sx7bQ6Ul+/1lOXehkEipNoC4snz1M2KsewQWCmMglYk5fz6wM2toRvA3CJwFyKNJSZ + C7IsxEoTpb6U885QT/U+av8NKHjKAPYjFwig7tz5uNRYJO+Lk0e1jZBD9ye1L3c6xQ7y7uPzyGxp + 6HIAUM9i6/bSDq09ynmzobVxYDvlL3fe39y3cQ7Dc+TGHdd0ho74qnEXgQSNhfpb3Ytbftp9ZeQX + p/xkj8W/Ds3aT6pngWsqyf+GFFEwTLYam8R5GiBRHBVMepipFgDdjreD8pZIIkSkF16u1dCqGeBz + ThMlaBRHELKs6ocScj3TLyx6QzmolCL01sphbt89rOiUF27vjzl5bFiPcrXqU0/7MRh+RKcB0FbQ + abevJ2yDmjpif6Fbo97uQf3NOI4B6S7vyq0nb33Zwn5Qj0nH3IH89cJw2gCAezOTAKoVwBvZjUj5 + GFN4vNZL2Ygw6yI8RgvH+v8qz3myPIvHa/sdhoKwvdaTwwmrPKmT8XeyveG6ffy2L5ivaYNqcfrU + vrp+Ete9925n8DV9bbAOqSfXLrpnO7RuMMNXDLWCjG3vWilWaye3bhz1o5t1KiqfysfSa32suRKs + sYJTrfQ60XI6wuvHXMR200V7fminwN10R0D4IZnqaVzoYkMUZNyxY6tvWdPCm8FOQBeR7W6SoroQ + b13L/hBV8Fn0lPzNTqeOTwIU51l1V/tSqZGlaujPZKMBNKPh3HCllfRFOOe4S7PopYyX09zU/tyh + DQvTsYw8oO0AE+6OrKHtezpc6B5pf2++f6pabMC0GWkp6VvALiBxC3evSISGL5QInSFEfguIHM+O + dxQXf9l8HkJe/JkYDVWwnGo3bSKBOLQBRIf9tVk9POr1aGX50E26DkE75pbMrVkzMotTuEA0VNbf + 0uQTDaNf1ZNSVaew2+0DOwy+UWlBxs7WAKHLLTod/7UKz408a2D8fad+j7rr+322wgv1DtX5dfkg + m2Yo04tB7PPgwrBgFKm8BPOlrMFoJarVTM2IW7xY//N72py9bC4OaQ2gnkv4zlkAnoZdhKGXrh7I + Xt8b0roeDW+6tvOIAWh8dWS51P+LLR7uhS2Mom+vstu1Y+mRqiHMeK3/jp+ugrnGIaU6YPWSN+6W + spOBnjKvjtU8XlL069a+ex3SefjJaL9bCth/74lpa4s9owJ2cve0W672nreyFzjgIu1ianna+r8r + vfaQ8ni7yCWKCK9ObfFWzPVrnRyvhdn4BzMAKvUKCgG6FgWFdAcGuoOMCURirDvuzSIx+/1pAtBq + t6oBisTlpOWkBhCl/ZlcJ8pp8BI8AgZ1UBvmRdRHEgxbUlstaJceb5a1+xdPAmF7VnVNfxh4Q8Ck + Dfz6z5WPjQZcL+H7h6/99B7mDer95vbIqa/ptfc2W6ORcgBsWQDrVWLrVHQAMIOVkwJLQM/N4NwI + rz31Hde6JJ+Y1Lx7rUWifKwTiKGAMEiKteVaBoA4sgyzGCmBiA0wE4u9QV+O7nS+ov5QZBHWkTL0 + duE8UlkWQJ4yZw3eKDs3rQzQZBY1W0NwhcFS1tSz0fk1+rtGxNrwExqOcCZ4rHF3ckpMPsJpAiJ9 + sVtqtsd1qne7WFXc+h+qoteZriUmt1hDdfhWwd4Z09RefER7FIdxPTx3cVZ7eaUDQE/ViTaW1yI2 + ymijtwp76rO7AvakoD6cDnvr+lpH042q+xBHOXTqV7SOvlKOI1YVtGO5u9c2nh7vBmk4dEybJ4cb + 24f/rrc3XLeP0yplPqlHoL2yEeenz5XfTqRbXN//dOGaxXdDw/VB4PQ3re/g1EoaCNDGeyUgtz10 + OqxHmkN7QJTCMSdgHs57GN6xLtvCgDTsNdkG3DcMZGfgO1+jbvHj3xCuFXSjjtJcG8UKqYKNsYm6 + dbuleEaouHLLNweBQVWH66r8tWnXIVr0Y3F3O/rydxyfhkQKk266M1Bs4dIYSpY7FJ4B8kMpRnSt + nxkha1AFGImmFFUCJINJKXnUba0LUaFXCcdwOsdoTbc8uVc1AyWY1Y3tNqAqZcZ2gpexvq1+HLUb + G3wZKFyre6TTUNz0rqrYyQioJp5wdesXlApsj6TOGBJ61OrJv8VtkmPNGGuzoY62+DdohwN0HT+L + uvW6tGZeDOywKuWF7qazcwJgarIoOPFhnlNJQU2R3f4aWsflgxWOKW59jAAAIABJREFUKs/N+mFv + cDX4bslhny9ZqVJCY1YvOkvqMTXCrb6y9wqhMFnqtspps9LxoA4YvjeZUVEyDB/e9d42ymDiw3ym + YiZmCeELNVBKzkFVRFQSTRQslfpervnjdWsnks4ASzBDF4LUWKykOL236cw16J7Chqu1P7FhI84o + SqD9wHM2oMYoyG8c/5bg60ji5uhy7fq/FpG2SBLs8fG8t8OhWXVoQTLUUWAdOMFEs6rN0xz0Ud6e + ziZLkiEHHBgJYCv7is5pdSzF1IlF7B5l+L1pNgDqCRr1gCI83pJf01EZwOmkT3+5oVXqbpbqpkca + 5knDq9svVLDUXne47NDt+ECBibNr28Pm6reV0C2s50lUGOwm+8dO/nwtOGLl6/NAjaQAMaCWNZMX + IqME5mnFChiyHGjalcwVk+taBKtgQA2y5rxO52eU+JBm8QznvHLUq+zUrdrZ/gRPr9Pu2o6Su1Zd + o6pYcL3FWip7DW9dh9uPCfqm3lYcbz1aGe1pTx3y2uYGlUGeQqAfnJXiPrEe/Yz2e9+pZeTLOEiz + 2sO+jBtp7FrO0O750x7ozcNpa7A4bgtRzXZUUwxQWAZNQDot3mRtdt0SFAV4BIYVqdNlbb4m5tvB + yaxUJAh1uZSGm6jzW6cZ0AQ9wjKI1SaeMREASFaBTrPzmM5vCwNlN4/KYAW4Ag7AHKvOClpBqMbZ + zKSA5ooh5MEGKUVorQhm0oRe82cbXOrFC30teT4prE4xZcgUggEQzODp4Fc9STKMiMTllO4E2juZ + 89Fj7JVbxzpPR+h3xK3kQHAfdVtEhRGhlBXmcTKbqW1H8xgcxtFnLa7RvSoQ8ah2/6H4Irg9TJue + bx7CzrA3Xy6QcZFBaaxANBBaZHhwqmB7XfWbDkUp4rc6Gu74gwfeNFt4dN2S88rvamhbMO51s9/x + NZ8rq/WfSXvDdfv47bvTuZu+3pOjnVDzIOXao12ulFUPrPWPUXHNBPZSd6eS9c7QHFOp1urbqsrW + 9ze+CzQ15aSdMrqdj/c9Da/W/25ovV72OKR2+szOOl7Ln3feHeJfwvMxCJedV0IFNNREbw2fe6Qp + 8WkEwgnvd8XKGR/3lwlxEil5pEWUWujT8cs06yn1FclavmHjXltxgc4rXlF6qzueqPPuFzAwkFcc + OZ9NeSpRF2amYkRkltc8zdTipaIUlQttK2PsYes1dADMLsrKUcf9Y6GJEpL1J5jXsVWPipfr3Rl9 + D4D4yBinUBt1wKhoOXjE4t8uoaQqKyWYsCgBBIC5lEVuqoxriNbDmmijePU0S8WSiMc6Ed7Fb5Xl + pKafbQDVA7UpOIn6v19r26xdD9sgzQ6sClkYauCrJdM0zQxWQLOZ8ZSuNwUea7SdDudGDvWAe4xZ + GAJqNrJf6nDjtNUgmNHYCczayIZHaKjXXN900sZDzb1V6YLb3ohT8clXbG9k1Ty7aXhNwJUOjJar + Zcnr7WeeBoEUJpKIkFw/rJm1Fom7pYLoNaLkdWqdQhwiPJBRQUqAIW0sB4IyJAJzqNnAGzZSdd/R + W9AWu2PFe+EMjWAeIQFrfWKMKzXkPwc6bGVYB9WdPNiRX5ZR997bPkh0e7Fft8rnx+mNf0x8ABO5 + V1YAtRrAtB6PF3K8dfu2G3IFdHF6Rg1iQrsVtHkqV76X7btA1Q1XbJde2zB6uUS7Xzml6vHGzqhu + /KL/e7IXsOVvA8bVnzsI2SGfU4EVq3Bn96Cl+sj12uAuZ74WCt9Vi0+csHh4dC2pgoEMMVtIhdME + hgqo29XvhlXda3UCORsRTwyYmGZAYQJVA69GmdM8zT1pjEDYnSPtWxDXybF2XYszuQQTtnFrOV/j + 2q/udrzz5I4YLDzTTp+7gdZ3ClJbaF0RclE0vJ5watOiKvQZbIYOHvtZhFvev027rLS6E3j7Xbei + SdqYGQ54XA7VW903KwXFi8AwsfJrdf5S/BwEtKGc70BI2nj169Ss/W9tHyZm6QumBslAwmQGzbAF + nKZpWgmiyNkSz9NkhZ+MQCMBTZ16LI2fxEy4G0ogQl5wfPDwrU/NPBsMlrPwXKrcguCJfQpoWePu + IEfvapOD/toA5JMZrxNsGkW8ABmWH17a8XD+VILAsulEnByeOtawar9qh7PjJzacxOr1VBH8REYD + 5FFEezmI1GoplA9coyI9Wau84pEwr0ShuLHajg3TfmS31DOa7dMeamXMxC1KpUy+/Om46hg55r++ + fq2uUHgeOjW1DgoWy+f/Wa/HepkRK0GHT/xxnMCmX2vavfro9v+VLvja2xuu2+9VC7RuSOZepAgH + Ia5GnRZ9LhG6DQsCYAoBlJFgk0sfIrUaY1vySpv7piggnZ9mGxrZ6evRxvx66i9w90B8qvCCXa1r + n92cPEPjL9130+Bh2yEkqqeTlJrcPLyy/9Z1A8GGyHfubcdKNZSgPt/ZCtyeGrppq+AKS9t6KqCu + QqwbxlZvtljzXpKNtop3an4shdmJx8V7MBhxcM+2ok0MavHb+J+Jywbr0BsPAKT+j17rg0Lz+urL + X/n23fX8TfPb3v3Ot08zgQ2mag4wrlBG1R3dpdrFpvUH5VbXrRexKgru8HVP8nKSSTVqMVXashr6 + sS3Gt9OsG0db/h37gLqnbaS0Tewi926VwKkGSzJMzUygETUaXrX4vp0NhN5uSo+SkJWyNrDo/Btl + VZ2HtbG+Po07tS8mWGikTSuLJl0SBCavvHr3k3/zxdtveed73vHW9/7g22aSJ9UCaLOErVnhML0C + uNE7T/pqL9fXC2027OywdYs+nffW2XsH45OJ7Q/i9GpBSLTvDhNpRBdPmoDYCgug4V59M+LKT0uR + dJ/zX7pdlRNA+ZAu7t3/whe++vD+8XDr7Mc//KHz27cSYGrBFsyMnIEaKlxKAbgtE/9et6DYYqP2 + +S4FWbgzcbvlaLi97zHc4dTdCTN0+uCGG9w03M1D1ICJwTIk57on749jpQiG2ZBG7WRvYPuivP3C + fc3u7o4BnuEeR5AFWKJyKACYPf/N57/8tW+cP3X7h97z3h9+z7vVo27NS9Nsj9xhoFiYuxkb1wz2 + +9GqDjkwn5u41rVtxCzrI2MewdmuITArZFAEtFbFpAc4wXemrWoZ1nVZJSr1wn0jNTtGSTW2dBBy + KGMJXciw0Xn78KjynUZFjx/z98TMxgYC6QDeZuvSncItIvLKN1946fL+8Sy97T0/9I7bTyfe4QAo + lFA9Y64Mx2abAaZMOjGwHu/fv/fCK3cvbbr11ne+94feek1aSoHptpgrbVTQAoaKNWabeVnTbLrS + /t1puxFxmPCE7HsLAzu555x1J/C/8t4dNzTBSj0wCrgWxNCi5zSWaLvwa6jVUcQuf653Tny5lcp3 + ZAP2b21P593lvEV76O9VwmsXOr1rfz/LOnVh6KrwAev2fSnO1m0POpC1U3KenJxOhlSJmYDw5XX9 + Ff7pK2jwMn/tti+Zksm3X/zO3Tv3lmV5zw+/521vv90oq/XHQJykykBJkJoj3qbhxyEOcIYq1i9+ + /uuvfOuBrJcf/tAH3vnON4FZhEyRUhBLVxqN6pi5BNlyW6Jr5r938ZRWO2jRHry7WBQnTxI8+M43 + X33xr7780uHt7/+JH/vA0wfMKfjD6Tc6tLRCCP2mABUjfU9zIdSLvN258C9y9wfK0lBLQOzR2Uq1 + nCF551pY7TUuK8BBNteSJBVrkkt4/j5/osD/eu1mhc0KPz9V5q2wpWBKBHg1eN2KB2vPd3+ffuyx + 2wkTi8sEmzZuhiZio6Di4IPqBH2K1bdr+d71w96FZM9RmqZRO94jjdfAfr6v7Q3X7ZO2E/waNZ3+ + WtW8Q0IAzawjEEGh5tXLYYS0rfBMZhBFbmVCGJ5c1X+RQDyG3bU7wd1KVN/wGlWuOe7ou8csMsp4 + y6upE7qbrbdHs6X+SRp/6XRECiXEtkMuv6VOZtPpzJ7k+5vfr+cU1D/cQLcrWrvuajrqVmTWd1vQ + cxFdVnfwN071zhLgDoDhn+31LQ1uqfGFuGUxJqgXRChYkrpRAS68+z1npuJWHiZAWnbXucO6OlQi + WJQIyMevf/WL/+4//uXF4c0/9BM/98u/+FNvfQoTs+RsIGKeDocCLkIH1eJNbqld1kaYfWo2rkKp + n0WlPhdAB+vKKzS5UsF0Dd7YyV+nV/o/rEK0U1HIZavB2CvdEoFSfy5ZeTgD6KvCWTmtoIvN61Ax + Hm5nnnTJ1uN8eHsYSMWFQXUid++ecLdx0l0g4cmHvvtWaYGASNyjtl3cW0vHq6tZj+mpA47Ls88+ + +9//D//jD3/wJ//JR3/2N3/9n8y3Uv/WY7bdR60NaiShx5sLit+2v3TD0+W3/r+K6r2EocY5eiuE + hn+uUbK0BC5zYGigwMYW1VJ5LWmvIHaj7Cy0dp7JKP1sfKMNxXaetBdeeOFf/E//4nilP/JjP/oD + 7/rBd56fpakRppqBQYHYBa4aEZd1++P1V8Fu6rHOOwAZVET1lhmaZ6XDA9v+dkJJI1vZ97SUd3rt + tH+1Cu2e8w/SK/Z+t4/4ayeqTHfPr47lYseTT3fGs3m/R/oKuUF36X4hAlsp860CJsKESLTUbIrP + fvozv/ev/iAb/9e//mv/7X/3z8onBKY1w7fjC04+6rJjvPU9wKKd9ljY2pP36Qv0eIoWdr5kiJgy + qtWfdhjHZpi2wVBDV6IeQBxwNIq1Ish6D1jUDEKrlVjpmXos7cddHSPX54JZcep3NNBwvfcmFNa+ + VceuhdfNsLihbQUn2KFE2+8Rgw7pQLLi4YNP/eXHPvW1r18ezn/p137tbX/v6VsHwgqognnTbR/k + 5NBLE3kWNpsiEZiP9+5+8dnP/fHHPrWk2x/4yX/47nd/ZGqq62Z4W/O+wPokGWxnoqfub6siqvFF + qwih7maLK4/NvncetM29E5k7fH5LNI6BpaTNllP3VUeL63mYU5srhchuz+/zZBs+9KjslG0bHjhl + AEYDCMbnes9upz1sZ7zPVgxbRXvov4CvRt06qieg33eqke9SGQUGiDwZ9z1ZafTaUhlrXSljEBjM + NQpfMBGg6/HiM3/1yc/97Zcur/I//ae//qa3fnBOXSmwRve+p74y1uLgO1DJGu90sOSl//Ka/83v + /d7nP/PVN73l9q1bv/Gmt33o/PyAUpHUNKx5G20t/0zk8txYif26ex0cN4u82dWoTHoDewXJyy99 + 40//4nP/8+/84fv//i89/c9/84Pve9fTt+d4lCzyFHc0FwvJu3Xddr9syMIACrMsIjUqCkfIbVg0 + RUx3DpQ2HWumc/WR7roLH91oLOPrUz4NkEev/pU/T2Yc7/uG4mkVyNPmHbaf7Wr0XNV463WpTQjF + LpT3P3bjaMbeNoOsor8iwwl/MIKm3iU/MJH+jJ2RF+x+9WTYds003UO1H6zeSKOSWJcM9MQ86PvX + 3nDdPqLt7OGq5jWnlIhZRZiTOzBVTFU5pVKpDmKmqilR1bFIDEeqoaXZspISSKEEZeVqSZghJzEg + Q83yITNsDmurhiW6PDQjIoBElYzJnTkGNSUXViBVU4OZpUREZOqvwAObGFHMMJikEUwtK8iIiYjC + Ocjug0pqCvLnpBgA5GMWVZQShRuyyRmATVPsfLKTqxmF+5cBzssqsp6dHcDo8sc71T3sdXKn3+QH + 8CpEJE2JCKqWmEx1Xdd5mjgxQFA3HtjPLOc0hSODGICZK9RMBLVYOy75TuwBIWahORvMDFDivgrB + wGVVQaoMQarsulbHBUxdi1B4cYOaGW9Qol6d89M8EwFEpf6UwGAyYy7RuyrU+dAVX/viFz7xyU/+ + 4R/94X/x4R/7xX/8Sx/+8E8+M9/mlTCFtpSRxZBBM00MkI6WkpmaRmKMCHPy/Us5ZjU7nM0+EQXB + D0QGYAIlP0SoBrfGP24d5+M3vvalP/iD33/hcvq5X+Vf/IWfUgUnS1MyIgNMlRsSURX7Q0A1WZTl + Ndx78fk7X//CN1944dYPfuAdP/r33/yOt94qROePEgDJuLr/0vMvfO65V559/s5P/MwvfOB97/2B + Z1I2sEpChmVwLISp5WWZEnOaHCEVUaGXDLquEwETVJENCZjIYArVcJ9SF6xWK1J0WItwkvAKZFnO + OSWPYy6oU/WdViTBKz8nwGTVTFNK3SIV+icCVCAkKexiJsAUYgrNKZk/RWVobjqJZGYQkylAZARR + A1NysaeWJU+eq27qtiA4wcxAj7l93DczW9c1pZRSAqAiapZS8nGJRgEPNUymkRMEgNIqGaCUZvXR + AynRRARkHC9effXOF77y9fvpzR/6wPtUtEJuXVdVPRwOZmZmiVmDFafuRCkzQFWJiGsxpuClPm0r + Ut0Myn5yvTVHRFE4y2eDHs2gBChUTCZip4DqOzeYmZrkhLknug7rC2CpnM1Uqs8xYKowZSZIVs+p + rejADJ6s0OC6KhEdJmf0apLJMcQsSmABEIVlpMlHYKZJV9iKaQ4EVIBwcKRRzUpEZOvlyy9+87d+ + 619+/cU7t9/27n/2G7/59z74I8881es/1RihiuI+tzUDpvPMBQ/XxLi6uPzql796/+6R5lkFTpWO + KgCIfY/I+bGSGMRgjLnVsDY4R1c1JaaUksVJm4Urm6kq0Lo9RdGcMwHEnEbeHjSgieESTxBgVoJa + Nd6KQip5EcV0mGtCRFM0RQRAKptJKglKxCqZwUhdsoMooODJP7+K8sQRh2NGsEQ0VvYcNVWDwQv/ + mcGWnJmmoGjA1ArLZWg9HdFgEDEQEyVmqEANzI2tqyrYmKGWzYh5iqUlmJqZJkctq4eVew0fFVUC + MzOYq0dHyxqZKsgScV7FjKaJA7xEamSg0Ba0JIlYNr0iEiSjNL38rW9/9q8+d+v2W+68cm8VpITZ + FMeLZ//6s3/453/1x5/4zC/9yq9+5Od/7qd+4oPm0IRCM4iVkhCsbF6qWl6XaZocSTYohHLphis5 + ZyKqOGaqNNiApiKcUuU2gFHRF7XGWBfLNBbValKK33A8UhBzQhYQnDcDCHXFLBauz2aJLqjl4hhQ + ljZ5pelpYljVjBp1mcHIqpQTleO6psO5ErDaGWVGBieoCU1gqkHp7YAjS4CBWaml6zMAFa9psWYh + QuJJfecOkZrm0y0cMY4UV4EBaQ4DN8uSpuq3YgMsIwHsKo8IsCLBdF3zcZrOAtotPJIGf3C3vKoK + ELtQVRPJKU1ELCLMTEQ5Z+aUmEHIq4jqYZ77Re/M1CJPVY2YYE3fJiaYHK8evPrSJz72J//+05/H + 2979gZ/+6E/9GDIwk6LjY8c185RmJ4m8qoGnOVRZVyEYzARTZFkuHn7p85/717/3u+fveP96/vZf + /uWPgC1x5ilpXlRBidmNAqMIWitY5lOITMEGEXc7eU65ItQOExgrs5a4g+QbgOpl6MPNrwCbAguU + NU+Y2Fw6OXZRM51EiZjZkdnMLEX4MdRQIdc07+Y4UCj1JcLiuuacjw8uj7/9O//nxz/x6fOnnvq1 + X//Vj/ziz5vm8wOfTwwQRJCTHzRpBIFuk/plha5C4hyqrK6B9Ld/63/504997uV7+t/8xj//6Ef+ + wdvf8tRhUtopcxA2G1SdXmDm8q6OFAwRAYGYtXzA2WVwDy5CxcxU/aRWteCmhXdB1Di0cqhCFXNy + fDPkLOmABAHYIKpTmFooAiZ0YFlBrJMfIbAKKONwJgApEoBsmcxmX14XcIuJEZ/52XvGrC3kzkSu + vvblL/7Fn/3Jv//TT3zgx3/6Zz/yK7/yj35OBKaLmU7TDLBZqQiXSAVmSN3JeipQU2YyqMGYWVRU + 9ZCmvvKfhZPYeSpblnW5OBxusxeZFQDg+QC9gq4T4fOf/U+//2//w51763ve/+Ef/9AH3/R0pd36 + 4dp3JlwZJpWJvdxodWs316Yp1uPV8rWvfPnZz33+7T/49surxdIhg4g5WQRzeFtVhDWFoaPJBaTv + JiR1PGQoVC8ePpym6fzWLRS5UKQBVA3FHqPCuAjFuG5bWhbOc1ILzQLHo55joim0qsQC5JwvHzy4 + +/w3n6dnnnv++Zfe96534PbBNTZGUSANZlhXENl8oDIegrumTV27nnh22Kl1efUDQYRJ6TThE5As + BHBKvTwyU7GVmTxHR9XEJFtOc0pu5tcq5nGMt2vbYKbtN7smapLzPE0+wSkl8AQzlQxkTq1grIoZ + KKXqN6MspGrTROSEJcpMzKSqYCrpnOQAISARqaM1wNyHoxYigYkpUQIgqkTMzkcMapnrU6LqQjkl + LQcQOWsJp2URPDFyVVUjZuqyqqqXQ1WZKeZApKo55yklTn3IltUfPi2FmR/1R/XrooRwarvEPh7B + hFtn3oPC9g7oBtQkC6dEiUAQMTVLiUP/YYiYSJ7nicNzpQQjItFMDknvm5JpVjCnqBktilTKRuQs + UD3MU2ESRX1Wcys4AAjjcS/SzFQEnWr3fW9vuG5vaq42jKo6ioDt/+t0tPKrGlRN1Cj5wcfGAJvA + C3yYZ5iREgOs4CkQB4Ann5gZZSJB5wx2ZDOYqTtSYUYlqNMAI2MCgUxVJNM8EZGrPhY0nAwQi3No + zWCqZK5kMCy8ugZWQvK9nPA6kYgqkRIbEZsSCQMwcp+PGdTZJJEIg4i6CnaEkskWcsQoheUZ2pky + jFRm12yZFCRmGaQlJZwNE5Td8jDXWDRkmCvwgIDMMDl3cObGRbMjqKm2j8LCY21EgJqFmdXbZYCY + kRn7efYwgMhYzDQvaTqA2eGJYqWGveLmipZl6zNBRLIJT7MPK2dJBEpc5CtRVUw9caNY/2pQUoVy + JISSf9TTR90XAtOvf/Wrf/rHf/Q7v/3bP/X8zzz99re8933vf+rNt8iIVlMiSsX/jrQKZpEDlLzA + qRe6Igg4YwKgpGQ2qzHJpEcLrxQpcWYS4hlIplRiJB0LhyMOijH18N6rX/rCs1/6Dt7+4V+SVquA + TN1X06vh7TdqRoShiHwyvPTi85/+xH/8T3/5V+/9yV/42dvvOH/zW2+dF9JwM5NAUMjyza9/5WMf + /5s/+ssvLNMzT9++/ZZn3hFSxQ14U8kizKVSUSfzjKzUyjewujXsYlhFoKn5p2JUVNI+/Rjnjc4u + AksAJg2Hb4dkCmNDvEUKkGqsrtcGMVYF2MLH70SpICq1qg1V7yaPwDZ3gk5k7JLJPygmUSwCZKZq + 1uokGBmQcwY0/MoqUWtPYLJSIqOkFmkCGwXoumbm3wnfmdOa/8mhlgTIVWEwqsdlRDmnCJIIekhp + YoZlOV5cXFzcv1yne8c79x6KuKLuM7fwxBHVz8VISriJqylxnUhFUFwwdUhWtC4YlIwsotWrOdUQ + s/jSnQ2y73IZCbS6ZDkWgbaYUa3k2C42VTGbmCFuJidYyUFFU/qDDyLYAxX3JGsMg5jIgDVnUkn+ + mmngOJXuTKFigNIUu+eyyrJ8Z718aGeHswPNNCf1HAzH8cuLi29+4+t/8H//X3/9pW/+wA9/8Gf+ + q4++/30/fPupGQYyYQhBULzhPnk3UV0sFmNDoAJTyfni4cM7r7x6987Vd169q6A49qBLLykYojBn + geTeVAOJby0JUkmWVFVHrfDIu4fMQva4OYH+itmAh2aiyr6TBwDGELPsEfoaXjW3QYzirMZiYGhX + y7yohWZ1yatENAKZZAvkFLitAZiYhRJJ7jSR7FsbRX2X7OEmq2hiKg7cgtJBcQ23zExNTdVjTw2A + etUxUjNQRlRiAohMAQsnk6c1O1/p+/OtLjbxYjeqcCVbDaaW2P3nSlTGUyQ0yMw9hyA1U1Eijrwg + I6gJsqkZQUyJlUiBtGYxSWeHuWG+AZQzriYyYoPYwwcPXn7xzjNvni8erFlADNMsF/c//9ef+nf/ + 9vf/jz/4Mz1707vf9a7/0l23ztainEIMDeGJUhFNbNXzY2bupGPmMhXr4NwA48RX71Ze12BX3TgG + 370COQOvJrg5AwSIidwOJCJmL5JlBDOVELNmgIo4OP2LgazV/eIiTFXJt3Y7R1LZSaZQ8Crz8Gyc + otiaouwwtv3INa+i2TBVNk1mQIYZbKrUKgYYkgpsDRZX/EFe6qhsOilMxLKDpXKnWi4FcOevOUIZ + GAShbpCmZEoRYU1aogKyIhnSrCBTNcvZTOOD/dKEuuKs36CkWflAYEcJ31xOVtRo94lU2YHYizP2 + bTFVK0utUoJLPW0AU1CUrbFOcZR9YVOy5Pzgueee/dtn/3Z+F751Z71c8NQM6BqaAMf2rBlCiJtw + RHQFCNytxuH7Eej66rdf+vSnPv22H9EP/PTdrDBfLtV6OpApg9hKlkPfTN1VqwQwETG5T4Y4VYSu + yh7VrSpALNRK1DS9EvSciTLS5Ll9KM4D3wKprnoRZnP/hYW8MNrY09tmHU50dOd/qKzrcnl58fGP + f+J/+9//1eH8/D3vfc9P//Q/mGfAoKZQWy9svYQaTU/N81OHDLUUPmdWPUhsR9kGTOuaj3c/8Rd/ + /ru/9/889/LyQz/6kx/68fe/9U3nHeMDQGrqaMBIpormje9nUNLO/PQLkO9hEyV3yxpYRF3dL8E3 + fWGC4C+mxRbznXJFkXUgM4iolXlYcbW7suvapqqCPc9OVSy239xijE2jSOgzOHlI4aAEifjj0r0Y + yLeRoMtyfO4bX/vz//gn/+u//N1/+AuvPPWW9370oz83uzPPTCSErDsATZ3LUQ2QqJKoLm9x7Juo + Tm4nUqCc28yCZJohygrkFXYo5gmBEjjBclJ5+bkv/+1nP/PSd/Tbrz60YAdm6oAuS26AZtACXqHI + S764eLAc5fYzbz473OKJWgYHDMim68X9u3de/raZLMcMO6iUWrmj6SDGSvCDp4Ld+e4/1AC1wiAQ + WuwqAhCnRBXJXD0yMHk935WJUtsJtKIuRk0J52QGNcxxJLXFfhggsDXL5dXx4u7du0/ffXB5saiG + E0BNCUqUYRyIQX6C9WzmJjQRT446EdrCk4iaBxw4xpmQb9TqfZG6AAAgAElEQVRY0UPd7eZgFqg6 + 6DlkC0ItNghAplAyItOi3HHsNXSKtaqpWezOkaiFyo9qpHdUXEBEMBhHbjQIlkC5HB8tBhMzgBnn + sMljOCy0qUrqFs+zApP6SXehndeJ9l83gKDq6aeB2O7UCZKPh1VWM+EpcjDN3SZFpPr+rheHyqop + IvgK1hBxyL2yF2UwWFaXquYAI7LOLHKnUbhKvDHXEnxOFmSk5IHA1ieHBhMjWeEh6ppAnhPZaSE+ + Di0cO8i65s+MrNGJSpSZqGrWbkV6l2HRQ8Uul6sHF8c0n02H88PZWZxl4/u1ZiKSuG16qCtcTF0M + N8ycR7p6FVoaija49Qh+P9ojc2H+f91cJ1NfRSBQjng6zJQSCDWAAgAnmqayNYzgiyVj3FbTJWeY + wvdr1TcPJ8ZBkQxzGHUrsCjEjw/jFSaYGDOmVN1IKprzqqaKrFBmZmNVY2Zi18AA1ZxFjcQoixos + MaaUfHfd44WcCWledb1yew5qOYsowEgTI03hSmIQbFnWqyUfxQwswLospqKSZV1gaip5WXxv/Hi8 + yuvqtoQoRGHAlDB7CFh4jsChCmpe1+WYlyMS4fxsVoHqCmTQYrhUXCquDAtBRZBXyIqJkbgULCUc + 5olJCMdEmaDMfDg7E9Xj8bjm1ckvZ7HYTgnXteRsZsTMic00ryso0sujEXLOalI5JJDAs4rdv39/ + zSuKkbBK0YIAThR7wCrIAo8HLCEea16vrq5U1LnH5dVyXBWA+VZ8H/6RyHhac/BPlVUkKyy5UDaD + +XRS2XNTqLz00gvf+NpXCfbqq3e+8KUv3713X01poqurh8tyxcCEA1NSwr3L/ODiQo6XkCvoFfQK + tgBmPK+gDFJOV8LLusIyZqMDwRbki7werzKu3FnuFhfBowJTOWxNw4tpYMP54dYhEUHB2aasJWDU + 7Hg8HteViKvPtir5QAcKl6xEicCMF194/uN/8Wf/5l//9sc+/ucvvvTtZW3Pi+hxzWqGREj0ykvP + /81nP/Onf/YfPv3Xn3v+xW/5BmFKDAJIoXJ5dbWsaqCz87M0TWHDSlbJXAJqp8OslJaciWhiMGFZ + liwKj3aJDd5iMBAZcdaI4KbCDtblqAbGfDY/lbicXasCWXS9UlVCIsxmJNlEBDBMACsST9PZmi2v + ApiHV5jRmlWywpAYnFLoozDAmJGmNM1nxAcDr6vIKqpKDJF1XY9pSsRspiJZJJtaYiJDXvXhw4d5 + XaY5ebxxXo8gMtWLi0tRAZmIiYSn4DG5qAGHwwHAuizLugKYpklF3GHqojA2VcwPkhDXsKY0pzQV + UU5ENM8TJgZEjheq9qa3vZPO37waSxbkBZLz8cjM8zwvy+JpEKpKgEdiikheVxGHRpHBZuu6ruva + +WKo+FLJ1R0RFXGfoIc1lae4qqlaLXoDMVLiKWfJoiCoQtQts0Sc/ASaHr+ruSFZjsfjshwBiCCL + 67QJ4CwKIp4SAKTE8xzRvImRGDARUS+yI5hmmiYYcHl5tSwLTRNis6vTijx6Yl0kZzVl70fXV1/5 + 9he/9KW/ffYL37n74Op4zHlVyUSUJk6JLx4++NYLz9+78+rdu3fv3ru/iilIFGuWnNe8rppXSA51 + ygyAiK5Zl2ycMM1swJrXNa9pTsfj5cP79yHGygTehh+H1e+cXlUFjvEGHBfJ6yrrsq5ZRM040TRN + AC4uLnLOVhiRqQJw+Zhz9tX3FV/W9erqyr388zz7pnrOObuNAgDG0Jngop0nUJqaKaxCnv9NWDOW + LADSNM+Hszp6yeLOWYDAU0rJPbImkpiz6OXlFRETJzNTzSrZ1IgZlFTs4uJiOS7z7IEFsiwLAKhe + XjwUEYBENIt4eGB1XhtAlAikKpJzbHjANAvUmMCJ1ezquBgTkke/GRFNKSVOBEDiGK/q3CQGJw/a + UMdvgHyLGp0BmfN6PB4JqCfLgSlNE0+JPBoFJGJXV0ffe1LBxMxKx4srZprnZOb2kijk6urq8uIq + 0ksNmqFmRllxNF6AZV0emtpZeor1YOpbyJB1uXxw9ytf/PwLzz9nRHfu3rt7/2ExWgx58QheKhwe + 7uBQS+7xL01Vj8djzrmyMvfP9r9XX23OuSYWiIiIUE3V8R9MHjSnIsfjlTiNVFpkEpV1ze67UMXV + 5dWaMwiiqmowy+uyLotITokBW5aFiTwQRBVZTLKLoDp+OR6PIrmzTlGivlwEtthnLin5LsIl4+rq + 6DTARJyYmMzs4cXF8bicnZ25mjTPoJRACs0o4SouxI8L1uMRyxUsl8huiGGpIt4MBM3LcrycEk0p + mYIZnEATNMI9jUzYdCaCgxzgCWkGAZoB1XmamMx9FwpSUJqRMy6uLs0EzMx0eXEpYoezM4cEV+0R + YdSG32Bd796/k/OK0FC0YKya2eFwULUskqaIipjniTyQCkgpzYdDrLdhWddllaxFBzQ/sgKAEFbV + vK7r8ZiXRUSgYkz61C0CLpbleMx89wHdvwAlyHq8vHiwrkdVIabDYfaEP68egpJ65h65RXBxdaUe + y8x0NjPJ+uDevYvLZZHEE6YDUkoQ4cTTYeKJzUzChgdTBGs7XYhgOcrxuC7HZV2Prn2oK/TESMnM + SpFj4tQK7zcNrHrJxbmpLaKKQ6JDxTdmYo/EcNqqMXtqIDBTSiyq7nGkTZm2TaMau16wUB3HRU0A + W9f13r37z33jm6++ciev+fatp6aU8no8Xl7c/c53Xnrhxa995Wsvf/vldV2ziOf2X65yebXouoCA + KU3zjO5c+8uLh88/99x37rx8cXGxrOvd+w/uPXhYNuncHamAruuyHK+W46IqwSp9JmmoCRtE2qhV + vQ6vQybNvOR8eTwu6yqqReUDEZi5Mp8sOS+rg9QsVDU/vTWv+XhcmCOImQ3MSCmF5wQEI1UVMeff + U/InCWpIM1I6HhcnH4nER3KzdTUxF82HuWwMMTNEcVzdHynLcvXqq9+6e+fl41EePHx47/7lg4di + hmmepsOc13VZjjBjJiZSEVfQ1jWLBAPjhDQxMyVOzKyqnHiaJ9FcJGBoSzPA4Ax6cLXmrNP5OXKW + 46IrmGCMK1FNExKTHvXi7tWD+8vFgnT7cB7e1UBCCzVPDGs+rusVdCHI1eWDr33ly5/85Ce+/a2X + j6sQIxty23uyw8zP3L51PqfLhxeymirlHOZNXuv2kaddHi6Pvpc3MRgQ2BEkiAIr7NG6zzz99K1b + 52p2cXFxXJYQWwZxDzOTS+qc88OHD02VUskHKtIrPHwMIhLLghXA2YF9f85FuckCuRK9MstZZEpn + T99+U+JDRU+DAQI6gi6JlsPB5pl8xzyvuixQIQInmuZ0mHiG0XLE1aUAidzIWC9FL0GXYhdqK4Cc + 1cw82UkkXx0X5pmnyVCiSiTn5UpzntMEIxH1NMFpms7mQzipycthEJhF5Hg8rm7aEa1rzh7JVTzW + fZsSnx0OHnY6uXatjmyJpwlQYDVcCi6MrkBHIKuKZKwrGJgTSCMbb5pSIhAWRiaIma5Zcs7unwGQ + szBxSlMJaDXAslth7m8ysKuC5oLLfaUKXUUyADVyU4KD3iOGL6xvwprzqq7bM8xkXT3SbZ6mxOF9 + JYaZHY/HZV1ElBOLiltAvut8OBw4samoShYXVVeuCFHxXDLAiZEMcjRdASROBFZRApuq5SPOGAe2 + vLgOXPWhFh0s2XI2lTRNxOy+V2aeJkaXzpoSzfO85nxcliwS+ZqSCWVHL+JeBNDv3LnzqU9/6tln + v/DSt75d96dWcaN4WtfFQiPSvC7Hq6uQna4EEIhIVHPOy7q6+kcUlsXxeNTHN32/l+2NqNubmm8z + V+3atBYvp1Lby29As1Bi55WiEDVK5NYSAICJ0pQ4tCEiEJgoi+REtbINCJidU/uFxDhIyWOEs7EM + Yp6mOfa4fTtAEf4FKFQJnIgO80xERuA0qaykmhJnFTXmxCIwwhmDmcKXLM6sJhfTUCQTWAYMxAqa + 5pl4EoYBiSaaDwwv2+OBjK7FWUp8djgQbzQSLKuZ5MSUmJlJszBH2OA0caJZNNzXauqciKKyi6Zy + nD25qlO0NwKMIIpjPs4HYShAYmImidM0HZTZ7XYQhbbipsWaVaUkPiiMU3A6ZIWqpSn4U5omz//L + +UjGE8+gNKf56dtPpylKPbrjUYuexLrOLMQE5cAWomVVk3x2mKfDgZKxhyuDzs5vGZAFZY9UIUpE + SMkIC5AVDCSylLjkT0ewkzug3emegMTARD//8z/79K3zX/7H/+iZd73j/T/x429/5w9O8wTF+a1D + ZsqSNU2+J3h+a7qlT892BT2WyGFA0zGvOJ898GiamTHheIGkSJP7oN1DEmmPkdWmIgKenY+qQnJO + kyc2CY4XVxf3rv5f9t6sSZIkORP7VM3MPSLyPirv+6zMyrq7q6fnwAyEC4AQrAiXS5AUvvCVQv4n + CkX4TiHIwcwAi+mZ7unps7quvO/7vu+IcDcz5YN5ZBW4WOCFlH2BP7S0VFVmRpqbmap++n2fFm/A + 9WwKpColdCgX3pfK76Hbu9hQOX2CwG8QXWWgWBRbm4hzlpS6M7pjIqW0KGQbR2xaur69ub4tFsFa + mcgDqYfxVmd2UxTHUaq4guB57714H/JgEJJEnHNxTnulCJSmZc2klIqjiIlw57VJ4q0DBKyFuMJb + y0A9RYAiQyZ1zjMZVkFqT96BAQrRD4HSzEFwG9JoL7AOmkmrSEeBWM0kQgwJjY+sTxm+2Iln7xlg + Ci4cnFrAi9ZGAR4+TRIiMlEm1WcmrZXz4rxj1kwgxVX5qiDBkbRM4rQxICKt83lObQrxbHLvX0vl + 5/8zTyi3w5ISkc9ExOJFQonASodcnxns1d0tIOw9KGMDVcqx21K54IoUOcPee3d6fllT74V0FlCZ + dKS9UgCCYYK1ljOfBGJmQnAlySiBzBzyJaM1iJgpQGNKq7JNwIhNLjvBKjAOJU0d03uWZqhhK3UQ + gvuC856YGKxNRJ4rzgphLB9BBM6KD/pxAuBtUixd5QuGdawUR0SAAUEZQCixCWvRUEqxd+KSFC6N + FEMrQFAuJdZF+SqwDj0JV6FFh3cUxbnssIYejNbWWks61pBymW0JxijFntna1JC9Pj/5+quv/+Hb + yYMS//t//9+8mBhuam8kZB1+AE0NDc+ePP5f/uf/aeO4yLVtw6Nj+YImhiJFXpG3QRefNa+98wDY + cCB1hnfrHRGxIkDyubi6qqBIsbhgC3FnjnB39iUbZBSWkmEFzkOEWSnFpEh7DgeaOAOh7nrjTOSR + OWMEaDJ815CKhf8J2yBJksrBZ2GqcNKEUGYCEGW0Lg/xXmtkdHBBFrY1BNq6VCl4SJp6YlYEozho + xAXkvBfvtFaGvFdEgNYRsSaVqW60UuKdc5ayu1Tl8oUsT02tiMtFoT/MhXzeeZ8kic7sJyAVKsR7 + YgmyS11x5bIgiPU2JW2YSEGxUEDxJHVWCSs28BkrmyoUzgrTAiHXScu3xjAUe3EimlhJ0DYygaC1 + VmEDBG11xhnytze3zCqXLwiIlY5yLALnoRneehZfiOPUpVZIR+yk7CllmDgXc5QL/KLg3QItDKFs + /a31Ag8FA6e9JeugI2ija+pr/u1f/tm9gYmfbp6PPv300fj9LFEKrQ5X9q5cFEJsNGATiRSU1iQM + EV9BXZkojmMCnHMBpWXmYHqBTKb3npartbbWMpE2RinlvU+TRCtFd1BT2D0gZo7jiDKYWCoGL7FS + KjhuEUCMKM6xYgCKOTDiTWXbFW9vwBzFORCS1CWJjaJYqQpHiaBUdmTj2CgiEZ8Wy0oxGQ1mBy8i + hjLrrtBTYnWnUMp2SkZOobvIDBAV8vlAcSlbeEaUlUzvc1hU/m1koEnBIVw+Ih6xyaA9VOpnmxJJ + nBm7SMYak6wrrBkRi+ZABbIk5CFOsvyYs+X0FZwrxF0dPoOJoZ2xtmyUg1L5fJWIt4kljkOEcS7A + j2DW3iXWliNFynBNTZXS2bwHpXSIYMzKOXdzfauN1joLNyF7CZdS0Ppk8Q4SyvggGAdX2OrEgIU4 + KLBoL6y0YWJGwDt8LoJWKRsVF+qrGzpyBVxeuQblcvkYrKgyDsM5Ie8r9iehK0hCquzgGfl8TqOM + 9BalkoLkjMrncibKC8eJqwhqJMh6BFCKldwZmIUcMIA8ADMio0QUwTN7kJAiBeVTS8ykVIB7PHsn + znhSd4MzSGeDLr1jIngPm0AbaMOccxnXU+CceG+9gJgUK6XEi/dijKn0jCos40pLgCr9hwrBpfK5 + g0dEsLG6o81TCN4grWKYgsdf/dVf9fSMecHPf/GTutq6IFyAZhHz2Tdf/Opv/uH6pvQX/9V/+V// + D39tnYMXZlJGRczsbeAohO3uncCTJuTz+Y721v/ur//6/tOfH91GH/30z3v7+rQxIiURMZwdoUhr + qAyIBpFA0nKilSKt3h+67I6o/K7isrIHwqy8R2qhTQSAOVPHK4Xb21vvfXV1FRGJeGsdg5QxxJkA + OkMfBUpBGaNUHkpRcLKoMC3e06i9E4LRlFoIwaiM3welw2UeR9oBPshcKj0pApgUwSKYjSYeISpW + kDIBFKhQyD2cGNfu3w3ef97W93R44qeFgmKGS9OkXNLaGG3uVOTM7JwXCQE6451mlwYJEbxIOSlr + rY3WSmsWDQ9btt6AjPKwABNUlK+KQ3XDUKSURuLhIJ7IEbECIs4bqcrFibmXenN5hbgWmkVYe3D4 + /IrBCsxMpEG2eHX18ruXn//+q/nFjf/2v/8fP3rxs76BFlJ3ikPrkdo0LRWLNklzNflQ5OiK14XW + LA7kBFFwJOEojoJnghP/AWDpAWFSCPRnCSks5/N5AVmX8dDD8ULl4lVKFwpV3ru0XDZhd8HDWjCj + oqQFkyIWJM5ZIRXyWK0hHMiwpA2UJgA29aVS6isOq5UX7r1PhTyRYmgv3qbCHIWcKmhatL4LHIiM + 8lpBYK0VSbVhVkJwxJWWAWflVAgBzBpQIRAE/xJmxCYrahRzaHFDnCZopqC0ukMcwkJFsa6AudDa + 4I4weofnIKM0ZxeFvI9i4hGYOeEGceKEHEOx4oqDIQUOB1WACCZ4IE1Spa3KpBBExErTHaU99CSI + gr2UIyLx4n0gclbM3LKFyOpiL4A4Jq9ZOGAUxIB3NgykUdY5YVYEZ1NDRIpJacfswPCOARXKBGcF + 5KF9RuEXCOI4DgcNgFIaLCFJRgZ2ZTAtQwmz1qFOFpumjowjEgGz0+SgXMXSgsiTEihfmUmgLISd + CIshQGW/0V3wDioaDrd0WDNmss55CxVl17h1AZBHFEXOuUCJpcC5y/RoHmkCcdDR8dHRl3/8+n/9 + 3/73icfPP/3Zzzt7ujICTiAiZshY9v61UsyKlQpCnyT1mklrYqWy2tB7AKErz8xR9L7j+J/3+Vfo + 9p9/skS08h8RkQzhz2QVmQWLiBcPJg63WkCRwrcIwKGG0sEBTFIQB/ZOqFQ/IHkEbUkWuglQFUwH + CDx8JWCRgIY4KDFQQSUlJHjvOiJEpFmlHk5BB11eyL6lgv0hyIQCmUi9Lyo0KpaiyE5YZuTMSulw + vnxWzqmgLiD24qx40aE692CiTPHwgbdkhXQfaK3k4SoUEAAg9oo4tBBBBDICAjRg4OGJmZhCrZSJ + C7OLmCik7gKviHMCFqGg5WRFxMZZ6wUQ4Ttqp8h7x8oAi7MwKWKy4VqQu1ePCrDos6TEIzDumBUB + XsRX3Ooy2UKWFgsQmDzZt/LinfdaSKlg5efgUk9aabZhskSGJnnAwTkvkdM6ZXilPKDgFcGhYnsm + Dt5CSMg4pQXkIMEpsXegt/Ve09ijCa6pyTc35/I5ggdZRKQ9rPOcXcdgBaMIEvk0pWA6rBQ8lHhl + oVSlR8tsiXRGhgm2MYqBKBizZk52H7ok3G3luxPgxCfWpkQKOpdJ5byAoZRyzBVlYZalV8rPu2JC + 7hL4kM4zi1FZQ5pVptzMtnDWQg4Qi5W0ZJOSTVMozdrg/Wf0SBOwVlFVKMS9SMWMI5j6Ze/dA1Yg + DCUMJ0psiMFCikBCmcKUwzqAU8+OMwvpkCcEHZXS7NJE21QbTdAiKjOqCBCJOC1lhJyKFEiJhwg5 + YvLC3plAjEMgVhqQyhpLyHLn4OpA4gALAiQvBOvBnkKHgrxYl2qjWGWCJg8ws4cnl0kj4WGMZnYQ + J+KIBKGIBbNSkDQUqOLh5E6dhn/04v/jhxAgPA5kj4qS+sOvpYy9CoAQKMkZqC+BFcbEQpQKUmEv + wYzOs/gkKQOktSFWkOCAqIhYwoJngAuDIF7SNGXOwDsRcQHVhYhUrBsC9iUSfoL3sEHnSKRISSgr + g5oRrD5wPsjqCuegiImdSLChortOHEGEsml/EpSAgUmiAmPPe4hoQBNxpXbO8hnvmYSElFRoA+K9 + J+Hg5CZenBNnK8zNkLkiU5c5GK11RuVwofgMEv0MHqfw63H2Y529vbpYX1v5/uXL7Wv56MWPHgx2 + ETO8Fe+F2HtE+Xx7d9df/cVfHJXNra5rbGtQJluE0HUH+7DBQlbuvFdMpDKpR6i+Am4tNiGIZs1C + LEzyAev2Q7wrZJriqVLDh/KDM8CfmBH6WiII/NmQYH2obwpkSaVU6CV8KHqqqKFDEcEArMBVDGvY + pyAB5YLcRLIq2GeSZPEQ470Jx8GBgWBnJ5SxrEV86oRJxxbMSEg8xCph7z1Y62DLJSDxigTkQx5p + Ec6jygp4sQqilIZ4D9FKVdi17IM8/n3MCv76FNILImIRIg+BAjyRy7IYYjLBXx0Q6y1EKRW9P80V + e4jwB945Zg/xJC4zdPde7qoXAhF77xgVIqh48XI3IFq8+GAUwExMmtlVCHsQB7GkNYVOcSBfCxHB + aM2enKuQ1ClEFiJSQfJBxESKRJEoIk0c6H2CfDTw8EF978Ohc1/T1t9QE/n3OKVAnAQ2PkKDzJvM + sJTF+Tv2PTFromDBIR8Ya2T7rCKjyww6mK21PrsQKLAys+3lMg6Ucy7g2krr7KrxwU/GBwEQVZhB + ALT5gF8od6UOEUjEczB0E3jvbZpGccSK7lSqiuG9UMbqAr2XewtATgheMmg2uO38v0SABOZgfesq + 82jFe++8D2WwlUyRlRmbeArGLplBfGZuA/IKyJSSQXof2JkecB7WexawCjHbwTvxGnSn9s8MPjik + aj6QapEGWRgA3NmTeIgF9J3la+qgGUqxs+JF2JMyOW+ttaJVuI3fLywRA0Z8IuyIvY5IMoF+eGXi + vTPGhIPGxIHud1flZjGuErSEQmkpShkbEsa7lXU+G9MUWkyUzd8L8A3EW1sSKQvEkeaoVufgUrAm + iiqdIvHv/bRCJPZ32y2zTVIE8Z68hVhxiYLEUSRCVlRWuKDS/kcwS1VElYqa/tHFG/6pClMixfs0 + YdZgTU4yOCKTC38AfDgBa6g7XmrlZYa5stAOlIbMXjwjDTkvMXO4kj2JeHEB9yBf6faFnqh88KlC + 15wpY3AFyFmoknt/kBqFjaJZ5aFefPxifOxjYt3UVJuPFVOIU5602tpY//yz311el/rHBlNrxTAR + hUuDmTJfeM8Z5InKjWu0jmp+8umLgYfmpJyva+9urMk4B5TFKACkWOFuwhAxKYh1DqTvkKP3GynE + /cpMgawVQM6zdRIZYs5EPMhaHSElyZIMiGelA+4ZSpUKKBIuLSbW3nuB18z8/koMJn4u2PvCuaBy + CH0JISZiiAtXoIhwxUY1tPkE4dIWymqBkA9VSsXgPUJeKerp7mquiUceSFw/YGpaKZNZexGnVMyK + K9VZxSj0A2jyzjgwywYywFKyi1SEQmYlQOVgMIymmClBOcksR+iO8sweDmLhk4h9ZIzmnPMmzWyC + Muexys8QUELh5IpOShcry3OvX/9xZm77xad/OjKW3tUglBWCzlqXps57FelIK9HsjLpDTiEOXkhl + X5LozDc/mCUZIFzfqXASjIMqRTrApLVxckdJqqyPBGKYMCGKTFr2WaIqUjE5IYAQdgVVFr5SdHoE + vxkELhCxFXhmBdLeE0JtFMhV4myagpi4crcLCZyIJ1aqYjp6hyCAwKqS3oelJ4KIhydoQYZUe3Fs + y8QAIqXi0PYNtHMWTxQoMByYF0xMcIH9BFEZ3ziLzORDea40MipxZpuends79DYL3pVmd8UsNQtA + AicgzyDtXQzWxGGB4DwRPKmKSWHlLWTOrMKEWACBlgr+iYovEpEScRUkKdPoSfgcXlgpBDupSuoF + gMQDFuSZVOrgFTOzZ1uBWMFCikV8SrBCOeLIMhIBC2kixQSbQByRJuJAiqvwnCrhM+hmCZUzl+FE + AjjrlGIKbWHvvbXiCURh1IdAwDahMoN0iNce2bsK0K0kgCKVjczhMDRWAlHQZcOYwlXuXSZm5DAz + RCqF7fvXpZhA2jvrg3QsTOKBVF6zB/ztzfXa6spnn31WcujqH6qUDxXDBECFUjFsC6XYB5laZjRn + nQegdKaSVlr5isCKmRRrqVzi+E8//9zf/X/0/Ct0+y8/cnf+iMVa8UKhkYKKO0tAQESyxJ05cCUE + cII09ZrFaAXn4FK4MrQOPK5IKQYqVTTKaTkSR9CIIpFslpiEFEsF2yMiNj71pbToyJqc1jry1lPK + joUNhcjMlSNYTlKnjIqglA6W+BVRE7QiEbFJwloRK+8cQGGoibfOezGRCYcxhAumzIeKAOcE4ohD + D1BAvly8JaXjfFUQYDvnU+eUNvl87s76L444DLwJF6xSCsGumwjOenFsIps6a20ulw8tZe8NACak + ScpE2ihiCTwX8Y6ZlDIIcuEoriSzCGSEYPvABG10mqTOuTgyd7gtKVakUDmTEGYWsIZ4pfjO1NwD + 1jtNVpMYo+E0HMPDWntdvC5UFziqMKbxvmQyygBlpA6lElQME6buMGDCuzZhFXxinWOV9xwGBQGV + vgBsUiylVF3nFFQU9ppDhrjekQtSWGdBXunK0FTHJMAtvdoAACAASURBVIh1jmu68nmbK7jIOMB5 + x0gBAEqRei9pdPCMhMgprQgm6GRJFzgM8RLvnTIamnU+72HDvSfEXsiVoRW0grgUbKGUqvCgwitQ + RmckBUWITT5WRitx2lMEhrMApYiViSIHJLZ8xym5wzqBDyFBAcSYzB+P4BV7o6AUgdQdBOCs9aJJ + kfNeOQuxLDaEZE8cBDmaoVnDC4o3CUFHdeG7W2sjJUqzVNBxgOJIsSAVeMAoaI7gixBrE+9VbIyq + jIFwUFkfIk19WXFeZ3VFmAOUJSnwkJKUSVQOnAPr4KugEWxUMjJKWMJUkAq0AXlyaRKbrEwplUpe + YmWqjL6blJV5HStWij37MsiDYi8KuINIA1IXRGCOAG+tE68jrZgVaWdRLsHZck1NHFaftQ6iLVgX + ZuFFkRHFVlAqwwkiA9Yfenv+049STERpmiiltdZBZw0giswdRyxEVmfhAjiV9WRYAV6ctVYZk3pd + THwcxwrVcGcQp0gK+Xy+UMjl85GJ4W5gExTiuwQkUz8BAJxzF5cXuTiura0lIEmSpFzOF/JMdGeZ + RErFURQOfi6XT70PAhnNKp9TSZKI94Vc3jsv4kCaKGvbBber1KYMrbXWrMNv5kRU5dMwE5MJVwVx + pr0AWZ8kTKipra/MzaFyqeQEherqQJ6NTWYZkSZppFUUR4CScsmVyyqOEEexiWxiyROMKlsPbbRG + YpGkkLRcW4gQAhZn0cYY4wAv0HH0nqoKKK39bZKUikF7lzpJrPNOEHRfYMdabKrJQqv6jvY6rr1k + XCVIUpgo7DXKfor3cA7KMBNX7PiYYT1YYJRiiLikVCyST5NSGR7BMAGVkTYflOmVW9v7yuQygtLQ + 5MWlcBGy1Q5MHALlcrnwxsvlchRFWmdEuQClhSkSaZqGsuVutNSHHXUHJA5awRCCZSTYgk1G7VMx + kEKSoKuET8tlcGyUASP2SAgcGQWPrCAul0qOouoYHO6QEmzZi0rFi1FaU6DXk03zGiCndZwIbhKk + pXJ9VRxqEKUCSCbeptZ7ARkTkdIiKCep816bKOCn5m4cGSQgd/ChOCNQNlQpFFQa2mVKZjjxWXuo + Ao5LAk9Q+dCWgLXlKCJWpHMRfApvvVdQuGMheA+bWoZolZVnIuKdV6ygVFVNTZrYYrEU5QpMKpT0 + d8U5yMF5YyLN2opVYYQmVDhWtsSRUUYjiuBUcBxWIeU3UcSkxELFxpiciVBMbMGXjLIwqrG5wTfW + lbRW6m5DCbwDRBmdN6aclZdZ2UXIhlKFhlNlX9F76+QP+Nrhr0QkTRLPHAw3slrYewAmigDxqS2X + SnE+x8TOhliJEBi8eO9Fa0VsnPdwImGogBcQRZEOYZoEIo58Ki5V2pCJqqqrQq+IlNJKmUgHUFkx + iqWU4PO5GCG/EWFmKI7yOUDAymVwjWSynUySWXmFISUjgmYWuCRlJlIGIJva2+JtvlBlIqMIsUHW + 9bTCQlBaUp8i1cqocLdJCIEGAIxWwqXUeoqUAgPO4TZJavIhhJSRptay91pHihSYoEN94isNa84q + 4Dt5FoIVLxsggUs9DHTWBSmVbvOGc5E2RnvrbOq0UQQTclzvyWekKvgwd5RjndNiy84VrQWpiFWO + GUnZBk40kzJGV1dXh/3y4biSINZRWWJFSjGRD6Wvc1J2Ykw2m0jSlNmSythGDFVOvBeOwq8q7uL8 + KEmukzQpXt5el8UzausUiQkEK++cEw82WpFWEXwZzkE8TARWipTJgGGUy6WcOMQmPS65pGSUurkt + lRLJxyHLEGgNV7ZJklpEsWaOgkk0c4D3sg2RJnAptIZRgLib66s4ykf5agoaaRHSrEL4ZmZ4OIvU + OkUSBhiGOhkplCDi4OhTsqqowR4xPLODMkbpzOcTIAZ7vry+inNxnI9T60lxJWXIeuHheGZZIsF5 + oWAeHLJgeh84QHdfFA6UaW2tv3cvy9W9BzNsknhbjHJVhlWkjObUpa5YLBWq6kHBqRGpEyreRiwg + A6URWo9U4WtAVG1te3WtTqpQQMhgNQW8u1Lke3Gps84zG22IFEf56P0W+vDDBiw0Oz8ClyZJIiQO + cRiIJD54CFgm0UYX8gXmyhcStNZEHGzolDZacyg3w/3mvHfW2jTRxuRyeUUZZiQEZ32a2jjOiXe3 + t5dVVVVKKWeTIEMJFat4Z9Oy1kYF2Z1D8MeWjHLiWRygYDRShoP1IAOj4D2sTZQt53Nx1NZS31Kf + qMYri9siTB6RMVoziOGctY5Z3x0lEdjUJzYFKF+IOENvyTnnxedyOQI8fJKUDSRSsYmNaKRwPquY + IECapri6MoVqaIiDCj5n0A6JS0rq+oIlJcjl1a1QFMdQChAXLsgKXck6d0PWGiYiFudub86du4xj + VsoAeR/cEhSYfKaE90KklcpFURwZinQKEudYPOtK6i7hHqaSh4NXIK05F7xWXRpGWzjvvOKYlQlN + agGc9yDW+v02rwR8FEsJwatczhgTRl7A2lDwhvTovaQRosGGNTy8RZpBXE6rFGydK1tbNlrnonwc + 5QMSIQKtlU3s9c1NdU2d5hiVgBlHulgsi7hCPhfu1RBMADAFzbBoRayN95SmiYgjJmO0gLyEY5ja + 8kUcadZaGyQphLMrGiRwwTBdZTKnjIEhDIh1RNl4KwBBIHdXwlkfhv4xK1JcyQFCq4EAkHM2C5xh + qgc5QEGBAOcDkYuY8xntS+CdTdPERKRUGjzUCKS1Ce2zOMrwbwK8lbJzRKw1qayXAUVhBiOUUoFv + wZpKpVKaOgHl8wVSnDprfYCRFAfoIKC3nm/LnnI6Vqg4OZBiRWEqggFs2aWEOLKA9TBQDFHivU0Z + DpFhYp0xTt6XbeIRDIIUczaTTcLVRt65m5ubfC6OcjkQ0jSxqc3lq6Eq0ixiC1u0t4ZZG1DQO7hA + MicoseVbQWziWoDhQQ6G4IFympjIxiHSO4ET74W1CfbcWr+3kyAgJG8+o/2BtU6TMjPFlf43xIep + xYCEMXGAJElaTm2wOnEOcF7DRyRKK1gL7xBF4UXdFkukYh3HJuKkbG+LpaqqfLDXM8YoVsLsnAu4 + kfcehA9HGf/Hz/v7/P+351+h23/hCe+PKhk5UUWrz1mv+fby8vjkdGdnd3F5eWNzW4h6+vpGx8Z6 + +/tr6xqMIaNZExRCJ0XA2FxemF5Ym5xbLjqKCtVNLe1dXd0D3e3DvZ3EwToTaWotSEc6AmDd2eXJ + wfba6vru4tJ6KZHapuoXP/6oo6fNadlZ3bs+uU21HXwwlG8sxGAllF7d7p+evZtb8lFueLCnu62p + Nq8RDBYAD5SLNwe729NTU83N99o7unq6u1Xo4dtUERQDaXJ0crKzu7e+ubm9u3tyekpMPb09o2Oj + /QMD+eqacNJJLFkbR+bo+HRrasoL6hsaO7t7nLWbm1tTM7NbW9tpmjY3N4+N3R/o7+9oawUkeK94 + ZyEuODaKsxdXVxvbW6urmyvL6zfX5cjkhwZHx8Ymhga7mMMISQAiSICEtZwcH62urE1Pzx0enFiL + lnvt7W3dHd3dHT3d9U0N2mTDl7UCKx2gTzgHcQgtdAhYnZ4c7+7unZye3mtt6+zsLiXJ7PzC27eT + t6Xi0MjIx5+8aGpuZCOltJQzulRMTg8vlxbXVtaXN7c3VKzqGps7+wd6B4ba23vq6mLvw0BDp8iB + CFEEYXt1tbi5Ob+xsb65fXR81tPb/3xi9MFgb5Xy55fl76eXTV3r2FDfvbpCQQvSsi1e7mysvF3a + zncMN/RNDHdGSgBvwTokUN46Vb5Ndrdezy1e52rjjr6xh6OAiNgcUfHq4nhnf25ptb6rv2N4vLom + R2FYuEu3Ng5W1vcbmtruNbfU1tatrq/PLs/Pryw0tTTdHxl59vRJVRznANgEguLZ2dLS0uz83MbB + 3o1Nqxrr2zu7hkfv9/T0NtfWk3gtQWgBiJc0AXBbKp+eX65v7Sytrm1vrWl2A/1dL8b6BpqqyafV + 1VX+HKUUqQMbQAipDbxZpQ3BfojYZEnJ+yvwfcx1TpxNXFp0Ds5ZmwFnAVLJxltn9GxxmlyY5+eE + guVkxnuEII4iMsUkWT+43F5fWp6bPj3cTcql2tra3p6evr7B3v6B2toGykUOSAFflIvdlfOtheOr + UnvvcHNnX1NTHQDvwc4yO39xsrZ/vrx/YfNNg73dbY3VtTkKYTz8HjeX54c7i4tLqx29w+09ww3N + bZ7gCEy4uro8P1zbWF9dWtnc3DlKnGnpGOwdejg8NNTenK8vGEhZnC+Vi4uLyweHN+XUPBh71NlW + l88RyEEyPqAGwH5rZW5t+/rgxI3c/6i/+17MYHZEMEZtbG+trawsLy2dnZ5anzbfuzcwNDDY/6Cj + fSCOSEyczR4SB5LdjbWF+eX6+ua2tu6W9tbZudn5pYWFle2qmtahkae/+NlEJTi9h9j/ySdJykdH + Rzs7O5eXly0tLR0dHc3NzQEMCXK887OLvb3Dra2d6qp8X193U1NDLp8jgCCKRLE/Ptpb2T2dWdkZ + HLk/cS9qKpQhzihS8DdXlxdnZ15wfXI+v7bxambu8OJKaz06OjIyMtLT05PP50NSUlNdw4Q7gTMR + 7e3urq+tzc/PnZycpKmN47i3r3dkePj+gwnWEZhNlIcE3xMcHR3v7e6cHB+Nj411dnSenZ1vbm6u + rKzMzs1prVvb2iYePurt629sbkpd5smotRLAhrmN753lOdAKk9ur45Oj1ZWVKC48evjMOVxcXe7s + 7U1OTW3v7QhheHR0ZORBb99goSoXKWgdEQUCBYgpLZY311Z2tjbXt3Y2tnbBpqax+V5HT1f/UG/f + QE1tVRSDdMwK4h2S8s358dHu5vLm/vDjHxWauss3F3Ovv95emjo+Px959Lx//HFvx73NucmZ77+Y + mZ48Ozu7LsVv3k1F6fXadL3ytqN3uLv/fn1NNRTj9mZhamb7VidVbYMPxqrqAKCcOE9ppH16dbW3 + v7eysrK3f3h4enV6Waxvauvs7h0eHWtv72ioy4c9Q0TGaA3Kx7FCoE7whzaC76MwAEArBeeQJkjJ + bx1Mba2ltYV8d3tvV+/Vxc3e+vbM9NTW9qYX19HR3tfX193d3dHRcYfG3mFqRGStvby42N7eXt/Y + WF1dvbq6IqLu7u7h4eHBgYGahmbKxUFc6Kz1V6cL028OriMUuoYfvGhuDow/pcXDJkiKe3v7375b + rWrsbO+6NzjSI2AWRCFTcDYtXS3Nz6zvn1Y1dXQMjA601sI5wDJrBd4+OFrb2JhfWDg8OHLFi86m + 2uGett77jxq6hqkqjlXMClmjjvzp3u7bd+9q6xvutbZ19fSuLK8sLC3PLy7n8vmevoGf/cmfFPK5 + TFofGI5iNWXutBDApqdHp/sHl7u7p0trG0cXh2Vf6hvqHRkZGh0dLORyWmk4hxQnB6eLCyu3t+WG + e02Pnj0kA2JorbIR8iQnm+urO2dHV7ajf6y3uysyxhiQx+1tcWtj7fj4cKC/v7m5MYqi/f39hYWF + +fmFm5tiVXVNR2f3w8dP2zq6ClVR6rJ5voZcenWysbV7cHatq+qHx0Y5krOr49WllYWZpdP905pC + Y1/veH/veGdPa6HB6UgJlEXq4VXgxAe3FevLKYzRRgysw8Xp0v7em91yc/9Ed/u9tjrl7wBaJnH2 + /Ka4eXJbujxVSBdnprY31kvFm4a62o7OzpHR0Z6e7qbm5pAEiveiFAHO2qvr6729vY319aXl5cvL + S2bu7u4eGRkZHR3N5/NaKWct3bH4Baw4zsWZLJEVK3bOFW+uD/YPNjc3F5eWTk5PkyStqa0bHBwc + Gh7t7x+IojhrY2ZuEzg/Oz052Lk8P22+19Lb1392cTkzN//y1Wvr/PDwyJ/8/OfEHFGkGErxzfXN + 7u7O3OzM5tra6clRpFVDfX1fb8/42FhbTx9Fmkn9U7m/994yAaQASW5vT05OlpeXc/l8T09PvlAo + lsuHR0eT09N7e/vFsuvpH+7tGxrq626qyeVNBCtgZTirrgP6d3t1dbC9/vrVq+Pzy4vr4uHZZWtX + /9D4owcTEw01+Zw2nkjBwaU3V5ebmxubm2dxofFeT1fXUFvYydn4kSS9urpaW988ukqtqRm+/7ir + Kc6SAu/hU6Sl/eOLN3PfI25oaa4dGuhQRkKnSkRui6XDte2FheXFpeWbYsnEcWNTy4MHj/r6Bltb + agE4D++cVhJmWc+8my2WfEtLW2tra7lcnp+fn52dKZVKQ0NDT5486erucs5W7hO3vrG+trq6u7t7 + cLB/c3PbfK95YGBgaGioo7NbF6ozo6PAyYpCve0ujo83do+X1nfnFlZdybU1tT0eG+1to5pIG00m + iuLaesdROYU3qNDBAjxaYbln+wNghsjN+fn68eXU6vbsxt7V7nJXNT8dbH0ydj8GcpGOTESFatK5 + 6zJiBRBDLJg0K1bq8upqb/9ieXl5fWP18Hg/Scp9fX0DA4MD/UPNTe01VXEwrPXO3d5eL84vnhyd + 19U3dvX0tPd0VTIzIVCxeHN7eLC6sHJDhZr2/s7+vqYaEBDaPCDvT8/nD29/WDtt6B4ZactXN0Wg + 5PbsYnZu1TlVV18/PDp6fXO9ubX1dmry6Pgosba1vW1weHhoaLCuvj4y2dQyyhCjbB3ej+riCl3V + V2KGR2V8sQc572lhYWFn+xJQwyO9Pd1N8P7q4mJ/d2V7fevVd9+fHZ8mDosLi7/69a+j+hqrY4mr + Pvn44/6afBTHkDAvTggsAmuhA8Dq3enayuKh3b2J+yZ+1N9hDOGOhmxvbo+OT+YXlzbWNw+PT4S4 + rb1zYHDo/th4bX29MdknZSAT7wQwItBX4ZPbm/3D8+X1PZ1vePBgolR2OzvbP7z87uz0pK6u+qPn + z/r6ehsa6nxqleI0SU5PT1dX11eWV1ZW15hVXX1jd3f34NBwb19fVVWBmcVEiojE+eSWtYZHqZys + bWwuLa8uLS+XSqWmhtr7w4MPxu83NjU75394+e6mVB4cGmlqvlddiLX2cOnx8cnc1KKznL/XMPB4 + nGJEQZEnwdxPX61vTK0fnCS6dejxg/Fq77xiMkanxcvDrZW51cumno9qWoeaGyBAmpRtUlpcXL64 + uMzl8oNDI4r14uLy5OTU6el5W1v7848+GhoazvQSgHVufWN9eWVpY2P9+OQ4SUqt91qHekdGBkbb + 2tp1tXFamHSY21RKS3nn4kIBguOt7R9ml19Oz14VT5paah49HHzU09LZVKUokBpYKLrztwqP82GS + QVlpUYqKN9c7a2uT02+WFmbPT49vrtMffnhVShtnl7uhyg3Nhd6ehq6O2ipDuVzExN76NEmLN9fn + F8dJ4r//dnJ1effmJmlt6ejtHRge66trieICMcizOGtv01KOC5qhFG7K6cnR3vrm6sri+ub6rrNo + aWsfHBrqHxhqaW2rrau2DqE7dmdPqJQi3InInUvKWnMw9j4/PDzcO93fPlld2Vg/Wbvhq9aB1vs9 + z0e6nrbXthTqIRqiAgsoBVulyNowMoAB7O1dLcy/XluZubzYF/DQ0P2h4bGRkVFjDIA0TZRSzAph + PLgXEA72D65vrguFwsLCwuLCwv7BgdaqtrampaXl/v3RoaEhFZp4BA+cnB5vr0+enh7XNwz0DTzL + VxWi/F3958EC728vL7a3zza39lXej0wM1DYUqnSBmeBQur3e2d1d39rc2t7e2d133tfU1Y+NPxgY + HGprbycSw1nAC6PfVEbqFnVnFRMcV3x6dnG8d3S0s3MwP7d0cnxBUOPjEyMjo319vfk8a6WNYcUO + SAUJK7m5uVpbWZ+dXVhf37Yp6uuaWls6O7t7uvv6WjruBQKZAGliFZPSilmVS8XLy/PNjY3V1dXV + 1VVm7unt++STTxubm8uJXdvYvC0Wq2tqR++PRUZpWCB1Z4cre1evFrbrOwYH+jo62xpjlnD9k4fY + xBVPN5cn57cvazrGGrofdrVy5jjhrVIE71Eubh/srO0cbWxu7e7t3lzfMNP42NjIyMhAf78KJr0K + UmH47O3t7u/vX15e9Pf1dnV1QWRxaWlmenptfZtVobGpc3h0qG/4XmtbFccRy/t8IjQSfLl8WTxZ + 2ZhbWdleWd5yqW6o7RgaeDQ+/rSlK6dN8PykiugKrHh7c2NxeXlyeubq5paVudfS2t7Z3d3d1dXd + XVVVpRRSB2YoAivNgIDEeUIQPruzzc2Xr9+u7x5NT09Dqb2Dg5evXv0f/+dv8nGcy8U1+XhkoK/z + XhMQWCwezl1fnG3v7k3Nzm/uHpycXwnQ090xOjI4ODjQ2NiQz+dFxAXSJGWKK7qjKvxnff4Vuv2X + H/mQss0VxREA526ur2dmZ6emZ1bX1mfn5tc2Nlnr3v7+B2trzz9+8WDiYW9PBzM0BK7sk5vDo5P1 + zc2Xr16/m5yenV+4vimaOHevpW1oeOjhw0flT37U3t5eX1ermTyIxRnhUvH28GB/empybnZ2ZmZx + amrWObrX0Xh2dXz/4XBbfefu6sHOysFlelXX1tBWF7GQhrq6ulxbXfv9559Lrsq7F3VVUU2u1omA + tQDi3M3F2erC9N/+8m86+0Yef/Tj1u6BPINdGS4BE4rF7Z2917PLr2eX5pdWNjfXT0+O8jkz2Nu5 + vjD85NnT/vFHbX0j0GAoJSCmk+Oj7779tlgqd/f2mihaXdt4+27yj19/vbyymiZJW1vbxy9evPj4 + o4+fP2tqbKjK5yAE78Q7aGWLt3tHB+/mpmcW5+ZnV2dmlq7OS1FUNTb68OmT/WdPH42NDTc21UQR + WDnnS8Xi1dbm2uzc5OtX72aml/Z3j25vbMu9rp6uwf7h4ccfPRt9ON7X3+4E8FAqDGzWFREAAAJB + nCeR/f29N29ez83NP3j4EIKFpaUv//jVH7/65qZU/PQnP6lranhS/SgX5VKbnJ8cba7tz75bmp6a + X15b2tnfcnDVjY29Q8Mj4w8eTjx7+uRFdVWkNLz3ilzQ+Z1vbk+vLH/z7u27xYXFlY3dw5P+oftb + qw/2H40MdzTfUOHXf/d3Dd2j9XU1tVVxrFhsen1xtjQ7+av/8FXTyMcjtqa3czjMoYBktDQS8cXb + nc3V3/6H31zlGtoefdIzPKJyQeSBs4vTuYXZX//dZyPPf/xpY2eczyESIIVP1laWf/vbr7u6B/r7 + u1taGr/8+usvX759NbPQ2tHz53+WDA4/UaQVp1y62FldmJ+bf/P2zeT0zPLu6WXKuYaWjq7uBxN7 + z54/f3x/sKelMZNHKg/x1vrrm9uVla3puYXpucXpufm1tUVF6dj9gZPnD54Ndm5vrRHECxKHNAUZ + 3Ol8CCAoytjnGT77j09gps27q1YCHxqCMLviToitM/UPMoGOOA6q/4xPwhVfBU/eQdz5+dnM5srr + +fX5qbfzU6+P9raScvleU1Nvb/fg4MjY+IPR++Nt/WNRXSFRsKm7OD+dfPX9q9nlB89/8vhTXd9Y + hyBzEkDc6cHOD99Pfju/I3Vd1x8/iyaGau7VsmKuQLdbG5tvX37/x6+/+fl/8Zc1Da11Dc2ktLO4 + uDxfnn2zOP1ycXFhdn5lfWsv8bq9e2Tw/tr90dHnj0Yejw/UVRtmlMul1dWVd5Nr+4e33plYD3d3 + NQJORDtP0HDikVy/fv3tNz+s7x9Trqq7r+segLR0c3pxsba5+W56amZ6emV56ejgoJSU7rU0D48O + T4xvP3n06UD/RFurASovQfz6+upvfvPrru7++6MPB8vF3/3us6+++2ZqdrX5Xv+f/Gn69PFEfTV0 + hH/+8V7SNL24uPjhhx/m5ubu37//6Y9/3NTUFEA0ACLuaH/vzfffv37ztq6p9aMf//Th49rWHGux + ihxggWR3Ze7rV4ufvVr8s7/Kt+a6mnIMgiEhW5Li5eXZyeLyyvHK9DevXv3h22/3Tk4jYx49fPj0 + 6dOnT58+fPSorrZWKc7lcs5Zm6bamIuLi62trZnpqXdv305OTp6cntjU5vO53p7eh48enp5dDozc + b2xp05p1Jbk7PDx4+/bNuzdvvEu9S+fn5qampyYnJ9+8eau06e7u2dzcev7ik/GJicamZqWN3Jnh + kAOy1vj70hPu6up8dXXh8y9+X8g3NDW2Hx6crW9uzM7Pvn77en1rvWxLDx8/fPrsx0+ffDI6+rCr + o94oiEPqrBK3u7WxtDA3NzO9vrq8vrmzubtvhQu1jZ39Q33DY6P3x54+e9be0VlXAAPw4r07OT6c + fPvm7z//+t9GdXXt6dLc1He///XS5LcHxye/+MvzT6ENjXz33Tff/vbvF9Z3Tk7LJal7827yfGtp + sgoG8tGnv9C5uupcf8yQ6+tvv/pq7rDELcNN3UMt9QaAF1zfXJcujxdn383NzS8szO/uH+4fnR+e + Xjfca+/uHRh/+OjR4yfj9wf7ejoMQzG00ahQW0j+U2PKMg1kBSUAPDZWV3/1m7+tGexpuRq1qVud + XZp9M/XDy+9X11a8uJ6e7gcPHjx79uwnP/lJc1NTvlC480MQkYuLi/39/dnZ2fn5+YWFhbW1tfPz + cxHp6uoaHx9/+PBhz8Bw58CDlu4GAN6l6fXZD9/8YXrj1lf3/bu6zuq6zpxBZprkEn9+tDS3+sv/ + 6+9rWwcePX/U1tthYtaA86JANikfHx68fvndq/mNnvtPqKa1r6VWiUf5+ubmcmH7+PXCxtT0zOLi + 0t7+fnJx2N1UPd7fPvhoc/ijn7eNPu9riwQInAF4f7i79fe//mV7Z/fgyCiAP3755Rd//Obd1HRt + feNHLz65P/6wvb09F2XmoiRAZfp88AK7Pj+bfPV6cnZtfnFndXN7/2z/Or0euj/w5MnDk7Pnz588 + zje1wQPe72xv/+ZXvy4Wk5Hx+yPjY7HSWmcCeIiDT9dXlr78bnpx6/Sn/0aaGhpra2u0YogkSToz + M/vm9Q8//vRHXV2dRuu379798PLl6zdvLy6vfKW3uwAAIABJREFU6uobBodGd/eOHj97Pv5gwkQ5 + ZQjwYHtzdTg78+bd3FahrrO2vmXvaHtxbX52+t3Um7fHu3t1Nc0jQ08ePvjkyfNHg+Od9zprGFEY + W0eigoMN4J131iKKAU9Iy7dH+2/frP/y+7VP/tzUFOLWuob3uyhNDg4vv5nbml47vDo5iNlPv/1h + fWU5QLedXV0TDyeePnv24MGDrq6uO5ptkiRnZ2fT09PT09MzMzPLy8vn5+cAhoaGHj9+fH5+/vTp + 08bGxrDP3nMuiLjiua8UX19f7+7tzc7ObG9tr22sLyws7O0flErlhsamoeHhiYlHzz/6aGBgqKW1 + VVWYvkI4OT6ZfPduaXFucGiYlXo3Nf2HL//4+R++TJ3/8U9+2t3b29vbZ4zxQgcHB6srSzPTU2/f + vF5dXjo9OY61bmyo7+vt2Xnx4uHzT/qGx3M1tZGu3PR3TMagvKYsNBeLV3u7W7/7/W9ra2s/+dGn + 3svx6enq2sZX33yztr5xc1O8Pzo+Pv5w9+HExx9/1N3ZSSQmyChdqoFS6Xbv8HBlZWVycurzL744 + O7+4vr09PDru7O4Zn5jaXf94aHi4u6evs+NeTY5YxNlkfW31d5+95Kh24sXHde1/ma9CxCAIxJdu + r/e2t774/PcrO6e65l6uuqmtbjDKrlOBd7fXV2urO7/85T/UtQw+ezrc398KEgsrqdtc21hYWFlZ + Wp+amptfmLu+vYryUXNz+8rq6uPHHz159Li7syufY/EgLQ5JsXj73fffHh3fjI9NdHWdXVycf/HF + F998+83tzc3jx49rampa21qZyTl3cXG+tLT49u3b2dmZnd2d7e3ty8vLtrbW0dH7jx4+fPzsec/Q + /ebWlsBJFBYiSW+KJ8f77ybfvZ1deDe/9G563t7azuaOtSePno01jQ9W394UiRW0th90s0XEw5Ei + ptA8qSCDBDh7eXwys7T83czSV+/m365sXW0v9TearZHOg41Hg11dxwd7zqZKR6TixGbz5OEdtJRu + b/YOTufmNmdn12fn5haWZvcPd0Skr7d3aHjk/sj4o4lnI8MP2lrqogjOWWvT+fn577/5obGp5Wd/ + +ovWrk5S5ERSSSPmy4uz1fmZzz/7/MIVusc+/kVde2NNLBDyCbwF+6Oj7Tdvtv7mi+mnP+OmqG+g + qQG+dHy098Xvf+d81NnZabTZ2Np89eb1y1c/bGxv3ZaK3b29E48fPXv27OnTp21tbYV87O98Evju + MGdJZOW4/SO+k4hQNpDOe3EzMzPffjOnlM7Ff9Hb3STO7+3uvH75/Q/fvpx8O32TXguixYXF9G// + FlW5MpmorqnlXkvHaH9VpLPZmy5MfagQasXDpovzs19O762c0Z/VtHc0DRVyAMSmafn6dnF2fnJ6 + ZnJqZnV9/eDoxAnaO7vHHjy4uLkdm5jo7m6rHD9k3lveVbBngbjS7fXezs4f/vBVVN3c0HRve2tz + cvLdF5//7uhwv621JbjcNtTXee/Pz892d3amJqdmZmbm5xdWVlaJVV19Q29P39j4g0ePHz969Kix + udnkNOtIuRK5MiQ5OjqbX9l4+frtu+nZ+cXli4vz9ua6T55OnO5v9g4MNTa1fP7576+KqcrVcL42 + l4tj5uT2amdj4ze/+jUk6hofaR3uL8T5bAphBt26laXlv//sm4NbevFvouHhjxURs9Lg86urmcl3 + //dv3z78EcY+amttroaHeO+cffPm9fr6RlPTvTR1zslnn/3+q6++Pjo66evvr6mt7e7tVSZXLKXn + F2dr66uTU++mpiaXlpcOD/dL5VJPV/do/+jEyMSjx0+6RgYaOls9gn2vZVd2aZIWk/XF1e9mlv/w + aurLH15dXe93dNVtrt4/eTDy4v7A4clZ6oRIwyvv4LOpogLcWcFpIiVyfXy8+/KHzz777IuV5fXj + g+uba7x993b7sNT0rklFNHR/8Kc/ftDY0F9tWDEZFg3x1u4fHr6Zmtnc3Pnis68Xplcuzq77egdG + h8fGN0Yf/Wisd7yzKsoTKMwMAQCL87Pr+bXJqaVXC8vzi7PLayubaeJa29qHR0YfTEw8evx0fGKi + UKg2xnjKOKRBOJXZywjEe+usVub26nJleWlpcWl9ZWd362hhbmXlaOmGr9qHWh/0bz7o33sx8adj + D3trm0NjwoPc/8Pee0U3lqR3nl/EdbAkAHqC3iddMpPJ9N5nVpqqyqyqtuqW1C1p1NrRaFq7Orun + tfu22nmYnTMyuzLd6pbalOvOqvSWTJqk9waGIEjQE4QjPHBdxD6ArJJ0ZqR5Wr0oHnhIPoA8F4h7 + I774/r8fIBWAEEJEUQ4FwzPTzu2NhbHhbpttJBrZ4jhtXV3L/v3b0Ui6urrKZM6mFFgWYZwJjYjR + aMTr9c7Oznq9Xp1OZ5uzz83Z1tY2eF4wmSxFRcVtbQcOtAXLK2ryi8uyLRwhEI7uuJccYyPDefnN + x+WsuoZWXg97uJQMFFLxebf7+8Zn5xbMhdr8EpPGAHpWAIKDm74Fz+LE9JTD5VpcWlpd38AMa7Hk + Ol3u1v1tLS2t1dXV2SYTsxev36sE0L1ZB7swIknciUZGp6bmXPNLS2u2uYWgP8oywsLCWtt+X0dH + sqGhOj9fw2JMUUY0kNjcXF1YmB8fn7TNznuW1pNxOTsrr7iosrqmbv+hg63t+/MLC3S6TA4KAQCh + NJlIrCx75mZnnQ67zWaz2+0ajaaufl80lqiurkEMu7y6tryyml9QVFlVgxFSQWKQGA16HY7lx8+H + y5qOUkQtOUZGgAx5ExFQpXRsZ9s2PfBs0F3YEGo9XVhcUIAQqACMqjKIqpGdpTXv6KxryumZX1hY + X19PJZMarWZ+3tXS0nzwwIGa6urCwgIus9ShFCjd2tycnJpc9niOHztGCFlaWpqenJyYnLDZnAhp + CgpKmw+0Hj558MDRVovFxPOaL+tkCNRYYnFjYWp+3L5gd9oX7HPzqgy52YVN9bb15aXGg401LTWc + nkUYMvbqnUh0dXVtcnpmcnp61u4I7UQJoLz8worKqvqGhoMH22vr6oqKclWVAMUsmyGzwR5TAQMi + IMvLnqWHDx9sBiLu1U2EUCgUmp6ZkRWi02rNpuzS4sJsvbY415xBNKTj8Y2NDZd70eZ0zcw5FlfW + vf4dQkltTWVra2NtTVV9fX1NTY3ZbOb53TRbpvUcvgiy/quOfyvd/o8P+k++T6eSCwsLH3/88YOH + jxKJJMPxGq1O0OnGxsb7h4aP2uwffOWr5WW3AACIDHIyFQt39/T82V//eHFlncVQai1Op+L+7c0l + 59zM2MDQUO3YtO3mu3cPHzxgzuJZnuUoApB2Nhb7+4d/+JOfzi8u7wTDqkw4hltcpQOjfY376w41 + H8vVF8yNOhc23Geun8urLBBlhUNcLBpZXl7uHxikWkNBnrmhuiTfolVVYHiOAEMUORLyux3Tzx4/ + sNa1pXnLiXMXNSwAUKAKqGrMu/Lk/sOHr0cGbZ64qLCYGLS8TivMTU0MdT4sLi299Y3vfuW7/x4E + TsCI5TiSjHm3NiYnxlZW18srKimFH//k78cmJuKJpKISSuni4qLL5bLPzUTDodMnT9ZUVwElaNce + SLe3trr7u//sr/5iYXVJTIGWNwPVqHJkYX7j8cPesrKiH/zgj44cPZibqxM0qiTHvd7Vv/yr/9rd + 82pjLVpqLWaQNhaN+7bCtulFQd/f4XBcunHNWvoe0N2Gx4xUkagEIwSYyfTbIkrTyeTa6urYyHBn + 1+t4PKYRhD/987+wO5zJVBqxbE5+3szMTFV1ZU62DmM0Mjr05H7nkwedCHhOg3UGTUJKbgYCkzab + 8OpVx6ET/+53tC1NrYZ8jipEUWQsEpJUnj5+8ovP703OOwKppEQY4PUb/pGJgdef6NGdy6eajl7s + ev26Yr904tgRUSmkGgaAxmNhl2368cP7hQcSqZz6C2dqdXs+isx6lcVMOpVYX1549fxJWJPXIPIX + rr+lEzQcQgTUUCTonLc9efY0ApqqtpOW3DwDVlgkAaOueNxPHj4uK6+urCy05OgePH5s8wRSad7j + DlpyK969wwocQpCmMf+P/+a/PHv2dHMzZckVUFYpMBbP2s6sc/Ppy5GOwzPvXjvxO996BxhZkRSW + 44BlVFVd8Xg+u/fg3v1Hm75AUlSAioSklldcs/0vj9SV4uh2eIeqJIsiVszYHXcPixH9cqW9W5v9 + 73XdqipRKBYYJPCcwDM4k59EiFJQCcgKYIaogCSKMEtZooIiUVUCSoFhMMtjlss8rgklWFXUndDE + 5MJffvhwcHrBv7kiYFXPIY0gBEMBz9Lis2fPs7PNt269c/XuN5qOHCEM8IjJNhq31z2P7n827VoR + OWNTa1PmM8ywDBDiXfO8fP6sc8oDuTWSKFpzDMXZAqPVfpFFnRyfeHT/0eDwcFVNU8cxVZbSnEaf + SMQnJsZ+9eFPel89JarC8DpWo0cM4150T8zNS5L89luXfuvbXzvU1pSVraGU+Hze8fGJ8ckloyE/ + z8SXlpoBqVQlksywGEQpHQ95X754+KzTwWiqzl/+Bs8DxuAP+PuGBv/qR3/r9ixJ6XRhfkEqmYjE + Itu+rYmpsUfazmNHLn7w3q/funWazZAJMQJFXVlZ7ux6VVhYtuheLbWX/+KTX9hdDlHhheWAzmhd + OL/UUFustWj+hfsmJRnf+vT09MOHD9va2vLy8w+0tWW6XxmGoaq6tb4y0NPZ9bo3q6giyehzyuuy + zUaeKFpWASSDmpgbH3z+bOT55Ep526mzrRWg1UKKxVRRU1EjQ2KRnY8++mSq//XE7HRKkQlGGKHF + xcXu7u62trYf/OAHNbU1As/r9TqiqpIsA4DD4bj/+eevXr3cWF8nlBj0Bo1GI8tSd0/3wMCbB4+e + /Ps/+J9PnLmg1+t1Wo5jAQFsb/umpqZePH9qNGo3N1Y+/vjjRbc7GAzJCqEA7sXFNwMDJ0+fvfX2 + O7duv5OXp9ntBIfddhQEu7xZlKGhEjkSDS4s2F6/fslzprKS+t6ekdGJ0Xn3vCinFSoSrKxuLA0O + TTQ3jX7zm7997co5UxYihMqiKKXi9z//7Oc//fvN9VUMRKPP0hqyk6K85vXPuZaUl90ms+V7v/d7 + 5y9cbKwtZTEgjBmWDQWDszOTDx8+KKxpJbqVn/3kh1HvkhIJSQSsbrfZWqnloKurq/fpaIpAGCPg + 2GW7c0WN6OUIQ0lCgtyi8qLcXD2rSSfiI4ODYyuRrOroiUs3KqwFPAOCwHhXA/3dz3/647+Zm7MR + AmaLCVhdPK0GwnGbc+H+oydHjh67+dbl3/z1b2QZeAAEDIaUJKZFIBTTDDTtS4Lwl5VuAAJEUSQe + EMPxQNGye/GjX/xi39kT+ZGA0zH/4JPP3HPziizKsoQQ9XiWZmZm5ufnEUJHjx4tKysjhGTsUhzH + LS4uvnjx4qOPPtrc3JQlyWA0YoxVRV1eXn7z5k1WVlZbx7Fb73/75gc3NSwAJUoqZpscfj60HGGK + 6g+eLSkvzjXvLd6IHNham5oY+fyze9rcymB858TFM6Y8E4NAEtMcZsRkfHnB1dPV+WbGfUWfX5MU + SaaLJeSbml3605980j+7GNwJW61WVSVSJJL0rXimhpOPXjaenjp/9ze//dVLLICQEYnJsn9ro6fz + ZW5B0cLCwvLy6r37j4fHJ0WFClq9CuyZcwsarT4/P0uRgcPAspgBDKoMqgygpqJhz4Lz/r1f9g3O + LG+Ei8oqVY6kRHFkbNzmsL0Z6Pvf/uiPcjssHK8Hltne8n5+73NVpdFk4tbddzlNNuIBYDeXA1Jq + 0eXs6uwcnHZn5Vce7ugwm7IZDERFAGh+fv7Rw0exaDTHYopGo11dXT6fTyU0lRYJRVPTtucvui5d + ufbb/+57dQ0NJp3AAgNUice2Xc6Z3u5pTVZFVV3zzz7+u97B17IYI1KSymlVcjsd7smJWbfnxI27 + 147ld/AchwEYjKjCIMQwDCZUJpTssiVVBZLx7c310dGRX93ryy5vbqippGDGaA/bEQmPjkz/p//n + 5/bVQHzHx1EpW8cbtFqdht/a2nIvLna97jpw8MDly1e+9a1v5eXlYYYBgEgkYrfZf/SjH42Njfl8 + voKCAoxxOp0eGBiYmZkZGBj44z/+Y4vFwjCMLMsEINORBCqRRFFRFYZlBK12eXn58ePHf/7nfy5K + Ii/wxqwsRSWKSlLeLdeC+9nzF42NzV/96tdu3347Kzub3UuR+/3+kZHhp08eNje3Kqryd3//s+nZ + uUgsznKCwWjs6uq8/fY7ZotZkuW+N31PHj/sevVSlWWOwUaDTuXYaGRnYnz0TV9v+7Ezv/E7v1+z + b3+uZZcMg8ge8gJRnCFcUhWILIrJQND38sUzs8VsNBqmZ23TM7NzNodKqSyrsiRvrq5PjgznFhT/ + wf/yv+oshblmTpEBy2mGKsChmG/92YNHj192D0/OhaMxo0Gv02kkWZq3TdumRu59/LOOk+eu3Hz3 + rbeuaooNAoP1Ok3A7+vq7IwmSSidaupozyvK02sBMRhUmohG3AvzTx4+GHMsGwsq6ls6miqrBX4P + 18Pg8E5wbs726OHTlo6LVZWFaTGl02FQIB5J3H/w4O9/8qF3MwDAa3Qsr8EkIW1ubvYPDFZXN1w4 + d+k3vv2b9bVWhucBiWkxFgj6+/p6V1eCybjY29vn8XjevOkTRZFlGa/X236ovaW1xWI2i6LocDj+ + 5E/+T7vDHotFLWYzoVRR5KWlpYUF1+vXr06cPnfjna9cuHYtE+VnqMRisrGx3tvdfe/evWmXK5RK + pSWVVZnAhs82Ptpj5c4crlz2LEuKNREJS5Sg3ZZMlDElMBgDoopKKSBKVIQAKKWJ+NjoyP1nzx91 + D3mCMcrqjby8uLiyZZ9+8eDJ8YNN8WAwFAjqcyWKWE4ASoEoKhAJI1hdW7l37/HjJz0224qiKAoR + eS2TX5A3a7MNDg2zjHDuzOWb19+5eeOa2SwQInMcs7622tnZyTCCwZR97NQpluEUoqTlNKvjA4Ht + sfGhx48fBNLautV4feuJypIiBqlUERmQAaONtaXhoYnP7/VwOc1H9hUjhEBJbnvXX3e+ZBhjaXl5 + OBweGBzoG+gPR6OiIhOgLrd7aGSkuqb6d7/3uydPniwvK/3ysGGv+Xh3fZ9Za+wVcndHRlqA9jA8 + CBwO+4sXL1mG39/aCKRNkWWHzfb0yeO5iZngZgoDowBZci+5tjwijykWNAXWY0eONuRmW4osmQS4 + QgBhDuNMdAxAUUFK2+ZmOjunbVtyWfPxtn3lJg2HEE6nUu55149/+KMnz56rFDEcj1heoXRzO2B3 + LdgX3O9/9SsFxbcygcK9FDuhqsIARZn+UqKmk3Hv1sab/jeEyy60lt3//N7E+PhOKCCLKV9BntGg + 37evvra2GiHksDueP3/2y08/jUajCGGNRsswbDwen3c6X716WVFR9Qff/377kaMF1kIWIxYxgIHG + Y2PDgz/82UejU7ZgJI55rSim15ZcttH+Z3mmlgPtF6++9erlS8Lpmg+dMBWmTAatoEGpeHTJ7Xr0 + 8CHPZR2UU+fefksA7ZfPbEJAkubtziePnvrSrLag7sqVjiwDZhAmKolEdmamp+798ldhOY+3tDQ1 + NPEc8ILAsWCzzQ0Pj1itZd6t7WAofP/zh9FYjBBYXVs71HG4ta2ttKw0tBMaGR354Y/+ZmHBFY2G + BY0AiBKiOOyO2bGZJ+yjc+cvvPWV9y9ar6WBclTWIqrVcNGdsHPa9mf/5c97Jmw7MpNGiGUTabd3 + wT7aky0c2le3uuCR5CyWFYgKYhpkDDxLKUcp+qKnVYMAUung4qK9t+fhw/uzigyqCiKAx7PoWvES + hDmN5njkZE11gSgWK8CIosIzSMezLEKOeVf/3NCDzx8md1JKUgWCA1vbtsnpT++pv/Z7v3bDcKOu + pkYDPMsiJABLQAyLY4MDP//8Z49eP5BUMUtvMulNRFWdTufY+Lig0V26fOXXvvXtg4cOWbTZqvrl + DorZ43NlDqpZhgGMFhYW/tP/9SdzM7N+b5hBWo1gBIOC9eBw2GcGPaz0/P0b6x984+bhk81aI1GJ + zLCEEEmS0wzDJBJJu93xyw+HlhdmtjZcshSmVEEA88610RHnm77h73z3N48fO2KyGGRZVBSF51lC + xdU198cff9Tb27vgWlBUwmAOYxYBy7LCTihmm51/3fmmsNB69fqNt26/e+xUs6JCKp3yB7Zev36h + M3hkNa+gqMFckDmbIxRUBCrIac/i4q8+uedybzR3VL39lSsqkWRZRCnU39f/6PnT7jd94XhUUSnL + 8Zbc3ODOzocfffzk+cuOjo4//P7363U6nhf+6eYkM8t2j3YgshOcts399Q//cnB8NJFQtIJZpzFr + NcLLl72jI/a5ufnvfOdb+fl1lAKhRJJS0Vjw009/fu+zT5Y9/hyzKduYl0zEfVth59zyQP/YyMTk + 0dPHbr/7dnVVpUHPCgKDAFRJXfasPH70+KMPf+71bsVicVVReJ5fXFp+9vzFseMnamrrjSbT02cv + SsvK3//gK6pKFFVksBgJel1OZ2d3X0NKX2TNa2yu4njEqsBinqqgpFMh38bMxJsnj4dKNxSu+Mix + QwUIgGQUB6qy5HJ+dO/RizejzlVfWpQ4jsvOMhqNxtevX3d2viopKfkPv//7165dFQSBxQgwIoQG + g8Hp6amnT57IshQIBv7v//yf19fXFVmSZRUou+BaGBzpn3Wduhl/++q1K8YcnhCCM34LRVnzOD9/ + fP8v/u5vQvEoqKAVtKCCf8M3NzZx/9MPD5099Yf/xw8Kyqz5Rh3DITEZn52d/q9/+mdzNnsskbSW + lotiOhSOepZXJ6am8wsK7A7nu+/eKSw8/0UTB97FelOMWQQUqCLFE54ld/frru2deDStUsomU+nl + peXlxWUAyMnLa9/f3Fhb3VBdbtBpVFlaXvb84hcfvu7pc7oWECtQhqeMgBl2fGJiaOgNg+Hs2bN3 + 7949d+58QUF+hiFEMhpzChijfx6Y8P/D+LfS7b8wMhS8Xa62qmK0h4yhZCcUGh4ecjgcDMYffPBB + dU2tJTcPsVwkFovE4xqtvqi4WFKBZwBRVU1FezqfPn/R41zaaGk/3tG2r72lnkpJIqdVKTU4NDTt + 3nrxuq+wtq2opNJgzGcQUFVU44GZ4a6u569dC+6CsvrLV5pbG5uMWm1KjXnW3QsrjrHRESwKUW8S + DFhWZMAgaASOMAhAUZREIkkJI8kyIGAZlNH1IACeYzU85kBOp+LxtBhXkIR29fbA0JBrfrTv9ctH + nwdFYX/H8RPnLuVk6zQMMWmR1z3jGO8ZHBkdfNOnK667dP1WVi4PCGOOJYoSCgQ2N9a3vN6tbZ9O + p33v7t26+gaO58PhiGvBNToy6rDbn2s15SXWqopSzDKIwUSSY35fT3fX548fLK+sNja3HD18tqKs + nmcNchriMWlibG5lxR2Lx9OiKGgsGCddLtfTpw9GhkcEnr9753rb/sO5lmJFYhQRL3u8b4aHlzye + zq7XNfV1tTXVeTkGurc/2e23Bdi10FIqCDyDkCSJyUS8t7dnZXWVqMqNt67vbzsgE5JbWFhZV6cz + 6MPRHe/60rNnT0dHp3Va3Tu339vXUq/R8yIRQ/H4ZiDw7FWXc37+w48+4r6hyc9pEhjMAIonEu7Z + +Ymxcc/iUm5u7qUTx5rbj4GQFRMhuTlvH3jhds5NLmysrq/n1IrheDItKxQ4jmU4DEgRU4mEpBKF + EfaKmCrIsooZggVAmGcwC6qSTkpIUlSEOU2GHiiBLCmSpIgqUdKilEzJgHCmsAiqpCpSOpFacLjW + VuezTFzDvrrjF9/Jyq+TQVdfW23QaxCA2+3uf/Hx2PgQL7B37p5taj1gsraIbF4wxa9tbI6NT25u + bfZ093Q0l7Y1FFvy8oBBspheW996/OTxQP9ALBo7ceLkvub9lZXFqpqMRv2JDdfyRH9wIxqLAtED + YoQv0j0ZxmGGWs7Cnl/ivxu/pxhjhmaq8ApRRIxBVuRYPBlPgB4BIWmCVRlhiSLEUUFMQiwiiylC + VACUFqW0KH2BkgVVGeh/c//l4PDwmMVae/rYoSMHWrK0LAIqplMb6+sOh6Ov983I8CCTXaQvrsup + MOsExAi8lkWgypvr616fPyVSjQZlCMGQTgW8G/MO27Y/KSmmKZvjyukOoloBVAAEhCii6F5YWlzc + 1OtyCgqKc3MtGCnhHa/N4fjow7+zT8+YzIVXLl8prazKMucQzMTT0vL65q/ufT5nm/3pzz/JMnyn + sbGCF4TiosKsrOxwJDbvcq+uVgBtAVAQRhwPFEMyldrYWPFtrwGQxsaW3JwiSiEWSUxPTfT19jgd + 9ur6hkMHD9bX1Wp5QSFSPBWfs8/YZ1cWFtyjI+NVldb6+hK9IYOBVikQoqrLHo93M5htt1VXV5++ + cLqssjmRZItLGq3WUq2W+2+/V/9gYIwFQbBYLAaDQZZlj8fj3drKQEgzEk9JEv3eTbd9LhIKxJF2 + 0uE+HhVrKGhZFkACOQWx4NrC3PraKqfP1uda9eY8wGkAYKjKg+JbW5wKb67p+LqKqt89e77QWggM + CgT8/f39i263e9E9ODSo1Wkb9+3DGCOWBUmanZvr7Ozs7Ow0GAy3b9/u6Dik1eoYliGK6nLNT01O + 9A+NvXzZyWqyr1y9iBkgFFRZjSfi8XhckqXXXZ22uVytlr9z552KygqB14R2oi734tPnLxcWFvp6 + 3zQ1t7Gc1mDU7LF2CQUCNKO/AZxJJGPKMJRhqCQlFt2bP/ybv8XIUFNd/9bNG/osHadFlJGevngy + Oe6emZnr7R3MtWSfPNGu0zCUZZOyzDBMWWnJ1csXSgoLjJZchteKCt1JpO3ulYHRabvT6XA66xsa + mxtK9+YaKLKUTiajEfH+gwc4u5gC3LypaHCsAAAgAElEQVR1s7WqSGs0gs6UXVje2Fjz3e/85tkD + NcPTztd274ZkPHP2bHtVQbVFwKpsrWyorGs1Go0AMpHlVDwej0ZxPJHxNFIASaZpUVRVpaW5uW1/ + 6759+/TGLMTpRZWNJESb0/X42UvPysr4xMTVy+eY0oJsHQdUBZbhOQ4DRpR+Qcj8J3eAzIKNZVmW + AigUEklQVb1WZ7PbxjY8Rn1WQ13tlbOXigsLMAORSNjjWRoYGJienqaEaDQak8mk0+kopalUKhQK + PXv27OHDh4FA4NSpU8ePH8/JycEYy7Ici8WGh4eHh4bn5uaMhb1Z1tqOgw1mHSMYtFWlRWZncHk1 + vOHdDoQiFrNJBtBQCgzaXFlcdrtSyUTM51tZ21hZ38J6rU4nYIwRoulE3D3v3N7cAELLKqqyzPmK + AoKcWpq39XUPzs87C4rKL1+/0dCwz2jM0ioRFNvadI73zi6vbGz1j042N9YdqMrXZ2EgKhAFEZlD + dHNt1bvtc8wv5OQX/8ZvfKeytl5SaHZOXlVNnc6QRWC372yvFpDpzqPezfXxkaHZqYlcS/65S+/U + NO3XmDRpSCflRCoZU1SxyFqMGIYqCqIsJUQWxXRaSSdTQDPQt4yXlAKiwGFEVVlKp1MpSVYoRZSC + ogJRVIZhFEX1+wMjw8M6nUar1V44f764uDjbbEGYXVldm5icnZ61TU1Nf/rJp1/9+jcamxs4XkVq + ipIEy6iJeHx+yfGX/+/fypA+ffJCR0ezjldBiSXj6e6uUfvcSndvl6kwy1RgrqmvNAg8BkR3yXKZ + UxEKCJRMpyKLxVQimUhIsizKsrJL9M0QeZU3vT1Png44nY7GjrM1ZRd5kGvLS4oK87U8L4up9Y2N + /oGBrW1vd3d3fV19+6F2q9VKCNnc3JyanrLb7fn5+deuXWtubjYYDIqipNOpWCyuqmpOTk7mj3wB + vaWKAoRwPMfSDPdIAUpzLJajR4+Ul5eXV5abLTkIM6pKJFnp7x8cGR2bd7lcLpfP59fpDAgxqprB + uFFCVBYjp9OeElMA9Nq1q03NrYhhzTm55ZWV2eZsn99ns809f/7MNe9qqG84dvRwbXWVXqtBQMI7 + oQXX/NDQ0OycraenD/Emo6GW53fPSTJ7UQQU4b35hwFlNOKguFzOTz79OJ5IFxRav/e97+XmFfCC + Vk6nBnp7nbY5z5K7q+cNYyq+cuGQAQHLskDVjXn7YG/nw199uhJKllbVf/XsufKSYlOWDinpeGh7 + ZcH+8OnzebtNZPT51hINW11RpGUE3mI2FRYUbNuW/H6/Pxg055sx4oBSUKTIzs7K0uJOKBiNhGPq + 5ta2NxKJ5uRl7dWeiWdpcXZmNhyOm0x5ZeUVGq1AUcrvDzx//PJ1d08kErt46WrzvgOlFQWYUyhO + R6Pp7u7BpaX1rq6uupp9HHOxutYMCDBWMUMpVbd9/r43/alUymzK/uY3v1VaatXr9YlEor39kEbQ + xhOJ7u6uZ8+euRfd9XV1rftbKysr9HodwzCqqszMTE9MTExMThhzi/NKa2pra7U6AKImExG73f7s + yXOHYyHLbDp7/VplXW2eIZeRmWW7Y2NxaHJyzOsFkZMZniMMyoBrATDDYIQzIJaMWGePMJ9KbW5s + vH7dNTYyEovFLl66XNFyqL7QwMe3pO0F/+rK/NT0psePEGh1eobTJJJg0gBmWaCc0z7d2f3i8/uf + xaJ0f2vb2fPnTBaDVs/xAhcMhpxO59PHL2xzNhbpykqK9++vycvX6nRao9Go0+q2fcHtbV8gGMwp + yMMcwyEOAwoGfba56VDI50/oqWvJ6w0mE0U6I0JcBjcorq64l5aWIEV0+rxsUx7GCFiKEUmnkj5f + YHNjc9mzbMnNuXvnTml5uaDTxlKJ0fHxObttY2NjZGS0oLDQai3BX6h19ozOmMWIQkYolWlQxnTv + 7pd51u7pXDFGqXQ6kUias7WKJKfTRMOxBw8cyNZD4LK/98X4m1cTgUSi7WTHqZsXGLNBYXWgyz7S + 0ZFtygJFAaDAMCzGClBCgIE91i2LgahpMR2JJmRF/SJLFPQH3vT0uhzzBq3+7Tt3rWXlOmOWAlhU + SVqRRVUpspYg5h8mSjL2LPSFjQKoSiQxnUzEYvEVn/cXH3/s93qra2qPHvma2WQ0ZRmyswxFhUXR + SDQQ8L969aqrs5PnuLeuXz948GBWVjbCTDotbft8b/r6nc75+/fvU5a/VHh9l40rJh2zk0ODb6an + pkzmgo4TZw8cOc5zLJfekXc2Zkb7t9ZWPvz5z1wLnuKqRpkixGk5ngeQWEQwpYokE1WURDlj0JaB + aDL9npgBjqeEJuLJaBKLopqx8VKgqqKoiiJL6XRaZVleEHQZDi9R5EQ8lk6l/D7fttc373SZTDln + zpzZt68xJzc3GosfO3EiKytLFMWpqalXr145nc6SEuvVa1caG/dpdRpCVSkluufmHZNzc7OzhuIi + S3V1aXV5rsBiSCvphMthe/Cre3a7U6szHjp6puHAgcJ8FpGAf3N+1eV0jI8Hfalogk2DyCBWKwDP + AUJYVYlMFbrbiAiUAs9r9jXUfuvbXzmw/+jwoNNu29jaSbQcPlHdcrC8sgYxfF5hcV2NWavnARIC + h6iaju/4In450pUyVRZdu3W3qqg032jmAc9NTc9MTI3ap6ampnPrykwF1lyjoAVGYECMxZbnnU8+ + /2RuZjrblHf99o3qskqzPovBTCQaW11bf9XZ5XK5fvrTn/KCZn/bgexsHdmzfRAVMFCezejaKMYY + FEWRZQT0zOnT1qLK4oIKjcaoaNNpLr607Z6f9L55PjfvXOjt7auoyy/WZvM8BqAsh3ieI4QsLS4j + VdIy0qH2jn1ffyc7C2OcjEWlly/7lxa3x8cnm5uHc3LMR48eZFlWJaoop8fHx7q6Xr54+ZwSUlFV + jjF7uONoU1OLhtcTgpMJaX1ta3BwZN650NPzJt9aWV7TZMlBlhxTaVkRy8P2tnd2znEpkihV+Ywz + jICK5VQsHFxfXbPNOrLN1oryivz8PI0OIsGdpdml16+6ZqdnCwoKb777Tv2+RswwGq0+mUpPTE6N + jI5NT8/cf/DwBqFHDrfBbiMFRhmXoypnrI4Z4OvG+lp/X9/SkqewqOTKlVtFBRVZxjwWaYOBsCxS + nU4wmbJlBTgOgFCv1/vs2f3e3t5YNHrp4okD+w9XlNcrIqNITNCfHBgcXd5a6+7uqdvXYDaZjPo8 + AJBEEgz4X79+3dfX593abm3d39zc3NDQwDBMOBJdWV1bWFwaGBxUCN3Y9BZZSyRZ1Wo1QsboQyRZ + EmPxVDytigqlGcQtw2TKNphltBxgkkgnxWRKSstshg0IwBBK5udmel+9ePXiGdJarly+fOL0GQYj + nuf1Ot3k1NT42NjIyPCrzk6DwXDt6hVW4BAglmEIUdOpVDQa7Xz1anFxsbi4+OKFCw31dbKiRnai + KyurT150Ohwu7uWbusZ2s9Zs4jEGFdLxyNbWq+ef9/Z0h3aSJy9eO7i/taqsjMoySSbEcKS3uxvx + EAztWErKWV5LiTg6Ovzo8ZPZmanS8oq2A4daDxxkeY2kEFFWZ2Zt0zOzo2NjObl5Vqu1oqLCqBcy + N3+ge0hjQEAprxEOHz70v//xD1a8wYGxmc8ePrdarU0Hj5w7d4HBSMMLlmxDY2MDx/NAyOzsTPer + l12dnaKsHjly5OiJM5b8Yk5rUAkJBb3uBeejh/fHxycYhikoKOB53mIxi5KEEGIYFu81UP/rjn8r + 3f7Lg8lAj4mqKDLP7hLOQVEjkcjkxIR3aysnJ+fWrVttBw+ac3JVAklJiiWS/mBQbzASCoRQRYzH + Qpv9PS+nZxZ4Q/7x82/fvHC4rcGqYyXAMtB0Ua4mer9/6LM343NrtbWbpdZ8VgNYSaejW66Z3qnx + fllhmg8c/+Duuyc7Dhl1kJBSrmX7/ae/erb2ym1bJAlcnG0lhABCHOIYBmEAohJFVaiqZmzaGDHA + YBUQALAYc5iwIFNCKWZkVithUAB4SgCpSy7bcO9L59xMacflk1dvvfu1twuygSWgpRD01MwWadc9 + Cx7P0pPnnQ0HT5SYi7SZ7hcEsizFYtGUKANm7tx57/yFi+0dHYKg8QcCExMTwYB/dHh4bHT06uVL + yUTSYNQDQrIkrq+tjo6MTIzNGPUFp47f+MpXvlZRUSVwrKpAMiHW1PYODRnyCrWCRmUYmkzKTvvy + 00c9OwGlo+PIV9//TuO+poK8QuAFoNhtd3J68tHnj2bmZvv6+rOzsvJyDbC7e6UsQl+WBSgFoLvK + FoQIUVeWl+PxxIWLl27eun32/IWUJBHMYEFgeWZj2TU6OjI6OppMyidOXLhz5+6Bjv2YowSr4VRq + 3efbDoVfd/Z3dnUd7jh+oLmSz0aAIBqNDA4OOux2WZaOdxx6+727py5dVxBEVYgtr3Yb6MCjD3vG + RtOyXqEgq4Rk2FYYAyVAJEIoZlhghd0dJ6VA1C/yjBgBgwhCFGXsAXuASAKqShUKBDOYUqSoFKFM + oIACqJhSqqi+YJDRMVat9fbJa8eOnykrryEUcQwWeBAT0aXFhV/efx7ZIU2Nh29/7bebW1pyCiso + 1sgUltd85fnCz37+4bzD/WZ4Ksuck11oZJCaSoXX19b7+/tXVlbMZvOVy1fOXri8r7GUECUY3Niy + jz9K+Kc2V8VtAJUAZjgOEPqyPPMF3OuLH7/4+kWSE/ZyChlMMaEqAEEIfD7f1NRUOM3maqiOxDis + yAjLwGhZYkpvcqEFl9MZCgYAQFGJkhGyAyiKIkciI0ODg4OD8YR0ev/+OzevXjh1zCBgVZbi0Yh3 + a3NkeNSz6Nn2bg0ODe07dqnB0lFkAU4rFORkFxXkeUJxnz8Y2gnn5ZkxD5QQJR4N+TY31lbSUjZN + pBbcnp2dsCxLQBjAKlUS0UhqbT0Y3BEqqmrz8suzjAZFTQT9Lsds55veBwZd8dGOK7fe/a3a+ups + C0cA0nLcs+rc9s4N9M687h4+c/paQWF+UaGmorLCarUymF1ZXltZWVHTSYZTgQWGAQUgFo05nfad + Hb/RYDl0qCMvr0hVIBwJT89MTU1NAoJDhw7dvfNudWWlKcuIOZxIxkZGh17oBu798uXszFxtjbXE + atYbjJDp+wCqqoo/EGaZFOKZt95568zFM/uajoTChFDekg3C/8ADBCHE87zZbC4qKsrNzQ2FQj6f + LxKJ5OTkMAyjqmo8Ftve2lhfdhMpHY8l7O61rZ1kSgEzz4JKIBVXQl7f2mIiESuubDMVV2pNBsAK + EIKIosXEG9jESV3xvoYjJ85evnKhoqqMInVtdc1oMH6e+szpdE5OTNbX17e2tCBACCFZksbGRocG + B1dXV+/cefft27cvXbqYyUTLkuR2L+j1uuGx6cmpqbyi8nPnz7MMxggIoapKCFFUVd3YXGdZuHjx + wtWrV9vb2zlO8AdCo2OT7qVl9+LKnM22urZWVFym02sIBoQoAyrNACD/AXonoztmGABQgoGdscj4 + +XO3z5w+d+X6JVNuFq8DBZIMTxPx52/65iYnZspK8g8eaNRrtSzLcixbVVnBXDh//uzpyrJSJtsM + FAMwsbQ6Om2PS9TmcC4vr2xsbu66EXa3tIQQVaUwOzuXU4VOHj70zjvXzh7aZzBblrd3EpSrKCus + r8w90lDC6J84QoPxKHfs+Inbpw621xSCqgCjo5hBBEBWgFKiKoSolH7ZJk8IEQShuKi44OJ5q9V6 + oP0QcDxgDUVCOC72D40sr29NTc8seTzrGxs5FkO2LjuT+c3E3fes2v+g6/bL+wMAIAYYhEim9IYx + 1mo18xvrsQhfVVF98uSpy6fOV5SXsRwOBvxztrlgMNjb29vT23vs+PHm5mar1Yoxjsdi4+PjAwMD + Lpervr7+2rVrd+/ezc7OBgBZlkVRLC4qTqdSb0YmJyenDYU91ZVltQYEGm5fbVWZY2fY7V5d39zc + 9tVUm/Y+3HTV4172LHEsk5akQCi0tLxqKS4q1Aksw4AqJ+JRp8MWC+9kZxdUVtdm52QrKpFiUZd9 + dmSoXxLFw637v/rVr5dXVprNOgsLTHTTM1XO5k3+9cOBWcd8/+Bwufmk1WgBIJBB8BASDgZkimUV + zl28dun6rea29lhKklSaZdJm1CUZ5Q+FPeshAADybW3NzUx5tzaPnzn43nvvVza06HKwyoIEJBwO + BAPegsIijDGVKSIEKGDMAFVoZvsHQAhlMq4lBMAgjCgGYBk248zJvE1qZo5QKsnykmfZWlRQ2lp6 + /a0bra2tObl5vKBxutzFJd2BUGRlbePlq87Dx06UVlQZzQiojCCNkarIStAfm55yXrp27tL181eu + HDdoJCA7yViSQUIwEJ1zLExOTVfuq7eWlesFIZOcpgQRorCYYEzhC4E4i4kqq6qCMEaYgV1pC6iS + TMM7Q4MDE+NjHMefPHXq/IkjHJXqKsuKC/I4BgFRV9fWsrKzP/3VL10u19DwUF5+XmFhIaU0w9nY + 3t5uamp6//33m5ubs7OyCKWyLAcCAb/fn5ebu7toZJiMwJBQmjmTwCwHQBRZNhoMdfX1gkZoam6q + rqkxGAyYZVVC02kpK9uUTKWePX+5ura2vrFhLSllOYbsvYkIAcMy235/Mp2+dOnKpavXzpw9p+6C + MRi9wWC3O16+fDkxOc4xzMVLN2/deKulaR9mMKhKZCe4uLAQDu/0DEz39fVbK5rqams59ktI4u5U + y+h1KQEMmKEYA4ORz7e9sxNp2X/g6NEjd+5+UFRs1Wi1cipVaM56TCX3ysbQ2KRQ3HD0+CGNFgSE + gKquucn+zqczExMlLYePXrr2/te/WVWSn60DTqVieGtxdjS2E3g+4hibmN43Ml6Sy1cU1ACLiwry + 9zU0OFxrO6HQ2tpaSYWVGjkACqoa2QnNOxzJeJwQoiQTXu+2z++ryssC2M26rq143K4FluEKC6yl + pWWCwMlyaHNr49nzZ54lT3Fxya2bbx8/eq600gxYBpSKxtNGo+XTXz583flmZHjMWlhVWdmOOYoY + yjDAMDgWiwX8O4WFhe0H27/+9a/X1dXpdFqfz5uTY2FZ1h8IDQ4O9vT0MBgfOXrknXfeLi8vy8oy + chynqsrgYBXLMb/4+N7k5HR57URRsdWi0ylE9Ae2nPOOsbEpSpmmpta7799t2t9abC5kJDw/NT3Q + yT/ctBE1rWDCsgywQAFUChnfLgZKdpvEMrlfBERVI+GV5eXxsTG/328tqbxx40brqYv7ioyapFfy + ulac9r8L+APrfpw5I6eIUNil7xM6NTXZ29tttzkPHTp9+fKVr379a7n52byGUVQpFotPT8+EgtGx + oenJycnh4ab8fH1hca1WqyksKCwtLd3a8gcCwc3NLaPZpBU0HOZkNeXb9rrmHZKYliVua9O/tRUM + hxO5WRpgEBAV0vHllcWtLS9vKSgoKLdYDBRSgCnLIFWRd4IhikCr0x47fuzC5UtNrS06o2EnGimr + qJBUpaenZ2pqqrGp8djx4xpGwF88cQAw7HILCaUIZTqx/gE+Af3jnlxKiEoooSzLUgqqooCGqayr + q6wugLQqhvHM8EIsKbftb/v1X/91LidbxVgEYAEMAJCO7b7anhTsywUqy7IsgyjIsgyA9oifanhn + Z2JsPOQPFBcW3bpxs76xSW8yq5gRVRJNJte2Ns25uWjvZTLZcASAGQxUzfy/GU5apuLp9/lHx8Zb + m5vOnDv73p13CgvyNBybiEc0AhveCU5PT42Ojqyvr506efLWrZvnz1/Q6w0AKJ0W/YEgUFhf3xge + Hi6vres4dTrXZABM1GR8cmx4amI8GAp2nL50+cbbZy5eMxhYjRiXAstPs/knr3p6BkaiaVJQQRQK + wPKYASCEQcCxDMeyFDAhlJIM32EPBYURAIsRphRUlRIKCH9xtSjKLMIQ8ILA8hqaQSwQVVFkVVUT + iYTfH7JYcktKyt5++/bRo8cKi4oDwZAxK4vl+HAkPDMzMzY2pqpkf9v+9967u7+tVavVKKpCZNk2 + Nt2lNf7k5x+OT00Xjk0aCwvzBB5RORXbWXQ5u193xxPp2kPt79x5r/3EibIiDilbvk37SN9AeHs7 + HF6UIgSoggG4TKk/YycjiKpAGGAAKAKeZwpLywvLzO37kzz7eSzaE5PVjo6j56/fPdhRqQAoFDCo + LAoAJDmGYpJQUt5QSiJhizWn8ebdD9rrKspzDADyyMtcIwo5FkfdS56s6dXDZzUGHc8zgBDZ8S8v + 2l5PDj1WWP3Bo2fufPDdhsoyA89oOTaZFldWVuOJZE9vX3dPz/ETp6wlpXpjWSZgigEoIRRRShkK + mV0/pqqalWU80NbW2tLa2txRWFIDGIBTUkxk0bswUuhangv7fYHp6ZlI+FJhqREAAxCMgONYhFBs + J7zO0ktnDl+5ePzi+cMWC8fgZHgnQYF9JHaOjY1NTo1UVBYdONDM8SxQJpVIjY5Mv+4aXF7ynjx5 + sqWlhWXYi5cuHe44IggaRSHxWGJtfYPjcTC0tbhkn5oebz54+ODBepPZVFFZWlCQ4/MlFheX/b6d + RNKsNQKzu7RU/L7t9bU1b2i7ofFwXW19dnYWRfGNgK+vt3d6ciqZSF65cO7d9z84fOSwogIgiMYS + 9Q2NKVF68eJFZ1dXeUXF/v2tAo93ZzAAIYQqCptpn6QEiLrt3RobnYzsSAePHfvaV79bXFxi0CFC + IJWEWDQSDPhzCjQEiEpoOp1eXdl+/Kh71RMqzm94+8Y3Og4dqSivQJwGgA37gkYT99Fn96cd8zOz + s6UlJUWFeTwLkiQFAsHh4ZH5eZdGqz1z5sz169cPtB8CBKFgyO1e+sVHH62/7rE5nCwvIMSoKsEY + AcMCQRhUoqqyQmQVq5AxdANCOHOrQBizDGVAohQQZggW1D3ttazIU5MTI0MDnsW1C7fbr92+9e6d + d8heoK2urt5g0M/MzExPTeXl5pw8cZzBWRzLZIC1mbDa6uqqIAhvvXX92tVr7YfaFVkK+n2zM1ML + y+6J+Y3xcbdnJVxTLJlytYCVdCKwsjIzOPjC41krKam5cvWDKxfOVJXnY1VB6bCaCObnoJUdVacz + spyJApNIhMfGRgf6+wlROzoOvXPn/X1NLTpDNsNyBKC7dwAQ/tW9zyYmJ2travPy8o0GIdMnDV8m + FQAAAc+X1Ne/V1O/tu5XGd1nD57l5ucdOXLku7/1dR4BASASaBhgqCpLqanJqc7OV8vLnsNHj197 + 69bl6zfyCktZDSurEPD752Ym1teWp6enBweHjh07VlBQYDKZFEXBmOE4ROk/2aD864x/K93+c4NS + Qnf1JpRSqioqxQzCGVkUSqdS7gV3Op2uqKwsLi4yGrOAgkpUnuMtFl6j02OWZVlQJCkR2t7w2G0z + o7KadfrCrePnbtc1allMACUBUgDJ4x0Nbr/6SadrxrlVMjzX2rhPyBc4VVRS/sCGLRwIVdUfO3Li + 4uFjhzQcAAWNoKmvr7+O3zLxeT8O/nRzwa/Iyh6ohgBgQomiyF/CXQEAKAYkEVUBzGOiiElVjAIB + zPFUYxARiAQ0soSV1JJz1jE1oufg+IkTV2+9rckGefdqQEFxYc6xQ9PjHd6++eHRSdfSWkOxxWxm + gagsgw16PUZQarVev3nz7bdv72tsYnkBY8ZiMR85cvjNm74Vz9Laysrm5obf79MKxQzLJOKxhfn5 + 1ZUVBNyF8zdPnrhRWVGXwZbzAnACe+HqoROnGw16A8eyopTY2tx22lfsc6vHjhw5f+bdU8evsQzs + Hn8jqaTUePvdSxOOucEJR+fr1y0tzXV1lQybWfPS3eRUJk3FYFCplEpSQniOA6A5FsvBgwe++Y1v + tLa1GQ16DdGJhMoUOI5bXV29/+BBKBQ8evDsH37/++UlNQzGspLGAtbr9SUlJSdPngwEYo8/f760 + 5FldX9ML+VpWDgT8L1++WF1dtZZZ796527x/P0agArAMlJSVvf/+e8b0ln0zFvKKrKDRG7NYQUAZ + CEsqIaXjlAJBSAYmDaAAAIMA8SzwGU2CLKaldBJRFQBkhcYSKYtJwwMwgBEDhKoqURHGDCOoBFSq + clTJLPgVSVEUqK1rvXb3zrHzV+srSgwCwqqIQQEkr3s311c9U/alA4cOt5++2X76pkYjACKIpHhE + S8343OH68TemrTXX0NhsZWNHzT7WKKB4IuHzbW9ubOi02ra2thPHT1RUlCIABrO5eXkFB/ab6TfM + NNa/PAyyolLEazKVZPIF1jKD8P1y3v2jSfiPpiShkE5LCCGNhqcUJsZtfa6/UFmzlsS0JMZSScaM + ghgOpFxlO0emUhI8FECTzwuCoNFk/kY8nvC6F+02eyQcbm8/fe7ChcNHjmg0GoQUludNFospy8iz + 3ILL9eLlqyWPZ3JmzlDR1pLD6XW6hprKw+0HVl6NeLe3PcurBmMWyzGyLEVCgR3/diwisVmcTHFg + a3snHEklk9k6AE4Wk1trq4FwWGT5sura40ZjAVCVFdTtTbvL0Z2MR8+dfvvO+79VUd2gM+wiPnhO + zMtRrl05GNsR+3pXZubWqqqsJdaaqqrKmpranJy87W3f8vJyMOjPMjJIawSNhgL4/L43b3pCoWhO + fk3b/gM5OYyiQiQadTrt6xvr7e0Hj5843tLSwmHMshgQaLWa5pZmKSW8fD60srwyNDh05nRHfr4e + MAWOUxQ5tBNKp+Tm5qZbd25fuHihuqGKZViLBVTyj7Yw//zAGGs0mgwR8nV3t8/nW9/Y0Gq1mT5c + v297a2Mt5I8JOjasqBvr26u+6E6cFOswKBLEd0KbK4mQVysYqg52mIuLGA6AMCBJRE7rOaTHak1F + 2X/8j99vO9BeXGxkWSCEycnJOXf+vN1un52ddS8ubm1uSZLE85yqKDvhcH//wJLHU1RcdPny5cOH + D7Pcbu8wJ/B1dXUdHR0dhzvsrjPiI90AACAASURBVBWb3bm6ulFclJ+dJQgaVq/XcxwXj8faD7Ze + u3b5zrvvWouLBZ5LpVMmU3Zj474jhw+HI4nQTnhnJyJJMssBUQGwipCSuVQoY4Dc66mhRFGUNCGS + wSBUljfcvfvB+YtnjCaBFUABURRTJ04eTac0szMb6+veOZszFoubs3mBYcwW88WLF4l8imMQ8Gxm + Ow4Y63R8Q0N9bW1tVpbR6/Vubm6mUgQxhGEIBor3SPsms6mj4/B/+IP/qaHUbMhiADPWEmsKaYCK + QFRCFKBEVVVJQWqGM6CowOBdLwwCQJhjMMtggRc0Wq0ky5IEOgEEgSkrLS226DQcsDwHPJcJo1EE + eoO2pLS0o6NjdX09lUp6vVt11aUAJsAYRFlMpymliP637gD/+HcUCCIEtFoW41gspihKU/PB3/7O + b585cqq8uDxzJpSTk3Ps2LGpqant7e2RkZH19fX19fX8/HytVhuORJ48ebKyslJWVva1r33t+PHj + JpMJY0wIYVmW5/mjx44CgrXt0Pymt6u7953bN5QCHauI9dUVleU+Ql0u9+LSyurJ43UMAGAAUVxc + cKwuL2ZlGdOiNpWWXO6lqqZGKDCxDANyKhIKzk1Pyel0aW1pcXGJMRuoCAGfb942bZ9zVB463XH4 + yIH2JkCAMx5ODV9ZW31Nk/+roYXRTV9X75sLHQ0tpdlACbAYiLwT9KeSpKSi8Oa7d65cvtzU3MIL + mBE0yt61+v/Ye88fS7P7TOz5/c55w833VtWtnKu7Oqfp6YnN4TSpSaKG0ipRWsAWDAmGoX/GMOD1 + wrbW3vVqV7KhXa3EJTkUIVKMo+FwYudQXTneujm84SR/uNVD2TC8Bgwb+2Hfr4UqFO59zznPeX5P + cDiR5DkHY6ygYUGvbTfru1ubgRSTE5ML8wv5PLOEAyR4pDxWKueFs7Bgz0NsnHWShWQhWTIx87Cb + 4RlhopXVKZHLZXPGuF5/4ABPwmrR6XaNsWEmk8bRjRde+KM//KPzF85XymVIH8SLi0vv/Gr2w48+ + 3T883tzc3NrabtSbs2OjYDCncdSx2oyNTL346q3f+s1vvPylK8UCfO4JeBk//5WvvhrHdmP7T/b2 + 9h4+Wn/9FjkIBxIEa51WSa5AQrIbtmSQACCZiaC19oPA931lETD6vd7+kyf37t6NougrX/nazVdv + Pn/jHBJdCKQQcM4RMDI6cusrt+7cu7u9vf3ZZ59dvnz5ypUrYRg26vX19XVjzPj4+NLSUhiG1jnn + nOd5k5OT1WoVw8szAB6WvGtfCmNMt9ct5PMsBQEzs7MTU5OXL1/MZLO+79thxziRlOL8+XMHhzd/ + 9v4Hx8fHT56sXblyLZ8fogJYa5ROu92258kzq6vvfv3dF158qVwpG+scGEJoY7d3tt57771+r//K + Sy9+4xu/Mz05yVIADoJLlcq1a1deuPH8o42jD3/x8XMvPv3SzX4+lztpPsVQwDKMSBxmPTrBFASe + Ugmcm5gY/8M//MMvv/7VickZFtIBnhBfff0112/+7OO7axvbuduPGwMUBDKUsOrd/+yjux+9HzLe + fuftX/sv/svx2YIvoQGnVBh6F04v/NF/9o2eeO9P/+bDv/vpB+cXy69dXxAS09NTL9648f0ffliv + 12/fub16YXVxJBMIB0KjfvzzD/6+1+0EvqfBe/t721vb10+d8iWGLMvRwcHR4eHE+NTk1FypVHGI + 2p3G9vbG3Tu3q5Xpt954+8UXX56eqjgLBw2hgtC78cLz9x88/fEPP3jw4NGjc2uv37oaSgMyjqyx + Ok3S/iD5lV954x/95j+6du25wGdjUS6PBEGYJHGj0djc3Gq3W6/efPXGjRvnzp4NQp+ZhwaLc+fO + Kq1+8KOfHRwcvv/3H3z51i09FiT91tr6w6dPn7aa3ddff/PNN99+9eZNJ52xSrJ//sK5kv9OUfT/ + 9F/9i41d0lZDOn4mL8KJHcFYJ+QwREMTUnV4ePj48aPt7a2pqenXfu3XXr356uhsMQAKhTwH89VK + 0fb75cC795e/qNcb/UFcKkECLtZRr/Pzn//8zu3PRyqFd9555913f2NqapQEACs9r1gqnTt37g/+ + 4A90/L/89Mcf/vBHPz53bu7atVPCDxYXFy9fvvLZZ/dqR8drT54uLC9nCyFAzWZze3trfX3HZwRB + 2IrSvb2jo6P68sykYAMVp53G+vqjTrd37bk3l1dWy2VorSUrwGilBNHE9NRv/9Zv3/rqVy9cvsiB + Z5zLZDK3bt26fe/O97///QcPH2xsbsZx7Pu+YAJgrWMid/LWwlgzLCL/ZeTOF7QoAbDGaaXAzFJK + larhRBkwYAIJSGe0TuJYSpnNZDKZMDkhTp7Nv77of7SWhaRf/oDALJiJyBg77LIf7gODfn97a4ss + JsbGK8VyJsxACkGQxPl8fvXsKv5PktsvnGd0MpAAkcfkSUFEwvMLxeLXf/033n7rjaXFSckgB09W + BNvNjaff+9739vb35ufnf+d3fue5557L5XPDMyAI/JmZ6eeeu7b2ZO3b3/3e2trTJ2tPcxfPFnzd + 77Q+fP+nTx4/LJfLt7761RdffjXMS+Eh5+VL3uTv/uZv5IqV9a3dtd2asTZRRlmygNVawHpSBJ6f + amGtU9oAEBAnWbfWwToCCZZDUaAxIIaAYCnDIBjKWK1xSukkgWDkWJRLZQKM1p4nb9589d13f/1r + X/tV3w+JeXJykph6/ejw8PDRo8f7+wcXLl549dWb159/3vdkksapSgqZ7Nkzq6bT/+a3v7O7d/Cz + n3987ebNhZFQxP1u4+hgd2dnZ2fl1OWXX7n55tuvixwkQ/pibmYi9/prU9XJf/Lf/c97P9hMEs9q + lcRILGRohJcJCJGB0mAJhiJ2SCxYMEQQCOv6vX47m81lMmUHJCkgEAjhwWOwUX2fk6wcBJBvvvOb + L//2f3XtxdFRD7AOaef5ywuqfu69H2Yf1RoPnjY1F4e1qFrrrae3733yN3H74Nqtd9/6nf98bul8 + voTAwaokCIKZ2dmbN28eHNZ2f/B3Ozs7u7u7o9VqLpfxBAgQPsNCay3IMfOwwvP06uof//Efe1J6 + sohn762EnJqcPHOGZmZnHx4dNBtNB8fEgIVTxmpi+EFQqIysrCz/7jd+75Xnz1fKsLZPRCOj5V/9 + 2htREt+9/8mjx3c+vz3fbL1dLlecQ68bf/7pg4f3NyYnFr/2zm+99fZbKk2r1Wrg55xzUnKpXMjl + T3359ZcbrYO/+LfffPjo/k/ff39pZXZlLjc7O7V65tTm1vrh4fHm5u7iwfhUkMv4hkEseWdnc2dn + h8Cnlk8vLy9bp5WLd3e3/+a977YazTMXz//+7/3jxbOnhzotB8rmcs9dv/7w8eP19Y1PP/303r37 + BweHkxPjYTisXRwmLBvp+Nn8B+1m89GDNcHFierq9NRcGMAC2iLM2SDjF0qVTCgEx0mSHh0dPXm0 + 9ckvHi4tLn7l9bdff+3d6tjIyYiC0lKZv/LGC/eePvz0/oMPPvhwdmbm9OlTI+WC0qbX621sbCql + Ll+69PqXX7948dJw/VfKlStXr6baeEF2Y3snTrUxllg8y3MwziTGKOfIkrQk7EmiChkDYQCjVdQz + aY8cPD9kmR3mpDun7KD/yScfba6vjY/l33nrrZuv3sQvvVg4vbr6auvVTz7++KOPPvr000+3t7cE + zw+rQZh5GCm7tLT0lVu3fvs3f2txaRGCJXsT02Owp168cXWvQxs1u73da56LVyYLsLrT3l978tH2 + 5p1icfq1d3/v+o1fmZisJDGyvpBZKUP/G994q4MJW1iMGd2urm/t3Lt756h2+NJLr9y6dev69eeG + BdHDvfXsuXNvvNF7/4MP9vcPfvLTn7744ovVsQoLWAsehl+e7PM09LbaRIEgBAPwpCek1AZSggHP + B1uoVEXd7mefffrZp5+OVCpvvfnmN373d3OlESuksfA8jI2NXbx48fd///c9z/vJT37y8ccfLy8v + nzlzhlkM/6xSioh87z/U8fL/8fMfRVfaf7wPEQBjjTHGOfi+T8PyPOfAnM/lFhbmwyDY3t56773v + 3LlzO1UpEw8XsCc9KYkBT8pup7H28HbUrReLxaXT5zPFDEkwM3QKHQNJfjRXrY75Qa7ejGrHHSED + YkSD7ub6g15jJ5vBxctXpuZOBRkQD1G2I9ipyeqlC+fHyqNZP+uJgMBuqJGHYSgmK6Uklko7e5KS + SszMBGcUk/HIEMGAEwRm2EKp0sHB3ubmRr0Rz8zNLiwujY4/G24zmAFB0pcT1bFisaiNq9XbrXbX + OTeUdVqlBcTc/Pwbb78zs7jgZ0IiEGwgaaSQmZ8cHR8bVdoa50F4YIbT3W5n7cnjZu044+XOrV6d + GF8REsIDBCyUcd1sVo+N5cIMs8fWme2tjcO9PXZiZnplYmJFcAAOYAWSFC4NczQ9O1Yq57XS6083 + ut2eBYyDdSD7BTHgTvJUmU5QoLUSfPbc2bfefnvp9KlCsQRiJvYkex4N+u3Dvb3tp5tZPzsxPjUy + Nu5lAghITxqjtEml5PHqaHVsDNYcHR8f1Q6Zbb/X3N/f29re98LS0qlzcwuLhWLx5DsAPIHx6sjq + yuK5c6v5YkGDolTZk//QeZLEcBDoyH4xXKGTfJchovOkkJLSNDHWkQyDTJbAGprgmBzDOqOttZYI + YkhWa6gYDvlMYawyef7CjdfeeLcyMS88lqxYROA+XOto+/721kY3tpOLl2dXr5kgVIIsCCqG6WcC + szxdGi9La5LH6zt7TZVY34F77cbhwX6n1x2pjl+8dLk6PpYJhnjaEoQMsytLyytLS2OjOXjSWWPM + ibrgpJhh+KUAcC5Vyn6huP1ibvgP2FtHID6xkglCoehNz86ePnvu4sVLly9dvnLl8pUrV65cuXLx + 4sWLFy9eujQ+PY1cbvgBsrNOOTig320/fni/Wa/lcvnnX7gxPTvvhVlHABgOVmsIGhkpXb1yfm66 + qtL4wf2HBwd1APD98anq8qmVTDZbP249fPiw2+s6gnNme3u7dljLhXjp+euLF87ApLv7+7tHdXgS + bKJe7dGDu412PyhMn7v88mh1Cgwg3V1/uP7gEx+YmZmdXz5vJdQQ+loIUsWMWZkfqVTy3Sjd3Ksf + NbqOpO+HC3MzL9+4lg346Gj/4dpaPzFSSnZQfezv7v3i4881YWZheXFlJZtDt5OsP77XONoOPLp0 + 6crUzIIfZnHi+iBmUcyXxicmKpXRKEm2trd7/b4dpmc561kjSZRHq2cuP/+Vt35tZm4x8IOTrHYH + gZMyA8AZZ5VzzxTiw3Z7h+Fk27nh3Hx6euL8udOBx4eHR4+frMepcc6lcf9wb7vTauRyuPnaaxcv + XYGyO/tHR7XjYSpov99/8uRJt9MtFotXLl8ZHR2e1AQpWAgGFqanL1+8ePb8+ZHxgvBOSIhM4M9M + VqerI4VcdhDFA2VZeEyU9Lu1w8Pd3T2QOHX6zPTMXL5QsMY+y1iGkLJYLM7MzIFEpxd1+wNtDBME + wM6wVeT0qdOr1198ZXx6NsjlSQrf93yPCxlvaWFmbGwEJAaJTrQZaujFF03z9Gwhn0ANMMOTxLCT + k5NvvPXO6bMXyiPBELdIQZnQn54YX5ybGS2V0kF0fHg0GESpNg4gwSIbesUipA8Sw1UBkGAq5bKl + TOALYYxLDDTYsnRgWCcF+dJJxtVr11750pcXV04XimWwAJEnpM8kBIPBcEYrZ83JB80MIUEMa/Wz + 3hyG9QUzswNLLySGtsMoHi+bzUs/A+GDCOzgnFEpOWRCv1odCUIPTMILtRPaOABgEpIJJISUUhpj + 7P+RsP1C1TvsMQcTjCajJNP4xMTquQvXX3hptDouvRMGm5g8T5xaWVxdWWTYZrN5VG9o66LBoF47 + fLr2JErU6OTcxavPT07NMjOGUiBmZhofGzm3urw4N5UJ/WarvbO332x34Wcnp2amp6YKucz+7tbW + 5mZkoYE0VunhYeP4OBP4r918eXlxzjp3/9FavTWwgEqifuPgYH937+AwKJQXV06XSpVQQKfJ1uba + 0cGOYFy+fGl5ecWXIPFMXMyEfGZiojpWGXHabm1sdtpdbezQDOlZHUpRGcktn7n0+pu/urByOsyE + RBD0hYP65DEWWg1tgYC2cKhUKvNzs86Zu3dvf/s7397f76TJielYgCV8S2TFsMrRkHNsSVi2xsZp + ouEcDQk9BgDhPKGZnLZWQwxlgESQRKEUEtoT4tTqmYvXbpy5eLlYqkAIWAtHvh9USqXTKwtzM6Nw + abvdancHFgQYJuVJEp6sTs3e+pW3T58+X8h/wcFYFm56avTU0nSpkOn1+js7x812kioQWDAD0MZo + Y4eKYwfAGhjlnCFACGGttdYJBgH9fm9z/Wm71chlMpcuXayOV8MA2ayUHk4Uu6AwzExPz5RLZWPs + 7s5uu9UeatbL5fLc7Kznyfv37n3rW9/a2tqM43hob2Zm3/el9IjFiSKEhZASLIT0spksCwEiIYUU + FPqyXCoGYQjhgSQRMTkmV8xnR8sFhgML+GFiKTUntxRBVsLAqpXl5a99/ddPn7tQKI+ABAspBUnY + QadRO9jb290uVcqLy8vViWkZZL5Q7EIwBf7o6Njo6JhKdbPVbjSbxp6QUVrDPhNknujNyDmTJPFA + Gzs5O3fzy7dOr54dHa1KKa11xkJIHh0tLs9WV5bmgmy2Fevtg3aSGJvGjd2d7a3Ndk8trMwurpwa + myw4xi+jisgh5184e2pxbtZjb3dr7/CgnqQWyuZyufn5menpahRHv/jok1a7Czg4lbZqh4f7+0eH + Zy5cvPHCS8VCqbZ3sLWxebJNDPrNjc29vb04SecXFiYnJ/N5Idm0Gwd7u1v9wWBkbGL1zPliqcIS + 1g09SxBMUxPViepo4HvH9Wa90bVOOAc4M2Tf86XKhYtXnr/xwtmzZ6VkIkhGNgykoMGg/eTx/fpx + LQgyFy9cmp2dDTIBn9w2WbDM5XIjlXKxUIiiaHNzp9XqGK0E24PdraOjQ2J/fvH0/MJSRmZ8SGYC + OQTexMTk9edfmJiY8XzfOm1til/OIYZfDTPxM8OShaDm8cHa2uPBIB6bmL5w8VK5VPaHQlQIyAC5 + wurq6sL8gucJz5NiCCstkqh3fFzbPThoR+nY1OzC0srM9Owwz905w3BMNp8PL5w/OzszIyA317dr + xw1lHZjGxkZOLc3ns2Gtdnzn/pPuQDHAOmkcbB8fHWiL51548dLlq3C0tbm9vbOj4UBIBoOtjc12 + vZUJwksXL1UqAEFIxknrMxeLI8uLp15++ZXFxQX2PDBIkO/JSjE3N1Gdm5m2Fsqy9EKArHNaG6KT + rm/r4ICh1nW4kQ+3vRPcSF/srMREPKysJebhzkHP5s/DQwDssQBIG8dCDhGqG2IcYigNpU9eZIcT + Dxc7OENGW2u1I0PeEMczkMuESwsLnuTNzY0f/OAHTx4/MbHSCo4gfQy5No2Tfl76JTj4ophz6LQw + zhkHTM7OvfO1d68999z09CQLEIMFPI90mrRqh2uPHhitJ6dnV1bPVCojJ3+JCCxYeqVSaXy86nmy + Pxgc1Ru9KEqSOO53m/Wac25qdmFqfmmkOuJ5ECeLlMLRkVPLS9efuzJSLjDDD0InxLOboCPAWhhN + 1mAIw07+f/JAAko5a6RgwYJJEsFoWKjhYMvZYTuFsyCWQ3BhjdXOOk+E5cLICzdeevHFlwrFoh8E + w3u0EIji1uMn9/YPdlmIy5evzM7O+dJjMBEzCyLO5bLV6milUk6U2tje63QHMNZ3pr67VTs61OCZ + pdPzy6cyOQwpfjgLKUdGRy9fvDgxOhb4PgtJLIjgezgZpRJIDhkiR9AAQYSg3DAxnV0PNjbWaCcs + ICWkAH1RBKiV1Uk2FPOzc1evvbR6flT4z143obkkZiaD+dlKGASDSLS7SPSJaGN/d/3Jg49MiunJ + 6unVC2F2eDcCCyGFCIJgbm5udHQ0Verg4LDRaA4LlE7OfQNrLTENgY1NU1gDKbKFgpfNwvefjeeM + hZUQuUymUioFnmeNFSwtyDrzbBRitNLTM3Nffu31laWVchFSgIjAJCQtLUwtz4/nQm62GofHdWUs + rE267fW1JweHDcP5U2evzy2emZycm56ey2Ry1jga/jaT7/Py0sz1q2crpbDRqj9ce9qLUwsKg+D6 + 1SsrS4vRoH/37p3NzU3pDReYNUny4N69p+vrGb84t7w8Pz8XMFSvdXx0tLWzJ7LF2cWV6bm5TBga + 64hpaGgTAqVSuVodF1J2u91a7VgbAwdtoI0lIs/3TzThzkGISqW0tLjkLD249+Tf/du/3dhsKQ0h + wayFiIPQsFRgLQM6Otrf2tjQqamOzs7Nng/8UeIM4CHVMAn5dnyyXBkpMNHRYa3b6XmeR4R2q775 + 9HHUa4+Ojly+9tzI5LQXhM9gK4jM0tLc6qnlYiaf8zIee86RPbk1GIZmJuEFBp4yGLIFBCdPdig9 + 3NQcwYAVCQcIII06e7tb6xsbUWpWTq/OLSyUKkU1TOGh4UWD87nM9NRkJpsZJMn+4VEUJYIYjsha + sprhzpw7+8qXvzwxNxdkMsOwUAhTyPPS0uTYyKgyMlFSaXLOgVT9eO/O57/odgaVcuXixevlcmVI + 6RARnIGOUPCL5YLnQUhEUfzo0YNm4ygM/OWV5ZHRqpAesTTOploBKBXyU5OThVyx1422NnfiRFkH + 42CGWv/hh2Of1QCxZOk5rVinnietQ2rZiRMWgQEmlwxaG+uPD2tHhv2VsxfnFleKlVHheWLIgDlI + gXIpd+XShfnZOaPs1uZu7agBCCE8YuHghBRCiv8r5e0Xnsr/P57/pLr9v3sIDFh7gsRJCHHi9LYG + RKVy6cUXXzisHd29d//b3/52lKTd/mBsfKJQrmTzBc8PhwBCsIh6vf2dLZ2klIuTQePBvcfqSJS5 + zdGBoF4YpNrqjc0Dkca6eZi2az4rZtGPBmvr282uCvOFxdUzherI0JEhLQiKbFzJeXPVajnMF7gQ + ct4oP7UBCQf0PDSE6xHBUEa7ULvAIgDAYEEgpwQ5ySDAktQUOMADhI47jcZeM96KsZAZ3z1u3v3k + aeoocElAacYOMqql6ps7x/1EkXSUdjvxoGeRFwSyJFIKbTgyOrN85TpKhQjskWGrgJgpni+Y8WLe + Ih/zhBJFLYW1/UG/vbe+kTY6+WBsbmauWKoYxjA5kWBI64AMZDZxoSHhYBpHG3HrgS+aqWlvHO78 + +OefhIKESWPVFRlrZaqN12p07UANdNwbDCLjhCQf8IcyT7aONNieMCmB9Jg9bX3w/PzCxRduZEZH + rPTgiGAFaYdEDWqDen1w2MuFhU4z+fmnd3PlShgYRqxUV8NByq2nT9qdY5BpDLqt7rEQUZIet7ud + Rp8qpeXK1LlcecoLAgcMgXVoI/hufKw0Ozvt3z9ICanRsFZaAViWgA9IgCCdkMMpqWWA7AkYtfCF + k9RXVpGEXyBv+IpCwobG5oz2VUrWWDgtkYKJwWQN+aEo+dniwuziuXNTWsLBYRgCSBpucHS0Xjs+ + AHlKi4PDo5/+/Ye+ZzJGyyTOkCNhDelm8ziO4+bhcbsbWa3ZJknn8Li+30vTzPjU3KmVMPQ8DJ1e + AEkgQKk6Vp4o5QqBIR+RNdASGMZcOXhkAMsgWGmtJeCE0/2CtNVD17cjtg7MvnOkrE4ZmJ6amX/p + 5szKtfFQFVzXR2KEVCxIRyP6sBjtffj+T3bvt7cPwETsDBt4AmmvsfP0YdRt5fOzK6fP5UfGjRhK + y5kdnDVMLhu400tjk6PEqlvb3hnU+haA53tT45MrpzO50W4tenz/4auvXrPwLcVrG9uHtWSiUn3z + hUubXfXPP+8+2dz4ZK999rlSDq7biu7du1fvHfvVyVNXl8vjJZCF4uZur74WeQk6zd7D9XtuK5fx + EUrHthHQIZvdg9pxq9GMde+wd9SOBgaBILU4VXn9+fn1298/qrn37zwuL5+tSOEpg6Pdg63dO2v9 + 5QvXJ1ZvlCfLQYDe0XZt82PbXBfaJNrd22jE6nNhBj6lBHYgY7G5dRxr3VfxcbvViyNlXCAd0riU + RlkRmpGF3OlX564+5wkAHQFlrE8OvgNbBxtDsmGOSUpwACesJevAsPSsAhQA9FS1dHZ5opQTR7X6 + Zw92nnuRR0oOcWNv/bNWp1Gcnrv25TfFZvvjR987Xr9/uDtqLpQ9xk47+dm9g/1BtjJRfWF1diaD + EACl1g8TmYtMZmx8eWb+9MjYqB8OwwHAQIasH7jZjK5kfGVlByXFHFjLg0a/cdhut/uajcisbe4Y + C9jUY0iyDuTAj56s9aJkoF1XodmLrFEBObJpoDuhbgcwY1Mzo8uXdH48BTzE0nOwSYl6c2W/mM8o + 4feMSAwBEAQGiZNQvpND5Rl1KyQ4YCGAYqVy/vnnc9PTJoQYwnRnM8xhJjtTLMwXc8n+vuo2u71O + z1SzxOwsbAormomp9ZO0e8BaI0m0UkbrztO7Iu6ZfDkWmUQiEJBOEkhwGqLjEU6vnlq5cC0sEODB + iCHmkICEBaznDBtNzjGYhcfCh/RgNZ5VBMClZGKGNg6xldbLsQBbSMTsCMgapfq9dmfQ6A26SeRM + IgBvp7Z3uL8WxcfCy6UyM6BiAl9Cgy2R084YIR2TttqeaJKfpcA8u4aeOEqtg0kDE3tAsVIdmV2d + WDw11LKIIavhLFu1MDlyeqbsk2m0GrvNbkpSxe1efbd+uJcgI6uns7MX/WIRzsIqgnAkHFw2xFyF + F8e8zzJuN+4dHDcbvbFqaQIjbnJie7aaqzWeHm4/adYNVYTp69rGYb2dlCqlW6+9oPzbn28e33u4 + sX0YxQlyaa93+Hh3b/Mg0sVzZ+fOXs1nMyUgSbp7u2u17oFlENuDvd2//yDQji3Lgmvm7HFOHR32 + pO22871OOtBpL4q1yAuCt440TwAAIABJREFUSnN6kPU8m5sNl16YvnorKAkjhtwrBJx2NOwmB8AW + wqoTboMYTBOzc5efu/bx7dtPt5/8m2/+r9r3zp05N1oZq4yPyFHPCFJwAiogJYRhaF+TTSQZNsyx + IEFg4/vwiRJQEso2S5USx6JgZN4BwkBYVeK0bFuhMONzy8Wly5lq1QGwibFDiwhCT5yaLZyaUI/v + Ndud41pPKYjASbYEJu2xXy2vXL1YGvMEwRk4hiOQ0yM5f34kO5qRu91k73DQ7sMYI70Bw8Ip63xt + w+EV2gKAgbM4sU6T1dZpO9wZol5vd2szHvQdZBz1Hj68F7XGPChhFVnrrBZEwxV2XGukcdrS7WgQ + OeNg3cz09NUrl+/c/nxra+Pf/eW/cVafO39+YmKiMjKaz+eDIDP0YzkL8wwyOoCE9DICwysxEayG + ScFeuxcf99J2q0VmwDq2Km7U64dP75u4a2WQhKMdUSgQstYJE4d2UECcc+ns9Ny1l7+SnzxtfGks + JIwHBRvb1u6gvt9rNx2WOpH++N4TZ520SYaNdGrITm3v7MZxYp2Jol631zZudijoNM4yESBORJ7O + AtqzCZxLKciOz5669FJpbFbKrLHQJ1yyRqjHK25xtvj5UT/Wae3owFUnpGi3aoc7rXhPFCZWruYm + JrMhrIFv4TswPAiBjBeOj4yVSqNOdA5ag+NBf+DLvPQzZmIyPz9XerC//eDRxnEjVkkCr9s6erJf + 26mnmL/yQjEz1qz9UO21dtc2W4OkUAyiNH64ubV71DTMS2dWxqZHMj6kG8THW7W9LWOpb/yDVu/D + zz/LBTlgIEVKFME6ybJzuEE2qbfaR700sQgtPGOFY2s9Pz++cPrCwqnT1ckxwJJjOPhMoFjHB7vb + 93vdprMyTtzaxkak+4AjJ8l55Jwz8e72ehr1kzhuttqDfkRKB8q0d/dajZYLs+XZ+Up1UoAZ9Ez3 + DJnNTs0v5sojUva0VZ6JBArDnjtYciwA7x9MuxVEGjf39nY2I4NgbH5qbiWUMgQk4OAR5cFUrk5X + Rsc8T8CD4AQGwsFFtePjvcPuoCnLp2bPFkZncxkiB7IAW4JhSjJhMjNVGC+XQhc0D7tHjcFxYiuB + rIwWz8yNTha9jXb344d7b7XtvLKZuDbY+LxT26eMf/6VX6k26KNH7+3uPHq6M97n6xqy3U4ffr6V + HOnRTOHS2bmRCoghmGCldr5DNszkxqqzyyurhUoZ5ByTIUgYMvFM1s6OlI/qg5RGIALp4BuljOUT + WmdIx4NPCG4MCz3cMyr0JGcCIAePWAyv8Ow59hSDmBgCkGBiktIxGTaWEycED8ErHMDOgAXIM47I + nRgQrAMTYBOogadjOHKUGXBRDU93h2ql9PIr12uH2w+fbHz3b/8msq7ej4qj1dLYeKFSYP+XfWp0 + QrgP5cTDwTkAC1JAbKG04OLEzPOvfGl2fq6QgTUnfL4guKirGrvNnfVEiYERT3eOO92B51JmVvAU + ecz85PHjZvPI2iRK40anFysbuaTbag86PSfD/NSCPzrjBxBAMBTyOYEgXx6pLC9OVT4hUOqxYWsA + D8Jpm6baaCOMy4B9FifYxlhikwE5uIQRs9NkPXZDuVPqMAAZY8iY0MFTFoYse8OBQWK0FtbP0ZiX + C04tX5xbOm0xpB8BZ8B9lW7t7n/W6R1pR9qKzafbnGoWUM5qWE+wUKq2tZ3oJImTxlEj7cQyUZ5W + g9phs9Ud+PnCytnK/IwTEICGTo3MyCL7ab7C077Lk20JYYQHHmaLewAUoACfADgBB/gQHpyF60ib + ZF3D49hQPGCTAB4PwScRwHCKPGUzzpsYqc7Pz8/MTMAbBtIQQUgE0i94pdF89iD1lBIJRAohIQhH + te7j9XY/Rqeb7G49PWw0AsSe6XtkQSJVZmtnt93qamXr9WavN/C8wDkYBwKsNXwSl2ec1dZq5pPB + j0vSeBB120m3PWi7ps4kfdfZf9iLmk2OI5hAWcSQvqOAiaCN0cpgYmzm8uXnKuXAY1gLx6QFS+hi + 1lsqBrMjlccH/drA7yc8oQded6Oxda/V68bZyZHTVzPVCZmhwApnLKwllnAnO+l4OX9mpjqW9Z/U + ++sH9XrsEiMzIn/lzKVP5us/NmuPH3y0uTl1ky44eCp1SaO3/mB9v1YvzU+PnF6YnBoTtp9pHA/q + zf1uEheKNUWf3X+UC8k60HAa4KCN21h/GkUDApI07fa6zloMPdRwRMxMIPtsMfPU9Owrr944av/t + 1sYv/uIv4n50fOnq85PV4kieSxnnh76Fp8DMutXea9buCzS1jQ5a7fc/uVPOSh9JmnbJs+RbZejw + oGESdBrdZBAJQQzdb+4dPP0cca0yd3ru3AVXGh8wpIEErEs1OiNjND1aGKUwa/yMDZSyyXArsQak + LKyVQrE0jskKCZKwLABhQMqJUPOo4icJcyo1ARkgjQ67tb2jRuc4DatB+fHOTvrpB9YIRsgIBIwz + /a2Nh71OXVkdER13B2lqYQWcDbTK2jhgMzk3O33psi6NpEyBUXAaNglFPD2eLZckIXZIHaWGUmlU + vdl7unYY9TCfH1+YW85kAAYxDCCMB52HlwcFwwVmVG1/50HcOyaYODGP1nYT+zF7MjXKah14Ppzb + 3DhMeyrtunYjGgxUYgAJJ1OwtgiElTAAfLCDdEAidZxLWnlobbjj8jF9sbsqD10ebO9u3G70+6Y0 + M3Lqeji2iGHK2Ik0TglSItCzk+VqpcI2ONrtHh8O0oSlDyJYWOITp4c7mXIPH/uMuhX/T72o/++e + /0Td/gceIpaSyVlrTZqmvpQgWKVY8Oho5etff7fRau7t73/++Wef37n7r//8z19+9UuvfOnLN154 + aXpuXhJrB6eVShOdxCbFk0e31/7sfxr8b3+VN52cblNct+iRjAxMp1/p1UMKoDu1pN/UpWwcR7t7 + tU7fcpArjIxyJkgsXAzpUuEnoQ8HEwKBERmbCVxu0HODiJF3QCztsdEtpRId+OwXDQLthCAAlmFZ + OkGWnAVAIoCXtcN30KQeCyXy23Hm6eeb76//18F//yeeL8jETsWeS7LQoU27/fQgydjigmeNJGdh + hTMClKVMnnOgoKXhEROQh/NMCtuF7YxQJ+8JiGLHjHSNr6RgEydpv31Uo74q5DPlQjnMghgKsDA+ + XMYTMBqWmUUCWCec6oh0K+7rH/z4W9//+I7nhK+tSFWse9pPlUxhvE49oTQIS8Vut3vcaWWrFV8A + hqEVWClhhGBjlTMmEIEEhRABhJCeDfwBUwAK3FALoZzpSNf3jZER1Q9af73/3W/+8BfaFz4Gnutl + PA0mZc1ApY0Bw9gYWrmIqZcL4kwui3AsEjMxyvCLYHYmdUqHUgqKoSK2KZFJjdKS/UzoMWOoX4S2 + 0soAgqWElEBqMFDGIxKCBWNodVCkewrkZxAUuxHGCwjgse0HxuacDYwSzjhBSiAFMYQfhJY8NRC+ + zMKw0vBCsIOOUykdWMH046SpdRIEuX//7b/9m+9/ywsTKaKckXnrycQYislXtVajmYQ2V4wSm6YJ + /Ej1jrudRgrn8qWwVNQmcUmPvUAMKQUEgA/DNkmzHuekcRaxhZaQQgDGsxo0xNpe4AsNsoBJwUMS + 1wHKwWkETL51YGZnnUqTyCgsLyx+9c13Lt54cTaHnIqkGVgprfSFSwrxvmg+1Up93nvwSbPm+37G + F3mJDIyLu63avk4SUWQvk5XZkpDQgHHwHGWCAGYgKRkpIJRtGzVEknLEKsXAI6qOTCwv54ujB7vp + 2oMn7dZh6gIY8+jJeqNlzyytvn713PrB5jf95LN7dwpnnn/z1wDjt+q9u3fvtOP2zOlg5sx0ruzB + dhBZHgSim1ft3p/9qz//l+/91HlZ1paVCWwvg1rGHinrtuMQYiKRiWZyBClzM9Xi82dGvplp3tur + fednH65+6Z0zc9ZPjnvrH+1tbEdJaWT17fmLr2ofIoBHDdPdCNNmbQf/w//4zwf/+kdFGedF5Om2 + 0cwUOCsGCrV2BC87weUoVam2gbTo9/ODXpb9bma8lZlvCliLkKzPOpQMJ8kCSGFTpLCZXApWgHQQ + FgBDa8MQniQwAF/K0XJmYaowVS1s1tsffvr0ra8lC+MZz3Tvf/Lj41Zt9vzl+YvX61gLfdq5/+nW + xRHgSkfFD/aOv/PBw4Mo/9zI5KW50ax0nou0jQZSDihoDTibhNp6JMjCOTPMgAJZJdNO2bbyEj0/ + 1+VyP0WelZ92kEZamd3d2kH9hx99/KnP1iZ9CSWcdiDhZxJj633TjTg/y4eNlkoTQgrb93U7o1sZ + hga3XHYEAJCByMABaYBeSUTsVF8jIl+TONHN8MnmekJin9CRDMfkSFgnnEuAAagvKCH4AJmUdCRs + JCTGAn95rDzYPvatajTq1XRuPO9DaehEK/lkv/7dj+7c+dlPkqP9/v5ut3bgWdVv1Hp9BPlqJLLG + hwI8ILTSqT6lNTIQHiPIn1xLwEPYIWEADWc8WJ+JHQicyeakHwICZDFUbTnAJDrppnEvSpxWFBkJ + QkZaVgO4LESoY/ngye3v/t1f3390u73f4Vh06r3D/tFRv9aM4sUzzx114p7LOxEACUyaqjhK40Sz + dsbCDH0e2sA3sAJgpmFeA8hqw3EMQXnSUKkyHIs8GHZ44R3Ko+BYYKIcThWlDxw3jnfrHeuF1K+b + fjPqtXV+JM5NN0w+NiiyhUlB0jAJOOhekNZH/SikOImon5rYhhBFZDA+MXV5de7vP7/XONhYe7Im + zq5yK/rkzpNmLx0dHX3pxsVWHO319M8+fHr38UHtSnNiXEdHj7e31yI/m199fvHS86GPnIZR/Vbr + oJt062386Z/+i/QvfmBFhvyscsLTR3mqZ00tVdnjuoSrFqojLlJxSnlfQCWZuOtZZ4JyOzvX8EVA + EA4hEkkWUGSFQ1YzMcFjCMkYMg7sAWZueeUWf3Vjd+s7f/fzH/zkez//9LPzCxdevvzKV954Y+bl + pdxsOYXzoCLEeUGSrK/IahGIsFQtRwFih6wAGQAeEPnckr7mbFaHZSOycIAGVBqqbsm0AjKRwbHL + dwEAkkGQ0cAxUShoqkzThbZnbb1xcNhONBBwSMb1B4PI6USYlk1TDI8BkBSGXNxp55yohigImwyS + elNbzrEgRtvEkbOpkDnHeWVErOBJQBA8IT3JRFZrZxw5MOA04n7v6GDf6XRrd+uf/Lf/jc79Wc7n + rMc27mutyA1zRYQg0Wy04jiqlMtGGZ0qDoJTS0uMW9ubGz/68Y/ef/+nd+/enp+fv3Dx0td//Tcu + XboyPjHpwMQ0LDa0FrAQDHagE27UnXhJdBQNup/c3/rhJ4/e/9lPkuYu+scu6XZbzW6r2RsgMVRT + wSA48SGbXlOqbpnTknSe9BLO9Vl6ABsE1npIgIHXP3aDlkntnXsP13Zqf/neD+NUeWk3tFFgY2b2 + PK8/iBo9E/etMdpa5WCG8w7pPcvDMAQ79MwmOR+VSgV+rkeFng2tyDkgTawMBAkAKdDPef2xsmBS + gySKBj1O8hAdF0d9Co+zM73SnMnkAkAK+A5sAEFDBY5OtXS2YgkRTMe2WsgWirmcGhkNF+ZLpUf5 + rZ1k76BVr9XKI92jnfuHx3s2nyktnjlVnqs/bj366O7Gw7VH62vz5xetNeu7B8etgZfJXb5+ZXx6 + lGCE6Sb1rcbBDnvBTz++/8ntxz6TR05CsU2ESyWZXCAG3WangYHgw07Sjlzec75xPqSFn1LOyCz5 + vvRJOoIdKiRTcJ9sIx0cWZ3s7R7903/6z7ys5iAlgEzINsPOBUKTG+wf11NvtCKEEEJog26vs7ff + 7XQpmwurE5lChUEwFmASbJW2xmkIxx4TCad9m0iAAaNi5djL5J5FEQ9nfxouoei4322lkCZbDfIV + KC0T5wjGk+xybDVE6Pm+58vExkb3yMJna9LG8fF+1yAtTOXnzlmvqBIEDHawsAQtKZUygRQ5T4TW + b6XR4XHvaa19Oj82XsmfXRg7NVV50qh//vTo0W7n/ISousPWo190j/ezI5Pzl18aaamFn92uHW08 + 2ZpsORUQ7R523v+7Twf7aXW1eHZlcmwMHsPBANLAt8gZk9XGs27Io5IlaMBzCVQvnzR8nZALYldK + 1VAN6XzBWmltPSHpRDZ34rcZXtv+AXU7FF0NBzkWZEGWHISGSBycQ8CS2UPgC/KgnNKpNuS8IDLI + CeQACUPCGUMizJOzxhphiciByZqUVR9JN3CpFB58r8/FxMIpwPHU7Mzv/f5v1+q7W4cHH336i0/u + PBj7i7988dXXXv7S61euXymNlYOs5/0DtS0B5BiWT5S4ZOFSILZkrJTKyxrpOziyYGuHBlACpBrI + fl23jw/bbrP987tPdmTS4rjpiBIKU5mVUrqopbr1Tm8wFw96cUwyiKLmwc5O1O8bCm2mkvgFA3gG + 0jhmCxkCrK2JB02PBqA06rWNTgkhyPaiTqvTNdbzvJIf5CysgzNwg15aIg8ew3eClE4iFVuTWFh4 + 0kkoB2MtG5sx1k+UTY0CYGANBnAccK7oTfiZjKCcMnBkQ2ZygI3gmsbupWqPRHLcbP3JP/uXfy6R + Ew7QFAYukHE8ECrlNGm0+x1RqpQiO1AiTmEiP0mUcR3yvdml/PQ4AIZJrOrGsLlCwXWQxmXdz0EL + phSszYlKGwQNJAAD3nAg6Dy4oTvD95HmqRMKyMDEEgOLAsM/GaMSgcOw4LiYuApM4JzxCQE9q7YD + ATqhxCC2OgmMmSoiKwDlmG1/YI+arqPw13/93r//4F5PaaEjz6WCnAMbS0rbXi+yxg0GsdYmEyJO + kCaayYSB5wlBsCqNyTk/eGbrZj7c2rl399FHH3569+6DrfoG8navudfb1vpYSlWYKS52uoO+FWXO + AAJOaaOYPeHnPT9LbgjzFWfJgLWOZK81Ls3z587Wk72eKx82BvMZZJM9mRwr5wbhmK3MqCCjoCRr + JoYhWG0BI5hhhU6CtJ8nrTXVB+lBTw0SKnuFufGZ6bGRUKqd7Qdb2+d6kc5mRNqNjjf2a7s1J+Xq + lWsjp+aKpQx0Pddr2yjtWr+70/zTv/rOe9/7dkmmxJwo7RyBWQgvitPeYDAYDKy1ghlERBCSCMJq + larU9ySsdVqTFMvLK9/4xm/uHu58/8c//MmPv/XzX3w0v3z19ZdvvHHz6gsXlkcXpxJQBBBSpVpQ + B07pDz/+2adPGwwvsFGgekr1NGstjXGi27b9LrIZDHqDOOrnAj/uHrb2Hoiknsmez4xNDIJix0DG + yDFEoEOpLQYh0nyKUGUDJbudgTeerwIgY0ycmCTVWgtPyBCGBFhCwSWQKTxn4CeunHLWBoEJnAM8 + pIFthKws+0/rauMnH/3o9u0wx54o6NizKiNdEsoIptWK4uPUrVTGjPQdJBTgXMbaPHRWOiO5xTID + 9oCABSyAhNEvZkw2MISUWIO1hU7TQX+QxpG02g9koVSqhMEJpQNYT2Qp9GEsID0amipbVje0au/v + N//qr7/1l99+37ExpFMTuzT1AOFsmgTNhpKiwi5oNDrtwWA8zBI5h1jDQWeEEfDgnKdhPWFD6IJq + c2KVcl0UB4B/ciC0ga6n9puHa4l1rjxnK0smM5oCTgGkWBhPGiBhO2DT94lDkW/U4sP9brNh/nf2 + 3qzJriw7D/vW2sMZ7pQ35wmZADIxA1UoVFUXq4tkk2oySNlsDqbClsI2ZYefFOFH/w8/+8F2SAqS + ijAdkiyJZpBis9nNYs9d3V0FFKoKQGLOeb7TOWfvtfxwbqKqLcl8od94AhEJxM2MvPfg7L3X+tY3 + dGdM4sZ+YQQ2qBtbRU1Ag9abdp0F8bcFP/5/XH8H3f4NlyhiCNbw2AuMxmguGGz83Nzsr/7qr0xM + Tj7aePzhR/cebjx5//33Hz1++r0f/PAXf+nv3Xzt9dXzi5YNVMtiRIqJVjZ1YSGZXp/PzJRTLk5K + nFbUN96wzlGcNtS9fGNpeiL3CbNh4zKyDSARY4UBhvMwgQgRiITgoQk5Lk01VG+bxtUGKKWjvjcV + McAJmSyqCzJ2BiEEQDRWMVQiEHCEC/UUN1blqOhHM/BTrZm5W9cuXDo/7zhCA6QiiVbEiUT1fbSo + tfTmrVszU5PEgKhGmIpsdEquYBdrh1ZikIJKoD9hR7kzQlk/NvvBViBvgkg1ODnRQclRJURRCFAC + giD1YRkEisqgsmA1sTwxcTdxmF3otFcuz7RnJ12WBx7JcGiGpQ+pyczINbndnJm8c+dO1m6NK90x + XxVKWiEQ1wIrJRUThKpIbEyWifdSq/IBQ+q5slpQWWo/TuUzjYurc6/fRDvN0DfFQdsHJS2qkTAP + uNOn1hvvfuXSlXlnw1Fv5+DosJTEuVkkk5G8ApYlsWJ0BBmCKsSyqgrrnVpbVkElQg1UooRCyjJA + YqRxwDCYElbEqIhwWoZYwVCr6w6UTgaV0Fj3SoIUlIO8RBKJ0BIoEAVRoELOcSN3rcSlprZGIhhj + EEtQgAmqwxDLsornzq9eWO8sn28Wo510ZLvUbiCNdNov9znVmK/Gxvk333qn2WiwOUXRGw5OK5WY + ZDbLjCGmAHgGqwLRgFNWG4qqCj0K/cSDHMqaEBbEIMBaBFUYMqb2KjNaJ7DVoyxCZAhV5ahvTYsD + GzUEUqRJ1ulOdSYwkaElmZUkEgcDi9TkJfi01Z5wLtEqhKrUqjQAwigW/WJwAgEzC7hUHgECOIK3 + rs68YFSQPsmpkcqqckVVQPCGW63JBbu2duVk6+mzRxsHB1v9QVoM7KMnL04G9s7t9YsLk15fXlqe + uHt68mD7ZK9CPB68fLHz6NFGY+LcuctLzZmUE0EZEdhU3hYNg+Ly2pXZt9+MNrGBXTC5FBPmuKUH + vWKwn0wVkyvrt95au3a5tqRvN5Mrq+0rK837x/s/+XRjY7fX6w8m5fRg46cvn70AT7eW355aucYp + GKXlU6/HaUSniUuv3bGr7803gy93k3BAmubJhEQ7EKqMNY3WysL0udUVYz2KExC6pA62QHZopnqE + BtdChEhxWA9moQIJEBWgOpPaQceiv9oqXFRUYVmbmVuYbl66uPTi+PDpy4PtvX7/1Prh0cHOU7bJ + 6rVb3XMXlw6L9aX53ubjFxufHvUP+v3jZwe9T7cGC4vXLl26tth0CZ8AlRhIniPviJuI3BHyICUU + UAN4BkAKDi3pm1gFNUNqRgaInBRWArHh9tTi/Mytq2vT7dxpkRl1WlZRSmVhH5OJHhoLl16/dO1G + u9MEKqDIqWpQaRUwrnLtHuCBFA6k0BIYtm1wjAATTKpsUYuS6n3n1ST28+aSWWEUrAo2mvgBYQgQ + kLI6y4gWJBkw4TiXkkJhDItxQSNOjx5975t//OMHf/1k/6P9gg52LrSymamZuczS4OioPNopo7Ct + TFYBAeOxhEqRmlGniSRL1I1fgtZ2DgIESAUJpNG8Ev1FLaowLMqE4pgTogIEQzFLbZo7SprkrAJS + DSCj04PhxqPjv/jmt7/30Tc/fvLDiamsk7QSce2ZLGmj2j3t6Ygcm6wdbFYALoSE1HlLlmENWTbW + 1GNrNoCMy6Iz8ScxGxiDcsjlADEou2DyAvBaU0JABBFBOZCiZ0LPE4w16jMxjFjJ6DSWw1HkoWmj + ybBADEAEOSIjUhiqGrZIpcdxCLFBUMKDclhZmF969871zx58dLq/+eizzxbPXdJedf/hi2jy2fmF + c0uz1y8vf/D45K/ff7S9c7q3s4tJt/n43r379wZwNL3anl32BhTgpEw84OFTrF+60F3+UqM7V8IV + ahPd72anme7qKDVVNzcLjanutUtXTZKhPAXQQKVVNRR/bCdHOYIZy1OAEloyWUdJJDsuHjVCAkCV + skhMvF9YXv6N3/yNufVr1+8++N53P9rb3Hx/6xtbG5sz984v/fLlK+9euuI6GSoY9VY7aR5OB+Wo + 6hdS5WwJQUAlPAX4kuJJWQ5Oh1xybpI8joX50csojz2jFSXZyHfqujkDQOQcJEKrsuGrlj3mCOtI + fSMAiGSIG40c3lXeIEtgxmZeBAZcnqVUxNzElmMDqsTD5ERK6GnsGdYka5HJyDgel7QKImusYQOB + ARkiBizDWZtnCUHazeTqa6+5mdWJRpo5shpq+4A6g02iMIxzNs/SN26/0chzY0ye56sr537nd377 + /IWVDz744KO7d3e2tw4OD7e2d27eeu32G2996Z135+ZnFKgiFLC18RHORNd18OPB3mcf/fBf/em3 + Pnh69PiEnEEna7Qy8TE78diTwUG/YJ9pY+qwwqmgIcFbTamyVd9JYDbqmqVBBNjBRAdRhFESei4W + jtGYml68cHXt+m0h05JBhwtfnYYYRdRYH22jRPrOL35l6dwCGwhijSeM3yTZMUmGgGrY7/cL4cI0 + o2tG8kSwlqMoWBwF0MjzIHGl9yitZRWqhihOikF/iGSUTp/4TmkMo+RQUWwgArZWthhqJLlPOrBD + GVLBQbKKUlhp5Hzt6rmVT3Z/9Ojh48dbWy+ztQm3u/V4/+Qwm56bOHfp0sK6vTM8+vDh6f7ek+fP + mhdn46D/gw8/PjgdtqcWLl5e70yljCGb0KDKkVZVbE0tXr14bn6600woITiJVqPTodGhxbDH88Pk + wtV3fz1rkXMJCimHJeDVNcWkwmbsnqo81jNTZXngXGlZm43OlWs3F863O9MmxqhFiip3YIuepYFJ + 0jKdyWfX5+fmU2stc9NaJu5XVeU8Wc/KWokgghWGA6g/KoOydz7NEy16owEoh7GsamXsFwMCVCKq + EVBw1Y9VKcaNOK/UeOYmi5BVpUKNEyuRImAtiyVnozNwKCWcDgYnA0Hgxql4sZkzQAWyMIQoheUR + 0JcysMTcpBlF9o3KpyVb6KDp5fbViz/c5h8clU82j06PeSbvHTz9uBj05lYvdRbWWu3Rlcvnf/C9 + 77zcefpsd6s71dgJu95EAAAgAElEQVQ7Gt6/+6zBUysrF9dWZ6lVr4uoYIF3vlUNUhEXzrwDAhAg + QAUqJ03ZcpbgRtKsIqQCqIK1lus8KUSpM75gGOas2K9rkBoNHfvGCqCwbKxxCg6CUgHAgDwYSlAi + IQvHxguDTE3HLTxiFWlYwSeZAZhCqCpwNM4zBEZh1UmIUSCuMA3YM/2HNdlE61d//aud6fmNJ9s/ + +uD+wycv/vzrX//4wcbl71x+9xfevXX71oVzC684Wp8LcuqAsrrLo0AGkTkYD5cQsyOBgRAiAFGP + mFOZUsjSRmN+5fbb70wnVSMel6Gqkokq6YiKLQ6TcJrm+eK1ty9fv5E1cy7YgBCVXOrbUyP2AfAE + RxG12xUzCKkno0PRIvXWECkEkLyZ5s1mUUWjFAUiUVExvPcNVAbVCAgqQ5HScm45YYAoKgoosXXO + tXzSVDIyZq5FUCDyLNbGRkJNRqpkX7lHgARmZPgoxP0y9FySXrvy2spUe7aZZKkL3owYZTUyoXRa + kUnLdDabWb+0erHlLIoYeieDYRHJn5AdoRYu9pWdzSciWUgPo1EzFonGcjQMYKpPEOUajKkAXz+t + irEWUiFiYjHgEgyYBMgsGBFATc4GAbEYEUwDrhuRqFSssPXHqaFby5xykvvMx5SAEazAmwgV6xpp + Pp/G/uL5S+deu2UbmdVAoZAQiJ2xCbMDGWP9+QsXL1++SgTnEMmqyJlxubIhVoCho9HzZ8+//53v + /eSDnzz8bONw/zhL8+npKUkjZzTUeDA81SObeu/SDI4j2NVLiU0UBGFVYsAwHJuKQgQZQ3DcREWj + UVXRULJKrMOIyoP+wYtRWVa+rc1p8amMbyFDTW1KJSBAPWuD1UkAbEm2pETgwFlnYm59deXq+tLD + FzvPnz589vz58vJ8OOp9+vHDve2DrDV55xffay3NsFEUJQZDVBEuQ2tuZqHxlRvnZtwIxFF07LcM + ltpfJcqXf/7nl5eXvfeikKjO0Jl/IYENWQcm22yurC797u/+/UvX5j95ePCDH29u7h7/yR9//fm9 + n3566+LPffXnF167ls7NO5goA632LKE13Z5euzI5sTCToMNVrPojKksTjcusNow0jXXvvvNWo5E5 + GxIODVumpmQjwbqRoWCQ+DqjMAKFwSCh2IQbjEAF0jRXhwCxiMRChsAEY4mt1uJhFqAADYBYRhrF + rFRfMZdcRcBhaKuTOOqVQSWbmprr3H79wtxcZtHQsqlVy+rA49jhcGjMEWdTl25euHK13e5CgaAu + hkRKo1UkGtpkWCOwtRsyArhKXXAmElWqlVJQBEGsKh0ONJQuBiNBMP5uEGKEs2QRIVRFNySqoKdV + cQQZZhmWllfbs1daE1nSsBEFypEJVUZgmgxlq5EtzV2Yvbh2OW3kAhAqoAAs1WAYIRguOXGx9Bqb + cZgC1qbRtQuMX7coQH0bD0b9vdPR6Di2hqZVch6pFgAb5googQIYIg4tUeZbSu00mUi8iRGjCsqF + M9GA9UwycbZ71wtbPyfn/P98/R10+zdcIlKWJSfOMrMZG83C2lpWRknyxht31i9dfv5i86/++jvf + ev/9jz/57MMPP/zgpx/tHxwJaGl50RsGGVFWwszs3NvvvL1648vrs5NzmbVhUKJXyqnPvaM5yKSE + RnOCut2m5ZLJeJcyO1VAIiEynHUgdWf+zFAiIg5RYlmmqfcegggl5sT7zDARRWg9dh673NQjgtr3 + SQWQGnwZ+x6HGETEJ355eemrX/3qV3/xbZLSsBoSksBVoBhEfR+tyk2dX784OQnCqL5RQYJoBGCY + zFi5TGCGMkBsnbGGoCpBJRLAMIbN2FZcJYRCfuapZ9QfGkZrwwCFqArUeVy9sn7tva/cXL85m3Za + 8CXKPkZVEhu+iT5yNBrT3XyhSwmo1tKqwtQmVyRQc2ZlpIqoUsVIxN57w1xrm1XqIFRHZKNIGYrZ + mfy1O6//yn/9j2y3mWOI4X7TBSUpqiGMHZpOn9ozSxfWp0vC4bBf9U9PRQprS+dqswYyIGM9Qqhj + gwUiUGMtqQkhSA2E1VWbUAiARtIKgAMsW5KoKhKN1RhCBJtmq7WvUhRDpldWUzyuDZip/hg6ts6K + EICst8Yaa40dl0ZEY7YvQExkVKExXr929au/duetd9b7/U3XpwlqT9imcP+g9zJpWm2eL9PlzsJ0 + IwXgVCBRUNsmMltrUVuLKKR2XyaKhCCxrIoolT0LtlYB6Vjhqqp1Eyn1T5mzURZqC3kD5hhDUNVE + iQwbBwKYmaiOfCRG/cSJQklBDOtMbYFZlaGsYghah2YKFEx1RRmCxKBweqa11xgJJLDD0hQhE7Y2 + seQKBQwkRTo74V6/svbio617T5/tbm7t7EzYUfZk59iYfPnqzXx6phOm169cvP/Dl/3tF6dbw+pw + 88XL7c3NnavrX7p2+XIjBdVEQeLIEBMZ9OZbb3zlH/4jTfIENhGTadG1gxafHPVODv3kqLPYmlle + auVj37Ysb88trV250X3+4cbzp9vPHu+vdyab4bMnz19sbZlOa2Vpfmlh0tW3AwQyAnQ65ud/4b3V + d/7B+kKO/sskHlnKm1lXxQ0EIyXKG5OtdHlx2tmIgcBathZQjYFiyTXQX+8iomfPjAF5oXGM8Cuj + NIzzHUmBsdstAd63J7qX1i998ODuxu7u7vaLnd0yGZ7uHvdda/rilcuT01MrC7Ovr53/9jcf7T1/ + sre9dTQ8frl/sH3ae/1LFy5evpymCTRCYerwXGM0S8vERyZSSTRqvQCo9pH1xCbEGGMgCI9PWmbD + zNyd6Fy7evVr//mvrZ9fyow0PTktixD7RRRyyKf63PDdpSsrvsWA9qBEbJiNKJjGnufjXquO5lAY + 69kYAkwdB4bPx30423/PrlcPt9b+1JaJUDuCgpnBDiyoiiLEURVHURJQkuTO2KooDrZf/sU3/uyf + /5tvPh6lZvnmG+fP3Vk/t9KwTZTxdP/ud//q0+8/LH1q4D//NfWmQ856OOst0xfeBY09L3Xss1dz + E6g2+AwhhhBYDNWxCTWEYKz1zhqybA0YiDFC5PnzF3/277/9L/6Pf/18/7Ppc431tVtrMxeSwk9k + ne3hdvt+u/+T7xtjsjQ1DFHEGEFsrLXWsGHmcZAanS36MxrVGFmq7f3QOw0Sud7iRAXjwNlajw5Q + VcXBsBqWUQl5ljezBHUaFBtmQxJNLFMLU9ObmMHMXBu8GLArI0SVmKwhqmEsNtPT06/fut79d8nm + 0e7DT+7dfvPL1Unv3sMXlE1NL57vTE5dWDl3cXkfNox2Hm8+68SVlfvP9x483Uzz6ZmpycmJ3DIQ + oKrOeWspy/XNN9967d3fXlm/3iu1VJvx0VQ+yGlPRimKVopZ327NnJ9jDwwijCVrg8QQKmj05sxY + 5hVn6yzJ+wvVJCAqJDFGGKJW+/V33l29fufWO7uzU392/7sfbd9//u3v/nncmVjce+2/7P7DlZtN + QxZUgILxkRBijMN+MF3P9QQNgBRQEyULlQmjigHv6xPnTMwdSQVMY9NXBaIaElgLAWJRVpUWMRGg + kTcbmQMArRhIk8SyUWJnbQ1fmLF1kmHfRtWvAoSMseQ8O0fMUGUVNoatY0Eg0hothQpEyRiqO2ka + M/KYYK1LkkxBdRbZwpU789MTKSN1VE/ZVYGIGMQa66wxhpcWF3yW1vteq9V67733Lq5duHHz5te/ + /vWP7t59/PjpX33rW/c+vv9o48ns3MJEdzJNjdYL+WzxA6QASYSEjY2Nb/zlN//pP/tng3S2u/bG + nXfePtfhaV/lXB3sbH5278NHB99lJmdNDAgVhAU+YTZViCGCQM7aeiZkAWMwXic0fozn5+e/9PZb + v/a1f2CTbMKUXVvZ8qQoqqIoXZLCtwLn04ur0zMNa4EacIhCxOP0ljPH6BDCcDgU0XozqNPXjEEI + ojHCEoiHyoNRYEGLbcunTAZKhdYVXwzFQKtAUATRGGjcbmgAEzOsBROBjbc2cUqAqvH+6vr6haUt + ru69ePTg+TOPyxcebx7sH4e5+fX52fOr55fad3b+6t8W24eHzx4/WLl+uTg9/cmHnwyDWVs8t7C4 + 2GyAQCDrXe5dKkFWl5e++tVffuPmlW4r8UAC8oDRQSyOjQ6Gbr5qrPnZxSwDkQVxiGeGuK8U7a+M + f9iAmYiNccTc6XTee++9t7589dzaZFmWOkoRMkeG4glLL2u1hrZb+qm5uUlrC1ibpKkxpqp6EoOq + qIqqKtVAGTOzKkBs2FjrNMZQBwSzNTDhC/rMKOJiBOs4RZ5IJcaqGtsd1iMChSqiSIgSRZx33lkV + CJRgvEssEUJZjgaGhe149EpgjfVhSVUZQwxCYjynmc+zxIAhCpdev3Hj3KfDH7zc3n36YHt3ZXUp + fLK13xN3Yf31ye5C0ui/dmXl3g/+/fHO4ycPPwYu7B32Pnu6/8by1XNrl2fnW30GoSQolBlwTIai + QipBABNBVKnOsDWUWPbOCugVPJDw2FTIUhQ1lSCMp6T/ieyWL5y8NSFAJMQYRM7kMCoQjRJBamh8 + sNjPo2BIFSIqUY0hZlPFAgrrCLWnpvNCFGNEgCGpo/9QO5CQf+PNL69ffmPjyYvZ+W/95V9/9/Gz + rR/+6Dsf/PSHhyfH1mcLcwuJ/4LIlgKoRhAqkEINkBB5KDHUMjGDmOjMwVdFwDDGGuuardbC2tpv + /ubX1mcbE3Y0LIqYTlTJRAilGR0l0mu3O6a7aLpLjQxgtj4FW6OcWGKtxjeKGaIhVJbGbSRBVWGs + h/EKBtj7JE2sSiFxAKl4TAJBmtRJWxW0iKjAxNYxexBEjRCpCrEaJ9Z+vjcSmMFCFCXGGBQKUjqL + TRzX/8QgA3AMkuf5e1/+8ts3Lq8vzjRb2QjSl6AQI6XVYFxWuCnJZs8tT8AWIDMqQ1WV0FgNeuVw + VG95DG6wZYydktU4ZQ5VAUTzH3DmvjB4//xkFUUUiMCwccbYugyUMeVOoVWIVHvvqIqI1i8JXu0k + 1njnEucCEYoiqFhjDCKsdWmWJZXcvHXzV373d7vzswkrqlEoSzbe+4zYKRhkskazOzkJhTVgQowG + VC9wMWwYCtV+v//JJ5/84R/+4WeffFYV8eKFS9du3FhYXwiuLLjsPSu+W/3oYKPnnHXOnjG5idk6 + 61SjhFJiOLNjrY0FQOTgs1LN7sFRWYwcSZo4dgJywyqqCGvgUJDG2pNtvB8ZVsXYopQtjA9KRCZJ + vHeWDMAJWhPnV5ffvLn+/NmT3eePHn3ycXeifXxw/IO7n2ydDNuXp7701luzE7kiEifgVECGgpnM + f+72jf/uv/qNqSTU4Qm1mXIQFSWFhiiLS0uLi4veUYyIVWXYE9PY/psY1tZ2wq7R+Mov/dLN29c2 + nvXm/uwn73/vyeOPP3r//fdf3P/h1un+r7eyy3MzKUz9ucBYXV298wu/cP3q7eVOOuUUMhxoUZro + 06ZFkyUXwfRCq9HIGAM2xnnPRBAgilE4IHGw1bjiRm39wlygiiKNZrNGJq0y28Q7D6jTYDTSq9K9 + Lrzq5kQVMZJEkghAwUG5CqKi7UZ+6eKF3/rNr129toSQWu1S7BgZGNk3ejgy5pgymlq4uHqhbRhn + li2iCAJV5VerQMdYAchonZ9EZ60EyLAFU5SoUNUYqqLuzVVFSVQFgSGojz4YUiFRgLjdbty+ffvq + 619ZubDUmMiUK65KlKPcGstTxSDLs4XmTN6eJ07GgMYYFOAzghfVY35SsBIT4A0SijxesLXdkBPy + ZJxUVRz2qBqRBKJxB0D43FNeQKpKhDTPms1GlkOAGKAcnXl1Dv/Hj5m6dqX/6Lf87V1/B93+DZeq + hlCJszBknIMIVFA32RIlChvTbndWrZ+amf3FX/rlx0+f/dG//Nf/8l/9X//3n/zJ9Ozcz/3cu6ad + WusazQ4YE93u7duvXXnz7dVunoWQURAeldpnS6STpKkK2MEyFBpCGA6L4TCUVSUxMiKP/fIBU9cV + EmIMMUYoCEnqLSFIBSLn8kajzUQhjKpqaJjOUgjqJgKtVqvV7oCgKqxSW+YDlCRZmqZ5lrZbrXPn + lq9evSbVIHGceMMSjYhVieqH3B4xs4cbB2ppFIkSo0RA60CEz3GNKCjKUVFVVRCNzrL3FoCFazSb + C0uLhxu76phYCMogB0Q4oBwOykwIuXcMBiRKludJ4olHFy6uvPXW63euv5mUnEerlgZUFCZ68rEX + ffRJh4oUAyACoYomjkPSDQw+p7iP16BIrM3zVUQYIgghAkFUmB0bq4hVHE1Odb787u2hhY+VD72E + CmIlo0Lcp9ZpzDmjBhXAaZY08iwjVBJ7MQ6YtQoxVKVNUh7bNVbGmUazURZVDOJcIjFCKhC8T73P + rIG3xrHUYQgiUgxH3rWcA0WjQIixKCuX2SzxKmdpt8aMRsVpr8/WGmtrjMnAMEyIhagQYVQOQ6jq + rlihKkLGgA0CjHVJkmaN/Ny5pcuXLl26tGZ4ISt9MrJpdGqHalajKXs0c6JNZPUKoSTJGlnDGSuh + KkYjriutEIugsN4woSrLKMa5hBJjUIxQeoipDeAdNEKVrLNs5Sx0oqYC21C3pxZsAUrStEmO0VeQ + kiGGRCnLoioRsvHTRiBTF5sSX2WvoBaoMzFgnM8bjcnpaee5FCmLwhCSMxu5KFoNBg0nom5YcBkz + 9nlnutPsuiSFgRqg4d2dW1cefP/Tuw/6G589yOdsbqaPhrowubBy/TXbbjfjxOu3b71//6DY3378 + 8d3m8MXO7v6olIsXLl6/csURNKqCyXmyzAlnjXR2dmb94lp7eiplOIEP2kDP4HQVcuomjl1zpMhe + dSBskDauv/bG+uPhjx788NGn9z5ZSBYuTt37bGP/4OjatTeuXVlbmnsFxFGtQnc+mZmZOb+6cu1i + nul8Eo80WEhiOSuJ9/sjytJuE97CwsAnKAchxhgriVWNrdgxFiywBsJQhjLIMxPDmjEnoV7yEdbU + FC0a9xoEhffZ2vql2amtT5/tPH/88H7jICkf7R71ZxfaK+trjVa+PDfz5VvXH3z3m4P9/Y1Hj/ZH + p8+2d6oQJpaXF5aWxx75EEjoHZ8e9fqlNUNnA4EkWlUwqahSXQhxVIoxSoxay+ljAME6pyKGXafT + vnHzxq2r605Lj+BQRqWgpgIPNC29q+qtOAISoRJirGKsAqqqiiFYuLFNbBWoLFEFjIHHehgl9ZM8 + jhP4nFAzPlhq0JagpCoSq7IwjIRrp8KaSqTSH+7sHT59sdkbFQ2fpmlm2fR7px9//NHdez8+Ojr4 + 6n/2e29/7R//2ts3p03ZjqdJGLgw+Lc2/vGHL0zaZPjhEIlDZkFGQVRUenyEqqqg45MEzECsOSU0 + DsFkYlNPrhLvsixNs8zEQolEwDFCNSpO+/3TU3LDPgSWkPgknBzcvfvxH/3R/3l03Pvlv/fL/+P/ + 9D/MzLWnXDepfKL+4e7D9vfbD7ae9SqRWOPvSJMUQxkOCwFEYlWVo9EwxDOnlPHKrbdpEYmm7gFC + IGMareZOiMWoiAJr4Hh8h4nY+qQMMhiFEJHneTNLi2E/N7bdnpjoTg7JaTnsHaJqAs7Wk0slWDYI + 0huUwzICnKdJu5mnWQYAgixLz83PtlP7YHP/03s/2dl6Mdjb/fEnG2/eWJtbWaMkn5udXl2cnmy7 + 082Hn96ljRX3eKc3iObKtRuXLl6cmYQRYISyLKsqhKjWYmZ29uLa2rVb66WiBGwMKfYaPOmk1T8w + TqeyCS8ZKgOkGcqTCKqLYpKognoAVIVga1dgkSKWBWyenFXYbEBsyDib1snRADXy1vpa95/8k7Xe + b+2+vPfwn/7z3//Tz+5+80//6uY77742O3d+riuxHBanhyc7SdJqddpQB6mBQdgEiIpITO3Et43t + OWZm2NrEvYxahVgiVGE47IcwVnxXkcJo6Ng7Y4ylzZ39vYORS9DpTjUbaYgAKUGGg0GoKqmkHI7K + ohVTWIcQo0ISNnFQ7B+eHp302HYn6pgLJoJzLlHR0agfjQ2hIKDSMhSlLas4ZpRDVVRjDWaFEHr9 + fhRtNlsrKyvXX3vt/PK8rdshgIDRaCRBG1lDRaHqrLHOQBSkZVlUVdloZHOzc53OxI0bN/f29x88 + fPS//m//+49//NNvfOMb7375vbn5+QsXznmPKAgBjscnEDFrJcXp6bfef/8vv/nNGONv//Zvf+V3 + fu/a5fVJVzT1NNFi6/mTb89M/cl3PhoOBv3T40aOLAFHAyVRioKqQhR5NRn6wkXGOmYTAxLnFxcW + vvSl18igoWjoKIkDFagiiJZIAmecmjqxBiCBFEWhNnGOx5tkLGCjtSbLM+9dqTIcDWMMEgGBMXyW + hqv7veGT55u9w97ULBa70+1mgnjanJw03qIcHB/tjfo9iuqtB9szirgOEcuiPOr1hkXRzFvd6cnO + ZDNCQqgs87mFpaXZGa/x2YNPHz1Ij760cP/Ry8OTcOXmW5OTS612ni7nre5gtLn96Uc/Xb36Wjk8 + ffDw+fLS/OVrt9I0s7WpQBFjYCaXJulUd2J1ZfnWreudhkMZMrYJGccFdIDy6CC0Y2uxb9AfoJVG + dkmj1RbVsiiqqpT64KDPcSaARBCjxCjeZysr5y5dunT+0kxZllZbnjpGYbTP0qtUR65b+dQboBKt + qhoHZ+ayKEajYVW1vHVs6oBF+CSZnpm1xg6GQzk4yNNsqgMCNMRKwd59/p9dn6dRjPNpnhtzrBKq + qiyrakQalVyaWQvnvVpbVtXxyWAh8d65Xg8jU+RZ88rlK+1mE9v7JBU0gAEPKETgXKJaipJzSVGV + x73DYaXGIs9TBsFYtNrr65dXF59l33/69JOffrLanzT+3svtkFy+dfvL7cZEp+HfvrX2F23d3Xv2 + 4x98O2rY2t7f7pXt+UuLq+s12xMoACV2BCqLQSxdjDESBx4P+0299Yeo5agYjaKokFWGcQBZkCCW + iCpIwB6mDl41f2PLHGIoy5EYF2NFgDFngG+oogQlJQZIa7tqrQPfoNbalE0VBKKJ5SRNlL4AExOJ + qtTBORpRlz8iqOtqk7ba6YUL7r/5vcWv/Re/9fj55u//iz/6N//uz/783399ampp/cKV1dXZLHlV + FVTgCojQABWQAVKoq4sQJoVILf1WkAhCqCiKAGDyzk9NTd64fuPSfHPCFlGlp0lPU2bKaZTrEEyl + bxeWLRCgZKz1SexXJ0f7ViMDo1FMrYLZOg+WOtPaMgdBrz8MUlun89Hx6dHxYZIYIjGsqU8Ipqrz + oSxAjDLYlPNWLkOIGjZQZQE0xqoqRkVvOOqpxrPJbM295RBjUZW2HIlEVY1RlXkMF1ZRBK1mJ/FJ + 4v3i4sL169evX1gWKUeQkuESZ7SiWLJNh9zuCWmEliUZa1zqrWdGHPbL4VABiRASx6AaSTUukpE6 + iJDiK/4QfeHP/+syxrQ7E2kN6MRYZ6uOMdnxfmF9QlWMo7LwUvNyzirAs/49Rh2NirIsJVSvRmZg + LqvypNfrDwaTU1O3XrvZmOhkjh1EYwRZIluUMURVMlmWGosQxvi3d0ZFQgwqZWINAKmqw8OjZ8+e + 3b17d35u4eff+8o//m//+253CrnGJBYoXt7d2f/s5N7egyqG4WBQVUACQImtTxImgkaRACAUOBod + 5lOJYVIIKR0PimcvNlU73VY+MzVp0kNkrZmFpWbzqez0Xj55NOytEWbGb84wgKoqhzGSpxi1jFoE + sc532q1Go2EsNDLBLM7Pvnvn+re/9Y3D7Wc//sH31y5f3dza/+b3fnRc6YW5xUtra3kGUTKcIO2w + T7QczuVmbXn2xpVLHS9KnKQpiOtsciVSJQWstc6CCZVICEG9M4aJLCSMJ/8ikAgNxvmpyWmTzP/e + wutf/XVsbTz4g//lf777na///h/8wcKtaws3riQtkyRpljdFsbC4eOfO7Tuv35l0yGNlORZcFRTI + Jqw5K6DgpC4hpazK4WA0GmmjrBDljCoEw6hxQwHqLiNCyHCWo2awJ2SStJGnGUvUcihV6cbpeePY + OxjX7XSbecYqFKOBWkBAhn2SNbI0beVxcqK9duHC1SsXYukzO1ObMTr0LY4LY05to++bDWAcF8BU + b2tVBYmxTpOsYVhEASuC9vujKkRrrTFj/NYnSavVbE90snzPOkM0jq4BMYNDjFVvlPvcOO8MlRgS + uNVsG+uy3F+/cf2tt99eubgcqSQbnQpVpYdAOoOe85ZdG9GPNdmKYGvMg6luO41BBKAk9aQY0Kqg + aqgC4do0xxo4n7VXVi502htmt0Q1hFRQhFCH2jEERDUjzBRldXJ6MpPPGsvMIANyMCYjlGfbw394 + 0edf9D/xLX9L199Bt3/DxcxJko6HM3rGXK0CMYENE0GJCHmjkeaNdndycnrm2YvNzx48+uCnH+7v + 7+3t7bXT2bzRml885zydnPaeP3969a3YyJEEm0QjhhJrFQpNa89PmHrcEbMkv3z52ve60/ce7H7w + ox/M3/w5WrkCnGEAGvv93t7+wfHJaYBmzp2cHg2Kc+2EUSmRb+Qta00x6u3ubFZlMV5xdX8b46OH + jx492rAWlplUpNay+WRicrLd6RjDW1ubw8Egy1KTWUI0JI4dA1BltaIcFGygQJCQEFtnrXNsjbU2 + 8a7uHMtYulp6Y109RmdCkljvnahEkiRJVlZXn/zk0fag9/Ll84XTw6n5yQBEBAES51FFVFoxiYFz + bmZ2rt3thnCyvbN9enLUyhLnYEqAkbvEGViAyNoKgWpSaz3grBOO6zOZCCSIIuKJjLEu8Vy7ONaz + oHGvRcTWUpplrampqcXl+d7J6e7e5vFxL5lq5pnL0LZaAFERlFkpJ1AADBzArebk9NSR99jdffzw + Yb63v523u7n1RAZMYIsq7u7tbGxsjEZFTYsirkMXkGVZnrecw6B32jvejxWigUKddWfgO5/2env7 + h8cnp8FV1oiAVNcAACAASURBVHKaQAWllgmDjTXWERlmMkw8DkoybDwzR42WxmF79UZM/Gqixp1O + t9VqVWW5tbW5t7eb+GsJIXepcRYDIaooSdjZnHMBijOqbzNvTXanDJutl5v373389pWLk1kbxhgy + ykBUnJzuHx+fDvrRdgzDWpg6m0PrSZbAmFCWgdSmjhimhmp0HIAOYighRDhLoIAQgkSppd78BWYT + fuZvxGDDxtbRw9AoURRQ1UazdfnKte986xsvj0/u3//43Btf4aVZPqMcee/BYVTKk+f7+0fRJs3V + tdXp+SYzgoyUY2rt2vLcylw7JXnyaENa1MxPh+ryuXMzFy6ZpmlQ99r1y5Ot7+4d7j6++6EfbT9/ + uW2cm5+bX5ybNzUr0ySgsj050ZnpvDg9GRVDqao8QW5gFV7JBI9gASQ+axgQ4OJZ5DoZJI3Vtaur + qy9hfvj0wf2fdmlRLz7e3FHj3rxze3F2MhkDqZSk2dLySqfLcRsvX75cGw2yNM/hUzQRnIojAQET + NtUEiQUDKkoSUVWisNYm3ngek+o+52rWIxl2gAlaF98QRK1V68bU9QjGpC0gKsBZ3ly7uD4/d5/i + 06ePPm1zK8f2aRFX2xNzi4tp5prd9q211dnMDY/2P/344+2y/3xnF0k2tXRuZn4BqA37FUQSpRJF + kmiecuIZAgkgS6QxElcViImtsdZZ6ywzIxTBOt+d6Ha73c3N/u72Tu/kVEXyRkZSoVKtQpKmiUkY + KBkDQMeB0gxFFFVQmoKJNIZXd4PYwFgYx2yY2DCZVxDuF6DbVzv3+F/06oHV/unJZ5/eX7n+JZ2b + GPMb2ACminrSH7zc2VXjJmdmW6124kxZjB49+mxr+7mz/MbtN16/eXt5waVllkcCUhTep74KAUoG + zpwhRyBh68C+EogoVBjg+omvTzGchW8bm6Spd55UTk+Oy2LENXkEZ9unsdZ5NpbNmCxc/4bBYLi3 + v/di8+XkzMKF8xeuX7vuEmloDsnQD41Bc2pqOkmS01LrIp2BKMIgY61zzjprrDE87on1FWF4fJeI + maUoeTSEMcZaET0+PtrZ3tnZriamnBoUlRhDtRvgs5dbT55vRsFktzs/M50lvmVbncmpVqv9dG94 + uP3icHtzNDWPiVqAUW+CVA1Hmzv7LzZ3QoizM9PT3U6eJUJg42yWzU53VxZmP305ePn40aNPP+kd + 7z/bPfn56XOzyxdh/eRE+9xM99xkozp4vvFZ2Li1+PxwFDm9cu3G4tysq2emjCzLFxeXOxPdEA73 + dvdHw2GWwAEecLAJmomOKPhWp8HikYIZCkAEIQaFT9IsSxNnUZekAvf5HSJjjHvFQlCpKVRRQkRI + jIAJxM75Zpq3W36+0VhoJA+evPkwjjY//mz3ZX9v+2Q0lzsNeTNZXp3fedLrD3qHh72s3cpaSBgw + Q1QFBsX2Tn9394Thy+Hw9GQoM5kSSEIMYtk7docHB7u7mwcjpClSNs55S2CEohhuPH7+5MVRUCRJ + lmfOGiDGWFWjwYAU5bDYePTo0swETzpiaNQoBOaDo/6L7b3j00Fz4tzi4qwxENWaJS0qIRRJTs4S + FMzExsDUwzgi+pzKDUKWZYtLy0ma7fV6jzc2Lt0pGykzvD1bmt55FXXGQqBQM5a0KAjW2nFhz5Rx + ljebE91us9V+50vv7B8c3r338cuXL/f39y9cOIczBtsZbku1tkhVt7a2Xr7cBOj8+fM3b9yY7Lqm + pGkA1E9MdDsTXes8U02yq81NtUZmfZqBwMTWmrF0eUxHV4gkjWa3OznRbQ4Gg4P9vdEgtCdsbpBo + wqORElGSWiWvvmKIAYCgYBIDY50zdEbg5jq1iUOIo9EohHB8fPL82bPRcHj2oeoCguKw3Dvq7+wd + FiPNrJ3qdn2mkOb0/EKzkaMcHe1snR4dFUWZJhmgiFRnSFUiz55vbu3tlypzC7PdmSmXAY6NMGCz + VntpZvr8/PTwaO/xxsb9BysPnx+WMn3lyp1Oq5tmmJhLllaS5hPZ+Oz+o/uPFNXB8fDWG8sXL11t + NBrj+aLxE52Z2Zl5Bh8dHGxtviTSvJHalLwy1ZplBhJpa2fkURE8g5gRqSgDgaxlZ89aUmB8uwkA + +SSbnZ3P88bWznBra6vX6xkz32w0HZpGSEo4TmDgVIlSMAwBTOTc1MxMZ6IjG4fPnz/b3Ny8sjQF + JiiqUBlr+6e9jfuf7O7tV2XlmZ0x9QCrzjgg4ursgWQ2sBbBNFrtqalpoq2jg/0XL55fXZm1jZT0 + jB2lur29vX9wqMCgPyjLUZrAkofP8lzaWY7Rs0/v/nRr6/mguJpnAGBgoIbgYqn7O3sHR0fqaP3S + 2rmVpUaalLEXYzBJNjU7NzfZadu49/TBvftFatsv+tXs3PzapdeyBG2TXb0wtzyd7G/tbXx612X5 + 0dPeEMnkuSvzKxdA8Cjs2IKW61w/Z2CdJWPDmXHtmRZMGFKT5WHcOEscQIwgAaklFVNzuWoQ9gtQ + 2/jrWQ9NAGCYrDXWGmOI+WcKxqyRdrrtwd5JjLGqxqxbBcqyUoJx1hqjMZRV5QzD1FhtYBEoyDrv + HRIaTxDHnFgex1YZtNrNlsHENDW7ndc+vnb33qefPnhxeHA6HFQSgGQskQDOLI4oAAQhESuRocQE + a5io1sXUE3EYY9jZJMu6k1N7R/Hk+DiEyhhjsswQNdQDYEameSJQlch1iBaSJGm2OzZJB7vHm8+e + HO1tlatTLWdAAiIwYjna3tr+6U9+cnxUpE0m45SsABHabLWmpyc77Wxn+3B/d0uqYABDHCOsKmLR + 33m5ubN5dHIUJGOTWAtVozBslQ3AQVF5y85aHldJZK211rJlEIwZ6zPHRCNiGJvnrZWV1U7n/uNn + J8+fPuvfvuHyDOoMqWdYZxmBpFSyQiQKqkDRgs3kzNzExISWxYvHjzafrcd3bhh2hoxCSQIGI+zu + 7R2dDkYjssxGx0eF/mw/8bMXMadZI0kNUeyd9kaDPqNV25qLopDSsRib1nNw5521hn+GEU4gVhrL + Zuqx6KudZnJqeml5+fiTz/r9fq/X687N5Kl3EFKtRYzO46z9GSttygCJAssEIYIxlhhQsDEvXrx4 + +ODh4fHxG2/cuXXr1sW1NXKZmJEmOsLwpDUiwyCwYe+9HTMKTVnGXm/ARL3e8bOnG6PXz9k25ZTV + 1BoFjw6OX+wcHJz0ss7sTLfdaaVgh6y1fH7t/2Hvvb7jytJ7sW+nEyrngEIVMkASYE5Ndg7SpDsj + a0bh+srLukt+8x/iFz/YWgq+chiNRmukmenp6UmdA5tkkyBBEiRyzjkUULlO2MEPp8Dua/nqyddP + 2i9c4CJRtU7Y+/t+3y8E/GNQL++sLVZKl2yZc52GnxiUGACIEMoIxhh2Do8WVtardSsS7ejt6QoH + ESEgpUY4joVDZ/sLHZng5E5pYvTJuSs3dtc2FjZ2Ozu6+04PRgIYIRBSEaRBKBGOxqJ+3S3tVPa3 + dIYCgYDH2cYtvA08MhDnHrMSAIASjHUN4xOpGUIgFEgBuFV1g3IJoaFgSPeHfCHoSF1dfHqttrv8 + aHK0eFgsHZdjwUgkEo0nUgjB0dHR4cGBYYDfAOoyAGJQxqiSiIIEJABhUAQEgJAiEon29Q+Y5q29 + 3b3RR4+7zlwnEfPkGVMCZKVUKRZL1VoNwKcQHBQbus8HmIArMTNNw6Sg7MpR5egAJEgFQnmpe4TX + K9NTUwc7OwajDCEshQIAwLoZjMWSoVBIbu7tbG5Iwf0+PzJMJCiWoBNA2A+I65jYoDsABIBzIK7H + XaaEUUCAEKInaXcnhAACiAKiGBGCCaMUISRBAmB/IJDJphdmV6vV0tbWWrS9TYbBla7CnCKq6Too + EA7njANFpunPtrX7/AHroLK1uXnOtoMB4ipTIk6V0HQNKwlCZwS8WZoQoDBg1GLKqq+9T1KCQABK + 6abP5w8aCDQMunIN7KGcWAIhQJEWSKSy0VBQORtzk0/3XzjH3QIWgLHHXaEAXLhibWNz//AAEdTT + 251rbyMUREtEhSUoAHVihfX17aFVd39NW/lfcf0XBCb/trylAGNkGPpX0C0AAEgpQSnJefm4XKvV + XM4xIRgTSmkikcjn2wuFvM80BOeNel1KGQxF2ws9gXDiuFR5/Pjh9tZa0/J2DKQUxqAhoELIpg31 + Jlh26zN8Pv/AqcFkKmc17ZEHwysLM3Wr9RWUEPVafWVlZWxs/KB45IBAhDQaddexCBCQmBB/MBjR + NdZslNbXFkvHRdsGJRVI6TSb5YPDR4+ePB2bQAgwUki6LdKmrmvRWDKVCvj9O9tba6urezu7nrxc + cOGRUEBKEKLZcMol4C4oCVJKIIQQikhLbEQxatEyOAcAwBSYLhGVSiGkGAaKQAohQWmG2dnVHQyH + y5X6+Pj05vqu7QB3XQWuUi7ColneW1qZ2d+vWRZojGQzuWSyQCkszK3PzayUK46nKZOtesMLSAaX + Q70uXQfAs40nCAhuseEAAJBS4BlxIowxoeCpWoRAntQPefo1jRCfrodS6UzfQA9XzdW1xbFnT4qH + x5IDQQRAAyBSgBIgXNmog8tBSgBOqT+WSiYT8UCjvru4ODE/P1WulBnTJWAlENjO8dbWzPzMzOyM + 07SRxFIAxp5zjTR8gUAwGvD7y8fFg931yjGUjqxmo6HpOiDkOLJWrSwurcwtLFWrwF1OQFIPNuMC + ABGmUd30CP8YpAfMYSAa6AghhzuIKIQ9KwVAAEAIIK/EJMlUJplMIVArSwuz09NHxSNHuQgQEASe + OEtI6bqOYzv2yX9XKBKOt2VyfsO3u7U9Ojq6s7vr2g5QQjXMFVRLxxOzM/Orq5UGd4QDICgBSlo3 + xLGs8v7e4tTU1PTM6vqG7Sj1NYfbVpmuACQC6WFnHr/b8xgDhAlFmOGT/I6Tf44QAkwAU8AEYQTI + I+FypUBJ8AdCfQOnw7FkrVYfffJkc221bj9XMiHGdGHzrZ2j0bGF3aIdjCT6T/Wl2oIYQHEHcQCM + s/FQW9IfNOnG2vqzZzNPx+ddbATT+WhbHvv8vki4p6c7HQlC7Xj+2ZOJp8/WNncDwVgqmU5GIhSA + IgCqAaHpXCbX1c6Ru729sTy/WD/mwgGkWknDIJQSyrZs2wbEwbNGBSkBMDB/ptCTzxfCfmNvdX5s + 9MmjZxMbByUzELx65WIygnFrLodMI9DZMxBPt3OppiYnNtdXGw2QAMor/kmLa0MISAFNC6QAqQRI + CVJJhAmlDCMNiZY8xkv8kAJcx21a66ub03Or86ubtYZFQCHBVSvvmcCJxrY1KVAKgBAzmC905jIp + k8i1pZnx8fGp+VUXGeFoMplImgyiQbO7kEmEfc1qeezZs4nxqb39QxJLpHP5eDLV2oQRACEIES9P + CFNKMCIgQXFQAjy3BikBE0UoIEwIYgR5NHMwzGg80d7ezhhdX1udX5jb2dn29D0gheO40vN3UNC0 + oWl7RwAAwQBYKCQ94SAhGCR6fnRTAlQDqgnALeMI9JUEsrXhAFJfp3Cc6B1BKQRwfFQcffxoYXZm + /6ApPXmRUgB49/B4ZXN7v1T2RaOFzq5gMGQwkEIUjw7qzSqlJJVIBn1MKo9Iy0Fy52Bvv1i0bMe2 + XRDKYEBbJhcKEQbUbF1CJVrQ83MtnIdsIQxU8wWCuq5L4RYP9mvVqlLSg8BaOlBCMdOpZjDGGEFI + CgUgpXJcbtl202rquu7z+VpmOFIBINFsHh0f7+7uWU0bKSU5xwoQgJASMKWMYYIxxa2mGn+t6W6t + 1rUTUoLDgVIgxOFuuVJZX1t9Ojq6t1tuNgXnXEhpO/y4XJueW5pf2aAapFPp9kwyYDB/IBCJJrJt + OaLE4dbKyuxEcW8XuICWGgwE5zt7h5OzSysbOwiTvu7OdCJqmqA8moxhGqFgX1c+EQke7m5Mjo1O + z8y5lgimO+K5TsAU+f3ZRKS/PY4bB6uLcxMz82uHNcX8/f2nU7Foq+olYAQCXd196XS7VLCwsLiy + slyptuKhsQedICyExAQDgNMAV3inmgQhXIWJpjFGGFZIevdRYUSe60kZZcwb/XqHNQCAFFK4rluv + Vu3iMVgOKMUwQSCJRkLxUFdXvi2TBaTzprIbnDsKAQ5Fwn0D3URXh0d7KytL5RIIDgrAlValXF6Z + XZ5d2NrZOVICpGM7Vl0CCOyZKiuGKAZUPDiYn58dH188POKuAE0jjGHXKm1vbcwvru8eOrrPF45E + g0FDA/ByhLACCrh6XB4deby6vFSueOZhSEhsW3x5dXt6fq3SsOOpZFdPnlKPOE4AMaWkVLamgTcE + J4AwZcA0rpCQCiFASrZoTQqCwXDfwKlILF6p1Z88Gd1YXzsuN46Py5ZlSamkAsqIpwriQjqO02g0 + BfeG6uA4TqPRsJsWd7lSCpTSNC0aiXZ2dabTaYxwtVqr1Wpw0hJ6DTlCgFALiSCUNi27Vm8IBaZp + +n0MJHDOucvBdg6Pjvf2D4WQBANFyntHWs0AJoRqHhZ94o90coe9fPFAKJXOdHR0NBuNleXl2Znp + UklIAKWUy7nrup6eRQhhNRV3QQEI7krFAZTGKPHY/t6DyBhgLKW0bVsIWTo+npubW1paOtgvC+Gx + b5R0+P7mwc7K/uF+GZuGmYloSUMaBmi+aDzTFU0mEOPbuxtrK3P728eYN7FwkQAQmAt02Fh5PL2+ + ut6gkDzVFS2kQfMMGBggE7RgLh0f6k+Du7+8uPjw8fzqNscsOtB/KhwEjQGLGoXubDwe2FpfnJ98 + tji/0GiKWKqj0NlnGi2nSiBGItmez3dpTNvf3ZqZmtjf27adOqHYAzVAIJAIFJZKNS0QAgwNEMZS + IsvmCoASzCjG+Dl0K1oxJIBMM9DZ2R2PJ1zXnZ6aXF1eKZfK3qxYKXC5EkKCAiWV49jNJigAIBh0 + I5fPJ5NJKdyFuZnlpQXbtkEKJaXruvVabXV17dYXtze2toUQusawkqJ12hNCGQKQSgohAAAjBIQB + oeFYMtee1xjb3d6aGBsrlUpSKsaYAmg25f7+/tNnY8srKxhDo1F37aauA9F1MExDM9ojsaCE4tTk + 3PzUwsFmw5syKgKcgI1qB/Wnj8eXdzadEB24frazqxDFoDVd4ACaSWLRRDKcDuHq/urU9NTw+FyR + G/54rq3QpTPwa5BPhzqzAZPwtcX5yWcTy2sboAVjHV2p9jxCwFqkMKFaKKSkVFFGJMICPa/EWucj + RogQQihFGAnlHe4KlACkVLW0vrK0uLC2uWU3bRCqZVLyvJ9W/2Kq70UQagxTgk6UvhIAgJJgOBhL + RoGoplWvVirIO6GEdF3u3VBCAGMihVchKCmFlF5GFwJMCCFAEEX8ZFarwLbsoyNRq4NUwDRAoECF + gsFsNpttyyJQUnJ0Mhg64VJ8ddgBIFBISBBSAkiMJEEKIXUywAeMADMKmh6KRLu6ezAhu9tbi/Nz + Bwf7ynG9jEQNAwMABa4jarWG1ahLrjCAYRihWCIcTyoldzZX1hamiwf7lAIAlkI6Dl9f35yamn72 + dLx0BAhhphmAkQAQEuvBSDqVjMcC3K0c7G4e7O44tqAYlJRgW9Xi4ejYs9nl+eNqhUvVypUDDMAw + YYggwAKQYAxrXlvhlfyYEEowRQpJTBAl8BXYiRFg5g+EC4WuRCIpXHdiYmxpaalWLgNCntdSq6jC + uOm4lsW5AxoDoBQwS2Tak4kEkXxzcW5lYaF8XHVdj10tuFXf3dt9NDq2vL1bbVoIA8Hi67RtBP+P + aUDrbxEmmuGnWkAqOD4uN6q1FrMBgZIgXM6Fej6CZ4x8xS9RX//FFBOGMcZIYe+eKqWkzOVyp8+c + Ybq2tbU1NTlVKZdbfXSrAGtRphxH2TYIDrhVw0slBYDCGFNywskj9Pj4eO/gwHFcvz8Qjka9I0UC + UoDqzebh0VHx+KjhNDFBTKMn0C11ObIsG4E6OtwZf/ZkeXmhVm36fCZSSijuumJ+cXVmeaPasBPx + WD4T9xkAmIIZyeS7k7Eoks29tYWdjdWd7e2tre1KtQpCAgChlBLWtOy5xeWHo2OVhp3JZs6dGQgH + PW4mA0lwwNedT/fmkxrw+ampp4+fTkzP75Rr7QODpwaHDAK6V1UqAqFEIp3pKWRldX99YWpzdblW + ryOEhBBSSo/6IyU4jiyXy82mB6wAJWC0DMO84QCCFqQD0rbLR8fNpiWUopQhBIYBmQwUOgrpTIZQ + 5jiObTWRQvF4MtvW7vPD1ubWxPjY4UHDPsFtADwzPuAuNCyoN8B2QYESSkTjsf7TpyPR+MH+wfCX + X+6sr9sWEACkgDtutVKdm12Ym5svWzUOSoCq1KpcAgAFiakeCASCJkWN4u7exmq9WnFcJRQowFbd + Xt/Yunvn7srigo4xUQJ7DwxQ8IUi8WQ6laZIrS0vra+uHhWLBGPvyigAzytGclmr1WoNSwEI4fk7 + ek5ixDtuPLuBlpWIl3yLNEoMjCkCj2vlxSxBMBQsdBR0U9s/2H3yZOTgYN9xgHNXSKEkIIL39/ZX + 19aLh0euy/2+QKHQGY0lLdt9+vTZyspKrcpbm6FUCLUGGgLAdqDRACFaoT4UKDmxJvHep5YgUyHD + 9JvBsK6DcpvKKhHemsBxYAAMtEAqnUsn4ga4i5Ojy/NTB3tVzqWU3q0j0pWHh6XR0bHVtTXN0M4M + neroLBAKHrnjpG37Ov3mq437vzDo+a+y/o11+68tIYQCoJ59mleJgwKEiaZJ193Z3b1164u+vr6h + s+cwFdKzQ1Lu5ubm5MREvVYzDCMWjRi6YURiha7+rp6B2cezX3zxedfgxZhGr505RQkgwgAk56Je + b5RKVrnc0E3o6W0nBCNN9/nDiUSb3x9cXV4cfTx8sbfzxrkLBoOmZS0vL7/77i9/+5MHu1tSQkK3 + molEPBI0JFhAdRZMJJMQDgUbS0vPno7Mzk715bOd+YRjOZvr62Mjdz/99LOxp+tWExzLUq6leYIj + TEA3evv6Bgc3Nj+/fevzzwM6/Ps/+cN8LmsaBgEFriMdx+Vo76C2eWh19fXrYdCoBspxOW80m41m + w7YtyTliFANQRsFzfUOUS+S63HFs26rZdlMKhCkJBkPdfX3JbKYysfjOL36tpXo6ettT+SABpaBW + PN578Pn7nz+YvfDid85cfSvdE8xkc11dp3O50YX5de3Wg9Nd568PncvFQ5gBYCXBEq462i07JS4o + DhfSuskwnJRyUgFpbTEtj0bAjssbTcvl3HW56zgeiokAEAaEKICSwk2kUldfuDS/OL+6tvDOOz/9 + LsVR7VwwHkbCmyMagKByWBl5tpxu79Wz1B/UgQWTicTVK2drzmSluveb3/7SHyL5VJttu1alcbC2 + +N4vfjT82e9W1wAUEMQMTccYgbSBu5rpSySybW25ya3i4uz45LNxvyr1ZMyL5y4QgiuV48nRh7/6 + 6c9Gbn8iJGgYpGvXK4BNMHUToIEIA0IdLlzX5Y7NLaUCAgOmgB3HrVTLhhZFSJ0UJSc1IiLA9I6O + 7v7+Unt7bmdn68GD4d6B5EsvXYxmQwAABkHIBIKODw42jkrHrj+Z70rFARSOpHKnTzmF9sKjpcMn + jx4/eXI5GdC6+iMCYGf/cO7R/Z//n381N3JXYhCSc9dyHEAe8VZC+fjoi48//F/++m/jbR1Xbr76 + Z3/+H2OppKFjBa2YCMAAXIEC0DSlgAM3sc6YgbAuJIBCjGCNAkYgOBAspSJCecghAkBcKiEESEGQ + JBgRBJhQ0x9IZXJt7QUyd/h4ZKTn3t32ROj84EDIQAop1+XzcwuffX7n7Xc/sF3ou3j5zLnTyZSp + AEyNgdJAKGLgtrjZlorObmwd7+5p/mIk1htuK+gREETTiJFM0a5cZmV278mXt63GkWmo9o7udCoT + 9uMTVwECCvWdGbhy8/Ldp0/uD987djVNMy+cPRVI+REAUAzYRIDLB9W1g714us0I6kQHblsUS9AN + f7yt0F7oyWdXNzZHDjaqW2tbxXJ/X/ralUt6AIQEhEEowaieL3Rn2gry6eHw/fuRnlvdWd8L5/sI + Ecq1DeoDBCDg6Lh2WK0qt9GVz4QDGmgMpC4RsSy7Wau6jQpwQAwABLgOcHDr9kHJ/p/+578en9s4 + NXTqz//i31+5fI4QSmSLLuM4rkBS0zSvzyGYADDAvnDczOey6VhgZX5qc8WORrVwIpfv7I2FwgTA + ZNiMBTvaEpPbc7c++6zCmB7pPnX6Qq7QFQoz4E5r5gBYYxql1LLsZrPpOnaLdYs5gKQUA+jAmzYX + tWajaTSUcBgGZppAA2FuDA0NTW2WJsef3frss4hPy6ViIZMhTfcxXQFuWlbZknMbB0IPXzodJQAg + MRAqFbIcXqsDRsjQmGw51auTahrXGpZt20pKxV1Q0hMWK8Cq5ZXYypkBgK+X8Eipg73Kzq3Pylyv + 7r3+F//h+xI5IDhW4r2PPnnnl7+ru7z71KkLV64Eg0EGoDGaSiX8QbNZbMzOzIS7XuqOxYI6A4Gs + w6MPPvxg+NGI7TjWccmqNzUMnpadc5dLJUEjDAAwSH7S0qITHVSrtQXNiEQTmq7ZzeL+3k6lXOKu + Sxg+sS5WIKQrJGCKkQDJXbvh2EGJpGH6NF3n3N3Z3dnc2iwWj2KJAGIUODSt5tTU9H/6T3+3ur/V + 1nnJbjYEdzEwjWqAmFRQa9QtSwjBKcZedCtGLdaTVJ4KStqWrQGGYABqVYdzh3PO0er4+F/95V+i + /+5Pgzev9HTlJMBB8Wh6fPLuvZG5pfVcPt/V2ZlLJbwg70g89drrbywfffpobu29X/60jTW6Yy+H + AwYw5CjRqJUejDz+1bu/3dg5aj8/+NorNwu5jGmCVB5vDoPOBk/1Pprdvfv0/pdffOYSHXyRSK4n + ks4pAQhDKhq4cX5gf219aW7hN0TMr2+HMj29ff2xIOO85QQLutHR2ZUv9JjmxMijx3r002Q2f+na + DTMEzgHwggAAIABJREFUFleMcYoQwvjo8LhydFRuNjJdeV/cAMaAMoGw5biNes2qV4ED00AjiHq8 + WyUBk+dKBqIE8VAnSnWChYDZ2eW1+YkLF84m2rsNLUAQBm5VS4cTk2OLCwvQFOlYLpvKB7QgBQiF + g+2dOe3R5NbOxscff/i99vaBjgQCaDTKs5NTP/27v5148OT4CDhzg6YZj0UwBQUCKKKaBgLqldpe + Y2fvg/eP11f+xz/701cvnu/JhQD42srMh+/9bmZuWZLQmcFLp88MtbcZGACQ8vvMtkyGoZ3i4sov + 9n7GixvW4Wv/zR+8pflYswHra5sffvLFpx/fsoTq6eu5dPlsKAyEYFAYBFJKKXBdXhfCxgAUiAdk + 15t207KVlIK7UnAPvQ1HImcGz3b19j/bGHn48EHH4B1wLZOh/p7ubCZDCdU0jRGMMNSq1aPjomU1 + 0+lUMpkQnM/MzCwtLfX397Xnc7FEAgAJwRuNxu7ObrFY5EIwxjRNQ+CRKb9anqE/JpiYpmYYCuFq + rVEsFsulaiYdNHSDQtNuVm7fvvuzn/386Og46rrStYQDyA+EELBEvWlXGpYjgAvpOjYC8EaALWwX + YfD5c+35q1evfnD38fTU1K/fffcPCUtdOOUzMPOs2qS0ms2DUmNt57Ctozfb5kcICc4BgU4NBCAl + OPWGQYhnhUQJMQzDMHQoF0cfP/5bt3nwzbf+9E+/H4kHJXIaB0e3P/3y7kdfbq5Xul5/efClyywJ + jos0zkBjN06fXZ3e/OD+nc8//ZhH0P/wF/8xa0R8EpgCt1Tbm1j+6J9+/eTxvGuGz71xo+tsv2i1 + Ihg4BYXy6cSNK/1z86Nzs/tOU+wc4POn8t3dvUE/YOCgie7eXKGwfvfR8OPhu0r3SxeFE/l0poCx + x7FyCcKBZHtvj8ykM1PrxcePhocv9mra1cGeISx1DABUA+Xa1fpasVh0DS3eFW0jCgAIo5oupeSu + LQVX0pubKFBfkTmNQOj0maHevqdPRjeHh+/nOqOFnrjP9FEzQDDWNKQkuM3mcaV6ZOMm8uu5JDAE + utbZ3duWm+fceTTysCcT/var1w0S0X2MadrsxMSdzz//+du/2FjfAD3DCBaO5Viedqb1yRRj+dwq + A2FAJNGWO3WmGY+Nji8s/Lp+fLY3H6SX2toLmODNzY3Ht95/98c/XB0fxxg0RgkCywZXU8TwRWPG + C2fPrS8dfry9c+/+7UA28N//0R8nsOEXCDBqHlbGHo7/zd/+3YOZDRRp77jQn0rH/RIiegCQDQpD + ELW3ZwZ7sg9GR8fGNub3/TUSCaYKwRBlBCjiVON9HYlHS+zLidmNfSsg28OZXKyzJ5rxE+RFOgnX + dREgqYTLLRBYSGG53PHkw57XrZQgBEJACebcchzX5cA97R4mIO2R4Tt/9cOf7dbJ6Wtv/sWf/7eF + bND0e4P6f7laha7j2FazwUxTKaEkcC4pFQAIKAlGgrFE1JmZ293dWVtd8SfOOxq49UbI0DE1AEBK + IAgxw0DPHUUpBaDgoqbDG80GNIV0G96kD5ru3vbuyOPRnr7+noFunflc13VcSQ1/vV4/ONi3rCaj + NOj3E/JVVXBi+IBa6J4XzODZIQsuXBuB5yLwXJSiwDTb8oXX33hzev/Dh0ur//Cjv5c/+FbkjZvJ + VBqdhD0KoRr1+srKkhFNJPOGNwGMpzLnLl6ZOmw8XN6498UnhbDRl/mO5LzZbB4Vi2///O17H//y + 8AAcC5QEQnXU6oMpuBJjaMsmttZ265Wjzz/+5FVGkoN9GOO9w72xx8M//vv/fW56FGsB4YLLpeOC + oQEAkyC45EI5AAJ5huIcKMUYqBCCC+4IWzpKcBd5uKvytNsYgGiamUikc205n7k2+uRxd1uykIm/ + cOMqNQ1XCYKoRNJ13f3iUbmhXDA6stkQlYBZIpPLZrIRv3m4uTY9NjY2Nt7ZkcskE9Ggf39v98mX + 997+u79en9uqcyKxpZTzNeDf4wP9i6RZAISIYQYQNSy7fHhQrFXK3v4pOCAMumEgYPWK4zgOF65U + wnUd7oLSn7O/WlnIhGoIY6WEkhwBAwDX5Z1dXVeuXbt1997TZ88alPgjIXp+qC2Z8ABgJaHZdPb2 + i3t7h6l0JhaLaToxdACgCAEohJEEUI7jIiU1xiKxWCKeQAitb2zOzy9cu/ZSJGoSprlgLy4t37t/ + f2xivHHoFHIRy2pyF5SGAJTG/OFQFJTYWZ7/8GhNVNfL33vtuz/4lsTC5XatVH7v489u3XnQcPiZ + 06fOn+nDAIAZ+KIRgk+fOtUzX1ycn3n45W1dHfkIXB68YPYaZiAEGDeajbmZ2fc++uS99z6yJO3t + 671+9VI4AMgbtFADkIZD+tn+/LOlnemxzQ9+90HdcaSihdNnu/v7iQIdA0bYdREzw+0dHd9885Xf + vffB8vSz/+N/+5sf/PEf3bjxoi8Q8EIpbFdwLmq12srqajyeYDRHCKJeoE2L34MBJBCKEVKOPTc3 + /+DR/RdfuV7oaidIeHCey2FpaXFxaUlIEfD7I6Gwhlgyme7s6u3pbZte379z+9aZgbPs+sVThQxQ + ChiUEi53qjW3XLLKlUo87W9ri2OCNcMIhsLpdBZtrs5NTj0eHu6MBJODbSChdFxaXJn70Q9//PD9 + 6QZYLtQFqLb2tKIgAYPmD0ZSiVgp4je3dtannmmLc7NhYyAZDzas+tzc4sitzz777LPNuW3OTN5s + Ys41AAQUiOkLwNmzZ5dWDu+PfPnuO79wReUHf/hnfsPUNMAIlCsUdxoKtjb3q0gLdPX5CQIDg1KW + bdcbTSFBuFy6Dn1OEfdsZhGj1ASFHdt2LEtK4Wmeo7HYmaHT8c/vPp5b+cd//GG6uzueejmdDgM4 + juUc7xd/9c67u8e7V1+5dvbSmbaYP1/o7OrpfTy++fDhw1znF6lM7NzFITOgU8IApBSiUW/s7tRd + Wyc+lPYFWSu3ALWmXSfvJfE8+4AB1RSmnMPu5trmwmRx21Ixw+8DhinjmGqBfHdsoLenkJyfX9p4 + dP9uIRX7g+9+m1BmO1bAT3f3Dp88Gv3FO7+amNwyzGzfQE9bewa8zCZvhg5eusd/HsPxHM39/4Vy + C/8G3f7rC2FPr3aieUUIPNcPghvN5vr6xp07d+8PP8hkMpFoDGHicmE57sORR/sH+4NnzpwdGkwm + EwghyoxUuv2FF19ba9L1xxOffvLB7tLSF/musOHTNARIlMulSs2q1e1CR/e58wNd3WmNIKab8Vjq + 7Pkrl7eP9x6MPn10/4f16kh3f5DpjrO3vTW5vz8bCodrAWHXfIgQ17WFEhghkBhYIJmgVy5fWqs4 + S/MLv/n1L5enJ7OpqBKN48PttYVJCqizO7Eze6hrzKCYIHA5MM6BsjODQ6+X5Mrm1uHB/nvvvVc+ + 2k/EIj5D85u622w2KuWmIxvS0MJtsWQ8FYkjQIoLISQihOkaIZg7LjUpAs890HPHUxIRwpjP1BEI + yV1KfASY4aPdvb2Xr12b3q3efbbwyUefHte2oxlDDwqfsOp7W2sTTzcO3TNXXyVYKFDBYOTU6Qtv + vnH8m1vjc7PLP/rhjx92dOZiMT1AXGbVRLlWajSP3Kie7Bk8fc53OR1qQy2WmTzJsnneWCnggnMh + ERimyRhTUkkhFfVYS8+FAkZ7vvDK6y8vLM3dHZ8bHr5bF2L0y0IyEAjpGiLS5c16s7Ffga2i9b3v + /4fTmX5wMWAtlUx+85uvbh0W79xfHBm5b4vG6Mion5qNUr20O7618JBL6O6OP90xcasF80iVGKiZ + bStcuXR1qzq5vjz705/8SOPHbWHa19MrOD4+rm+tTCOnnsu3b9c2FQCSrqGBxsDLrXG5sF0BhBBC + CEIUe6MrLIAjjDSDEYbRc6WYUuByz3IdFPKFIkNDQ3/8x3/06w++nJud+ed/qk9NjORjOdxQIeZX + xK7UD44qB8JIdw29ZIZzENPAFWAGO9o7b16/sWeN7qzMvfvur5amnmbznQJru8XS4cp0qVxO5TKs + PTJ5KBn1CBOgJHDHUVKWy6XJiWnf1oEeTh6XK4FIVNc1Ba1BCcCJdQIiGCMKQAALCTZXvJW/dsIf + 9O5uS9eAQAK4wuFSKYUopkghjwIIgHUzmspcu/HSQpms3Bq9d/uLysH2QE8h5NM04KJR2VxenJlf + Kx5b5y/ffP2tb+YKOdMAAJsCgMSgJGioIxc7Ozgwd/dBudigbvPU+e50R5ci4AJhiPhMNtBVWIyv + PHw4yxEPd+UvXr7elsmZDAiA4ICFQhhn8rlzV85df/HayNja08dPfur7ycOOdCxqYnCokli6DYvv + HFsNqf/h9/8kdqob64aQQiKEkQ4ay2SyV88NVQ4PDrd3pq1mwB/O5gvpZEAZwBBIAArIMH2QyNx4 + 6bXFWnjtw6cPh+85la373dmwCRo2dGxyS5aa1n65FGtrO3u6L5+NYay3tH6EMo1pFOtIEnmi0cAI + EEjulqvVxdXN8flV5PcfHh5zp4GxRwD0MECKgEspkbd/euMBpIGG8m3Zwf7O3e2NYrHIUfD05Wtt + uQ4GgAAIFuBnA70dI6vT41N7tklyKePsuQvhSOyE1dAK0uJCci680BFQEisJSIKS4LqKUi8qTWLK + NF3qjIAU0ns4iGGyGzdurJXcw/2dmZlpblWX56ciAcOnEUxY3XIqdfuo7go91D14qT//BiOcYQ4E + e+psb18goFCLGuT9gUAiVyhCqKnrFCNQUrYInV/xZE4mxAAnskcAhUAF/RDtLBwd7H/80YfFzYWo + jyKlatXyw+GHa9u72Y7OwYsXTw+dNQyEAXymMTh0ZvZJx+OVudu3vlgtBZdG01FWR/XN0u7m3LMn + u1tbwXAYmz6CsOuCYoABEMEKUQGMS8AYU3Li1SefB2dAK4JMM5KZbDQadZ3V0ccjmnU0M3KbgWzv + 6O7tPz3QVQhoikuwuVBKMYIYUghAKmX6/V3dXS/cfGF2fvnRo0d/8zd/25ZLRLUwasL22vbM2jQC + 7KmrfIZOvFQwwCCVy7nyaKWSC+G0vs7JXBshQIARAKUUcQFcACUSIy5FOBIvhkNSiA8/eH91dLjQ + npMKjkrHaysL03OL/nDi9bd+f/D06UQ0iJUEpUKR2MuvvDq7Udor3VuenXjn54316SfpZIzqmi34 + 4cH2zLMHi/PLyWzbtWvXXr75QirKCIBQQARgKQFBZz7X2Z41CBzsbqJAKpzvCmc7fOGkIlUEEA2a + F091j3zBJiql6blFFI519J5qz+WjQaAAwgWqAAhFwcjlqy98e8v91cdPJibG3X/40ZfDI/5wzKQN + H61hcWhVoXTgRgP5njOnw9lEgBggMWAClDFdxxgRxYG3LpKnF8FSAKNCSamIZ+YEEoEUgAgmCCG6 + tLT8m3d/9fDhg0A8rYWimqZrjuOUj768d79Rk52nhno7+9LxDAEXgETj8as3rowMP52bW7t3/04R + 4MtbYb9Ws8prx6vr62s74WhbjxF6um5RUAQrx+WubjECoGka1TTK4rHYEWN7u7s/f/udqYcPu7Mh + t7qzvTw+OTmzd1ju6j791je+19HZZTAQygYlBHdrlYp0OfVH+vv619ZWfvazzbn5R2ZQs3n9YGvr + 2f2H+0fVnv7TZy+c6xvo8vs8320KSmia5vNrChwpHVAtkioAcSVgTP0+H8VYtdIpAWmaGYm++vob + uzb72Yd379z+YmVhxq+zbCoejycC/gAoJFzebFhWw2KMdHd3XblyORaLAoLl5eX33nvv3r1wNBYN + hcKEEi54pVIdfjBSrVbPnz9/8eLFfD4vFXAB4BkpK0AKCGpJfhBCvX39p84Mbt9//Pnnn+81cW93 + pynrorJ7tLOxsjhvOS6mlFJCQTEvKwkhIFQB5hKZfh9l1LaaSnzFzWu9J5jm8vlvfetb22Xn2dT8 + 7S9uVaq1kc5swkd8hEsJzYZVrTXqjpLYeO33vhUOX9RNBIgg6WHfCCMglAB4VS4HhDSmKaUgHO7M + pA8PDz/95JPd3fVoIqSwaxf35h/c259fDfj0i9eunrt+CQAkA+AGCHV98Fx9s7S+urBxsPurTz/c + qh61G8EEaCnMnL3dvZmZyeFnkUT75RffHHrxWrKjrbUrSgScAqB4NDg0kE1G0PZGbWZhxwjmsrn+ + bNrUdZBggbLjmUi2LaFRONzZRIFEptCdK/THEwGKAXmiekLBjHR2sh/84Q/wJw/m5p7+5tfvzMw8 + 7M72BVlMlz6p6rZ9VK3uHlpQGLwycJn0tHV50neEKEKYIIVBKSmUF1lLTpT8CgHVgyHt2tXrW1vO + ex9+eO/el6XadmdnZ8if0kkYhHStktU4Ktdq8fbe3nPX49EQhAAITWUyg0ODN65ffza3Mnz/3v/6 + lyKXjFLdbDpibW2tcrDdni/4/YFdHqi6LsWgM++yKKmEwoAQ9uYxJ2NAAvHUqVPs6pUruw/mNtbX + fvH22zNPRxLptFR4Y3tna24sEAj0D+RRkdcYRUpoOrhCGQqBbr548XJlq7YwN72yMv/Pv21sba+3 + Y39GGn6C9w+25ubHJ8amk90Dp9/4vfMvX05l4sz2cgMxAAJNyxcy1871zkw/2SqWdhFvP3U23tbl + D4IJwEAC4wNdmXw61ni0V1f7Wqytf+hsKNtGfADgQbcSI4QwIQRTikEgTKBlqwmAEcIAoCTYFhcC + YyKlpBT7fEAogJDgNAHz4+L+9OTERgXpiZ5G05Yi8DW5y39Gj3p+8AIAxspLFyMEMDmh3iKIRMNt + +azh0+fmZ/75n36SvnfbkLahnO9+4/d6+k4FQyHuAkGKMKSUVCBBKa/SAS4BU01joAEFVwkABsp1 + NrY233v/Pd38IpVNRhMRRMGRULPckcdPDw8Or169cv7c2VgsQr/qwp9DhRgAe7eYUKZpmqFpgraM + R6USGBEhBVeEKpcA0mOJK9euX10+2miMTk2M/0LWVyYfhyNR5ItyGnBdl9ePnOpBtVp66c23fr9Q + UEJKpTQzcOWFmxO7R0+WVydGH2p2fXd5iSloNhpHR0cLMxPNpjV0JrG0eKgUkkBaGjjEALN0KvXN + b7xxsHM4PDnx8QfvL2ys3ynkYqZe3FrbWJ0s1arBaCBFs+6RXwFYNuh+AGBS2bbrcOkyDWEkCUiK + AQMWUjUbTUSxbmpEZ0IK7ipqnIy/vCg2rMdjqRs3XtzbY7/8xe9GHo1Ip3Z/+K4vHEK6JpFqWvVq + vVyq1JLpjlODl1KhIEQ0YAaOpc6cPvPWqy/fezo5PT7+9//Xj/L5XCLi9zE42Fw9XlvggLtPd0Az + NrzqIHC//rD8v7NuARDTUtn2ZCbPtL2J8fED/LPFiSliO52p9NCZ7EB/IhpBCCNMCKaYUgwgvB27 + 1dQgBDa3HIEJU1JK4ZIT8TelNJVOD54deuW1V+8+ffr06dOf/OM/PrxbSMYjjGAAwiVUqvVSqeZy + 9e+++z1d100z5D3MCMDzI8cEE0KQwoBwPl/oHxhIZzLbOzuffPaZK3AgEHaJU3bKazur27N7mBJE + sO3amsYIAgHCi9fDmCJQsXT8THfb2srS22/vTc4/9ceMhqwf7e49uj1cOq72DJy+efPGxaEBir3k + saDG6PWrVzb3m7vrcxNPnxzszBsEjY0868y0G4YpKKna1ub62vjIE0m0l1974+bNmx3tPoZAAAgA + 6jUZBh4a6Oqd2bk1trm+uiF0XUvnorlCJBZnALoCwNilhqQolky9/MKVg/XFh0/GHty707TtkUdP + dMMwTB/CpFypNi3bdV2l1KuvvpZvz+GTucdXAP1JAVypVucWFj7++JOJmfFkOhoI520eajp+ZTW+ + uP2F67o3X3yxv38gEo4IJUzT39nV++Zb36h9Mj6/uf2Lt3868/hedyYR8GmSgaXcUqVWrbpKss7u + zisvnM22xQgg0+9PZ9quXn9hparvjG/d+vjj0trycFeBqWapur65M3WwdxAKha1tTSpdIWTZggJR + XsaKL1zIF169+cKdR0ubyws//tHf3y5kYhGfFM2tjeWj9aV0Ko2qx+UjZDJqEIQAbMV1iQ1f8IXr + 1w8PGhubSwtzc798p7a9cWSwuKklAiapV3fsxn7NdevYaBsY6st3YZ2BApDClVIC9vk0QojiLYM4 + 5QkBpJCOUop6nYRqWTkTAByLx4bODt24+cJ+c/jR7Oo7b//z1OxIPBXQdAR1Vd0pTzwZDyXDN15/ + EQEmmEVjiYuXr65uWx99+njk4cNSudjV2xEM+02dEpDNWqVc4pWybMv0nT7X549ciJgGPmkCT0TU + X38zCehmLJk+M9g9tW/Njz36yd//HTOo7mNxP3nz6umLvVkw/JfPn19ZKx7s7KwsTP/mV3J5ad7v + 1ylVho72d1aX5idXVtcLHd3Xr3/j/IWheCIgFQD21E2CtpJbnh8yJ8eM+tpXUf9iy/j/ev0bdPuv + LYyRN3JvsaWUUtITb2Pbcaq12tHx0ezsXLlcDoXDgIjLRdOyFcLxeOLNN9+4dvVqJOzjtosQpdHk + jZde23HZStlaW19dmZz5SKCIL6AxCiDqjZorJGbat7/znb6BdlASAwLNIJHExUvXti25VmlMb+78 + bnHpNvP7iK5pNQylSxc7X3zpxTuV2cNVzDRi2Q3uWFjXQWIg/nAs+MrLL+05olo6fPjg3oPbd/w6 + 8RnI1MBH5B986w3jdP9q5YtkPB4JmFgB5wqEBKb19vW+QkLLGxuPH9zdWJn/+foKSE4wRENB7thW + vSYVibf3Dl5+yXVsDwQVXBLGIrFoPJUMhUPY0yfCSQKAVMAVNfyRWCybTQV8GsGgUYaBgE78ufbr + L97cteRu015am5pZuieIZYRIjFFcr4cJynSdTSZzoWBESgWG0TvQ/83vsO26uvt05ct7n4zchgDR + zKDhEt6QjWbNCtDgYM9QIBFtNOqtd8oTnAK0YBOv9FVKcIkp9QeCyVQ6HI5olIKUz9FCpBBCBCM9 + mM4Mho031l47BvzR8OjdO7eHXUFdEQ8GKQPLqTXsJmjxaLr3G7/f1KkO3AaqBaLmKy+9MD47s7gy + dVA6ev/99z/67UeZaBq5yIC9/g6jo7s9yHoXansYsPdcAVGAKSjc1tbx8kuvrBTl8OTGpx+9x3jZ + h5qmbgpBCDFCBvzRd1+LDXbu1j6vm9GQ3wiaoJ08tEIiICwaS4ZCYVPXdC91CpAAZfp8mbZ0wBf2 + +fRWMKNSXAgKHiiGQTN7e/v/9E/at/cbt7/cHX38ZGrykeYSUXETgShm/Li6R3WUH7ia6jinhANS + A6GA6Mlk+rVXXt1pkLvlg0ePHj17eIcYfqL7FDXihnrj2lB7+Oy+q9fHNyJhv2dlqyRI4TKKfYYZ + DPodIZtNmwvZ4ieedEno+Q+AMPYoqxhhRnV/MAKmz09PktZbym90gjAqBRIRpgcCwUQsGvKbGsVC + gMSAmQ7h6LUbL+2J4Ny+tby/+/Hawl0NmwxRxd16BUmuGZGuvoFX3njrtTfeTCYisgXToRPolrZ1 + 5C5eufTl4vo+lIxw9NSpvo5C+qSd1ikh/b29C71rY2NPsRHId3Zfu34zk87oGJACJUBIoJQY8Vjf + 4MBb33qrqUYePl65+/nnX6ImwhYClyJMAGxHCuxLtvW++eqbihc8IoBEBAMDpNKpzIvXL28szbvV + msuhved0T/+A3wQgXoghYIJAM4FGL9945YgVJvfU2Frxow+nv0B2yEB+zU9Bk7aqc25J+eLrr5/q + yXsp1V5IBzX9iUSSxOKxoMG8QadHKVFKCVGpVB2hONY5UO5KYduKMkUZYKI8lxJFpBAYQctYCnlJ + ZtCey16+cHphfkbsOkYg2jNwNpdtpwBYuIAU+PSuwdM9G0sja0uaGejIZK8NnU34fSAAiJdtJwEh + IZGGSSYUjPqNsEERajWWkgvlHaJC6b5gMpV2okm/QQUHwAoUBqZfvHhhtwHF/Z2Jp4+ePH785ME9 + n0YMhgjTLEfYXDYFPXXxejjZZtUtWxc+TRCCmWEGwpFkKuIzTKxEawqtTqBbRKluhsKRVEIG/QbF + SIiWH9nXm0lA8LxXhBbrFqUSiZuvvDS7Wd5amx+991nEpErJYrEY9AdzbT3nzl69cO1qZ0+HpoEE + 8Bnm0OC5hXOXh2cb8yuLc7u/HvtSxTQLNXdFvRLz68FAuCueL+tt4aDBHQDmTaso1UzdFwqGwGf6 + DNpif3v+E4CxAoQ8lZ/hS2fburu70+Pra8uLhytTd6nAkr/0yhv/7nu4kE0GdKYw0wxfICgj4YCp + tdieRDf7+ge++93v2u/+dm5p7Mf/8ONUOhpiQWxBo9LQI/qli5fwnBaORKPhIMMgOSiKQABgHI3H + GEOGoXn7AiLESwMFdBKgC4hSTQobOAeMFEEKQSwai/X09ne0z9y/NXlniSCQHtHeteJh//lLl775 + 7e8ODAyEfKYX6a4FQ4PnL76+UTwsNR8MPxh9/PDZg9vxaJjqzJWidLSvYTcTj1y7+fIrr7x6frCH + Qwt0FxKwBEA0nct3dXW3t6X3G5oWCXUOnslmMqafIKyBpIY/2NfXW8jnIrMLx1J1dXSfP38hlQgH + CTAFXuIRUAyaef7CNZe1bR+TR5Nbd7744tadL5nhD5pcx1XMj1wLC9u8fP7lXG8XYNWi62JMTH80 + mbR90XDAoJ5+BIGSSnAJSmKGlJAKCKVAvNELF6CkUoARrtXri8srz549rXPXBmToZpAYfmBNS2W6 + hvpefOV0XyEa1hEAKD0QSV24Ej578eF0uTK9uzzziyWCGmETdGRlA77z3Z2FRLLNCuzY0z6GlXBc + DlwXgBVQTCk2DSPflk93D4Y1/OzZo7Hh22Hq1g9WqVPXdD3Z1nXp2stvvPWtVDpDABR3AEnX4eVS + RXLRnsl++xvfGH3w4fjE8PDDTzHDCkvetPxE68p333ztzfMXL+TSiLTkexSQDASDqUzckkTXCXrq + gfO7AAAgAElEQVSugEaUaL5gOJJNp0MBkxEsOSgCgAlo+osvv1qCwLOVvY393e21ZYNh17IIxpFI + 1LYcq2nZlu33+bu6Ov0Bf9OylFKUknq9sbK6UjwsNpsNIQRhFBCSErgQ/QOnXnv9zevXruXbs1IB + 5xIh7ImOvsbiAlAwdO58cW9nabs4PT31dHGroz2niZqqFxulw/6ert7+gSOXxaKRgKkZ7ESiSBgz + TF8wlMy0BUNhyTmSX28NvKmYDKfSr76aXdopNRz5+NnkRx++f1vZIaZ8RAIgx3FdLr39dvD8Zdu2 + TJ8PIwIESyExIggB03QQnluOBECEUs5FIpm8fu3a0fri7trSs7ERZmChbNGo+hRPB/1nT5157dqL + 57qzEQANADQdhMyc6b3uOqM783eWpsYX1n75ZFwHkqB6TNd5tSLqNd0XunHjhd///g8unOmL+ltY + +wnLTQVDvp7ubFdnan2zflwl+UJ/b3dfPAgcwBuIhRK5to7DbC6zf0y0oO/U5Uvd3d3hAFAAQEAQ + BsSA+JPpyA++//2aNMqljZmZqfGJYQOCUT3FuM8VVSGrCtVJKP7tSKprqColKKwAUURYOByKRe2A + 3yAEK6UQQq070ZJaEELJpSuXbSewsr62ujXzwfvz/zd77xlrSZKdiX0nItLcvN77d93zvt4r02W6 + qrq7qrpsezc9MxwuzYJcaQGZhbC7kiACgqQ/KwiyELHAChQgSABB7YpcityhGT8ckuPY3TOcGY5r + W13VXaafu/emidCPyMx7X1XPElqIAgQxUaj37ruZkREnjj8nzmGcJUTWEhmmSHp7vr+riI6dvVTt + zAXeCMoE5yyXX1tff+qpYPTbv//Tv/yL/+mf/bN8OkHcHLm+adnri/2nLj/5/ns3v/v+8I339pOW + YXNwBRVA6pI1nE20Ia1fZwrdfvGxxx677Se/9qWPvvSlL339q1+0HcfzJRivpY3PPH/V9nf4N3/4 + 5tBOJkybAZ5CQLCMxdXlnV33z/7qtW+++f0fvP76D7/+7bK0y8p2OB+P971gmMrkHz137txzT21t + LOcJ7sgziRBIKRUzRbNRO3Jk6Y+/VHrHHe7auW5vtd3qpExY+mSGYXR6s4P+e4XMX45Mp1Iubp/Y + yNfTTGgFyVBKcW4wUoZt5ktFNbSclCNEqMsxbTsogg8lHCeTLeQon7aTtk5NDHzXFQaGrr+zt++6 + JpQ0OItq3caavs6TojBPCwQgnU4Wizkr5diWIQR4WJQeBBQqpbmluc5rM6+9/+5f/vZvu0xZ0m0W + Mgu9Xq3RSWcygZSAUoLLqO2UAkgqBDKRzpXL5fLeOGWFXrhAqf2Dvbfe+elP3nxrOB4nkknLcRQT + dz/a9RWrNdpXrzx5/JGNXC4sV0oKBN0xlwEelM4HlMLkTtIsFDJBOm2bgqBkIJlgSiqp4MuAE5DK + zCxmzp7df39s/vHnPv/tb33zjT//kpSKEjlYmSAI1HjXVKNSKT+3vGIQoHwZSGZYa0eOnrj5wZ9+ + 9zvvfXjvq1/6wje+8tWEYREgpey2av1ub6bI7t/5rNTKb1hjjYObpUr1woUnvvva977zkx9+77uv + ff2NbzucmqUCc8cJW548sUaq8+athHyTJ5LCDzTsuQJT4HYiVSyUkgnLNJTBwEAyUOOxl0qnitUi + N4UwWOB7UGEjRV3phchK2fYjx08Gfvn73/3hhzff/vwXvvCvPvt7iXTayaRGvrs/3B+OhySMkyfP + tdp9FXggG8xAMrO0tPTcU9f2x+43Xnv9937391KZtCMk8/cN5farxXPHTxZz+dId8cPh6wk7SrGd + 0FiksMV4pQDDqDXa/fmVbu+db7176/V3f+cLv/+vbIXTW1uCPVGvbeSySS54Np8tFPOpbMo0hcbO + 8CwRmAqgYDjJTDq9l0rapsF0TR0mRDKX7c/OXrl2dZ/RZ7/6lc9//vNfYcoWjBExJoiJ0dgXhlWp + NM6ceTQIfN+bFOdRKjyVJngoOuqN5srq2tb20e++8ZdvvPHdt96+xYXpMXfP37XTVsmuzs7Pf2Dc + NZOO4yQMASklmCBmC2HlctlefeXioye+/If/4o3Xv/G5L382V80cBHt3bt1JW+n5zuzR42dOnDg+ + 38uDACZAjiK2ub62fyB//IPXfvzW97/7nbcE4Xvf/o4pSYEk54FgSspcwt7YPv7s8y+unjhedDDS + ZWEJ0FXIzURvcXl+6aPa1356e2wZ2XxzYb5Sr6ccyyKwQIJBGIYkpLLZjfW1O++9PfbkV//8W1/8 + whc+//nPB1IlU2nDtHZ293ypko4zNz+3sbEhOBMMsc6uf5OB7r6nhqPxzs7u+7duf+PbX/fk2EnX + XD89dB3/YD9J7vpc9/LT15eXl9OJVODdE8JqtrqXLl25vZe697nXX/uLb33nz77iUJBO2dIgV/k7 + B0Mos1Rq3Hj6xsidU5AA8UQiXaufPnPuvWHyrft/8sPvffcnf/H1PzJ5QgRk7IPvnj93upMtfPX9 + 10Y7JnHa299LI6vAfUnCTrfb9vUnL7qe9eWvfvF3f+f/YBy2zRM2t0zqFdMvPfn4O/nMnW//tFoo + ZGwLgOsGHphlORvrG3s73jvv/eRbb3zjO2989zuv/0h6tiXy+axzsPu+795zGWvNr57JFBkmkOGG + kcxkq/VGJpXmUVkxJRVJhQBBwLiwU6lssVBIWBaPWuaIdKY+O3jsifN3XHp3Z/z1r//JV/70DxXz + stlkAgm1J7OJzIles1FvJCyHGOeJ9ObW0Z2hffO298Mff/AHn/2s+gPfTlqObTAlx8P98VhYZv7E + 8ccqzZw7HkLaxKYyZkIjCkpn6CuCaddneo8/8cTOF77+xns/+c3/5TfGSlnpZK9R6pWz67NdDrG2 + unJw4P/4B99/+71bb7z+7a9+9UumJRIJk+D57i4Fo3K5+tjjj19/6sWFpUEyE9aRUgpS6mqGU0ad + Zg5R5Y3IvfQ3fvFf+7Vf+3/jPf9fvsJGLEpJKTX7BJEljGw2NxjMVqtV207s7e/7fmBZVrfbu3Tp + yU99+tMXLlzs9rpcGCbnnBMYsplMtdNbOnJkptcvZnOB60Mq3/OVwsryyvnHH3/h5ReuXLu8sbaS + sEzOAAkEyCQztVa7v7jgZFLwpQyQy+YX57uvvPz0009fXp479rUvv/Hh+yM7b115/lJjUHHAhK6M + LYxirVpq1Zq1OlMkiKcca7bffeL8ub/3q7/82LlHm/XqSJqdpe32/EavWc6bZAofnDORsLPFpeXl + laX57kzTd8eaRJSUxUJhdXn54qXLN5554fK1pzvddsIEqcDgzNt3vaGbTKdnN1a3zhwDYAImwHQH + W5Jy/+BApXyR23rk0cX5RibJgH3uKiIzl87N9HuLG6vFepkbcjjaTyTsVrlxfPP4CzdefOWTv7yy + /kgmz2xBnI2E7VWq1e7CYn9pqd2o2ULAD0au6wPFcuPksdOXL159+tqNxy4+UW3VhUEcMAikCJzA + SZGU+hiSVIIb3v5Iur5ifGV7c2XriJVwDOICJHQdbIKSRMqFCLqtymB5dWF1I53NC0XecMwUmaZR + rZYfeeTU1WvPvfDSp44c2S5ndCsOBSLGgk6/tbm1mcnlJJg38tPJ7PGtR156/sonP3G9XnF+eHP4 + 2o/uF5rrp7aXerVUxvagFAI4dqrZHjQ6s+l8cf/+ndluq5xLHxwMW62ZU6ce/eVf+MyFxx8ddJs8 + ke0tbc+vHZ+fm0laMBBwf+wNRwiCgMRgbbu7tFYopy1ShCEp7N/xmG/1uoPFI4u9paYkGAoGZ5A+ + EGi7h7OU5RSXVzaPbK3UGyUoT46DpOlYhpkvZo9sbzxx6YnL1547c/5itZ7NCBAU/BHnTqnRaw8W + FwZdjgDKCxTK9cYjZ868+vJzz964sjjXU3ZGWZn+0vr25pxFsKBMQcFoNNzbcX01WFzZOnH67Pnz + TsoOdXAFUtFhJcbAyFPSZUogUOMdU3kJJzO3+ehg5UShlHQ4DAKDksQCQCkllAcK1Hg0IlvZmbOP + X1ia62dTNlOSeWOMDpLpdKu3uHXyXKs7yKYSo/1dkj4nlU45Z8+eff6lT73yqZ8/dvJsrVk0DRCQ + AAzlhgWeGRmCnExxSHaxPbe4unn2/GO9QSvtIMGUDRfgQnKLW6ad6M3Nr28fP3v+8UqlbpsMEoJB + cAZGYCMrodqdzuLikaWFLdu0pRyOxx/ZlnCcZLlSPXnq0es3nn/ppU8c3TxSzCc5AiaIuPDBwbhj + 8lo+o9xRvlgZLK5duPrM8ZOny9UCJwjAYCAVGMEIFICxUrmz8cj51mCpmEsx5anAdUc+A3VaM0eP + H7ty/dqV69ceOb6dTiU4A1M+VKA+/OADl5pLW/Pbp9bmcszzzWCPCQaw0b37f/mjdz73Z6/f84yV + 1eXzp48udRsWF4DwJY09RYy4IM6YYIyAwPcYdOFYskApyzRMo97pza0dOXP+wqDbSdtmgklGCggM + pmDbViYzmF86fvTRc2cuNspW1oZBFJabJ5JErmuMh7TQX9xYaKzM14XhgwziNjFO8KH8/Q9v7cFu + zq0ubB5baBcTTAEuuM0MJ12oLC8tri4t1Mol6buCkQx81/U7vf720eMXr1y9euPpR04/2q6nUpZp + cAXIvbsfQgaFWnt2/Wix2S/kEgnAIBLSBQJIXwa4N2YsWThx8lSvWc+lTBEVlI0P9hB0QngA+MN7 + t9/76Y/+/M/+VKRKV579xNFTZ1cXZy1B/uggCFSj2b705JVnn3/l+lPPLS6vpTIhD7O4Mi3U8qn2 + YKM6szAMxN2bP01aWJ7vPnnhiU+9+uojx49n64NcY9Bb3lpZzNn61KQ3DIZ75I9hZVa2z9S7C5WC + bQCGCrtXKRBJHyTByXLS+Uq71OqNx+OkQTnH6rRbp888eurMo41qRRiMu6O7O/uparcxu7K8ulbN + 8ZRBpDzbTrfa/VZ7plDKHox2pfJtbjdrzReee+GZF54+cnzLSSWr9d7yyla12iikYEIS+YHHR0NZ + KTc7s52jJ7aTaZspIABXAIfUFjIkBxhjIIXx8J2/+NYf/Pm3x6nCwsmzv/SZTy92WpVM4t7de8Iw + S+XqkSObr3zileeee259czObywnGOIEY0+VwqpXq2srK5vpKuVCAknv7B57v2Za1tLhw/fq1z/z8 + Zy5fvTG/vG7aidEIJGEKCAaiABgyx+Kwhh7rzS6vbB07dvr86vpaPQsLiuQIYBkr4Y9dO19uzS0d + ffSxY8dPdjp1wWEqJMIavh4wJovKxdbc4mZnsJwvFBjnXhB44x1OXqNW2Dpy4sqTN65fe+7U2UfT + +YwSMEkiGMn7H7xz76A8uz7YeGRrs2UDhoTFSHAizkCcuKkYQWq3hwRjSsEPFKDKpfzm+lq9UWMm + 3x1+JAM/ZWVm6v2LF25cfeGlS08/VW04KQEbukSxAWYuDmabC7OeoQJTWgLFbPbC+QuvPP/CJ196 + edDrJ3KNTKm1vH50ZqaYL1gG8013iA9uv/alL37l+2+mO4unr7/06VdfrpVyTLn3br+TsdnmYu/S + 5Ruf/oVfvXDlufpM30gwIiR5wNQuPnzzx2/fff2v7pnZ/osvvbC9Mb+40CLyA6kYNzrt3tPXn/nU + q5+5ePVGa3aWWYwBFsARgMS9D3YOhl5/brB2dL07P0PKt5VL0pdjd9cTHktunzg92+sUcwlBIJJg + gWBUqDS2Tz06WFianx2sLC8RlDv2BBdEVCwWTp86/cQTj1++fPnSpQvdTseyLTDKZTOzg7lyucQ5 + PzgYMs4z6cxMp3Pt+o1nn3n2woWL1WpNGIIxcE6MIANoWzHwXc4YEwycitlMo15rdHrpYjUg48Pb + 76dtsboweOWlF69evbK5ecRK5ztLG52Ftc5MMcnBfV+QL4f70nfBjNbcWn9lK1tIJwQYwKVi5INJ + MAK3DSNRabQXllaW19Ycx2HSZ9KTnptIOAuLi6dPn7l6/ZkXX35l48hWPp8kkkSSwJVUBMb1wTJi + YAHIw/Cjn97a/Zd//DWVKJ46tv3M1ctbSwuMqUB6EoHjJM4+8fgzL730qb/zK6srR8tJkSAwhbCj + oWCFXGp+dbE7P1urNTh4inHh+4E37i/OP3b9ysu/8IsXrz+7srKZToauKwEYYCABpmB66YTnBQeZ + fK/eWj/16LXtY0fanbQAbAoYGycdwXnWV2aj2V9c3zp34drCynoxDx6OI6PjELZhOo12Z2t7rdtr + JJLC3Q8oMCgwbdtYXV86/8S5p55/4bEnnxwszKcNLhCQ9Hwp7twfZvLVQX9mc22xUsooKVnYPtgH + uWA+uOUk8tXqzNb29ux8r1jKQiHwyXdVwrZ63dYjJ45ef+rGpSvXjxw9XizkbC6hXBBlc+X27FJv + dq5ayHnDfU5IpTODuflPfvKTL7/4/CPHjlqWJZKFfG1mfetYu+JYBEGMMQ4iYgRAO8IEAkgXymdW + ttke1LpzM426740EZ7adyBUK58+f/5Vf/PnHTp9oVAqUyFZ7i4OVI/O9ZooxwbQLzijn86tb6wsb + y/V2A2PP8MDGkhObW1x44uLFz/zy3z1z6WJnfjZtmQmCKYgRgsD3fSmEMA2WNfHR7k6+PTu/ffbU + ucubq+v1gsV8WHIXtJci3+MpmJlOb+XI9uknLl2f6aXT2r0eKFKSMwqUf7DnHex61XJzZq63eXzL + Set+OIrBZwjAie3s3AuS6cbC3OaphZligmDygBlMee43vvnN3/ujL6YL9a3jZ65cupBJmiYHKDxn + j9Ca9qOyuRKS73w0NM1UoVjYPLbZ7bcC6SnlgjwOyiZzrUojk8v7pnF3eKAIqwvzNy4/+fj5841G + mwvGGTHGpJSMM84YMYL0yR9Cut7e3sgqsEz9+NknVjrpFAPnspjLLq8utWYaVsK5e293OAy4SCwu + rl188uoLz7/46LnTM52GbQndvF0nGjMwXUorOqav/IOdD3f2d0ZBozt3bHuzVsikbMGJgwnGmcmI + 4AMBDKtam+ktri3Mz7eqZccSruv5ignL6ff7R4+sX3z88U+++olTp04WCjmTM0EMxC0nWW3UN7c3 + W806U/T+ezezmdzi0tKVK1c/+eorG8u999/8/ps/+QGlW5tnruVq7WIKNoH7BwhgCnPQ7c0tLx64 + I8mUyZBLpx47d+7TP/fqU09fn+nOGE49U+wsr59cWsnaNkAjJX2LC280GrpsdeNEf3a5WU9zKM5c + BvP2rY9MM91oN7ZPbNZbVRY3l+QK5IJcCWFa+Uq5c/zYI0uL84VcZn94EKjADwIQzfQ6J0+fvnLt + 2vVrN86cOlPKZ00VYDyGUo6TmmnPDAaDSr3hBipQsDkalcLTVy+98uKLzzzzTDKTGxkZI1s7eurc + 3Eza0SUsGHxCABiAgCSSCCMLAPPJkvWsXe4sB2ZuSEn4/nyve+7UqYsXHm00CrbFIMWdD/ZSTq7S + qG+fPF5r5XS4kUmAAhIB/IN7H43TuZnZhe2jR4+mkuBMMRUQuGna1Uplfm11fXvbsi1O5HkjGfiJ + RLJWbxw7dvzSpcvPPPPssWPHKpWCZSFuNa17UxOgZACliIiUSqXS62vrjUbTtJ33b91m3CjWSkeO + bl+5fu3G5adXF9bb9ZnmYGb92KaTSyaZ5BjL8f29oR0gu7F59vFzJ49vrfZnasTV3nAHDK1W5/KV + G889/4nnXni5Wq0a3DIMSMZcJfeHY8dKzNSqJx85Or8wmF+af+TECYsbw90DEDnpVK3RPHr02HPP + PPfyy6+sb27nKxXOYRBsBgNgfgCMwD2eTkE6+77VW9jYOnXy0YsXN7a32jnuKGC4J5WEYQYgkyNp + m71GbWFpZX55xbSdsesREQimaXV7vTNnTj/11I1nn332yJEjmUxKJ0wynT6qpJSSc66r0duWWSwW + FxbnC8U8E/zu/X2pzHSmPD/ov/Ts06+8+NzZx87lKmWYwuZkUcAMFPPVVm9pYWW70+5kEpbyx57v + +jKwk86RI9uXnrzy/AsvXrh0oTfb5gYYAgEfUpXzxVprrj+/LkSCS195o2o5d/z45s995tUrl6+k + jdIX/vBrvsfri91LL9wwkywBZrOAoBJWpt3s1Cq1YjHnemMrYefyubmFuRtPP/VLn/7kuePHio4l + E/nO8lZrfr1Vy6QMIyEkMYMzUS2UNzfXF5bmC+Xi3t6IMxsQQTBuNivbW+tXrt+48dzzZ84/Xivl + TQkuAQZ3/14QjFjCWdo+0V1aSzuJBGAyIigIxaHGY7nnGkaycuTosU67mM0aCMZcKnBRL5RmZudX + No6kc3kwubv/US6X7bf7p4+f/sQrrz71zFOz87PJpG1wAEE6majWuysrW/3BYqVcVEoqGXiuq5Ts + drunT5+7evWpa1dvnDxzolhKGUIrtwGH4mQxGLpjGzgCBbguJ5lMJeZn5wMyPKk+2t3N5gtzS6tX + Lj95YutIvVKGgm2arUr51MkTg8FcJpf3/IBzRowZhrG+tnr92tXnX3jp/GNPzi0sCYsrAukWzgTO + OAsPlh5OvEVk28Wxnodz9f8fvf426/avuWjyg8KOpAAAbprFYmljY7NQLC4sLL773rujscu4kc0X + +v1Bf3Y2ny8yQVI7KxSHNOFkW620Va5UWu3NheWbx2+Od8eB55FCq9msz9QaM/VCpZCxEwQfSgEc + REjmWk6S5zJOKXdkZWPn7oFgdqXIj2x02g3n3ruGMAzFFHEQJGSgdIMi4mAqnzWXFvpZJ9lrtu+9 + f195o1I+2Z+pbyzPmzarFHNP8/wo3WHVOceC4AATUABnSYd6nVI+vd2ulwbdmY/u3RkPhyrwM6lk + uVRstDulei9brem2GYwYFK81G6fPnl1e37WbVRPQUk4fnAYXYFZjpnfc7mV7sj63mM1YHAGDIM6g + CJl0I+lYpVyuVV7ZGLxz66ZiVHIy3VJ9sd2rdeeZA09E+WumZZvG4lIuVWsu9Ho31zd2bu/sD10f + 3MnlZxqtVq3WqlSL9YwvMJ6ckgpP3iJsQkakAPB6vWEcO15rz+S7rUw6DWHwOECPKDeOGLgyK7ml + VD5dm2l05m5vv79z+y75yjCQztiNVqPSnC/XO4kkBAOUCUjwsWXxbreULeYyhcLS2taHN++asGdn + 5tYXsr0WU+5bXLyvozRMJ+PpFC/iSKTyTmJrI2PnKrPtStoi6e7e+eBuLltqt3vrK3PljJCj8pNm + fpeXKddP2hraBOKFUnl5bcPO1cxqJ1ut2EznrAlBbHZuLn2typEodEpcHytlDEp3EhAgCShhmOmU + OT9XKlVONtu5tdXZndv3mUsiIDspqvVCtVEu1GdztTxC/YaDWeB2PpVesjOVrF0rpT+4+eZH+yMn + m292+2uz7U4lrQ7uqlwr11s3y/2ECUMXhyBKJZ3F+YVXXn5lxOxMrZPK2FG0OOrvC41JHAyMKQYF + ULXaTB4/XW8viPJKtlqxjbj2THhogYhAHKbV7c2eder1pYP+8mI+l+EMpBi4gGEhYZczqSPlTrJY + X56deefYEW+0DxmYgs32+93Z1Uqzw22AhUkZPPxPgClQgGyqM8g8eSW9OjTJdGYGc5kiTK5znC1A + 1at145hRqFTHJJKlWr05k0yEPaPDuB1jAJEQuWJxxW5WCgutevPd91fv77xLQnFuJxLpVrPfbPZq + lU4mzQUHoMAIZARECrATyWa9cun8meUNd0ipen+pUq1yNjl0R8TBBCBgJ7N2drWaE4WZhX7t5tsb + Bzt3gqFnwKgWKuVGo9pqlhr1Yt4BFBCACEw0BvNXr5X2Ml3W7kBCEBgxSAXPv3Xz5p/8ydd29oe1 + dv/02ccb9RYnDhmQTpzSmS66XRJp3hlXdAsyuczyQs+yzVsjGtv5zux8MWvZpDgkSMJgpXbzqG3m + uzNjZeSL/V4tY1tgQEDg3ND1Vu1EatB1nruSMo1CowTTNGIFNqRZYcwvr17N9UZOLd3tGbpwMgkw + zhmVCnY2Ndco53ut+vrK4nDvI3e47/pBuVIv1+qlertYn3HySYvrLh8ckrU7Pcc2BpueXZ9L5LJm + qMjrLr8ctlNvd848WpjZwWB2kMsmOQsLyaop8S4BQOrEcKWUlJIUmYaRzaRacwN7rtOp5G69fXx/ + OEqlM73+XKc7X6vXDROMQUld/ZnBsCszs6ez89U5r7Py0Qdvb+QTqlNNz840Z2faajTMDfybo5RZ + 7VpGaG1wbpbK9Y3tE051LjuznizmQiskPsJHAOdQHMyAbfQH/SdS1VKhMLp3W3gHaScxu7DYajZN + 24Ya80zuzNnzvXFinGpVS4YhQMTAuJO0G3b+hGlXmrmF1f7B8CMbVjFV2FhZL9QLPvecXOZgbDVm + 2mFjChCYUSqXH3/i8YM9GGkzk06KiFvHspfCZGUFInAGziSDhGKcZdPpXrfWTp5aruUW5+Z9CctJ + VcrlpaW5VrOWSibCEChFR4xB+Xw2k0zVCtlWtbS5vvbhnQ8C37Uso1Ip9vudQb+TyeXIcHzA5jGp + AhCACZHsD2affdrel06QqiWqg2IZBEhwxgwYDBl+4vixXH/xjjSdRrdWbejcSR7VVQMxQEA4Zja1 + vFxNFrrd/uyx996/+9GuN7pjsmE5Z9Rr3WZ1UK8OCuWES/BDnYMX2zNXbly/78xQcz1BMHSDCN2j + TOkaM8T1QlVMB8QUEaN6vZFPJ6q10mBl9uTNN92Rn2LFSrLdH6zlZ3vJhjkCjDDL2QELYMlsv3uq + kKRqcvuDW+OdkcXspf78cq8xUy+69z5M1v36bJCq9HNZm/FAAiClEIAkZ8wyrEqxurxYTBtPzHWK + HxxfTsthJ+80e8udxW27UFS6lhIgoABIqcYjlxQShplNJltzi0tzmVareOvDeyM3yGcKK/NL893Z + XCk7NjCMFWQlQJidn2NGillOuVs3dLk/cAiz1pg5fqKU726251ZKhXzU/o6BCyScqp1L1saqKMAA + ACAASURBVDr5envn7l3puZurKx98cAcgGSgnkWi329VKpVIu1+s1YWiZpOr1huM4jUZ9ZWXl5s33 + /cA3LTOTyc3OzbfaM+VKBVEpUk4gBhkyQpB29BCBOE9n2r3eWSdbG6xsvHf39q338wneqeZWF2YL + +RykbxUabrJqVnuOCQ4wRgCVKrUjR09Umj1W7JTKJS3yWEi3DEz3pOSMG41GPZUrNGc6M+2ZD9/d + Hu/cU+N907QqlUq1Vq/UO7VW17CJccjQU0vgjOJEpDDXnSkgkIFS4JwnHKfX6WZ7rUold/P2OzsH + 9xTk3MJCfzAYtJdMEAJAIhDwOElww1LIJ7rprlnI1Wd6W/MrB/c+9PZ3XH9catWbc/1ub6GQbjhG + mCOt1cXQqlYcjBlZ+9TJrWYvc3e3Uaxt1Jok4mRMmHAKM7OpazdSu3tMJcrlzlqmEpKp0EEaqXHZ + SCZEbyZVrjiNVnZhuX3rkY/knsVdh5tBrZVvzORLrXa2WmOGoTGKG3Y6kzh9+tTSnko6VqVcIhCj + WAnQvU8NQAjLLpWNVHatWE3OLrTfefud3fsjdwjLMErFdL1aqDUbuWozVciJELAMXFipVDOdYJbT + LKRWZzvD3Y9IGNl8cX19o9OopGyDiGc7wZJrNlslob0MxEBaDUEQKw8q7PENYRRK2Q0jX86lOs3q + zv27UkphGP3+4OjKoODwIJeQmeYdZHh5YHAIEKQBSHAyC8nF3Gyqka/1mxu9BfeDPbbjC1C1Xut0 + 2zNLc8inXTOETNjySxicGBhgG9l68clLjy266b10J9eaaxaIAwbTR9wYCuX19QxlujtBKlmY6czV + E+mQpQtYiiTBJaJSufz4xQv+vrDyqXSKh1itBSbjEHaxO3/GHnTcrGjN2QZYlBT2Vz/+yQ/ffMv1 + g62VlY3VlWzaMMXEYotTJQ+1c+B8dXUlX2jtjcb9focBKqonBiI4VqZVP332lNNpLZ46ueuOW+XC + ymyv2WqbloAWj1J7WeMUKwZuwLRnF5fHFd7YsWb6DUtrOsJIlwpHtjYKldzswsrxEzeHIwjTrtQa + M91es9PIF9OGxQmSkSQo0mXTFKAYlAXo/OQglU0OZnuXE0U/UWnVq0nHYEzF2jExgmJagc8U0vOp + ci6bXeo1PthavX379u4YynBKpXK1kGmUc52ZRirjGNpxzziEALF6vZ7MJ8ul/GJv9tTxU0knW61W + e73eXL9956ff+pZpEjGpD3bEiWUQ4Ao2b/S753NpM+u8fedDd/8gadpLc/NLS/1SJVso5ROFYOF+ + IledsR1dZIszbmay+fX1bSPRLNYXS42y3mtGwrbF1tHt7owLRq12U4iIk4Z6sgBMgmEJq1RMZVPZ + ejk/6LVW11f2DvZ9KRWjXLlYaTWq1Vq92ijnUgKAzyBMQMHgaSd1dGM1Uyi3+vMf3P9IeDsFh9YX + eoNuJ12rDuy0rHjlZbTm6qYxybploRl7OI9Ou3UNO90enEr2RWV19Za3f/+jWi6/1B+0Wq1EIiAM + hSGOHjs62xm7xBr1qjjsTAAzCsXaiUdOD5YT3O5kMhAcjOlQGoNtpcvlxUK+0GwWSsVbN9/ZuXfH + G49NK5FO52r1Zq3erFTruVzGtBAjeoSRFMpABYCI82w2l15KO0663ZndOHIMzHByiWqr0uw2a+m6 + vxMsdHf31KhQyBlMM1iRyZbnF+o8sZjIrPQ6WWOm0CrZzW717VtvjeUwmcoNBivdzsJMq6Y8cKVA + pACfbGaSxXjCNHPZ2Uw+sbSzzEBrs0u333rXdT2yDDubqdZqs93+TLNt2QnJwxIVWpkBNwADZMLh + 80urz1L9gGVZIZ9sNkoV0wCgJChgjGvlgZiAZSWr1ZVssVBrVVrd4yfePjgY+kHAhZEvFOvNZrPR + rNVqjuPELScjTCYKSwcSlBSW3Wg0U5lkqVJc21h7+737vkwaVqmUza7P9wataqKU8RlGupcsOJgl + UuagX0kVZ7sz3Vur83ffe+fgYM9DIGyz3p5ptbr1+kyxkmMCAQ6gEUoYyNiz/Zqd7xeKrdvvbO3f + /zBpU6tTXN2YLRbyd9/0FZHiACcV9V1XMMECGFamaB9bW8znkr3Z7v3hkJjKFbJz8/0jMzWbBUeD + kagvjwp90WqaXDcXNwGAMyeXns0O0vlktVVdmF8/2FPuiCnplgqJejVXm2nnGzOJYlkg7FkOUKPV + FuJUfX4x01vMZTNG7I1kDDBgJev19slHKo0FtHqz+ZxB8IkJ3deD5XPzTjZdbZVb7e3j6+/dfjfh + JCqZSrvUXugtlOslyyFFWqBxWE65UnCSjUqlv7Awe/TY1s7u/fF4CMhKpdRs9Gu1TrXSyRcpNsY1 + aTKwmLvreXEmQAYyuYKTvXTxsdZg/ie37yknl653luYH1XpeMYPkGAaz88nZ7CCdyTXa3bX1zb39 + Pc/3GMlWozzoNhuNRjpXsRKGF0R2wyFLJOIJIWOYro/wN+mvnbpIKfXX3/X/42tSnXDyKe7dPHXR + BIPU5L7wIBhTCtLTuW9j6LqJLAzBRXUQpa7/BCkguX5UEnTdLgEwNQZ0IXPfB8lRwhiCdt/5/t7f + /6Vf+843b+c6qf/0v/tPVs8sFs2EHTB4BCEh5BCB7oAeCiGloDwoD/ChPPDkiBI7gAFkAB7sAgBz + XOJxhHHqwIiM6jMySdyDLqYJEZac5wg4ABjYJ7iABVhQXLlQI6ghVABWDMgekk6OkAK7BA6fI+Dg + BhgFLExIk4AJKaAQSJARMLiACXDsAncBG3DGSGq/FILQuvb1hLUpwOAzuNCavg51SpAMQuUo2ipJ + CAhEEOQSBQhPYmo/atgHnlzQfdAYSAVI+xAGwIIHkUNP2wjz9iXEAfgQGCk4PhI+EgSSI5gEYYyA + m69//n/79d/8+v/8u9+bO/Hqv/crL5zfbjRzYwBQApKDWYpMN3QagiOY6LQKwAHUEMz2KLkPALAA + EwHHGFIikCAT3N4n+NDQ3hGAUBm4gAIEPAEJhN3dlAcag/R3WQnTn2C2J8CZ0mZoAPJAgYTjgnwg + qUCBi2Af3AGzxizGmSAA19WLDEiBIdQQlBwhcQAY4WwBGUD5kAGYAWa4hGmgiuiEVVy0THK9oa6J + Pcg9wAQr74MHgAlYAMGXEBoNhBoi2AcC8LRPzjgEoyY6F8qF8sGcgEw3UtGi0LUC4IMC3TE9ouUE + INQYwQhK91L3wTKKp/fj8g6ACdgAqYPoHD0DGSCue0IxvZz4YgA+Au4DBpCHnwA08h1EqGQAjKad + fyRBgSJDqzumciF3oTywrM8So2gJcX0uBnC1D7kPNQLPBZQ5iBbLoQSIImJRhGASLAyYHMMbwR+D + 5wIzcZsgx8ib0mE7CHy1s/f53/+D//if/PqHVFg8c+Mf/4O/PyiiaAbwPQjbJ/gBBAMjSN/jjBGn + Cf9UAdQY0gVxiNw+EIQZzR7UeOLkZJoEhYSlO3uHThAoXReLNNZLkAQRwHzQXogIikO5kCOoEXjW + Y9Ye4ACWUgh2wSwwaxzBh03qHshINIdb74XuOjDlQbkhz2RWQOGmm2FGxhhqBDkCBHh2COGHf4d2 + 1ikgUDLSEyVDwCEB9/Zfvf61P/rdf/rr/4OXav7Sf/CfLR07163lTIAHriJOjPtSq6ehHAmJS7lQ + +1BDsHRA6YPofAOpMVQAKaECsOyYY08TAiAAC5Kpfag9kBNQdh/QeYu6rpXmdgQPagw5Ahlg6SG4 + XqOAhJLa7wkFyBHkGOAQqT2CD9iAjQBwoXSVZCgGBR/wGTgkhyIwH+QHUD4cP+TwMOEThpAJzezB + IHnIsrgKMTMgrd+BQZKU8A4w3v/T3/in//Z//t+MGwvHX/qlf/jv/p2ygYxE4AXM4A+cmo1ynDGh + DBWHeB4Q4goI5bGKJLP+wBUIPnAPGEIlAQeUcBkOAC9cvjKwq3cakiBsn5nDqbF1hiwCLU32wPaA + FCglYcYijwMcLscQMIAEJCmCH0LYJ7kHfxc87fPcXYDC9+omZQGUDzCQEbUWBpQfKiDEAQXlQ/kg + D+QCHsAhs/AsSCgL+wxeKLLBpQ6OBEAAU7mkRiAOi4MEIBQgx1AuKC0JQ4Ik+PAZ9rPuDt78yW/+ + V//kv/zfv5zbuvz4L/6jTz2/ZgAGkERgqBH8MSgBnohZvQRSOIB8/96f/96v/8b/+c+/8I5VP/OP + /qN/uLZarZYoCMZSCcVMgzGuwBW0JjCGdjBDSBcSoX3C4DN4IWT2IQ+gFFjWJ8uNOL9QADzIIeCB + 2YpsT7egQ1RmZxod4t/Uw78dVtZp8t10nAaYRkatQQVQARCABMjWXMgASMsjfQ/ZYzL2IqHjAKRG + kFpt4+DpMTFXy8fw2RHUCMoHs0B2ACOI2I7Q6KGCSIljIEgK6QEA4OkSdaQpLsxX3Ifa8W/98HPf + fuvf+rX/1sv0PvPi889dOL/SLnETYJBwAymJCYAzENOSnsEX8DTrUy58F0QQ1ghCAWZI2VKCdJZg + DHIWKzlKVx4cge2AdgAFNAOV8lXk7gA4ScJdYA9IAg6UExCNI14dcl0lIQPIACTAhGKQCCTGEmOB + FJcGvGjLuR+AeWAeIKBMeBw+YPngfixAQ45GIZtkQ2AICCAJJVR4Kt8lgKDrfmuk8AEEEHocEy7k + ASDBkh6sSEAo6P6GGhRKUzEksw6AMWADVoi0iPgSacIxlA+5h2AfPAWWcoljYsOGl4APeQA5BEt7 + zNkBLCClgMANo1mkwFgAGoMJcFMx+BMxCA6XYahfB2Xqcw8hIvmQB1BDEAcv3we5kQ5mAQw7UHeA + ESgNpAOkPHAXiPU0oQDyFEYKPkMKgaFZvGKxEhIAPocH34Xvghc9MnYEEoAZQAR7w/2d3/qt3/qd + 3/2XX/2z13757/37T159YWuzG3vHYgwngDAOg0RKQhlQiZAKuA6JKYYxg8ugEAj4BmBAkH5GYxSL + iTpSQiJ61gUd9iH3oAKIyi4S+0AKSAEIhqBAGx1QNpQV2hwUmh0qPGer/6AInOm6+CETUaAxaAga + AY6L9AiMhULTA0iCS5BAEOkeBlhSo4EBkJRak/EIAERcbp98jZlQAkq3BvQAT0JNcE1BKhiEH/3F + F3/7f/0ff+df/OY4t/Jz/+C/Xjl1bqmJFGDJfQRRdjBXWj9gYFC6FHIA0hpyaqx5dVj1aN9AwEPu + aEtYXqif+IQDwIK0QlOODrNj8oE9YB8QQAYqEfPd+JIIA4EqpuyQn/i6czwAEAMTPoOvjQXpQo2i + AbjiyX1gBDiAE0WTXIIbIr9OwBVRqHEItQO1A54LUNwLvVmhykcYEfYACzKJgIFBMrgUKmNMAvDA + 9oA9IAEUPLBo2oohgCToioOMdNNfppluyCwBRH1vP8ZNpChyHMTgCy2RSSq3JhAlIQ1wppVdAZ9r + K3socB+4B1QUSq6CUJFoYBLMB3wJQ8JQcRKxgs5QHmtVU+l+bQCnMRgBhjY0QGDwQfEeaWkjI/7P + NNpjD9gFFJADkj7hgKAtogJg+2P4Ixim4tYYzEJA0oUMwARIhD62iIbi/x+4JjHKkApjE0uBYnXM + ljACgMu4XV5sgQYm9oF97ThxYZImsYhzalmBqQUGGHGMbfhQPgIOyoIMGZoegDsGG8OUgPzcP//K + v/N3/7E7NDaePPUf/vf/RaKeLgJpuAJjSBH+46R4aMrrBSYwgncA7wBG0TcS9wAjZLYuj11JTGlX + lIIJCKXihCdfgVxwN7QUwAItiMfAGJwHzNkDl5HCSdKHNnnIAGUOKGSVAr6BA0IA7WUhG8wOICQQ + QClAgCZQCp2wI2AEuFqUQx5yxarIhTbxt1FM7i7BI+1I0OkwBEkQCghGkPsg0lW5wWwtsLW9Y2td + S2uw4KAEmKUim4AmxqCKT2/IiQdiwpxCy0LF+DP95YTs/uauv826/WsuevCTAjBV4/pnPnXYrRfm + +yDiwiyiWUQ/ojsjhhsyZhYVgFE8isBwASaNIDigwB+NRhLSV+ORywPPIwk+2VMGBCwUhnzSIFcx + KA5ScfNOEaMbMUBhEqd+AP3i1dDhz3qBE6Vm2hEWFlGFiGqRTruDebjAsCQFSIKi+rikvWNc2zNm + NLYAkoANJA8ZTACg7Vwexh2naCya6wPEFNFb5HbXLS4OXSpaL8VMUk0GVFPDTMg+hoGWTdqsUQoS + ihuG1icUXNf1JTEuGGdKSc+HQrQPOouKiMK9ZA8BO8y50BZX9DcK79UrYoc3gtM0nk1tcfS0Pmuo + YkjFL3uIBNhh2FKMQezQbdMXA4Wdkvn0pkwmTtOfJ2YxTe/vNGvkkd8sTBo8pOlNXqqT0SZwiO7g + Mdimp6QfjClFIQZoCCAoEdaRhT5YKiIxFP4zAJIK0gJJUJhaLKdXNHVF+8EOfaViLRsq3otDvoMJ + LgJx267QQUWRk2IKFNEp0sM0oEDTs3pI0FBoUiolfRgGDBvK9wMZcKIPP7zzk5/+9Ac/+MGFT/zq + mScvVatIcI3mpGfiyzDNkAljSsGIYpcKoGB6tYTIcNXqV1iATpGuTqmgFIJQISUGwcAY5MTXEKKk + gSj7HCpKho22OMIoNg2Hh/AQkxunn9LQUBqNdfruRJZPyIcmQet4OCnhy4BzFufgxvgpJTxf6s6X + gIosHDAWOfkJ8c5yBU4RK1AmFABOkDzEHxXNV2r7OkbsaD4sRHsixNGpqbWrEHAs1oDZBG9VhHoI + 9zGioMOURfF/0cdpWidErJYduptNZMeEhU1vxfQukE68DQDX81zXVX5g8HA5YqoWpIrYAk2YSDyw + VrkYaJpthONHlBUm+E9ojbThrEBC76HmQRQCiiTMMCGOKMwgeQC3VPyJhy7xiPYP70Xkypmq5RUB + KgR2LBciVjX11MexmsMj0xR4AIR1aSb7qDGPtBEuCbpDhUHgkQ4rItdPjEhCQQAEwXzlD0fDxHAs + XTX1Mh0gMjRqyaktAYBASXBhJqRUyvc40/YnE5xLCAnm+yGaxE9Fs41YyhSYojZlWpyxGAtDslcR + Z9MNuR9ifTG6HBJDMVg+1hiMiEcdHoEevAkhDiumDdWpVzMQi20AjSJTco1N/YEOrQgIB6Tpku+H + eVn8aerzx9q00UwVXDfwA6abmoOIMdM0wjMjSjECmFCRU1Fjlg7vhjsrCVLqg6mRjz7MXGEgDtIC + IIq5g4eiEjrAAxCg08mkzsRlEiwAQfuKbQUZmm2RI4Af4mmaWDTN6qhU6BWYsDJoROEqljKhD4GF + 1b8f3kGK90JMDpU98DU9qENNpkTaezLZvkPfx9Oe2u9DmKkwEZWIcITCiuVs+q5De0nxvk8YhAq7 + uWkrgIGLKWb1gBrHoU3/MLYJIDzVwzhUKAW0bmEg9scTyAY0w2Q6V5VHY8cIr4GvwCN1FQQIeLET + JbQamAESpGBLGAyCAOnf39n98p9+/c7e6MLla6dOnex1msqPZDt9HAQe2k+aUAFNF57XqHqILUwb + aA8SNsXKLSLMmKLZKSk0hQ/xHqmJ31YdnnOMJjwWE1O8+wF2xUKxTlOLpMPTnvrLQ2vQ+CPjjKS4 + XYRU2vHMiJhlCOlhPIbNYTEOxiC1hJQIo6sGSK9DMyIRihHE/whaRigCCUyAoiZ4waameggiPIpB + s/AJOrSMh3k4hRurub2ECov1htSnpm9koIj9PDTOlJnzwDcCpG0Qiql16tsozEGHxplw7IkOQB+7 + BPzsP8Y7qx6i9indZ3oADX6Kn0X4+fDkJtvEw3JEH6OMaQ6pK1pFdKUUFGl/ZYRiWr3X5wQ/foqx + 6RRmBISkoMAMUBIgwIYEU2AUoRQQRqAVJ+0UB0AiUrrZx0Lrr7umJHf4G5v+PMHGB0FkhGdF4tsO + Y8DUQ7H0YZHyrM/aRpfBoZjyXOkHY89TjLmBN/ZGge/pJcnwdYBOtIJxyCURjswADkWxpUDQL4m2 + RcUUCYVQm9JUF4u8Q3wq3J9DGpQCKFRgeGx3RdyMhQ1ZSUbSKmChnhPepWJ3i4p17XioKfBFMjRc + YPRNDE86TKwqWka4MK1iQEEFUJIRY5NJRmxS9w2cjmLHr45n+zMwBpO5xuR2SGL8m6Dh/83rb123 + /wYXfdyePiR5H7whdMLqmn2xIhZeE5k6zXNj25IAxaF07pMCGOOkOGOG4IIbDEKCpPYOyLCRAiLi + C6M+Ws+mUElgUDoThzF6yHUbTvchXqRoKpQ3EZlqWgZEzx6y5/URUcWi033xyCyUIJHzgQDSJ8J1 + QBsu4EYz0z4gAeUAtm4ROeFeBIRhPw4yp1nqtOB5WIpMS7WHJffhtarYRP4YWQSwKNQ2BUKlg8ES + voIk6B0CQOCCC1Nwg6A4KUPwiBkh5MIEKMknzoUHhHgI4+lnohWoCObhBw6w2D84xVvYg58mEcv4 + TTHPBWHiZD8E2IlDYYqJH5Ir+pyzFlVTAja6hR5Y2kMfpnQ0FiI3BxlQQh8MwgMDTkAUCjNGik9J + 4cjRRjFCRjgpMZXmG79/kh2v4ge1tifiiLEBMBxAckgDAQfjEFLCfyBkF06TQkVlWjpGV8wL6BC6 + Tmlpsd4T+pdUpEFHGiSPuk9FcOCR1qtoahYPDB4BR4WWIWnLEIzAJYIAHAE4Qclvf+vbP/7Rj/u9 + 3iOPnNjcXEgYGmqTgyUspn81yV2HvkXpOU5ct9H2xcloXEKwKOYBJUnq4lQ6mKPXGCGRnF6JMaWE + Uqjs0cQ7H0KDDuHqhC4O+8noEK5OE0gYL1HTLA4Tr+7h14EROIV12wAVwFdQpJkcMcaE4IZiXAZS + BQEmKkPUWwWItWToBSuKndSEgEHSZL4U83AW2knTZK513NDTM61lTFAx9MlOQPQxKgwxKD3UFMuY + /jn5OOGuMXeKZUwE2MjbPnUTfcwoIJBSigIJJSUnbgjOOSNARv4YFo0TGwLhGCH6yVCgqgi12INT + nrgnAARQROBRvhILXbUqTKfmihkR6CXgwzAxYZJ02HU7BVBNJoZmTTGHjdf4MyQMETEwoUvvP+i6 + nbICDjPTWFGfBiQO7QCAEJ8Dphcbr1fDDD6DZEhMQBGaH5BTWrkP5Xue4MQsZliGwYURFnpFdHqB + QRk61Wt6QnpA4rZlJwUXjCA4MaYUAgmf9AlsFm5NvDsMIL2D8bIPIQ+LguUPCB19M8VBnQdkD4Ww + nggvmv7uY3T5B3eKoqcO36umvj7kYIn4jyZKpc8XxMjzoBIFFrO2Q+Kb2PTtNNEDpuc1JT/oZ6xm + cjvjghuGwRgpKBlIjakqUEQSNEGskPGHxZWnFMSw4auSk40L+QAD6exRGf0pTN3iiDy6LDxFA0UY + MdjaBxCl4SckwGAy3YFxiuVOORpY5JbXxpw8dOp5Agm9CzonTcXsaJokD0MxFgQTVyem+Zea+tth + kgO4zjh6GOumHmHxj0PBZsTAji8WCmhiCLX5SarX1KQplncs9LMg0jAlSAKM9Pmbh9YaAVafI9CM + IdSDSHurwiIW+jwcwpR88qPtmySt8il8jhgQhWmRCOsPUMhtRhQm80XRUEYACQKk9u8H9+7f+d4P + fvDWzVtOvnLuiYv9/iCXMvgh4E9KFSE0KSKgPXRFzfEkCLHe+vFc+GP2CxFhEiZsPZpBKIvloZGm + 2MvhUG405GQVMaYdct1OXh2TcnzI+aHZTSy6eFh1aITolTI2XxBn/hKBhCLOiJmcmxyC6zowjCkW + AdMHfApPO0TqgCKE7TUPEU8YItTZvqHgkFGk7UGP+5TSrFdvhDQxJdTjBTysMExgqB1J0S5ody6A + 0GFOOtdHxMTPDg/FHiZDirzPytIFWh5I/ojZyPRsplhTfMMUshx69hAofgaviEZSP/vrQ1v8cczs + MDbRZBvCw2bhY9PDRCCZROkIUWoBTSnjh3KEMTXPWLDqiwOACyXDlDIQyPAhJBgHi8yZEEwCMjzy + qIQun6ZARCJ8z+EsI/rXwoYO3RXxC0JEMewBSMU/IxAdEscPY+D07zErUiAKezVPRR4021GMpOCM + c25yQyjugkEIAqQEU4gZvM5h1Rp4CMkpQ5IDRApiYiCx6BY5vQuHtyWkiUOr0KqeChfIDz3KpljT + NKtkKuZSISPVh5oZxU7YaRN0sv6pwMdh6RWzogmoJnMIn5qy26KvwhxEredLFncWC4fS6KL9y7Hd + DCBMZqfJHCa/HSKCB0A4YdofI0P/hq6/dd3+m13/us2hqQ1Xk20PP8XG4sfpzTQ5UR0xxVh6RSwg + xEDGDLCEZQ+thLAcshPCMk0OEXgSk7KSYcAxol5NNDxufB67Idjkdi2xp+TmoblSJP4eWvRU5hQd + 4nwU6awsZo2HXR6HHpzK6DWiyKQRRpxCgGgfjknxRMPZiHASik+N/vDmPMQ4DsnMQ9s3dbGITUcu + 44fumIweDsHjXBAJqSAnwCSCmTCtlGlZnJEplG0KxiJjgGLgRYFwQuyJm9pYjv+Lvbf9uW1J7oN+ + Vd1r7/2ct7n3zrtnbI9sJ5NEOAZHxuYDnxBYEfI3x4L/xn9HFPjCF4JFhEQsQEmIhJRICCGQolgo + ycSyDA4GPGPP3HvOs/daXcWHququXns95947L8JBT+voPHuvvVav7up676rqfdStwXN4w3j8VAaH + J4CSSoeu+XlPdD8zyp94+mODoTH1HTDhPpUhA5ISQ2kbbqzXXnJOgrMzatuEH3NE5L1Ei0xhAOGk + GZRAfhYEQcvQ8/1HHa/zAZeRfmQYa5v4RYcMtyIMi6d+AwC3cFg/AUwMry8GFWS7ewKCYXwI5IBD + sZiLWbw1N6Ns6iMm6e79NF1K9MQ+NiqFQQ3Xx+vpDHDF7fa//bN/8cknb3/91//9X/uVX/7Zr6M6 + yNiqD4FQTJsSSNuYLQbR4R/MzJ4Z4UIJBSoPRFCQgAfKRUoaJkDQsLrT3Nx9M9gRDeLK+Hm4Nnc3 + cJSi5rxCMYgRE8fz4+wHABkxS2ubgrhwASlVrpfT5RWWByiJqNWFgKhCibxusObBk2WMngAAIABJ + REFUaJ9pMU2OoTR5E4YS0X1X3Gt2u2Ni7GT06eowYkufYyyLzho3u8ET94SN5oGRKTGh07H/T4nM + EwJzv8sIufsRslqmgCiKCERQ+PLqBV8uS6nazIcoXjxanb+FI8OjiHR0kyd9hwITJui4XWE7GW6p + ReAtBuNgAXGaSJpm4inuehUPlep4MqRVIu6MhMThqfH3zugeMrwP94CJc/hYUnw6O7hKZsSA5w2A + zHk6WDy6dIOIC1sCROW2tkKFH16+eP364eFs5+MNWe8xuGOcg3zKiU+vTi9eL5fz6cylgEgV2rZW + i1j8fuftAatGuY/wARAQf92/fUfIlC0RCg8fuuqRzEs6MHbytaeQ5z3NXnVo8oW9oWQb6kkOBirN + e8yDbXsx1vGr7G6442wK0GHerfWx1Lqcal3qsjCxtKaqUKg0UiPEiHFxvuQy3qHnsbIED2iFV4pX + BZkzNypPYxQNS6PL0koYlo3Rl7pY3n/23fBesez+OoUqEbKx198jzh9iFyVUiEy8g5mNZSqZSClv + QE5gzs+NRRs0gIx0Cfj7dzhQYyJdk+vOU/c70/G6D9UrrpGPwiOjWfyA+sAMDOAqVKEFVMBdiYpV + sKwDrUqhA8C21QUQtNCsNsYSpYptipaIxua9NR2wEIBmwVCxMcBEQzRUT65ev/e97/3BH/7hw8tX + 3/r2X/m3f+3f+dKXvryUyJhLLCAwvIsAyhDN66J+wF68duIsR01zN4N3dv3E4aCjCvmQNeM/7DYA + 0qL1JO4CvYAqhxsrj33IhbTbet/ZfJ3urhHm6ToZQIi5nC68XKguS9EXFZeKAqiV9HOpHVR2BCUa + aRm2yNx9DnGx6zNey4V135n6DZVmibczwjjrzY4CeU6dWrsEolB1BvRKJ0Eafc4x0Z09lAibHdx4 + JvbZxtovS4ng/Wkl4la6f2b3/DHE9+HbNLoaFJ3eNd8SilyN7Zj7YRPiJILE6qYd6NH9BMt8PX/R + VKxMQEXhdWwB9/kNpEFLPkBfopB7P0rLNDl4/yETCFD1EIf08v7JfC3qU4itm9g1cNuzN7ENLPCC + wufL5Xw5nx9wOS+XUy0q4hG6Fb3wCzTwOw+8gHwzgAdOyh2hTBSbiWRijgbg2FIrsUIu3DMvn+Zt + akuUwVCATL8arprBO1VACDKZzWQTd2mOSReaKoF0jKdRE4aGsRav6qYQWb/wI4stzYJQdOrRp0pk + 2Z5uxe9Z56DAPYTvv/3Y27Pr9vO2pwTVPUvKtn1HcjdfpIfFzTIh2VvBIiaKUxFdlSBrKQSthfmj + L37h69/84puvfPDixcO5XpZZbTcBqRCFqIshAL3aM3e22G/fo+E010lkBB7P7Hqv9VJEaekcdavj + IwHUzDwMkzsMAWMttIPTBijZ5lUXIr4ROngDJeFp3YQhTsPKI3RHdufZ+9UdDgfu8S0HrQeiOFy6 + 65YrCqEUAglEwGggOp1ffvjBR1/72pc/ePPC/Q29ZAHBE3zi7Xe8gSOGLntPMCaFyflix3/ci9a0 + UDlE7EjWDvYuGVYRXABQ5r47nk62Kzux/P74cNR0jNqGMr+HsTrmkLtuO0ZFpF3yD/bQAJVC3ANg + BWCzClzXSRklNFRHgtphekVGGSOzcay0VgdygUCvkKtnFkYXLXy79xZDNA7XbQ4AdGE2k34HUMJV + 96Eke8Epq0XyfQzQ9QzNYXiYPqFb8v6mW4MSlmVrqIQ3L8+1NNEG0G/9R//x9v0fXOurNz/7jT5i + p0IdUaBQkbZxr+UX4I68f7VszTFHG7MVPHLhamqK74sOX6RDQcENKlHboW9ZBa7pqA8Qbyn9TUgo + t1sbSjcAgaUR2kUJsoPTpITlSZW3QLoAwFIKQAramm4CRX316kP94EtvvvBBrUuXCgSiVFmPgUII + g7QbMBZ1u9qBVROGhXbpg9FYJequ2zzhrg4TOWXFmgIUQa2p0d09HWaZUxNNUCQMn0F+qgz09ut7 + d5l9aa2RAqcFN64Ply98+OHtgzcvXzwUQi2AKtYb6hnD2J86mBRHomlSceuB2Rj/gdFQ1U1ol2C2 + 3s2ro5EkQgCUIRT2T1oc9vAs6us4bM4woBhpJOSKgdnvTHtNdLc6O1mZgOtmapIyISBnIWK396C8 + wmByv3jvrAKgakmoYMKFzpeHF/JJwflyefXqxcPlUrWMcXRdeeDIIB8tjc7l/OLDL35Uv/By296J + NEY91cX9f0mVJ6BAildgcNHTtfzJHZB80WkJKFgixf0dIQbkutow4+YTAI4Hdmg1d4Y+3f6Z91f9 + bV0eD7Hes8H3vCtP1iVhj/dL8vdgAnfzmoa83dbb9fbBFz44vfjgfD4xEwhcK6iprOt2o6VOETTB + r9yqjqMGC/ywXjudUUkamkCA4l7dO09NEl4ENLLatH4Azxi3AqbscpRNGORgmDqKGHZ0H8DWoSkb + X9LghL4EezYyFq4M8Mfy3EGQpj/oG8lPR93ScLx0f9KMaqMJwD2AC4A2osmamyXXmLwQmCly9C3W + m8U0md2YhiLj6mZPixYAfhQfyGpyD2cEKS0buKEBrRp3VFR1HyZIFFeN/HcnNGOUaPD4TSVnGmGG + ++zX9fGTr3zly7/+1//6L/3av4vL6w8/+tLpNCuKuv+ofSVnF1xQq1W2EictVQdSJxM6MvjyyvpW + 3J3rto+A1CtUjJUvcye9RZ1qw2itHmEQvmfaP0RB/pw6TILuXnbv8ZqzVu93ECC6LOcvfPSlr37j + Z+TFl7itvCqEiKERqwFkQomH0xuTXBNT6XV68ZZg6ABCbLQi6FEdXIuzhegxFrcz8Py6Pp6dmB9R + S0Nni+nshWAi9SQQNFDHagCWTllZ1/EMoLi6YxbR8ci4xx6IT3w5vL7n40fI2hFnlgo7JkXOykoU + TFCisE0dAO4Uu2diib1q7vhJEePsWqOAlbnkirEAy7yoMZpgc1HmhWD7WP0x2nPLGUTvazthSAeA + 6fAZmV3cB3X4lox3YZb2epAjWA4K1VvTWyGiskBpOZ+//NUvv3zdvvLVL52XcqJyAqHXQXMgaOq8 + /+1apZN0d/fEnPIEfc5IzOJo2nuLJiA14D1jQhl+28Q+O4iMtxKicjsAcJpaGlaiOuTfRoCWk+28 + WwmAooyifRvjD1PI0LxHs4lbpR0c6OgghKYAQbVz7P2YAsIpzOQuEPjH355dtz9c+0yLcnQTdUqQ + GS87x/fvEzG5zsER20oA1gbgzes3/8Gv/3u/9G99//T65de+9tVCkKl2h8fqKkTRhutO4UqXEunw + kKkLzUHih9M6VmPS5tOdM7ET5iD1cYv7J4xjC1AZVfPpTF0yh8xUbNrLI6CCFjPhplK1iXhmgzzE + l2LqOgZ2KPeyLr13o+4eGF1kl9nggu4lVv7KV77xq7/6or34+Tff+OVvfuPrl/MlCuPCVTe1bAnG + qMC449TDxguoTh6nLsyGW7VrNxp2OGJ0oew9jdz2C6cVtClF+FiH6+jUXsXdsC0pqHj0EIgRo2xh + TWU9yvqUmCa7+2DoCYOpOzcdRaisIFehQIYotESR0KkDLoHh7Gdl1LERRwCVfgoDxxoDK+yULa3d + /6lxVsbkyNvBEgU4ad+29uvTIub7dYytF0M1X9uonBi2lZIDog/zCYbkANMeoqKAUkFZSBnE9rCK + UAGogtpXf+ZnsQnodD0tbzuKKyBo8AhnBaDixRLtW6TklR7ektLnA0EY6NKYY102QAiLh8eg8xuJ + YzdO0DgAI14Xon1IbgAd+w5Y3B28J8fKKCYzrdD4NVnd2X6gPiKyssS2haZM/OYLX/xLf+Wv/oe/ + sV4fPvra176RzsCN2E2Z2GjsMfSBMrzAN0+i3MekFRuw0CgnPChyNjP6/zm3tNNaf2nnxQkqE2Lt + HY4Tu/K3K/uZc72ZQ6ffPU4B3SlABPYEhsI/9xd/4Td/6zffvf7pr/wbf+20mLrYwECqfJyYv1nk + QceD72l/5xPSzuwKto+NSFAAVBpQI4Dj0C3FSAcjd90CSJipnWcPZTijYjC3vcUJtwa9tOVw1N2J + q7j5rngi+iZZHVeCZWTVPC56EjuhMlLxx5i717+MVBcAoFpevPrFX/nVv3H51vmrv/hzP/+tTsBA + pKT7wm7watg23/rmi1/7N//ar9KbvyAP3/z61798uZwU4o+pr6qO0Unp6wJgMhc0CYgJ6WOhKdEo + sh5wv/b0HtTAk7rCe2/NXCF7PrPX8951y45q8fAsF+kenfo77luwIyBvM+5vofrixTe/+c3f+I3f + +PjhG3/1L3/7zevXEEhbuQpImKvx8xiJdMVAARBFjCYiQs/fE06sUS1vhO+69Mly39beDjQ6OdsQ + py4Api+Re1/CVs2Yqp2fJF4ViCJDOhpzGIzyyUU/lM7HV/KlIU/m5dtJnSFEMDkj1OdC/QvCp2EX + GsAUntAJUyLEm+NWsFGvgYBQ/EhIAL5LmjBCI7HcmBkR7Gw1RQFqgS6wU2vQXVEC2lAbKuNae6SY + cOx/r+rnB3Ofiwdi2Al+kcHqcbSE6qezrSitMi2vX748vX7ztfqIUhusZk7MzSkJA609WSZmPgHc + eEWgSIgIEtr5sek9xD4qhpWwrKabnecIcEuYdd4pt4HZa7JXCKjd85780zsTpEe/hp9+dj8Q8t3B + yKfpJN5viNYasX740Ue/9Mu/slwuW/3Sz3/rmx++omJGiQGov303iZg1uXqwxg/heB13d/nI/VAg + IG3WkUbdMAYWBVGa37wgKZPUpze9KQhfEiApXN6D6GgGF91jTAcsVa+fdqDhEyyO2wG6l/KJFxEm + XQiBvocS6VPbPTNP4zLIpRFMn0acWHdKhluZZlRSGl6IubMEq8HrnmqDs3W/LZHlZFjUbR16fHhJ + VQaRAhRyX+430z53649yvjKmjwFFR9q4OjZ96Y7wY4FpKKLFI8z8JWbpqyho3ZhPP/3TP/Obv/Wb + 64ov/8LXX17ODWI6p46xObh0LJ0A3Qz3kILAyUCJo71IzONNVlJStWJXo/MUBaLc7aRpo0/QizOQ + OTEyEkyCxWdB0rM1j25KIxYgAto6YmeBj1iQVPkToU05TWqfK0ekbsegyRYywCpaoD93DnM3oYno + dMRZ/ygI+SmNVPXT73puP1JTZNXUvhFtIAEtiN3GiqsVAgCWsFXgyqUEzyoN3IAqTd5+XAk4vfAa + +QRhvNugV7zqh6eUTXAVO20EVHFa1CRpYunZpApaQlf1NE0ikFHD3YVhISWFYSQrdQUh6yImiSUU + tj2gstHlEpry83Z4bFfGI0BD76gp8S2dhoYxtfzUfD86nattBH4MrHb6aKJTHVNEwEdjcgXgt8An + imVVBl0qTq6e0BXyZ6AfgL74vfXNY+WXxQ/n9Vo25vQTK8XO4LJ13WdmZnmJ6OjS/vb3kXuqO5ru + vntC+x6AuzT6AYuzNJ7RQGP1A/6+utZ9sekqQGjAlUBkib1zRyA7V9PUMy+b6IvqB1ifjG8uAOzY + blfOGLR01zh17clrJ/WrJyMxAhiNsRHOHgkRiBZBdt03L6RX6CPkCr1AX0IWFOiCx3jLUCx0t3bm + U0ZC+wlWwPDOaHLdDeSUK9BQH95RP+V5ZayezOXYuAIrShUq1xTusVjEU4sSdiR2KoVYYraMkwiu + Gx5vby8vTsRa2q3cNojg8qJpeVvqiXAS0IptQzuhLSgAS6tto9JjZqpSWf29K/BdQIEPNlzEQXQF + NujFnbC+3P0gegKWyXVJxjpth36BLkmGz5YcSLpScyfoJ2S/40fHWkfymN2pG3eLHHopyibYVqxN + WLScuS66od1wfVz19Kd6Lq8eXjFOCq8hCqhiK9gICl2MGESHac0AXQVX8uNkvS5DGuM2AY0AMpQc + x9JkDhkyIfz+nXsPrnnnMjqa+9EtmsBGGYAZTgzTzk1vJ5mD2QhorZXbD1BuaJ8Ar6+XL32fsAB1 + xcv2jpYlXBUADcGhaS5uPo5M8c64XAvs52uQnYvrDlhCwToOmZ7maae0CPSEOL+jmHvSayt0DjUD + ZQwrYZEvRRR+z0uzETaANUK7DuCYRHlslMQcR2CqxCGBtecwwIMLypDruAIrcFYsgc8SACAoNjuY + vHi4XGvvzuvbUhTtCv4A9c3bdG7VBTgbsRagtg03oBBOBLAK9BPoJ6AXsr16JC5ewvSttm3hM2Np + jaV0Qx+MlSHQglbt0jbccBIuavdjHBirzr/75fwPGIWSNSWvzguGHdbv4Z9/3PsRpvc+2SbEmHjP + ri9NsUeYJvIU9wrdR9EUGwU3KINa3kI/Bn4A+uj/Wt98fC4fEF4Ay1vcrj+oZ1pOivrQUFvY1dAb + iBvq6sE/inYFKZhXMKFU9RNztWDFTaAFJ99bk7QhSVscb5CBG8zHDrU39cKzTt8piqJYDG/eZBU7 + OE2sshEaTD6Womz7rlL65r/tfKpCxKOEeO+deGLBnViz0nkA70Csow4O254teA6WgpZtnOgNyAaK + mDU6SUhYcuVEoDfoClqULrfQWBKbNdlb+344sEKLHznHEEbDCmhBtdqlhjZ26kYDFeAE9frtlj/B + aIwbIMCC24IrQSCv0dgugR8F74AH4AIMFnECCG/j3PZFsShOGgq4XLdCDScCbeAmWD6+SSuXh8Kl + oUqCKbu/UABAFGLxjZDB/m2cWyrvypBqY1GAinrdxrQKOq+cf5LQ2P3Fvvepe5oFrXDTy/TsUw/j + SosthFviWBW6jKCyOX049T1bSJP2P8trb5vT4RD5KxynFijQWltvZWGUDbjq9d2mr8v5TXeTIU6l + BExSXQHTPU99mzNGpslhTa6RDgCuHr6nDEKLkwwqItCIc/lUeAxLnMZrTu5qr1RYMlxSD7P874WP + IvljtzopfGMYWX2CA0lnYRGxejvCVrSIPukq0yHJz1R+P6apDcF8LEz8HhlTRhg+wZfun0tvypkt + OgyerPLF8z30vqSNt2b7sdBqsVWsKLSCTN30LbZCW+5aATToGkGlDFpuoEdAgDNwAUhVieKw9Sva + W+gKfkB52FA78O/G+kO33fSHFrxXRkf03TBIpj5iERrLDVdFq6gVlVGDkCwZ9SrYpOn6bn04vQKd + /P0LrgU/0Cs1ellPFagCtEfIDfWMcnobRg1jO4HRqCPdlfvZbu8AeC13dJlmR0Rm9dhbWKHiQPDN + wqLJ4iSXLCtAoFOvboHuZNdbnFMHW1OzqTvkYpduBcHkSEUhLZipXdhyWx2gtQt0xLyZlVyp9O1H + 1yeM07KAEEgSRz9bMyzTiO5agEU0siNikqBHxU1QFFVR7bCHFN6SfDUhkEF7TvETas9Rtz/Rprsv + 5IyRQmrLbn13hCRAc8PR5YR5t5iITxdsgrahKApDqzRUoJyz3j72eyzgK6cO91cSlOL0doTeO2mu + e+ZFSIbguC39nT2aWTjp0JcmD0hEAVD6JbT06JsBloNTuYfMdL0oBtxjdeNF8zYgzeAe96dfHSS2 + ydaP2EbEjtTRlVe8XtKwFuBEKMVzY5s0y5gQ3FYUwrlczrx1easAsULgSeMjhb2vgEYNnQT9nQaQ + Rq53IHIJfpjYmXNGNT+X0JICIooBHrp/ZIbueIp3o+0LEvE2yenAuYJ4B0A8PlRH+KrpvJgYmpMf + 7zfCQztzBvo+Hjr6uQLnB+ZNqlrmy2YdkdspFhZUgTgPVS3JPfQbeA9p8qBQW+HkSRhnLU1/ut7s + utiQutW2W2noGiEAx7LRvVaj429XVrTjh8VQqWDbGi+lMl48XMAkEOJFdSMFVAu3C4HhhUoagDhW + t7UV27bwKXTfgcMCdiqIAjIABIVARFNcBvy2QPMdfyQCWPanTfXlHaFbHev0Lit0Asesn82UNblr + 73ZspgFMDFGAZgJfiJRBykWEN8GiAArqZeGHF2Vp7IDor3U9hBuosfv/y/xO7gcSaHpnkigyL31N + 12nHDgNwnDD9gLdkdTmwcoRQ5ZZoOwGGxifdP6JOx8ELOq0BFqxbsJyADUqg0od/qiCq8bhEagP6 + UQXwuOjOkDgYxiCpPH418BNS9csRK24Tnl3DpmoKxA6zXpTKOIK5v2WWqBOIZolJgMUAdtBLMAfq + npVjpEUe9FFLNo4DV9D9ooNr+7RcnWXETgn108O5dOKUWqjoGdsNerbKpJY3wknex+saeyiWkIZL + ThYotDATmqAwCphLZRCkQZSoxCGIMXoX9zGBQRY6NpR1plt/juO2vph4AlqDJQ5uvMPaDOjIwqYj + +Gu85Si/ft+GHKbdpfywRrd9RpgHF7fPqs7cw50qoIA0tLXR7XQqJ/MNC0rBw4sXKA1lQ3ILKRrR + Zv5T3zmx6lUkIde4v4qKu9cZjc3rG+omA1BLIulD6juaTbEpNcYZEsGRtKlvZgyr0v6IB/aqk5FO + FScOOLgCIPLoSFDH63gks8K0Jj2jIWHAUcg8ggl++sL7U7tFGZyG0nci28ZroeEc8WvnJ/loShed + asqKxGZ1AdBA0H6y2ZCXJA45JYhVyYXnwMQRdTOAGUCPPZxwU4eITEWBnOWOfalgQ1YydbHAuybr + qkW4LpfTsqrK5mdcTEAKVEjIHUt5h+zwVTRoRNJR9pQlUB6vVS9BA6UucGeKiwHYCqr2MgzHVEn3 + b0cyT3LfISxTKYv8zMGoU6lNn3NRKJvOCgKj9OLBVOj8oq5lfXcjOtm5cdoj1l1ALYE9iUM5s8vq + Qbj+Y6UdY5RjGJ1m8yxpqtquhx/9O99taw1sGLjf+jZD5hg9Tm8PLw2ePXFX1sTFWSeIBunYp0Nm + sPsqT99zPx3CHrU+td3LwPtu81A5zC4d6jfysiZapz4oLVYTp2+fO6bskZCyEDfM8WJKnlNn5FSc + HjdCTYchEIjBRVH74b2DTf9ozSYXU53bQe8coMu8Yl5wdWsnNBGWfu6HNxGoQKmU06VOG+4KVTkT + cV3Sgk/yiHynrIXj2xk0xVanb3TMmYcxyl4nbYBxgMEuUL5/UqaSIBqqSvxaZmIZ7x2PU4P20+26 + mNjd1D/2FFaN/yY47BmtH8FZJKrbFU3GhslDqrFwfUPqfgAWWUXqAQHpraE0+Acdj+r9tH8C7dl1 + +xNrE0p3jtvtGEXOVgtFaoeCAm2eWdYjxlTRVMJS1Q26QkX1BbQwUPYuGradZws/OMImtR0SotIL + LFH2PuzoewzS0H1v9FKnrtBUJ5LxnYqukSNCknbbiQqyYbfOVhQnjcJorMNnFwNrHkykXXLYj4Se + abYjpx2TpaEe9YdtdOrJI9mNKEDzeoU+kg1YQQsiuNei4brGRSpba8SVCOAKEiipCnE/UAMBLttJ + VufEu5EmBc4nDnTNqcvhA18dQT2kLgm7/O5xazZdugacYWg6mEXMdLfIYFlp1SjwCqHJDYHbuyXq + YqHFxvqEJzFCeyI22q2jveYU0/Uqq2bHUbdtdKw2UsjSAERMg0LBHrSbd1oZIC/0oT5Uqhkne5pG + hiz6SzXtBDAFN3BCyGK2+20NdinYGFbbKI1HUmX63vpx26BEC/NyazAlmK9mNTrmQgQm5cKPbd0Y + lQqXBbJhu6HSwgAeVGpTUOnVElpEUed/XdPprlsx4AihocLqaezVvK4Yx3L1tQIsTJjAKQse8aLB + zeCGRjotcbdtFus8gcWdgJOHcbxZ0bOQ+g2+eKMkwKSkSdmcOr0QNqAELqhL5cgmEEAF5EExBRA0 + YGMQtPogxx4Ak2+JTxpMwQzywdSqzyuIa9bGOj5S2gNIpGwSKOuq2mLLbwpVwQ6Wfdzo7EQNdWfA + qm/129C76hickQhg9pCcEHWzqJmWlcCWa0tAlLOEmAOUbBGlM+uOWYGlNBxOKjsveUZu+IJYVcfN + ajraaRsxRAGxYV/mP4lppdwFjHIitsjkK8EWzlscSpi8t33oAx9TLZndUthfn7Jwj0Id7MmVEwYP + vuOu2wJUTXqBQki3AgVXX1YuoMYKCFOZhDEIQPO4R+tDASwgEWUNj6sZ+K01c+cVQgtGNzbfEurk + hbC/ztMp4bf2O41Z5jW8kx8Jsz5fS95bpOdTxznfWfOVDiTHU5uu7ibY2Ve/vXs3NFzwfXF46ndH + ohMQcwu2pp7KCEDshbXE1rEQ3FciaOrHD5SCGq+0nHcZ0Z3qY2BShXCk2Qw/mWGcVI9+sQJLIABC + 0tAUstCJEJ5V3gjNtjwHt+uAgKhVBHM4pF1CaOeR6BxtEHeixNgnzSBLssIoF+Gt62DfV9VKQ+sP + PkmaoR5ErkhfufkhsdJDTis7APSXUeCA7k6VaqAGtpPOuqolZOu8gMPcjQ3lDjWGWKq7AhoOlswH + dey48qjSho6zOphb7KLIkJq9EKSjhz9s9CqqxGvbWnt3Oj8sRAKBVUvcu780mJhhwNgRn+4avIQE + FDtXPrw8+KcYQa/h5MmRfijZ/Y1ZjCIcmIet35l+n9HubvAgVwRlepYsiHxnCgzMDVbizo3xA/eA + huJQ2R6XQoLFKk87UblELMMyooEJjmWDJqci9Ap0edqx27KK4v67Ofdh774lIWgonm6xoAGyas6l + R8kR99r0ffPAh7IvNZTLqPSROTl07jAXi/MQgW7gpx4njQZ9Bfwj7X86kA+fq81YNE+rv0Mn863E + pg5iBfsmzSg2RQD5Tw4+ghQv3hXhGyDcm69j1vGDp0n5HjGF29gr0WEDmDUKCJHbWS3x7Kcn+Tla + FtCdZ+7Wi9Ld6vDQvnwagBhywGFFUd+VGwhWcctfpQLZsBXwUhestfenDFaphHE4JAFRcjGxWxmb + 4+RImJSEvDP9pOTRad8tT3fgPMVKO+cgo1AvVKKpqzgUXUI4UuIsHXiSjDJ+wjM1pqm77Q3FmOpd + E1ADiyvMGOk4g7Q4CpS3gJIaCuZRgoT8hHmZvLMZDzrkBxN7alw/zvbsuv2JtcRtdfzXLR4ytEiC + eL/FCtdmesyCFQmUpq1tWukELmCBXqVdBQtRIdyZrmEg9aJfTnwTt7NndDaNoeCEAAAgAElEQVTj + 36dc7kVo+sllgc9xVBjUEdKTnXdjmjQ0Ho2R9/GPpzQEwp1KRdDsWn2y0eGXp4Sjv9NWhxNdSugl + fSheqEsoF+NfABVpzAIlkc1Cx7CcjSNdr4/t9OIShwyZEpnYxFSLIvPHztRCt9B7BjU9M2AbIu9I + NUrdU3pydJkkpeEVdRPzsMbLXd89+sRDZ+Y781onNjgGP1BYaVQ3pnniiaGO6A179RGI+u1DGPg7 + pIbYHdpNh4BlCo9cM1rcVUANKKQoQ9z4rGfRxWl40KTNJzpI801yeEySgCk1+hCPJxmMPZlrAqqD + QwARVMZpGVB6fLy2yg/nBywnCHB7G2RaVaooeDE9QgFhpjKqJSBD3iQrO7gbtPToeBnKSV8non4c + ElKUDgAl9jJzEeeSsGm8eGDUYCDHQJraJMORcCa+TEuT2P1MnYReHbq1TRhKSlSY4K5Y9b4M5lBA + wrkEEKGQSEpU70oZ+e9LDF26X8uAA63u3IaiHxbnbvCuJ6eFzzzcLuh8ISY9488xVr4XuIfi4+Di + 7hnvxcDkCmE4lfrjOmBg9oAODu7FXsQLg0kYqAeqY0QzhStZhUlLnJ+pwe7V3RlaYNuoK0SgS3dz + hitv4s8HYKW7ugoz7JJoCHy+z4ed8PR9oEy2mu18bYBG/T6fO3I0hI/NXjBEoACERrKBGnACnQEL + tN8Ij5ALlfOgouCqQ112QFSQ2wEIZGoq7bYya+GKUosn3O/k4V7qTZzjCUq3WX+W0Ncfa3PWviex + +Ka7W/shpXQwwfRt4ucMmmO4Zm5Hnwk7QLYvUkqqDq9jQ1ABRWteErTgBlkhBFogkZkOKIFZyX5S + y9+0xecycEBQmqdwo1qpQ7VkptIFrRIaeMMZlkDuEmKFCLj2Uqh5h0BdYAyePS93A9GUQKl5dv07 + 73BsQHxahX3TfI/xpMDaScO9e2p81J3VvR+ijrd05q9Id0+rnvCrI4efWWmXo6ITQSIVKY4nihDY + Kv2rChogDBAW6Cljp22Sx84kAUW6APQxFa+Kq84c+8IJCrDwgJaQlnGKnZICZTm9e7x+8sn3P+Ry + WqD13DasDSxY7thhTPvTKV0NJEODBSI64b3PUaK+vBA0Lnhj4JSwi6fVGz2c4FChca0PMZBvhz5H + CJkfO8K2+WftOopJT/d7qxKFpGlg4Qq1g3sUzGMHd2JqXSdyRzZ1vMlDHAAa4gFz5tynCLNJXdD0 + vz/aqca4Tg8fMeajyXLpVKm+yXQ01nxpxyMygCmKQ3VQ3i1knjHmnjLP+Dzy6X0rfATDPeOTfHWW + NWljrF90jugxQxFGoKPixfSS1Fmg/BBwhhvUj+cDW7gTADTQ2jmbAmTxucRA7QE+wWqeAsBern7q + TTMs7yCreSr56sR+B4MeF923O+5Tg4Ks2FR5oTNKR08oKVeEptZfZWyaEmxt6127rHRwT2uEOaG4 + f0rZKolDH/CY3fkUg3x1TGVq7Lh/XO5YuwYYxLIb3l4cZjs53nl3o08Z5g5ufpa41U6c7yOyI8TL + 2L8/bIo4eXyEJObWeR0cZLt/PzkV89l1+7nbwdq975bB5MdCuuHp10GabbAuGWL5kx0PKLQQ1cpY + BRtQGyq4goW179OPkfUcT0Xfz9yxsmHD59AtPaCl/RwnablH/+Gh26HyXiUdb7S6lhocKDy5CqWo + FT2RRJJ7BETeTWaZT27LJBDNc5q0hYlVKcocydrUzxVnKCKaeFOIUpEAPaEStDBUN1U9nyqIoIq1 + gYGFL+elu6wDRbLrFrsx7QcLeO5zWjG5n/SAh+IuQtZ/2icmOQO/C/LtN0iqMNM1gCkvI3P8pBf2 + oWavSsxlHMUr2SDZzWQw8DFbvbuvi4T4luaWMLb/1QnrDVSECADvYelgj/LQUSUDC6hEMZ4bQ72k + kY+ixxV1/d7ls8FMI9qQ+/TS2Hr4y0B+s0x7Wc/Qjo9Cbu+ht1vMDIyRal0qLKaFBKQNlS8PD1fC + dV0rEUoBLWCr4LZyebD936bYaGVslYkosq5I85sEMBAWqLtuNenUXl60cB6vmVWWB9O1NQVA3F0b + qcjLnfiUOx17T+YzPSDBZLo0Pf4k0anrMwTfFCcF08J1g0o+U10ZYpFDIHOYKOaS+UraiDayOnR2 + bZA7W+hbD5OcR2HwsJPcrJJd//Vgavsfnki7n+GimbgSQQ2uoSBMoSzT4szj2JMmpeWRJmCq5lNS + hqiVlVm4RwJP+5YA7NyeyS5JAi+FKWmeeJc15IaG/SbklOqC0lRDJxbzfsoNcoUI9IXGaRuB2gpf + pmEIJTDpZDSqvXhC0YgZ7x7naV2UduiX8flgETGgbDzUyvacUhFkVs9/7MMdmyp5FgyqhYENyqhn + vdF2e+TTtfC783LphpezK8p+25igkFKhUppg4zgkhepyvhQAomirolLe21Xts8y8cQLB7suBeMPd + cz9UOwZwbhOTieHsXz0v2cCRjh+D+bhg1dzznEk7XpXf8TlsCWbi2o26pRo292oFHHEF0kgeIQVU + fNuuw5cF2CC2iVS6SDdcZrfcuuv2BJC24Vwkl7UN0sCby8Yb6BF0Ayq0Qhbiqr1jOKYpoL1kOHbk + 0WPmEqgc3ll6HAPsfUvtwQrHd45OE/HPAqV7wDQiA+A2MOlOOZlZRgf6e5oG+FW82Lmp1VDCVgCP + dw1+qP6T8xwdNf2URdDMmuUezaCAB4DdCk6sBIUyubDraGRdYNSMg3tvVaANrFgKWh3BUCmck0lR + m271dP5gqadCaI/b2qi+pO682RMN4FlfKVYtLU9m9epjIUwI8BQ4j9iHlSQmh18ygMyoScWOxhJ3 + gTWdtDTOjxiT0mwLaELWNLPM6fq4xDdC9sP1zzbx+Bp75GoRu0VBpQpfANnQCrial1f6zoYm24E6 + LRocwqBLhsa016v3Yxkw2t2SLBQb4l0w6o6chtgtbVw0O3kUS0rv6MYp9cJ0M8jSUt/zVreikFb9 + HpFoenxCIhvq8KYp5oDGT2ma/t0NN787/+wjzTx3oOxuopTHbMWuQb4343f2BKenfF39NQrf5WEN + 49kerk67ArpF0dLoiWxXyMSB+4kJIE/iobsp6t3XY0Xo7gqNteuVuzpoE+0fqBB3TCYpTsM90ZmD + QhiFiTdppTGBsQAKDnmpk2k+nKEKwBMgBGloGJPs+BwjHa6FA0JLg55DNkLXoqdzsCemaRzfjqC+ + e89gOQO19nGO0N1DGC6R/ZsPxq8eHoSxoTvm5KA3dYI9q1ttkcYrpwF51O2eK90B74ACf2LtaJvy + uT3RNKGPRmpHRon5VjV8E2kypYHscFxntXPuJjYundf1BF1mEKMBrblxSto26Db3olA/xcD/rW1s + w/idLkolRmwvs4d13Ba/xUffeM+QUUAg2oc8P5r6inCbqXsFGmgbDtzB+C2L6p4vb2EdpzATvb9t + DOP4t7tm9whEpAVzYQXpsEnUDEod0NRw3Taj9TQ/sqO0mQCmpEkWEFfmu2KudM+nOkPTvAqd9amD + tC+E7h4b/Zu9dcdeJjHbF/tYhUpj0TmAau45jdWGCRE0sZ/spqa69bmYAFIrgqMx2ICwAAm17ljk + U9xyr7E+gZBHrU8ITXBTbP0pdwhpHy1AZSOswApasYkfNNehM/YYU9yc5tHo4BozjAPO+2F7WNYw + 2hgtfctrd4D4uv+0BxGQ9jpJCShcmIoa8yFGYZBAr9huQC4mauEqnQfa6S8+tSBaXiGKDWr/XPYL + 0CAbNoFqX7ruw6cNvIISycfqJeTz6Y/VC1a2x5k7HDrCgOmL5g716V8xr6SPyMp00bQD5GXygztR + /9MfVCbhNJV4QfPeBYNjBRtPECH4CT0bxk90aOofkcYxgO7IR+cf72AHdKkSvEDvXqO7HqZvBhab + qGNg9+1YZFhndpIJL3OlKJgQEpfi5amUSh6S9GE7ubVQ9WKnDn4eDgF+4IZcIY/QJnGCntrtFMR8 + z3GMMcpeLALqwjQWPdxmVkjJebm0wWgPV+oepHkY8egW4+2/RljiwALaqegIvPW9JxCIhAEuRFvB + 2+rOIWCY8BLAG2gjBKGicxgxgwuXKHngKUo0jWf/6XCOhzCJ644aKk1VVdHajqx/vG0MP4biorFf + nChrH+naZ5s5zORGn6aovcv0w2dRgHywBB7hkryPCYOrEhCBPKLdIGpukb7CCgVW6NpPLdH4yZVi + EWgLNQ4weRpVFqIHQWshOBQC+gHwJ8BbiECqBWPudBqFeokwHAaz2xbgDFz/3je290A9WiMEBXx6 + ewqtjmhXkwss3ja8drvxdQY11jYNiNLeuSikWYU166W5p+4aBft9hWw3ERBgBW2IiLrNwGkrtBFu + ZIFNAeYGVbsY3EpRJM5viWEV3yLqs9FG2BQqKCuq7ZGHnzmxRIaWosRclnNdQAJdKzWmXY203N6X + aj67BuwfD3hCPyO5pIXIdNd/XCfGPnhpA27ADbBjvtr4NS27DmRIEvJuAOnLxEIGL38KmydBkHkL + QCxgQbHcn0nv2g1Tdp2FNNHw8A9VUtS3Cfd4DH+x5pm+Z/k+C3Ha98SQkLaP9ubKvQKeRrJb06Ox + pe8Jh/aTPGIuklfgUyf+9E361A8Htzm4dgi354hDRO47VS+WNW6W2AD2LR+7f0dBwQYy7jhr2bqv + TRraFXqLggkxXoKpe9u0Lw7oFjbjPTR28H7Poj0Bq8Ne91Q/96Tz/6Oe0V5S6wjpi9oySallgNNx + IEBYCCnqtldssAC3CexD+TVmHLCf8beP58jzTQmXj9uwEafuKP2bGRKQdfBjD+jBpc4Qdbcour/N + pXvr6Gg/eUKPWePm2GUZCm0miv6/BoRT2O/x2CY8uyOWH397jrp9T+tLwABpbOI7PrbGAtSihC0O + I4r9MgW24DK0bULMtRbH0CgDQCA7pSHzpNJ3Y7zPAkSsyShBY2Tt1iKUFQW0yUaLnrBgA4RQCSxE + duZgcX/Atq51XXjnCFUC2akRCnABSLmf8eBmGd0KAyAWAnFTqBTUOvJQASISUREuUenbdG6LzSQn + cp1ojyCgDaRgP0DQsySuwJkik1o86FXZi59ZZ1VTEG+ZWCil96FnC5eQDorFDjddgu+ZR8VsdTMz + RdombeHKKIwim5Bs3rNio/MVS0U9db2IGGCec4Q8R4IKaHEZqQIUnF4CBBRGOScHhDjfeSkQJgUb + ItUeCmUbcBanAKgQCCdy3qIMy9Q3oIFZvSaH+JiEFkUlCLsJ7QAgXw1D4NXPpieIn0RJGMV0Ncye + mJzHgmHPhWOTjjQqoFpuszKIVUkJ2yogYCmtQRSVCzaCWigdQaU15VKUiwCFCvFl8nFNmkbZHwps + H6kXTQ/JHyKfjSBpCZJjK7U6yNDFJnEqxzMI2Ttf4KWQPcddsVBP4XdyLgERBKBCSAe6cJQbcyrX + PlFLx06vBUAN3MAES733J4xHWFRLKrjmJ04wvG5ZqsNKisUIaIEXF1RgJSyGvewLr13JWEpP87fz + pQWNLZOJTcNHqQDJhlWwVFhQFRMAtloJCwi8NrCWE58Qp50GvJmwWBA+MUpXHblZrARR6IaO0gjI + tAHbKdfUc0OTKgGH//taP9bFan/nlFsCT1k4ZRwXHbGYBDuEmVjTQbi1s32nNcCi+UtXQwl+9JqP + goAzWRraQEiMgPeh4Sl8+wvSc1SZwGcvyY3uP5TAqRHZTPM/gEDnSeVVZ6XUkysdHwuIItwIA8lD + MbPchGIs2ji/bchHuDT1ZeqIjYoeox7jK9VqmVfQA2gBLgV4MPQk8y2hDxJgECuK+Qms935uWOm0 + X0rMcStxCK0GXbIvBwcLtC05YbCG8qQeGFaAC8iCxTdwKcAZvZiFYcuInZtCRJR0E2JFJaERuKJg + iFQCoEUpVPkC2WKIbVNuQoWy48Lk/epeFT8qvfYiJJKy6ooTEHkcXvjAiUBYTG9wQvK0WNuCjLqz + Dk+Ok0UYhLKg8AJcLCzG+G2Uewd5uvRlKJ8Eiq2JxQ+a77RFvu/JVSmlt1Nxt5I2UGW8oGELMvlp + 1sDA0igbquOSWnldAlRla1xYCbetlWWpACCMpn6MRqK8bOikF2D8pB39abqR0sszFxoMef6J+xNd + nfBfRwFTHLA1/6fp0blz8qF2vfXu3Ya8YorHBTjBY1Rc5JEmVy4VlAvOFcwW3DcJNT6jmlaZhlxA + C6gYlyqDTOCZGBhUD3PiGwlZl7iAAVz8UFA4/nGaLtuh1f2JPFUP3SLKTwVBRp0+9SVIwEnBSxna + A56dbz4B7bnR7u/uWp9TH4NzIfLSOTFXgoOQClLo5tyVX+OIYh16EoFodOh909Bf2JgBjbRkQmVn + e0JGxaXfBwqgBgKQJO4+gaiD0tk3xZwpDrrJOgxgrAdV+xQLU7kQoWgw8tAKutrHvl0akMjSLg1q + EHj+GK9/au12vYb/eKda8P1Tcb0b4LTvdN+mCgo7TjKNPFO9fd75n2mQZx/H+Ga8xepQMZOfsVRA + QGULBSwJOqEgZ1DRQMMdc/LX3QHEUQXVGIV9cdLuRdGis5jtSJPvb4h5JVAVQI2BaH+J1+VygRdE + SoOvTljX59qhrmMgtGcKvTpJuAoS3j+FTh3bD9PL6eDTAQANtEPsZLgcNR95X69pkOnJ0ge2RyO6 + Y7opa4emucYG0rQ01FlLhxH3h7mEXRZDGnOsZq4khwkfzXRHKDuQTTc9DaOJku4uW/Plo+HLiZHG + RBklar1PICOUiqWhFNTClUZtLfvHFMbCIE92uVmBAg6F6k6NcLCc7EbaT6YPLU5kSUul/aOBh7jj + ymRVpRn1USdKHNRElO4eZAigBK+ZXpjfEaBM0lyj2F5AP/EeH0+Nx2r/qR+iQhQYTzS0j+7ay9Sw + AAIUkzB7HPAJTnyo6zhJIf+JtGfX7Xuaxl5dVavnEq7HArXTwoBCiweQ1IbFT3ldha7EAJ+ITqWe + uO+SULgpyEkwm8YcWo+SE0shsJmkBJAIdNWmnYsWoFzW9vGt6AXtdGI0BRMx3gF43B5AJ+XT+dTQ + jKOflsrh7BQv2keA+eM285swFogr0AKsG1Aal5UgDMtUrYUKcfVQXtkUQlyYCtmp3+GgE0B7LXOE + TJOJVfaKJABVFCaBrqArlKAPlrTZAAFXtGK7cLoA575C25DB9mKnICWLQk4Uphv0EVSFlscgbBIF + Gipv4G1oocpMxASICpNYofQV+oi2KV3Welk7fYbiZiYlYxUsBFA3+wCgelC0qImlLcThqUNm1C0r + DYUtxpABIg7noPlIuDTQTYluUleppFjaetKGk0VCek6jgoh6lC3ZojcQgxmr8aZe7j14t8H/BkDA + m3NMfzVUIZsxyxb7eMPqGf86QBzFIwWGDC1WEWGuFUst0vT6uNVaibGtik2rucILUKgs7AdvVbTY + hRjjAUBr+E9CaZgGwYECmlh2vidUkBTxWDMndrWBsx7qNdvV7j2Zmc0j8G8hJ+kOBE6j6R91SE5z + ymS1aYjhHo4W5rMC1MArSEELqPaasgrS2ClhaOAC4A4ZLr0HAYpukAZhlIJihS0L1oIbUCB+jj0I + sNKG4UcNEFYQQAXLAoIK2gqpACuBKlcUBViZVlJCkQ16w2Ux6Vy5vCw4QasVHMLwqRGUmlffDH8f + biBpkBU4A6SE5mnqzU9GUPLiol3MJ9CGWyqpeJT+nz8NSBrr8JiwOFWjpgiOEPTO1jZQ67k4VoCq + UqMikeBczbeXsNf/df0JHAVYtatJJ3LHhWNUR+/wwgKiEAUrcbpIAKERrzgVYEGDXqNP7gNHukRQ + qBWCLD1fl233mtx7z1iG9eUxAZz30AmIgHQBLwK6OZGoeyanejj+SBxXrR7dM4UoSOJNhVE51vWC + 6MZtTolRVzsRwvhC3+V3VPC7SGAcW4EVvmcwOXNAcC8VbcFGBeC+XjqI+iFO6NpA1ca2+K8Vsemf + uWTsPBGVxc7YXNFWMIMJSkrsaodCtBAzgRgqxQ9xKgoWNLLqoXYWGiho3wOCF8UyLJh0qkVxLWAU + BOssNE5bDzu2ANQMwxi+TZCsAXb1lzjUc3PDvrQDOcqUmMzDCwdYoXAT4tyTJdG5Frxby643jHP/ + 2gbdQI9Ajb0Nw3zi5CQlIILFXMRJw22TsjATiNzWZWICiKgsBQUKZTTCJujqElwBpMAC6tgc/whQ + O6qugsYeAA3Y73wmNJ+nmshnmD1+LydSnW8feJhEnu5u2DdfkU5G8/jcdVuApe+OcO/MPWzku0bE + FcsDmEHFptvHymDwCYvRSyKq7pRkji+uQlDsYsVUjKrrGGXxnRuqUCsu71jr3J6DkXZbK/hliAPT + 08arkxQ2QW9tGNAdgHeQHDSwu4Xmu2JIfT12t1EHf6wghmJlvwRF8Oi1v7uATgg/OE0/9U78TzCu + yRtbx2CCkDG6nl23ZPZ2kEHxn9SW22QczSMYXGmCC+3+9Lr1PA0+QT9wmh0SYfWPo01jTym0q8yf + J9rrveWN/bSdrhlueanmNgAbQ4rbx2PzLMaTPBvgwWwxOS9i9e/eOk0lYcL+d+34P5CMxofJqzLY + CYX3JSKH7ERCcp9Rfi/RcFnHRDL7SuAZnrodHfhjta/kDL67mTs5o0N2B5EM0ii+HDfbLiMFDuX1 + 5dDTOqwoGMDeCZh4xoGHMNE103yN9lIAiTdMKJduoP2HA2yk1FW/RIe3zteY5v4TzG2WnFchu1CH + WAAimp53JEvjmbzg1P2tiSl1DCJoCe86JtIAI1azDJZe9hAd49f566cBY/8s7W+LqRwt33x34t8E + rmkk6VZmLAQ1A3Ms/GD2NLFjgxYNGUxDmM6Mzh8/h86bucG4hQ+wEXtHq18lTIjB3UGbgXUHBf/P + SXFcr4FaPOhofi0Ndk4TuXsgwLhnqOwAEjfqqoWzrzSoQCwertvdGAhpk5THbtKEy51VTiLoHqQ/ + 9vbsun1/kwjhL+EZAXo2fFxq5kagEXXLaCAVLQIB1VwWTrEphLAQ9pVwGICH8HpFO+rF1RmKJp6Y + wtBeIrwKlc2M03qD3qCL0GUFc2GyOFotupFUEFBs80ABr3NlKZGGfK2gESqkuGeC3TNCBcBKWNl+ + Nc8M4jBjAqmQDreaqA3f/jWLLSWEg0MTLMP3as2pj1boJ8AJ+kDmLSTzzwpwg76DvgTOUB+pPV4B + ojCN3fKkzco1GaGKQlfoW/C50Stz3b4ASBTaUMnKdp5mDVOh1hFsAnqFXgnnDbjmeuymDFABi+WR + ES6+xH1uYEizqNsGegeczAMtzWNtSQgXjZxSEMOPpOfuulXB1vREN5R3hCq83IAiKCjQ1V5HnkRZ + BMVd6eJxduJJcmSLo7u8BQXIFsR6XXqcWuRsKWQFs5J7dYdlMAtfuKnRtxH9XFcQi7ISiWJdUQsK + kYDNqUvCTIu7OJTMYtmwNWjYF+F8ccBaxhmgl6zVTEyTGBFMh05M6HltHCUYSfNkDY/c+eyXKcXk + iLPzvr0n3b0rxsc9fnBS0EOAxcYmta7BcMaWMXgyb1HZCQKyLHgLslygp+G8IKjLj0a4CoplvZUO + iJ6eVbBB30EX8AlYPE5LgRW6QE6xhS+K1r0Y4ohIoOKnBnO1kVIUrVOIVxNpbTuXzaJNSRboQgWA + oGxKm9ZNPVwSAEEYXnMf1bNdzgSyA6AIK3AFVVAN96TGIXGMBqwdxjMOmBC/v5ibpv+hk8vGnMIA + zIXOQnwL8ZxAugIruMXBxRqUpRWNXA+o46iCWTUqQEGsTd958ffHTU6bvsfeV3KBevkW+OEhgoHA + N+AxIkChV4D8SD0LpQ7SJVt5bcANWsBl9XVABVt1nnCMKruLc9jFlvg8oqSMUUCgtRGZT70CBHE9 + MoUCUYchk5IqNv95SAlP5G9Aj29eLGVA34EAKs05JJEXzPZlWAQQMGNjXRHapzmQma6owHbGxmhQ + 8xF1gCigChaCggo40tEseZ8ZoQWQj63QCbwAK4gL5GJ2r8/COKjljhIHf0w6utf9vMUGRiEUC0K0 + dTL3dQFRVc97UJCgkFKBDjbO3q/lQLOgSvgCM8MvAI0kxaUbAE4AGnFItAK3qE5KBKagPoc4MArB + Uz+apgJLCmQyJLGp2iFF4sKfIKiO1gpXc7hPw4hhbBpJ35nBCn0LVODV2EAJbSZoxl4hvs/TDRYF + 0fBadOccFWPZPUG/CsrWjdSu9bmkpC2gRq6fbFG4lfNIMqofXjjQ8o+8uunCLOLG97t7n26TcTSN + o4TVR3UX1QL4jMcrqaJafhjdDY3Bp27VjAlNAnF2NuwFnXWS7inAQxjLeUB5hCbUkxsPsx1ILg4y + tcfHGSB9XIdXn7h8ePWzLElaxHLwCx3/Nk4FO1jO6bW8uycgk+zA0+6F5e4m8gPSg8jSgBjwjZkx + k+QqSBfnCROSnyamWHI/aUo0mFwI0XuRz0dP3l84WpQnLj/RpruPqzYcXsQ97k+dPv2d5s8zW7jH + 3qfhMIgl/zpPqKsn8YkOvQb3Tzz5/py9tB/GfUDuezhd2V0/GJEDJAGaItzvEPoDW1MvBxz1YFR3 + Dxze+MRg3z+V917e3/M52cynjHDikXe3DIss/o70if0C7ig+y49Ez/EjBU8bTCYv+93aHTPt44F/ + jkb7T3T/2xHR3aEMH+Ga/bL/aXqW9z05ZDr0Z9G3n2uEtN2DP748wQDv+kqidszpPZ3MqHV3D3cX + 6bSUT7KzmYLThwn6d/qATiOYVjOYWJmWbz/QJSzHzwS/zyc5frT27Lr9tHZ8Rp6Cw1kbB7F6MpIC + vIAtboi3poYzPKHNk+tLOyyZd30IKD01IHjaqVRgC4XXSt+1M/Fp4aW6HSFNSMVyAwEn5/QaDtNR + d2K1AAtb1nwRiCqoSXdR22acUlUl9Kgp/+SWrgDUC+UQzIE4ooPCE1cmZe0EeukqoHRnmRnIFbiA + FmDkefLgT8WXzGFhMTgwzxxUQQw6gStlFy15PnUaWV9mspKdTVDcNq3f15IAACAASURBVCEsBXU+ + GWNa2SMZZ07AfuCX+y/8HZACJivPohDLNy8+g7brTxXb7bEW4cICLihnRmEsYDRTSobdutcoJhma + +tx9ORICaTpjmp3HzyG3T8HBPQEMrkDb8O7xejktS+XzxV2rtQLlAiFQswBjUd1aWyHL4kemjNHq + mNs9kVK6Vf2//i/yy8dD7pnOp2dM4LNN4OQSoNFnf+GdfKd4893Y0jWjjPfz/FkS+pWR0W89PgF3 + 6//eK4zwX7gfcHeUy74LANk3T/vfiFGL+R3Ltq24bctta0RSX6IWBWrh06U/otu6tQbgdDqByx58 + 1HdT/QvDdUMaEUNp0/UoqueHaJOqEehN04ql6nmHS27/RqlQ3wg4QgLsxptR9HAirpNYZLWEh0nc + Rah5GW0nQUOh9tI8I5JLQcOKmug5RF4CAjlD8mhz2y2n8GFBwf1MgApzCQN0tjHX2PpvIKITwWsf + G02NCfvLTrBQggFcq67D8LolM4OL2OQuzqxe4REtUMhy7exBPOqIEuTvV6R3c9ArRX/BjQZh0syF + dv3uF1idN5YsE7n7O8Nv7EMYCEnheFSryD7YfFaJx6k5aQIdkEdMe0Jpjrfy05xq5moJp+5hOuTF + HAOSHhzx/erUlIAWiNc1/zz6HlhCU4f+rRRceu5iHwMx1ErMu4c9GBLNbIVmsEyYAQBE3UH8BDf+ + 16XRwaf33f3kXT8BCPxrC9T/X7U/D6vw52EMz+25PTdrP3Z6fCbwH7H9eQbgT3xsP44X/DkF4LPr + 9v3Nc++s0G3o5L06tv1ULMytKdoGFlBpqApmolrKgsnewNMGktsSe7uwewzCihCoJS66b7LSyXoX + ARXUWojPAAGPV1RFrTidTgXCUGmNpaS9sbB+ieFu1mSbWbhLhQJWTICVKldX1MPUVYJQAaBRa9Ou + m1tb45DR8HJy1LNy258jIKsC7OkqZ8HC5Ceqk1r51pWBuHGxXMUIaOxxLsTUVwaaiht6gCkX0MXi + ts7dJGMA1er7Vi+jYhY3ubXPhTOhNEVRZazAprMRCIK7bKY9IstRrWbQKUHtAifEYIAaRC0D2YZo + ydfDOYC2ggSXy8J1A0RRNkhTLoRtE1o35iUOcg4Tvp8VOY9yh3w27vwt294YVvh4mtKtu6emsrAK + 2TaGohS0poqGxuVUK148nBFFj1XRBG3FmTavolEZDCZauKqWtUkp05Fus+shu1UxMGCMw2NGCTpC + SwYdW5HJBpy83KuDK/WqO8D180zi2jyC5Ek49t7+8M2xlifw9x/DNWLfKAKf3X2ju54oIXzvfd9n + n5l7SobrxFiBNiUPwwVsP2cpBRcqxFKKPX5d23a9vbicFdK0LeVUS9VIyaMZR0lR+2DZX1vC0RJb + tiCgjhwg9+LuHEifGaYHPrZENLhf2jvvTL8wdonDo37vFvx8LbCtFthZQMK8aFTiZ6uwowIV9rhm + ZzbhChRAe1QpnDuWeWKxpp0vjnVhj4IHIgPeXiAgDwYWPyN+hTTg3NNeF6wFreEsoCtwAXnlA13I + Ame7v1lBI4JMQS2WhRAh7dMyQPseWDrc2fg2Jq+e3xNJAImx+QLpoN97f+2AxFPbA1NK63tE/Hy9 + +ydbAymKbYbOCdq3G0SxnDNXVkI/CLzfWfZDm5zaSTAeYuzxgOM7B6nzeOjgzuN2dBclL+ddVq01 + HdVan6Bo9vDKvkH71Lsce6ceFFBVtpcTQT1ilwG2NKZeLzo9MgRb2iyaYTfy/34kJv/cnttze27P + 7bk9t+f23J5bas+u2/c0M5LYK7iZqRVnNLmd3LY/fbt+V+nlmzcFUTY2AnkIhSLrcpiKI8wn2VLo + Fwjd6ZZGEQ+ay0JUFYU8gV2VqJnHr6luj9fH29t1063x1ujy8ObDh2KHwXuyKkHbbERGrpJ6HB6G + 50vw9t3trX6yLrcXD6czVXAx5yM2fP/7H28F5cXl9HD2CK9hFfXol3yoInneIo2EO3J3rDIaVEB1 + xbJGeW2zTxkrYTWnLcDqR9+YF2mD3EAFfDKfeq/B1/PCCQrZQAqUFUXAxYok2rBGyK6w+xDcPSXQ + ccpyiy6v1ytucjnNzpjstZlMUSuO2CxRxx0EQti81pX6TAS0QQhSgGK5sWwZmb40Dj5qqPS9P/uz + R3n38vWHxJWZGSAi4lERbrKsHacojXIKF74zw4e5emCh99i1Y6N/9ttOv1CKYlMm4optgww/94AZ + ANyu79bHH7y7Xd58tDx84Tj4/cj1QNgI6IGGaR1a1BnR8dOIwNsIG/XMdX9K7tOq4iGJwz1nf4je + j/Qgxu5ztnBwDO8w3Q/s7tWUFlH3vwDwVAF3nczOjd2Axyvnu4yGfXOL8+OFcFt/8P2PH88vt5cf + PpwKg8ZZyb4pAiW0BhBq1M/rLyia3+Ku29K9JuHf89IZzsT2J0p/HtAPvzalMPIQBP37GOOMdv0a + zyde5/friN3G0e9PNw2GVmCFX1Yog8rWeUvcxSAv+EC+MUZ4BABYQQCNzuzlDkdnmaS+e9Uzmvz9 + PA2FJrYeZVgMPCt0A52FsJIV8r4xrkBZsWxeAUMgNzDbmTPeE4kVwo7CJQJ6l/IxCDoqVuk4kq6E + 71eC+VBH+C7l1BewB69q0FMSx0eNPP8/M8J76th97wxwwr7cxXC46u5B3yZGVBJd1+uyNgiBTz13 + XVVFhTidGpyygwIy2XUbUbf7yWSanibRU0QGEgW1D9A8BbXP1Lr31jtNYiMDaYTc3nVAOd+NxjTy + RPJln1JsFkprrVZiO6wv5qew8vZ0FKccAJi3uSgDk4BUHPBH5PvP7bk9t+f23J7bc3tuz+25WXt2 + 3b63RVSIxbfY+RcKqIp5A9u7xz/4oz/+P95ef+4vfPv8+s3Lxc68Xdy+AgPumEj1HA9sgfTx6KfZ + phnuG3cwEhpBGuqyXt/9yXc//pPvfvyDj6+P13Z5ePnVr//UBx9+pVtBXCoaHq/rQguPqmIMskKG + 4saHWdEgvV7/+I/+8E+u328P/Au/8PNcLxb3i21dP3n7+9/559dSX3/t61/+qZ96uYAFxawa3bwb + oKB0h5IA7Ae59BcblJUhPT5UwxGQfCVK85xTYFRUWbQVSxBLmcI9gGb4jC2oZhiFZE4DcieBAiqb + tFrdMpRVWK/gtn3yycfbC1xeAe4O52Hi7f22UzPjkIrFqd05cPLR3321pxrctAAi0PYvvvOdP3n7 + 8V/89l/+6IPLywIGykIop+S51cmWj0HFEIcL8KjxVIViZ1ePDGUN49sWady181jwsvjJS0wEqlQQ + 59GrqtoZfmRHZQGNoQQun3zve//qj//V7//vf/Rzf+kXv/GzH5x4rO9MNGU3wE9bgOhg8lfQBKMx + jzZ1Ft5eciQNP9L8js/sL+wPvuduyq6C7tIIf8rR28NBA08e1whvO/J+gHmsoDvlYonHKKNIpXmU + MmGiY1l2rxSqoNv2yZ/93u/9U33z1Vc/9Qtf+6mPXi98Xh6gjcCL8m1tKAUFj1etBfXcV0ehpDSK + 9oa3t9o5aQUgL5RZwe4x7KhNP7T3dn5sYJFXOh4VoQec+z0UpOFZ16V7np9Exidbvjk8bbF36EGP + VC3Y0LagvM4Mn8EE4hYOLSu3qQTxM8F3k9QoVz6/nejA97QDzvjq1QnS7ksJt3wvPmJpFb2cAgAG + LbaXE0GmTaFEnDl7+FgH8sfxWQCar3jKs4hPtlWq+9jaRBH9BMkSz0aZtoOiaRG0jqe4yx6GfiAv + 7uN3aXe7xp/ay7qp6NbWtRKXUonq+vjY3q20iNQLXV5cFghBFCLCXLKbcjfTkB3MUB1v6ljUx5b5 + YGwtDKQzxl730xyi5VOYFzL/2t9MPTZ6dp8nPucDGO9ybQwaWxHVwvJ3G2ipNyOaQClVESFiEVnX + jfiEYgX/naO1dW2ylbOdy2y7S2NHLDirz+jOP8t9jJ+L5p/bc3tuz+25Pbfn9tye23N7fyu//du/ + /f/1GP7cNlIRKBGFvUxWV66RNlLB9d13v/vdv/13/+7f/q9/d1O8OJ0/fP1RZTM/lYj7Ac2cqgre + GTs7o2Zn2kxmSxh+5kAhAF4jta3bukLa//K//s+/8zt/57/5b//Bf/f3/od/8N//w3/0j//RH/2f + f/Ty5cOrV5cXlwuhkDLApRY7tKi7rZS4gTYUO+8vDhOTj//vP/47/9V/+bt//+/9T//kn37zWz/3 + hVevH8oJituf/el3/tnv/Sf/6d/6vX/5++ePvvTyoy+/uixnstNmLKJKQKpkUbzuUqHuhuxTJyGy + ENTu6KgbPMK2wgzwXl23mvuoZ6oXCOkGbSAGVbFgsYiWoajGwDCAMYgtmiZ5o8IvxHaaCRV4rUYm + AvG6NgiXQkR0e/unf/wH//xv/q2/+Z//7t//J3/w3Tdf/fbXP1hOw0kTRSLA6qeEG3TdQeJFJZRQ + uBHdQNUOeLLzfXhVrISF7Swjd1Sscd5LIQXktn7///mXv/+d/+y/+J1/+I//x7JcPnz9hf+XvfeM + kivJzgO/G/FMZlaW94WqQqHgGqbdoIE202baDXrQPdPDcZwZDcUdiSOSK5EUf/BI56z27NFKWi1X + ErlLinY1NDsUyeGY9hZodANt0Gg0XMP7KqBslktv3ouIuz+eySx0z3BJ7s+8BygUMl++jBdxI967 + X3z3u11tHcJEnRqg70QUlkUP2kWR+4YCwRKaQj6pFRWsislLscCuZQLhj0YcF6G+M0ViF1EC7SpX + bvByBgBm9pVfq4JZECCoVqsZbaRlQZAhKAUTVCASASrng/jMRyfe3P/Gs889b8hu7+lvae8IsrtF + zMGLgVwScUXvYBsg7u+w0QSqs/BEVDiycc7FHtFYH0OHRbACPevYb0On8RvgShECm6smLxoUOxpB + m0YQ7Sbo5ONnqP9ntTBkgA3FQNIqYdhoseDoMIQdEmOyFLWaADBFOyIRrG/AEYNbAGQ0NCAIQoS5 + yRzWutM6LDtP1JiUTAbV3MKF00f/n7/4y3NXp7NV0T+wtsW1XMGAzszMnj177tSp0/liOZFsc1zH + sSmYtsQM1oAhEhGfFGQUDIMcn+IiThrGA8GQDNVSQKsxlpv78e+HpITuzaZhBRcmYvqGU4NiOAlR + 6rYMINToGNMwXVY73qrBv8kxGn6GHwoA7eD8EoIEtBVKXBNYQJAihDXBOKKYCygIDjFOXj1NGTEL + NeorDvUQ6mnshI+JoNcPD1cVjiampPqiKyIkkEEMKxZyRYDeRmukCBVlGJBk6o4I1KKxpbA4Q1Qn + S8CnENQTcVXKAAuOYD8R1+aK1/og2yRQ7Q3YnAwjQgDYCvZd0XClUYU4jmR9KRrwn2zU+E98U6Oo + 6xtXEQ5xZnBQvlLDQBAR2VLYUkDrar6w/5XXXnrmuR/9+NmWjs72vgE3ZTPAZCAgQqHqsPoteHWu + RNiM8GYY0KspehSJVqvw1hw/V4St/SSsNYJNG09cP6LhuFWuSw3Hrj4y/K7GQvCRb1BYqpMASAMR + PwaJQEqIDUhH3WkBDjdscMY+EM20hv1UDvurWMhPXp888uHRlWw+m89nFpek4yYSLgAhhJQiLiog + 4r3KhlnauHZT9I03iY2v+k/Tmta0pjWtaU1rWtOa9g+zJuv2pxlzGAdxA4EqDHJYQ9cKpdy582ff + OfTe6PqNW8fXa7AJ5F0RAb5RIBMgWHWtyfCJPpQ8AEAx+hM97EeJkxxBn8HJAmZXCJiEwQUsoysL + C/PHj77/6isvFcvScrvb2tu00dVqKZdb8WpDzGlBMrwiEUVqCMPTuHy4iaMmGLDxiisXz5w6dPy4 + buv40s9+S7NlWAjW1Wp5OTNz9IN3qWe4f/uO4S1qMEC8DEJUSxAgWRNZDkVlpxmkqCFC4wCZVZFu + rUBUabyOJQShfBiWC0QVnqO2h+1syFuP6LQRaIIgTKc6ZCsIhqDj6DQUwCAd4QdRw4QUwmOO9V2r + 1erC0uI77x06Pl3YULK33fu4WbsmxMbqkVoDQBM5TRQZM5EIGhczNiNn0ICp80opHlkbce1mGMDX + XjGfWzp15szpydmxDVu2b9oq2ECHoBXz6q8NpIZDOb/ghyGYOlVxVRvibo3BF0ENFxaHzwGSXk92 + 5ZCGahrOuDpkjcnNAVphiA0DYEMkKFDwiCcJM7EBsJCZP3fm9KF33x3dsPXOewoDBhEBGhSfqh6Z + 11lXjV8dA5z1uD7um/pb8buNZKkwC5yjTuWYV8wIAe7w0lbtRzTaqrm+6rWb4LO/Q4BP4eQUgAyG + 8uYPc4zDENeLBX7SiUIkS8QzrsH5qPF8EczDEVgfcSEjhwtWOxPp+kqSSvlLi7MffHDI7llU6dFP + P2CMgTa+hL5y5fL+Nw7MzC1s2LKdpbNh0+akK+vfxoG2idAQGnAR7AYZEBTBAxxAsgbXgnYoWBTu + iwSXdBM6GfbFT+tl/oTXVl1/yLqt3wropm6im16T/Mkna2jFT2zNTf4bfwMDmmAYMkBwLYDgS1TA + SSABASXgR34JVjA1kE1w/FAWQ8ho4YnAzngShWsXQ5r6UhuLf67qpJtZzcEFR+fSEEKgMa1AQxpI + AZaBykEgmCNksByLsEnc2LfRjkPQVA6byBHULBDJFpGpE4RjtduoUNvqrowHKxYFIhgJ5mCZpfrN + t2G0qGH6yIj1u/qkq3ujsUNv6rbVh0U3umB9ZmYAzCKQXQdJQJPRyrty8eI7bx04ce7Stp33rLvt + jlZOSRHsncXiv6uwwvA7iQwsCjFxEyexRDMhlNPg+ryIa3TFFxHAkRY3Dnp0bTdd1eobyU1LHOHm + Y+rdGx8nPtZBcdM46vZVvcoB1zZk3VLDGYLLidpYl12JPmq0UvPzsyeOn3j9jf0ja9d19/VK2777 + 3ruTqVTCtiRRg4pIMEw62C+JpRv4E/i29Y5ruE187Jqb1rSmNa1pTWta05rWtL+XNaHbn2qRyi3z + qniMEKRb+jWvrLRPQrrJVLKlJZEUFOAMmgVCsbQG6LYhskIYiTKYw7BqFY8wCmVNXXqQGwECZq0g + ZJjSZyWYi+dPnz5x6N3zZ87dfvejD+3+6ud2P+pa7EglJTm27SsjbAESBtC+sm2LIrSEV/8BKMwn + 1R5UWZia9pSvRVtHfyrlGgNhjNE+mRp0rVQpZbLZGhOJOIPcQDIkg4XRLCLVxyBI1QAILqLYnoPQ + SEUYpQVABoq2QYwfVFEKYTUBhPwvGYdqVFe3rSedhr0YnDlQVFzVfxTwXAHAhMVwYEfhHzWCw45j + B1qz1Vql6lUZsGzbTaSSqbQBeXyTQujNFNXojbDET1BSJabjUeAGgkEK4EDMwQA6lHWQAk5DTKpB + KuGgrTUlpKxpqvlgJgkY4xs4JEK5h0YPBkVdRkAI2kap3yxWHxxbEJZ+UrkVQgRjcdQsjityx3gw + 1eNWDiJ0YTuubQEM1mDjJGwY+MpjOwHAtiPYnqGNkWASQivfq5ZrlbIUMplI3Ux1YxPA63WoJ75g + DnKiNVOUPQyKeGYho5YREpCjURJgO2TjEjMxQcW6HaHzrErFNyFZOnwt7KjGdnBcAelmLujHcdtP + wg7rFl8Fx+xHA2EgJBQ1OuBNPQRiCBEOt7lpAyr+NXAC3fgaN3Cpw4NDnCjCbSKM1pLxaGvA1+F0 + lAKphOs6slzKW8mS7yOVSpGA59WSFp/66OT3//qvV7LF22fm3HRb38BgW0tn1L0GIsTyIqA9IFAa + UANfmhjSB0mClLAisl7cXav682/BT35y39cHNOyQcLVCg59TjGpRtH40yE3w6pOt+vUTMD36pFd5 + 9VthQnvDLoao/69hDQIQ6gFA21E5MsCKbjpoQKbqnw5ubBFYG7BUo1W3sWkfwysRnjCaCA3XKMDB + ktYw4epXt5rQGq6VIX2WHEAEur3x7iUQ3DVEtF1kRDi7KdLqNQGXs+FCDCAogqsNICMJHwkNSAMn + uDE1LF/cgG6H0C03Dk8MzFEMhnPA/dfx0rl6dseccA7PH/e/gCUJJDjY+dRG+dKSthQi6Uoi7fmV + arlcrVZ8X3FAsq0XZ0ODZ0RLVYDOBjk0CoGIfLiGxR3IaATvV00fRJU1g0PDRT8GJrkBFl89uT4J + yfxkP2983qgP+yedapVAVDTTORa65cg1RMO9OG7j6q8AiLXvV8vFq5cuHDjw1n//73+9dnxj//BQ + qrUl1dHR39/f29nhiHjFpmj0dWPro+FrfCpD3Ivc8F0/oVua1rSmNa1pTWta05rWtL+zNaHbn2Zx + ijKboD4MglAzDBSIpQQIhtlTuub7ng4zQgWsuGBXEKIRhbgL1UHEeggX4ZINb4RhFZtGemPUrJBu + ohUrJssywvZ8dfnc2ZWpyc6WxN333HvnvQ+MjG9wTSXBZUgSjstkedpYQggJIlkvooO4JfEfogBC + VFWLaz0d6YTrFqqmWFJVDVcAQhAMdM0iJW0BxzV2ghBgsAyhYTEEwxiCEBwgPhzAqAEYZhEsxDy2 + IPnRiQGIICE6rB5zU5pvFFFR/CvFmBJERGCOYqYo4X0VtGAYIMQlvRjSBwhkiThGDbskQt0YAGxb + uAk3mUoa5prnVT2fyJKrBERF9LMRdjHxGwzSEBznBofHKUg/BCIRovRBDrgEgESDSKWCX4Gq2BYg + hA/LM2QYIBZSsIE2YYfVEYK4v8KfTKFUQvjSx4LLRn5ZGBI3DEAM9YoIcwm1n0GrcI36CYNMc8AY + bZRvWYEYqPGKlaPHjv3guZecdNeW2+/64heecggWAQxpSSIL0Noo1koSWUISCd+HcEPEImKK1zEg + 0+ggLENEHgrgUPGYG7xIgIk5UjOgGMrlgG6lAcXQ1ADdBhS1sFfDkF0HY9qYS1+P4hmggOFNaPCi + BmuE5CiEZRttlWvFaFK4ikQF12TEoV591vpCEhPs9SoYpeF4olVJzaHrRZKX0SmJ6lIJXD8QgDZs + tLYdEAkLZGA0fN+w0UJoIQyRYEhPAQKWbbGprl07ct+995z86OzoyMj6DetTLSkRd29IZ2bA2JCB + WGtQcwsMS0MEZDsKlhMlYNmhhsCqDripo/8+2Ak3/BJucrAJHZpj+ZeGgacQgPpYI7gRGYvtk9v0 + 8Ve54bdggeKQ9R64XkNBR6oLPzMgIJxACtwhnyEZUqNx3TcR2Fp3mjqgxgA0OBAY+Bh629DSRrel + OrhWP0ZAC/iAxYGgQn0uMOrCrCF7Pd4RIgCciOByDnPd69inFaTRGxjUxWEovgiOuabBysky2OIz + 4W1HRFXxVNDQ4J9w8zCa9QgmcaDTTeEbN++OAaBA5YMReupqFnBwQKBXUL/Jxjf/2DtEIPotDIwx + gfSOJSiCJ4mIYEmyQ0+I9ak/5vIKTEwyaLQMt6wY8SMMECK5UapElO1RdwACGIbADLvR/xCtQDEf + O76ihgGv334QdWb4AkUD2+hpq2ZOwyIUekUDdLtK95gQ3E8h4uqEDdR6jh4vbvZZKUUq4Qz29awd + HR1du+7WO+4aHhtRZPqHh9Nt7UIIGG2YpBQN7TGN/YNwu71h7zIeilWrTeMuT9Oa1rSmNa1pTWta + 05r2D7ImdPvTjGLAJKpnRXWOHUPoIHpkwDA0s+KIcROStMAME/CCpCFwGDlF4VHwJocpz8HRkexk + xMlVUIbZIRkATLGEAwhgrZkJtgYpZRZnZ6pLC2nXHt+wcWjDplQbkirpGoZlGVCFhdJGEIJ0SxgG + m0DYthG31SEpCWAN+Bb5SQuWlMx2xSNPwbiAlIJYsC9IQRDbtrbCgBI6EHplQINlDFoEnWQQ1kO3 + GZaKokY2IBX0csBjlPCBCuAYOAoikrerI4gBsAwDkIFEAGAEYSghhLqjQlI+DAMOMUyA6UEDEBDC + RINBfoCACEg20QCBV4FpBAYLSY7rMMHX2lcGJEUcsYXCDIhVMRhBH+ooDCUDqQEPQL1oOgz7AhWQ + hAnVKlnAb4BbmcMyPjAK7MNUtKoyiIWjWHLgmoICDByx7zR6WhSah0IR8a/RwDQcrAAKuGOxoGcI + WWifSbKwIw/lEDGMardzTEMNTmYCnhvDhGQ0E0wGYhhdq5YvXTj7wx98v6VrqKjEk08+JQUCHJyE + gBAwPoGlgCVAIDaBiEIc7YdYHuAA0AxzU7EtCMBjVA2MBAMSMWQOMEGRz/AlLEAyLIq9H4BlGF7w + FTEwETC4tIlAMlYQKsCbTAOkXW+ACUrvEUASUeEkA4YRdXArivaNDuHThh7nhn0Ihomq5wXwgaUi + hWSO2WYxfhsiDCEuwwBxJCrSiJSE6E240NXRBY6OqF8S6hCNMWx0sBpFxEFm5oDraCJ2rjYG2ofx + pWAQGbZMoDIpCMwb1o8/8fjjg4Mj67ZsG1+/Ppl0G6APDfIBgLXQdiC7AhgDDYZUsAzYAUsGfIIF + aAEDQ0aToGh7DY3GzEwQIlQUYWYWRCBijoBLrl8nDAORnCk38O1YMBtFjNCZmLUJhXGihPcG9wuI + np/QGM2KIMKi9qgjPWwMCYq7lRucKn4FQLTDZyJuqVDRik6h1DYkPArEcAEYDVJAjdhikVLRekAA + mCQRQMQRK1PUJwEC0WHDgAyKbLKBYUgRwqJBRophIJKqpXBuRSs0wVBQU06BfIAYkmP4sAEqZBBz + RO8FOM7kZ8ESGkaD6/sTwdYbJMgwaR2RK4ljL9VBcomK3YF9sIGRkI1KMSygwT4MG2I/VN0NRkUH + fdswmQFmHXyGYRQsARYwJsCADaBhDAtpojJxUVNNUCeMmRgy/m4No1lbwQBxIPKNYFoKsCWIWDM0 + a18SbCEFCEKwbATG6SbnIgZYg0PoVkebN+G6wToc8MCb2ABsRHgjlcbAKBaSpa1DKQljoIMx53i8 + gjUgYhZ/zL3j2yBH24cMcJQhEVy8MMYwyeD2YEKfZhGcsqFOZ4yLxmAo1ecjg422LEXh01Ydcl4N + 3catjvQNGALkWGsG+z51xx3zK9WN2+7sGeyrGG9odMyxg/6N4nPPdAAAIABJREFUwOmwj8PcneBh + CdGDmgFE4E+RXk4wHQI3DAeZ0ciIb1rTmta0pjWtaU1rWtP+3taEbv8WY4Y2kFF2fQN9woA1G8XM + IMu2E5Zlk0SAUFgNQYRRUEIDxpIN7BYGAM1syDCMCPAXY8AipOEwM8FAVLQiT1kJV8QNimkoJAQx + iyD01VAMH0axk3CkQz7D1uwaggwgAWOMYlgBqdYYTcxEEquyRKEMNLEM2i3hSFMuZlkZN9VKwo0r + VQtiSxgYn402JorBCLCicjgGxhiGiNMgjTGGQulNrVH1kBBh2AVjguuNoCwF8kBSg0OyFoc4rxHw + CTYgNeCzIYWkNvDDStAmGBgYoWWgcafJrylhgwWUgUWQQgZsqKDTIwJNGAaH6GcolCECbIsIbFDz + Kr6qBb0OEswwkeqDMoBSYG1Zss7a1ZrZJ6FBtmFhyAqO91ij5re6CQdgqIrvkyWTwgbF4ofGkIBm + R4SoHwsYlpIJlgSr7MqSMSydFIQTNZUhQu5ZAwoGAEozwEIKgmHWYEWCYIRRLERYuiuMzKGhfZCE + sDSTYRiGDIQQWENVPSPhSpZCMozn22REA8XXMEVoMLQCKd9yRAi4kpDSloLg16B9gI3vK6/mVWuu + 1gYCQaWxkILOYGO8mmXJpOsIwGhtNFtWjFoFCIUO9glYkKdBiCPrsDlgo0kH4KJE5LgB7qtR9T3p + MtV5aAADPow2wgp8wmijrAhnDUUHPJCAtJl9jywFy9bGKBHhZByRjBlaM0syJIILIgYJKF8xtG1L + ovhoAWbfU5YFIWytWZAgGUqlBHxCzUZplQgY3qxAwhhZA7GAFQw8NBs2SkshI6yBY+npELo1OtjZ + iIAuKAPWOpADIUK8CaGNCRcFXsVrDjSfjVY1r+YmXJLEWgsSEBDSMiR8oFo1KVvYBCKhlNK+J4XQ + DMOwbBDBMEsp1m8YHx9d/+Tnn1ZWQrt2feCMZtY+s+1YZLTyK5adANjA8iGEhjRhgwxsA1dCEDRQ + ZWNVKsZxUraMPYQjeJR9X0kphbQY0ForrR3bJmZjWEoBw0YbIgp0qH2vBpCdcAM/8au+69iBl2hm + n1iCBMBGeZWqLS1H2rAsA1bMBLJj1m1YMDC4sFg6A57nCZKu4wZruTFaCMnMvq9s25JCAEJrzcxk + WdHtJr5nNCpvxBtYEeYY9qESwiO4gSCwVspyDUhpZdhJGJJaw5ZgA98zji2FINYsjJFkgpVYAXX/ + VAqWzdJmQGs22peOrbVRSjuuo4P3HYDA7IuoImUdSeNwVQ2STYz2qYEgG6W0aDbMhknKOnZMUXFK + A8NgGQ07fGgTpkyEe51SwtJMDQBa0HhNJEXcV9pAhuxYlmCCNkYQgQX72iffSEdagZ8DBlrGXO7g + MwQ2noZwguQHryXpAPB8z3EsSQasYXzN0lhOnauvNdjAsdgYpcEQJAkyWGkUKc8iC0bBEMhSAsF+ + mCASlmVM1VdVValKQY5lCwgIoYnqqvAQzArMUojogQKAgVHQ7LPjWUQEh4OECAOjlIFlO+HdWrER + WlkCEtqw8aqsfViuJW1fGUFaSijta/al1bCwcrC4QckoS8gYDvbbAq83oVi5FYLRwQeUUUo4wd3K + 1GoehG0nnAAThYGEITIy2FHWAmxgIWLThlRaAZCG8VlYDELNU4YFOxQuXIZhAkVlirZSVJwLEj0+ + wDAL1oDu7u999NHRez7zpCegLXD99gspCCzqqvHMMJpZke1EV6QYPsM2RjZKdBvDxhAAssIPGm2E + FD9dZ7tpTWta05rWtKY1rWlN+/9iTej2b7EwKFrFSYtIcSFFlZTilWyhUvOC7OhsNr+cWaoUyx3t + 7QN9A7ZjkS21IN8oYeDUgYpAIJY1m3w57+cqXq5MPrupZP+aIXKklvCgSTqOK4mIfWjjC0lMmgyR + ISJJkrVRFa+cz60ISMkJv1ZcXl5czmW604NJIrAN38AWliRBtjGm4rOqecVctlYuKaOdZLKlrbW1 + qysIVaSANqyNlpIAFvDJKAAEh9mJKWVBrfMgzpSyXswcxguz1KUkJk+pYq1QKGTn5qbb2jsS6W7h + tKbSKWlDumAN8hWEAikYVfV9Le2EBcsKRHE50CskAjyg4nukddotWyxrpg2WAAlhaVOtiSJrVxV8 + x3Sm2iAJmr1iodTiJkg6tiWKtVq2onLZrGVUyqZ0a9pKJoXrSgmbjQwyzoUJZHlZMykDonKlslws + V2o1Qcq1qbMtyTDLK0u1WlVImWxpCcAgA5CAsCwKsqo1fBPoqGqS7JcLZQUr2cGBnisgSbgOOaaK + WrWmdV4pTrdYImmzgtEgSGIbLCSkYV31ilWvBmIn0Z2yLQhYlE4lQeQp1hAB3MJebW6ptFz0iGRn + OtXZ2pJIOQGHKRACNaxlgPMEChyQBFpcWs6WqoWqFpbsabEHu9JCGAjLMHFwUQztG6MqQldswZbl + aCk0oJUprGR1Jc9KuZZoTaVkay8nUxGFClJCkk3QYM0MQChjWHtOoE2qVaVUrJaKMEb5ulzx8gWl + hGyRlHDh+T6E79pWwnVcWyrfEGBZDgnUFIrlYn5pLqW9Lkd0dPUhQUFKNxMUw47zWZUHUpDkQxUL + ucLSfK2gJZx0sqOnp0O0wrYcH14g92vYSBWWnxeWYDYLucVCNkfMKTdhp2Anu2EjIWDZgIbxqiLQ + mAYJYZfLXm4lWy36rGRCioGeznTSkZZQDCYikDHQDBIIQJCYj2a0FgIgYVsWCQFjvFotly8WiiVf + 6ZaWlvaO9lQ6BRJEEkRQPvwqPF2RybJssZKQgPJqaZssElIKMOAr1MoQ0I7rO26NQEa7AZimVK6Q + t5NtbgJGBViDWVjIFfP5mvJaO9ra2tvSLan6JlWgJMFcrVa1MbYUlk1CkGPbhWKhUCwWc7mkJduS + ydaeXp1ICxKOG1a3soXQzKwVjLEtx3FTEFAGvla2BQhJgr1yhZNSSlsxNEOyBnwiaYsUgZi10aXM + 0kyhVqtok0p3ticSHQkr2GLSbDGlBDTBB2pEqYSbNBqFgr+UXah4JQCtra3tbW2pZEpaFpi0MURE + giyyABjDHHAmgXBQ2LBiKUS1VlteXs4XSsYgnUx1pFtbUikkJQtpAJ9VkoRLJB1HgKBVqVQUiYRM + JrRGMZuvZIvMlOxqb+kOZ4RmYxExs1Iql82Vy2WlNYFaW1v7+vqJQCDLsoOEeWMYCGBnispUBtOK + CWyM8j2tPS3JgmvDsavaK+e9xblca6pzoC/tOMZ45Wqtkkx0krQk7Fq5UCpkFnNlY6dFoiPV0pZO + plxL2Lb0NVjDtWSwtQcdysCGO1kc6gCEGwDCkKoVczlhO7aTrHo1IhskFhZylWpW6YrrWi2ptrZ0 + py3tgD9sDAAIIY32AGUJR2vP8+FVTalYLpaWC8Wlzu50V2dfuqXD+MqDYUcqYtsIQQIeqtr3icm1 + ySJAhWo82gMLCFt5pmSM8iodqbQQkpVvWQywUb4UwjKoVGvaaJdYOElmhiJHgBmatWCsZAvl3FK5 + 4sPNWy09LS1tbBNJCGEHFHcNtljDKM4Xy5Q2iW5mSAKRmJ2ZVUalWlKWJVpcuEkLggnwDHwB9lAp + 5cvLc+VaxU0m2rs60+luZlIanoGxFQOWbUXlOSWEgITxMD01VS7kWPiDa3pa2hzXtSvlcqlQChid + pp45ELttg45FoPPrV3PZokpJ05qyJbSBVH4gQiSJjNFcqqBcthJJSjkQgRg8O7aE5JrmbK7oJFPS + kr6pEMH3vMxSJZ/3XOX3p1PtrS1odRFtkNQ1IOIGCYBJcANuG24rUjmXzWazy7minWxJtba3tHXZ + yQSsgKcvWfu+V7HJRvCSYW2MTzS3tJArV1zp9KbbW+2EV6kwMQT7YEs6EvCZJYfYvqpUc6XScnaJ + UUumZDrd6qRs23U0h09wMgDvVRXMYNcYwAJZobKSDIoiEhulKyXPlq7taECTJG04u7CQK9SqfsFJ + Unt3S1d6CBSyikPZopiqH1G7hfxJavJNa1rTmta0pjWtaU1r2t/NmtDtT7PGZMDwqbzOn2CEtEsY + ppqncvnC1Nz8wvSNqYkbs9enqqVKd2fn2uGR4dHh3uHB9t5uw+BY2JaZGaVKeX5h/ur1aysry5Wl + fG05z1WVbEuvXb++e6CvfaC3tbdb2K4MeIDGcFDymw1zIPEmfVW7MTsxMX1l9sy5qcmpSsH3a/qj + j04ut7ZPDw6vSbRs6OodHh0iEaS/09Vr12dnMtml5fzKUqWY97xasjXd3tXR3TfYMzTa2b+mu91h + EgosYQAt4AsoYmK2GU69Q8gQNEETQCQD3VBDEKTBBoZ0qTS1sHx+4kauUl1ayExdn+zt7Um39gqr + pX9g7fDgmuGhwYSNhCDJMMXSzOLKmUsZp2VweCi5brTNSiqESgogHwuTU7mJ61PFbNemde5IX3+y + IxoeAqtcaXFuKj97tdQq19x669Z0pyBLGe37VaHK5RtzmYmFhRtLS8sLS7Zv2qTT1tHW2t/ZMdK7 + bmxth+NII2AYNgsymuF5XjlXmp/NTM7O3pidL1XKgryWlLVu7UDaoWIh5ykfgBBWrGyIQBCSBZjZ + BNIApLRXqa5cPHfixkKhb3RT/+im3t42AALkCsCvcub6pbmlc4s57h3aNjy8vqMjKQUME9iCIZiF + mZn5iRtXpueKsNsGR3dtHx1yq2CdcC0G+ZoMrEKhNHnt6tVLFydmlhfzFUFWb3vbSH/vuvHx7oHB + VIerEQgqcFB5ho2uVmpzs0sTk7PzmeWFXCFbrEpLDHamxod6Bnu7OvrXdvQPGwmBIIJGuVhYnLlW + yC5ysnN0y6cWi97S1MT01fPVlQVVq7i26Ovu6hze1Du+ze3qbHHDD0oJo4wwmiDDcnuGGSa3ND95 + 9cq1q1cunT+nfb9YLF2duLFv/1tJoM1y2trssfV9fYOtri2FgAAHqdOVcuXypenM0szM3PWV+Rsp + 7fUlnZE1Y71rb+0aWu+kwyR9It/mQI5VFwr5mfzc1NzM4nR2eTZXy/sWJTpbe3r7+nvHeoY2D8kW + KQQ0IDisQFRdLs3mpm4sTU7MX1lZWrZA6WSL2zrU0jk6PH77hpFEUoIMWCtYgO8VsqXzk3MTs9nF + hZVCtswekrZct2ZgeKCna6C/fXDAbk2KeCVhBCn9zKouZA0BYhK0tLgwN5uZnp6byywsr2SVNm3t + bb39/SOjI4NDA109XUopR/koF8+dPnu5oHJu55Yddw91SCdaFYJTFldWrl08WyrmZWf3utvu5EQi + ZNlVyjNzmcPHLw2t3To8PKB1dXk5s5hZmJ+dy2dznl/r6u4YHRsZWzfe1z/gum6w5DG0V/OvXr6a + z+UFYXx8DEbdmJ6enZ/LLC7mV5ZbbKu7Nd09NDy0aVvbmrVJO5T4lgIEJmOsQP4iVMyAYYC5sLw0 + f2P26sRU58i6se13SMeyKZAS0WBLKczemF/MTC5mrmcycyslrupES1tfX3vfmu6hdaNjHX0JSgrI + FkYJqALValUtzC9PTRVn5q/PL8+WawUhRG9375qhoTXDa4aHh23b0UYTIARJIdhEkCgzgCALYWlp + 6cb169VqNZfNZhYWV7J5huju6OpMt/V39/atHWpb0ydaUhpKGwNASLEwNTM7PbOUzQ2Or2vt67t8 + 6cr8xHRhbhnA+PZbtnzqtpa2FlvAKF0oFebn5m9MTS1kFvKFfLVaJVBnZ9fatWvXDK3p6e1rb28P + kCBmUCidQA1K6SAwG79WKi0srJw/f7mrs7d3zaDH5sb8zOTV2bnppb6uNdu3jmy/ZU3K9VlxtVrJ + 5fX83ERm7upSZmJ+paBEykp1tbd3DfQODA8Oj64dUQyScAUEC0CwryBtSGiNMKOAyLBRgQ6DMNVq + 4cTxo7Dc7r6hto7uUsmfm1ucnJgo5OeNKbmu7OgcHBwcW7fulu6uDkcGZHMGCQNiY4j9+Zn5qenF + qanFXL6cz2dy2dn+vvaBvjUdHb1dnX2tfV2dQz3GkgKsNM9dn748OVnyvMHR0c0bh1MupNAQgDbw + jSpXTl68OrGU8z3vvl07h/p7EeqKELPRXmVhfun0qdOO7fT39t5yy1bLEhISjFwxv7CcmZ6+kZmZ + yS4uVKqelWhNtna2tnaMDK0ZGxnp6uogGQj9MmDg165cPD9TJJPsH16/zVSLE5fOzNy4VvNqbe2t + 68bXjg/39SW7QMb3vPlceXI+X5y77q/MlxdnitWSk3R7BvoGB8cH+te1tfXZrcIwB5s60F6QeT8/ + O3tjKb84P3vjyuXiypKm6rqNw8Pr+odaB8qlEmsGiIk0USN0SwCtEshmeNVCZvbwsVNeajA1vHX9 + eL8j2dEGZCBtIUn5Zmry+sSZc4lEqnvD+sFtm3SwryOha9WJ65kTZ69s3nprT1eCdX4hMzMzX5ye + K+TyXlKr4fb0LWOj3evXp9f0KxkWBSXEJO2oVQQKElWM9qrlzNxsdmkhm80tr6wsLCxklnOtnT0t + 7V0tbV1DY+v714wO9CaZwWzYqHArRdP07MzUwsJiLn9jZnq5kEtazmB7Z1ci5dU8n1kLQY49snH9 + yPpx17YquVwpM7+cWVjK5ZdzhbnFeaDa0mq1tnX09G8eGN7e1dPV2gKyINkEmhJcKk3OLZy4tNA+ + tCnZnVY22nrbnAQ0GFqXCuWL5656NZ1Ky/H1a/KFxRvTmWsTM4tLhYqXbWmzBtZ0rR3eOjy0tT3d + 7rrQGiTZIgQZPWwCmRfEKvxNa1rTmta0pjWtaU1r2j/QmtDtT7WbC4TFrNPgryFmImFbbktL2/x8 + 5qWXL//oL/9i4tLVaqGcTiShVcK2Hvvs47u/+MVHntojpWNJQDOUFxR/vn79xsuvv/rH3/1vpVIJ + pYpV9YSv2LLcdPrTDz/88J7Pffbpp13bMoDSxiVIaUEGGms2WBhGdjn/6isv7N333Jl3P9SL1YRG + VYof/M1flfe+2O62j7b1ff2JL/36r/+PqHnGr/oazz77zMuv7j9/5qzxaqQ9sLFdaTuWdJM/87Vv + 7Xn6K+0777AtsGWrSsEyKlIsDJLOrYjewwAzMYNhDJQOZFuVBSfg+SqeujL93P59f/iXfzGXy3ql + EmljkxRsQ8vRkQ27HnjwW9/+ztr164babcBdmM/sf+PIb/3unw6ObNv9ufu+9vUnB9e0GpKB0F45 + n31r7yvvPPPCwQtnP/ONr9/35S/tuaebDOADtaq0zeLMxAvP7H/txRM97Vv/xT//Z7ffsbZ/KGW3 + tRQWch8d++h73//x4bMXLs1mVKWS8qst2oMQA1u2fuqRR3/xl76TGOiyyReCAQnNqqayK/mJKxN/ + +Zd//d7hDy5fmzQwUvoJl0fWdD9w944nHn2Q2SjNpXLZVzroD8NRkR0QCbiSfI1cvnjx7Ik/++5/ + PXDk/M6Hn3r6G7/w2d47CRBQQlXAlZlLx3/88tvfe/OoPXbrlx9/5H/4wp4Nfd0wHgwcAV2rvrv/ + 9deef/6lA4eLVvv2B3b/61/5Fo04g7JilAKDSALi2sT184cu/NHv/dflYk3LhEVWSmBscODzX3j6 + M3s+v23HtrIHV5qkRKByq5SenZ77/vd//Mf/959rFlXDVWWU9tsdDHUmH37w/od2f/HRp75iCBZB + EBxbzK0s79v76isvPMPpvn/9H37r0Inzh/a9curwO7WVjPYqtjBDA33r7/j07q/8/Ja7H94w0hLt + TUD5nksarkuQtiVIUq248vbBt//w93935saNlaWlchnl6uLb+986cvio5XlpIdrbnf/5f/2Nz3/x + MTa6Vqtq30slhNHm6tWJd458eOjwgbMXTqBWTBuvU6K9vXf3z3z7C9/45XWbBpNJGOZqtWQJYTsO + bL5x8fqP977y/CuvTFy4pku+A8eViaSTqta8Oz6961f/p98Y27Ix2ZZQWjuBxInA6VPvP/P6C8+8 + 9vxcbkF7niPIkbZI9bb2rP3mP/mVf/rNLzkpWBKAgDGlpZUTZ6/++9/6/WPnJ1iT9hR7Rmivt73l + 9i0b73vk0ce+8DMjt6yXBFtCRps+bIxWyraoDroY1r7/weHDL774yptvHVxaWvG1sR3XMLek0w9+ + 5sEvfeXLT+zZXSlVpKmafPa//cHvPf/h+ZVE77/9rd958sEdHYmUYE/7yvhsW+7E1ct/9Ae/d/LE + sTVbb/03/+m3u0ZGhWuhVlqam3nrraP/y//2uw/v/tJjjz0khX799ZfefOPNlZWsJWTCsSTxnbdv + f+ixx7/wM1/uGxomYRhKay+fy7320iunjp+q1Mrf/qc/ny2s/Nmf//n1qalsNme8WostWxO209r5 + j37pVx/Y88W1awctggyqxDEEiYSTKGpdKpc1Q0rYIsG69NHJE68+9+Lb732w69EnvtbWNTI2Ri5Y + +STAfm1lOf/Cc8/t3fvi4fffNkaxTMpEuupRe7J3bM3mX/j2Lz702N2Do2kTqjYLQMxnFp5/7q0X + nz/w4YkjCr4m5dhOT0/3+LrxXbt2fec7v9Df32+YjdaWlCLIJxCCJEEbZgbY82rHjx/7k+9+9/Kl + S5lMplKtGgNI6VquK52NYxse3rP70S89tXH7VotcNlXf8x2Sb77xxkvPv7CYyz/xpS+Nbdv6v//H + 35w4c1HnK8T8xJc+/035c1tv29bZ1u57/rmzF557/rkf/OBvSsWi5/uB+oRlO+mW9Ld+7h9/7ok9 + O+/aZQWFElmE8rmR7i4TB7itXy7kFueOfnjq3//m7+y4+zOPPv6ZG9PX9r+1952DR1i7gwPr7t2x + 6d/8q1/auGWwRTgLmZWDBz780TPfP3fuxMLiVNVTmhxDjhT29i23Pv7I7m/+42+nO7vdNDRgE8Cs + vBolXMGiUvZsW1m2gOMYTTVfO460YbILs3/yR7+frfibbv3UU09/9eTJM9/787+6PnG1WspIlIXk + 9q7hsQ23/bNf+tX7du7qbm9zbZAghiDpsPYq5eJ7bx944eW3Xt33nm8siTKrrKSqLVzXTu554qnd + X/nyzt6HbctlwaVC/s03XvrT7/3Vtem5z//MV3/lV74zPNydTAgBBWlQy05OZv6v3/69A0fPWbb8 + L//5P3Y/9lDSdTR8CUghVxYyh99849/9h99s7em998FH/uWvDQ90ddqStedNTVx58523v/snfzoz + M+dVPWaWErYtEm7q8cef+upX/tFdd9+dtKyqVikHIEK1tPf1V986emXRT/38d34tm5n6w9/5T5XC + SqVW9pT/L3/tn3/l6d19A22AyeWzx09e/bPvv3DsrddMdj6hKlqwDyVdZ2ztlq9/7duPPPzk5juH + q2RrGA1tyiWXoVRt3xv7f/jq/vcOvCmVJ7Wnqeqk6dZP3fLUg3uq1WpHe4clbBKikXUbqBUH28kU + ag2Zcn7l1Imjv/1ffmeJusd2PPbLv/SLHaOtcCyoMkhB2iAceu/9P/7Pv1Mqlff83Df/xb/9N5S0 + AAZ7s7NTzz33yv/xf/7xd375V3fcuVFw4Qff/4tDH55ZWKk5Tlu74LTxH75n171Pfv6xr/4sWh0J + 2AgleuI1P5a0ZeZatTo/M/Psj35w8M03Tp48WfN8pZXPUrotbLnkpD775NO7n/ri5z93v6+0AzjJ + JDSj5plKbe/eN57du/+D48cKpbyvarbhJCPFENKqsvClbbe0fPWbP/uz3/rmpvGxC+fP7n3m2dde + fnlucVmTVfWrjDKJKoTcuOX+Bx/56p6nvrptS1/ChtZGsIElFjNzr7/+wb/77e/teuQL47du4pR8 + 5ImH14z1a621789OTf/w+9+/dOFKZ1/br//Gr7xz6MAPf/jcubMXS0UPspJMo7PHXT++8xf+yb+6 + 885H+vtDhf9gUEhDc1BSrQnaNq1pTWta05rWtKY17f83a0K3P9FilbRAHSDMRoxFM+swLtXy5SNH + jl06ddTSeduWn3noweG+wUqhePrE0VMnjx05/K7T1up0dG6/7fbhjjRgILlUKkzOzf/o2Wf3Hzgw + n1l+8MEHbl8/3pdKolK5Pjd/+PiJCxfOV0hY7d237ti5ob9bCEEBHKJ8CAbZnoERcBPJWzaO68pd + o6mOiVMTmSuZgle4755dLRuG2hPda3vG77z1frKdWq146qNDz7zw8rtHTs2vVMY2brp108bh/r6k + K69du3jxwplT5y4c3L/XV3BsuX7DaEdHq+248MsBVRMMQAZFviiEreNuYlLGePAAD54jVWF65crJ + i6++9Nq+E+/PLmQ233X7tg2b1q0ZLS1lM9dnr567vDS/cOjtg1Xp7PnaNz67a3OHJRJ2IuU6ulqe + v3Hl8qXefMVrR0LC4gAk84o3Lp+buXJhcXb+2PHTXTs+/fg9UAQ7oHeyV1yYOXvy+Pz8QmfnnX1r + 1iZSLTC+8SoH39r3xmtvfHDkRLp/3WOfvX/j6GCvLNuFmavXp45MLO1986i22r6+577H7tkEvwoj + AId9Onn81DPPPnvo/Q88pe+4485142OpJMqVxfNnj79/+L0bV89NXLsm2sbdRDIQTCCARF31liHI + hpRIuk5PV7vriFyxcPbStW1TCzsr6E4iAYapobAwe/XkqeNH5uZWOFm5eH15qaxHISUJyQxV08Xs + 5IXTk5fPlEr5UrJFOa3tfaPJ1hpqy5VKRYCq+eK77x66dLjcYbIbNm/q6hty0x2lXP788eNXL517 + 6UVyu/o6RjakO1xXCEYN8PPZ7LUr15595vn3Dx9LJFK333nX8Lp1bT091WopM3nx6pmjR49+kPct + aunfcvvdQ31OUI1H+V5uealcyi2uVH744x9/dGW2ODe7a9eunoTUXvna5XOZuenjxz9E2wC3D46s + 2ZEQEEE6rRRRrXXyFZtazbHtDevH9zzxxOTVK+fPnn7/9BXhtneuu+W+nfe0CmoV5CbM6OgoBJEg + o5VXq3hV8/6hQxdmKpdvTHV2tz755J42V5RmbyxevXCzlT1aAAAgAElEQVTtyvTbb79L6bGv/dzP + j45Ky5JEjs0KtfyFjz568Y0Dz72yt+Txjh337rz19lbX1TV/ZXHp/cNHJiYn/uD3/+SL3/zmp3fe + 1duZZINKMZubnz5w8IVDh95KtaR333PfxvExC8avVOZy3ukrM2SFIpUgBVbZhfmDb+z/mxf2zcxk + 1q3bfNv2W/t7exwhvEL2/Kmjy5mZH//4h8ptuVc/efv20WiixHR7ExbKEgSgXCxcuHDp4MEDx44e + 7e7quv/+BzZs3KwNlrIrS8vLVa+WzWY9z3dsW2qfleeVCuViqcTtBjYIiuEySEhpEcBGK628Yj67 + srJSKJVblYFLDKO1V6lWVrLZ4yc/KlfyS4szRle23bp1ZGRMKb04M3XkvbdPHf2wXKm2dXbf9ekH + x9ePAoKEkFKWCsXMjbmZzMxf/dVfe/AWlpfvvHNHd3evDT1x8dzUtUtXr1178cWXCpT65jd+TqaE + lADBklJAlEplSlOyJRlpMgsiycbUqqWlxfliIc8Agwwbw1qCrly+uHffgddefX1xaemunQ/esuWW + zp42Q3phcfnKhZVjRyb/5kevLhcXv/aNx9q7KCDrXp+cee/dE889/xyrxGOPPbJxyybpWJ7vFfL5 + fD6Xzxeq1ZoxLElKSxBRjKEDHEgoGKUuX7p44vix8+fPDvT337Xjzk233EJC5ArFmRszHx07PT09 + 89LLr7SNrUkO9w92dFtCSjIQwq/VCtnc0sLivn37kidPzmUy69evX9+/plTIb1y/Np2yHZsWFubP + nTn37DPPnjhx3LLtz+3ZMzY21tqaLpXLly5dPnz4yP79b1YqtWQyPTY23tGZIlEn6hEJBptQmsY4 + NhLwvFptfrlw6PSVMvPk1dO18tL2bVuG12wGWpIpLYjhVUu53Ksv7d37xuHTp08PrR3d8cB9a0fX + WkLms/nJiamrVyYPvrW/qvDkl79xyx1rfMAFiGA7VlCsKuHaRtVQrSGZFNIhSF/DNj5UtZxfvnY9 + M7NcmpxdWV7KFcuVPU8+2d1GtcLsqVMnbmRK5y5cePaFl1St9vTnnmBNLKAF+9pMXL6876UXD73z + zqXJ5aHRsY1bP7VuuD0hysWVqRvXrn/4/gdH3ntrxa/NV9WWex/cPtSVTDpdnW5XR+rsufzCTGZ2 + er6np8NJJAQZkKcri5n5qfmZ2czMiuVa1yanZjKZtSMDARVUaj+bmVu+cb24vDS8fnPPyLhItRqY + Sm7pxvXrL7340t63DlbK3r2ffnjLtjuSrg0/m12YOPTe+6dPHitXRNGj2+68pX+ki6BgtPZqXrWc + y2UnF5d/8KNnVWmpUChu27y5tT1dqpb6BvotKcA6c/XSvg8vfu/5g2evL/UPDD3+hScG0zYsUaiV + Dx89cu1K5qUXXoZuQfIzgxv7yRIE4bpu6fr1I2evvPzKqydOXyRhffr+u0d7u41VuzhxZiW/9NwL + z3kz1ep0GZF2Bq+6+4YbQBSweJltKSTp5aWFDHNbvgw7KWwJQpAhZGB8bWqVWilbzuUKhUKp5vt2 + UjIMs691rVypLC1lD39w4vq184vzl6Xw7tp51+Do5kLBy1w699Hbb37w/ttZIrt/aOPOe9b3tUQP + Qqv0pBBUbfVVtVLNrqx8dPJEIZ+9bfu2zbfc0tvXJxPpuaX8qQtXPjh+6r1Dh43dMtjXc8v4YH9H + Aqyh/cL1q3sPHnrltTevTC/ctuPu0eH+nu62lKDr585dOXnyzLmLrYOjw5u2j23etPPue/v6eivl + 0tnTZ458cLiro3PTlm1r1o63d7Uzl1ay00eOHZ9fyr7y6utWopvNzrvvWm8LgmZ4Na1qlXJ5YXG5 + VPU9DeUrL9hdE0JKQWwqxUJuaSmzNPMnf/rn12YmiuXKQ5/5TFtLh6eWz57/YD5z7cxHJ/e/ddBK + jnf0jAkJkoKZyRAYwmiEu1eI9eeb1rSmNa1pTWta05rWtH+INaHbv8Xi2lkMQ2TqdZ0YgAmU5lCu + XfzobGsrjQ22Pnr/3fd8auct6zbmFhcHu1tVeWVqdvr99w/J9u7+obWDbWlpfJBaXMi8//6hfW/s + n5ia3rrt9j17nn707h0jHWmqls5euZbu7Nz39rvHjp90OvqTnYNr+7ptIKxAYhTALFgBCnASye1b + N6/tNdNrt+wT732QPT27cO2+e3Ztvn9bV/voQOfG3rYhWMhcz3x46OD3/uy7Mt03tuWuxx957P5d + OzaNjaYT1qmTH7z39n6vVr0xdf3gG/sGBvudxKPpjq2QDljE10gsiS1wvexzgGgLQDJIGwPhQ1W5 + du365Gsv7d3/yoHJ2sL45o0P73n8kXseuHX95tzs4syFy+ePHNv/6r5D16aff+XV9s3bto33tfcl + 21rbB3v7Bro75jO56xMTy/lKh7JbbJugDcqVwtL0tYv5zAwZfW1y5tr0SsEgybADrm+lkJu9fvX8 + GaKBroGRvjW9bhK1yuzKwsw777z57rtv+iZ12x0779n9tZ3bN6yxl53s+RNnLuefff/UoYkfv3Bg + fLDl7lt7Wm0GJeGb3GL++LGTr76211P6jjt37N79uVtv3ZJKYXnlxt7X5NH3333nneOlKlLtRFEu + ZFjYrK4OiaCXXMfu7enq6+t0U8nJ2cxkJpcv+t2ObQmGrqG8tDJ9fvLy+XK1h3O4MLk4X/AKjDbL + kvBQrfi5pfkbl8u5TH9ft5MaahtY19E/lEytwBd+rcaGkS9ePnFyKW3u2tj31J49Yxs2pVrbc4tL + r9t49/XMuTMfHT9xYnzH/dvv2JSWACtAzc5Mv/fuoZdefMVX2LXz7t2fe2rrHbf3jwxVq6VLJw8f + aBVvvPbah0c+tNtHWnvG+rpHrKDGGDNYW4JXsst79+/ndN+2sfEnH31gQ1+H8cunTnyw7/WX3zk9 + eej9Q8O33Xfv3TtkK4ggGFKG+pwg1gyldTJpb9iwoTXpXLt8sTVpn7oy67R2btl229e/+a0OS7YJ + FrLWt6ZNWDIUJNFK+Th39lxymboGh3btunvXfbf1pO2lq5fOvf9OdvmVycnJtw68s/PBx7q7Rzvb + IKWA71Wyc28feP3N/YcuXZy6/5HdTz720JOPPZR2RLVYmJueammRrx/66PnnX+sd3T7UM9LbMQag + WMpdunTyxImDU9NX7330qc89/bP37fqUBVXOZy/PLHcdPjE6NiptGGiQ7/vVc2fOvvnGm2/se3Pz + p+7f9fDuxx97eN3IUNKR1dzim692vvXqC68dPNx+4G2ne+3WraMyFk0gBiCogY7FJp/PHT364bGj + RzMLmae/+KU9e57acdcuT+n5hYVrk5Onzpxu7+jQxrQ4DryaZG2DJQkSNqQLAhuAiIQAERQTG4vY + sYQgFEslL1IvDZAEaVvXJq/n84tSeDt33Hrfpx+4c8ddlao/ce6MKRcuHD928ujRrsFht6tvZP1I + UPFH2hYrU8uVF2Yzh9//oHu0d/PWLbt3f27j+KaExMkj7x0+uH9q4a2TH52mjjX33vuQPTqSbJMA + S0GChVf1LGYhhQkXUgmSlpS2RUbXjFFhCS8C2Pil4tkzH730/I+vXZtat3Hr009/fde99/QPJH21 + tLg4f+CtyRuT+46dvAKrfPf/y957Bll6ptdh53nDF24OnXP3zPSknp7QMwPMAFhgkBbAYoENXC5F + StTKKprWqkyLLMtl/9R/lYtl2SpVibZE2aQs0SKX3BV2F9hdAIuMyXmmJ3WO9/bN4fu+N/jH7R5A + piyWRf/sU123q2939f3C+73hvOc558zEPifjZLLa4N6D5c8+v3Tl8uWXXvjam9944/RTZx3fbzYb + S0uLs7N3W62WlI61Fh1SBtviQAtrrdkOdoOtN+qc0Z6J8dOnT50+der4zAnpOFuV8uztBzE3/vH7 + n125em3q5u3RmemuTD5GnHMDkGScrK3Xa6tXrrBsZu+efedOPnFy38HyVqFruLunOyu5XVyce++9 + 99955+eM48yZs7/63e8cOXIknU41Go3zFy4xJj788OMPPvhofHwyFkum0qOMbfciRNjOIrOGyIAM + JDyEMCYi5/Ziodas8qh44uDQzKlzeydPVOsIqwuJuK/r5aX5lV+889Nr1x5I133iqWefPPeV6UMH + PYby+urN67f++I/+5MbN2R/82Q8mpk73TQ52xWEATgBnDJYBXJDVZLRhliAEAUaBrBE2YjqolIrl + YnNxsz7QNzhz8tR3vvPtsT6/WZr/8IPetz+89t7nd9/74ON8MvH82SdEMsa5o6zdKlVvXL/xJ//n + vy4XCsnefWdfeuXk0y9P7e1JiGZ5/f6tq1eFCm5eu/7uz39ed7M6NzE5kPMlBvvTBybHrly5XSmW + 5x7OT0xOxDMpSSEoqtbW5x/N1so11SIDzM0vL6yuDA73WXRcVszWxlpleZGCcHBodGT/FI/7SjXL + G0vnP373g1+8M3vn4czZc1978ztnnnsl7jEerBQWriSk+un7t3728/e7hyaT+VTvcK7zhMIoZnUU + ReVy88NPPuvP+CdOnHz+mdO9A73NoLlnz2gyEYNVN65f+dk7H/3ivU+HDpx67umnv/crr49mfCtZ + tdXo7u996y/eu3r5Vibxcbo/9fzgOT/lWlgIvjg3984773x+/kIgEyefeOLNN75+aGzYyuDzax9/ + fv2jO5/e2phbR9uAUhb/T7cEWCJ0HDW20/E4GQZtdKTJapJMusQ64u3O4GSMNdaAGe4wD4a1g4DB + 6ZQCWSgigOTt2/fXlixH5dyzp2fOPDd18uzGZmn2s49QWlm6e/v8+U/lwAh1D4z2HOzkAX7BTNLO + q0Xn+SJCOpXMTh0+evTo6dNPDA0Pi1jq4dLG+5+cD6z85OLVzy9cmjo02df1bF8ujjBE0FpdePiT + t3549eYj0Tv20mtfnzl6cGywOylw7eOPPoh7Kysrma7uyanpF159bXr6QFc+XS1sEGx3Pn/k0OH9 + U0f3Hjjc099tbWNj81FXT8+P3r7y4ae3ct2fDw2kT57YIzvOOEZ1tuGUNiBJwtFMKSLdqZphTBBB + KdVqrZU33vn5u+n+/OHpY6+++NWB7r52sP7e+94vP6hcu1X45NPP+kefPnFyLBEHOgYS5nEYJNM7 + Bltfjs/cxS52sYtd7GIXu9jFLv7zsEvd/qewk/4Bu53KvJPR9Tg4HOBcgATKtemzT//md1977sxM + 2k/wyB4YHx3py+wf6/3Hv//79+/Ntvi7L7/6phrpF2GT2Wh+7uFbb/14aWnlwIGp3/vv/vu9e/aM + ZGMeBdDeyXSqf2S0FkR/9vb7b7/z3qGZZ84cPSq45iZgMCQYmCXGOCEECKy3p6c3Z3vizRufP/Rj + Dx0penu69++bHBmeEiYuDLTCpYsXL1+41GpE586dfvHNv/Gtb3wz6Qifw4F59pmnJoZyvT1d/+bf + /fsbdxf+/E//dHhi9MDUIQXOiR5HhcMyssR2qNuOc6oFEWNSMEcwBxCwrVbt7uz9H73186hpT5w5 + 88Z/9d2R6YmxrsE4j2cHY3vz3eeOH01yu/HW+7+8NX/l2u2r+4bGn56OZfOjo6N7J8aK6xcXFhZW + VgvZPcbLEEcY1DbWV+YePJgttupS5it1VdpsbG4ikUZCAFDFzc2lB4vz9yt7po+N7NkrXcCG1eLy + tUsXbt66W4/k81/92tdef/XYEwfSLnybhT9xMjnwSjtTwPmf/fLdW3dnr96fPHFgT0xIVMuzVz67 + e+N6qdo6dfbpN7/17e9++1uuA2KtSA0dOTz67tuT/9s/+6cP59faWm2VSkppAKGCzwwYAwGCd2z/ + jAaMinmyvyff3ZVbuF/YKlWjUFktAd2hJWuNdmEztLaJlaUbFKysF6uToymPQwPtemWrUCyWyIlN + HTy5KQeGhkddH5yLDpsThQrNFhj7ytde/c1vnnv6iRnpeZYxB8hJdEn7Zz96Z25h4fyVa6MHJrti + 4GRh1OydO2+99eOl5bWzZ5/9W3/re4enj6a7c1ZQKh3LnJoZ6YpXihu/vPjg7Xd+fuqZVw9ODqc8 + AJCcZ9MJo6Otra0KX/mNv/frf/P1l/Z1pbocgKknTh1xePSg+MNrSwv3Hz5cXd+IeT3CgTGGGHXo + AhA4Z9x1QVYmk0MTEwnXmb8/K4Vw4smBwZETM3t8hbiBcAA3AguAthDccYTkUMDw0PBv/4PfnZqe + 6O5LuGg7+8amR/pWlwvvXVpeXF67ceN2f7+fTnVr1W6Wi6vz93/+s588fFDYPzn1a9/92+fOHu/L + +Qhq2aQ3MJD3fRk6uY/O/8H5CzfGh4dPTI85EkHQevDgdqm8kk7Hn3322YOHpru7BxzSOp1ND+w5 + eOopG3ccBqsVbNioV376k59cvngl5iVee+3Ns1/91sEDAw6HJPCM8/rrX/WoeXtu+e7svdzFa994 + 4zUegyMAAhERMcbkdsS71iCqlMuXLl5cWVnJZbOvf+1rh6eOeL6fkDKeTA0MDZ156inpCMdxiJnt + rsioKAxbzXaorCUIDga23TkxskY36rWY5/q+X6s3gkgTJARnsIwolkjMb1QyWe/7v/3bT546Mjy+ + J5HOGuJTe8YODQ/+uz/8F//7D374i1+827N3/1PPnXFj5DBLDNwyCkzQbA+lR85+5Zlf//Xf6M31 + pmIpl8zhvaP7hnsXNkvXF7YWllZ/+cGH6Zee78sOQGmjDVlypNcKgnK1HCkAYIxgpRTClZwzGKOj + SAEQRETRwtz9K1euXrl6d//+6XPPvfD1V1/O5NPcM4FxMj0D0pl0+MC//IM/WV6cu3zlTjx9zIsT + g15ZuD//6J6UfHL/5PETJ7ry3cKX+Xy2f2Dw+PET1ph43IeF1pozTrRtxrnTfwOwTPBDh/ZPjI/8 + xm/8WjweI6DZbjm+09vXlc/mTWhtxK49vL+6vr68tn708BQDAxMwxhGSE20VCoHn7T1w4O//zn99 + ct+BHiemgiaLE4uzaqtx9/bNX/7y/VK58vLLL/7u7/7eyMiw57thGGRzuTNPnunvG6hW63du33v7 + p2/v27t/ZGTU86A1AAixPQYppUnsJCzp0JrIdaReLW0y79d/5euvPH/6xLEzCT9PYJ6pJUSl+OjC + 9cvXbs/eY/H8i6++8trX39h3aF/clY41aVdkU5m1lfWwHbzz0aVrt24OHnkifdgFA5SCjsC5ZFBK + OULCSYAJY2EJUoBp4cAiDFWometOHjjy7Tfe/NYbb8YcclmDDWcm9o576Z9euX5va+Xh3bt3bz5Y + OnTwQFeci6ixfPfy1Uvnb8w+PH781LmXv/krf+PvZrtzKR8Ohb15b7C769D4yD//X/7Jv3rnwkcf + Xxg69epzM4clb3XnU0cO7X07HdvaWL5y+fKpp5/MgjkQDGxzY+3SxfOVrQIQmUg8nFt4tLR+5jRT + 0BwhF6Kwvrm0sNZotHO5/MBgv5SIWq3FxYd//oM/XVhc3bP3wPf+zm8dPvpEptvXIZKJ3ED2UC77 + XzT0D+78q3duXL89eWh86sQk9y0YccGsVkErqNUbnJLTR4/9D//Nbw/2pP2Ep0kTaR9NqOD8Z598 + +ukn0nFf+drX/+abL00O5h0WgCFFuW9845vd2bF/9OAf3709m3g/ceK5GUpleNCWQXjzxo2f/OQn + 5Vr4xCvP/72/87dPHpjsTcUNb00enTg+N3Vx8vyf/NN/uzS7xIUEY5bosVsC7UxNdiYrFjCtZqNZ + r0rBXRkTbiwyCFVn3852hnIhBVlmQkr6GcGdWqPudLmWDDFlTUiMuV5ibbU4Prz/d77/O4cOjvYM + j3uZrtGJiSPDXaf3DvzL//mf/PCz63/xwx+NHH/qiamDjmNd6ox9OzMi2n51HQex2MjQ0O/97j+I + OzyTzZLrgTFAjo+PMT+Z6h5cLv7+ZrV+687d587OWAtojWa9tL48e/umkOl9h48+c+7lkd5UyrO+ + CU9MT/HK1vkLl9aUKVQa/SMT2XyaE5Ix/xtvvPGNF19MxxPCT5DjG2jGnWyOC/eNYi324afzcwvL + S8trFlBaC2vgCt+TUgpoE2ob7LyqxxM7o20UtWrVWrUa68m9+Orr33njmwP57oRwtC7sm0jFver8 + 0i9u37136958uQ7Hg2DEyEJH0BYcADMgbexODuIudrGLXexiF7vYxS528dfC7rzyr8CO6tbsfOGL + 9ZK1AIwGvMTYqTNf+cqzp0+d7OvryaZTqWTclby/Nz99ZP/IUK/ryK2tysrqRrlcZgw2am1srt+6 + ectoGugfPnhwOpvLCiFhNUxADh8c6JucnBweHms0wkKhXirVjQHjRIIBgLHG6O3jY4CQ4B5nvF6r + REHddWUikfL8FGNCCBBDGODKlSt3bs96rpyZOTlz6mQsIRwPTABEzJEjAz0vPff0gT1jDrOrS4sr + q6ubzVbTKhi7vVC0RJaR7eSioKPgsyCzLQyzRkUGsGhXt4qrq+sLqwUey47s2X/81Mn+gf64E5Pg + Lnd5zEfGPz5zcGZmGsRWFjbuzc5tVRqwiKdThw8e6OvOhu1gcWWjUA4swBGF1bXi+kKkw3Q6v3f/ + IWhRXqmuLRdbkY1ECLTLlWp9KzAh+vuHB0aGHQ8OV43i4ueffLC8UXay40dPP7dnZKjXh88A4pA9 + PDOyd2Li+P6+hGiuFwo3F6uFMAYN1NdX714orC05sdTUzDOTh47F4vBcuK6bSMQHBnqPH59+7bVX + enpyAKR0GGMECNEJZLfQBtp22ghnEFK6MXfvxMjB/ZMg2ljfmJ9bCNsBtEYr3FxcXyu2pIsDBydZ + X9o0Kyura+vltgFgjaoWFufnCuU6/Nzo5JF9+w+NDA/HXFhjYJBKJB0hkM0feObZZ559bvrYTDqf + jycT8bibiMmJ8aHD+/dyZirV6kapFhgYQKugsVVYW1lZW93o7uo7cODwwUOHs9m84xBngLXxmD82 + OnT40IHu7u6lldXl1Y1yuc0IWtl2u9Ws1wh6aGjgzW9+48mnnxkb35PNZuE6EAyeMzTQOz42AkKl + VitVyh3iaWfbgwAKw0hrCOGAGIhDOHE/5ggZBqFSxljGOBwXngfHBTEWIWpHLWuMYEQWT5w6/Y1v + fOvI1JGe3u6Y9D3peq5MJRN79+zJZLKtVmgs67gPCMEajerC/IPNzdV0KnXmzDN79xzIZtMd9Si5 + Ar4YGe6f2DOe6xssFmvz8yvtNlQEazQjI5i2JqqUK1FkBCdruBSxeDwRTzisE8XOTRDWC5vrcw8f + mtBO7jt4+NDR4eEBz4HkIDIg3d2Tmdw3On3ksNZmeWVzZbXWbMJYsE7dbIc6tKbDzxGRFCIW86UU + WqtqtRpGoRSCC3geTyRiiWTM8x3GQCAYDRPFHBH3fceLWRK646vY+dIGWltrGKyKAmN0IplkUhpY + EBiDJdsK2iOjY08//fSTT5zet3dPNpfhjuCSpzOpA/v2Hhwb7813Be12sVLZqlUVjIKJosjh3GeO + z5wzZ5566rlnR8Ynsrl83I85nu8mEuPjo1/96lfzXd1bW9VHcwuVasUYAIoRCea40nNdT3qSxHaX + aQIVhZExEWcGMEprC8ugjKrP3r16796jZuAcnHrqxNGZ3q64K9ucEXPykP3ZfNfh/f0jvRS2a59e + uL2yJZhIuZI51GC2RcxU682tcpWYEAKcgxH5vptK+YKDGBhj2LGsAFEnzMpo1RGUJpOJfFeup7c7 + mYzH4n4qnXBcIRweS/hDw4ODQ4NM8FYY1VuB7mRTaosgCluBCiICHT127PU3vj6+ZyKXyzrJeCyb + 8uIuY1GjsbW8vDA/Nz82Oj49fWxiYo/vxxjjrutJKdOZ9MTExOjoKOfi6tVra2sb7XbUia8zGvbx + zuHOWARrrFZWR8xGMpOY3H/w9FdenDj6VLqnO5FkKQ8JacGiyurDi+c/K1abyYF9x8482z84mHKF + A0Mw0nFyucz09KEDk6NKt1fWVxfWNwJAWwAKzIAUs1oyAmMAh+EdR2GtAWWENj7nMS+e7xp89rmX + Z2ZO5TNeMua6ftxN5DLdA9OHD7z5wpmhFK9XKw/Wq8stJzIkebB07YMblz+vRzQwdebok8/09eVS + DjjAhfQS6e6ensMH9x6b2js6PFgs1x4tba2sFS3Q35vfOzGSiolGeX1+7kGrHYUW7YhgqLRVevTg + Xi6bGB/uz3dlCsXS3NJGqR1pawhR0KrffzC/sFTMZvqGBof7evIENBuVzc3VBw/vx+PJqemT+yeP + 9HQnYxIJD67DRcwdGB3aOzk5NDQy92hheXm545EEq6GVoI4XiXnq6Weff+GlkbGxXC4bT/jJRMJx + nCgMSqvLqyuLrXZzdGJiz+Tk0FCvlGKb0zQ6n8vt2bN3YmxPFOqHD+cajWZn17NULq2trRaKxUwu + v3f/gcNHjmbzebgec10v5o2OjT577tzJU6eGcsNBFGlj/oOAss5Y/NhDgQDAdWXMcxmjMFLNQGmA + GMAYYKCj0ATaaMaEZF7Q0DCUSCYZMQtlTEhkrLVRZCcPTJ996tnTp8+OjU2kMmlGcDjv6k4fOrR3 + YnwwnUlWa/ViqVqtNezjA9qBMV/0/K7jpNOpoaGhbG8PeS44AyMILqVMpzNDwyOpdNaCtkqVVjs0 + liB42Kq3KsV2vZrNdfWP7IlnUo4HDiLYdDbV15VRYVAqV4uVZgTRERP7ruzKZ3sGB9x0mvs+cwQR + MUbCc4eHhoaGhuPpnDYURrpjIQ2jELSsUZ35k+PGHC+mLBnGaHvYMpzgSs6MzmWy3/z2r5599sX+ + oRHPj3EhHN8ZHOgZG+zqymeCSJUbUa2NUHeG/Y7lrd6ZJLHOZvdfuki72MUudrGLXexiF7vYxf9n + 7Kpu/1/xJa/bjuRWb7/92DMB2ynx3ItPTx+fPnJsZHREIuQW3BEIW64vh/ryY8P9N+a31prttbVi + uVwZSGfarVqxsLG8tJwbmHDdeKMeNephXW/FVOZELPYAACAASURBVNHVtYh5RsYZUTyejCIqVVql + cn045xOnzjoQBtYaC2hAGw0iWG61bTcbUdQSnDgXRNIYsgzGoNVuPHrwcH11I53K7JucHB0bNXZb + GmKsZVZRzBmdHJ8YHsjG/PliuVAsbJYrCSeeBcwOb8DAyHZqm9GhsA2RoU6hZsfJgcMEpc31jc1C + JQgPdg0OjO3pyw8GaAgwYdl2RaENxvf0HT603/ffL26UHz1aqjUDk4n58fjU1MEbFy7c37o/e2+u + /1jt8FhOQDWKi0vz95ig4b37+qaevPOLy9WN+qP7j/YNyzBptW2vrqyV1qoOYXhobHBkWEgwo4Lq + 2o0rF4rlZnqkL5YZaDcqpYUCAwtNIjJJw0hFYc5te6gUy+XZtdbpKD5sNDULmw8vlzdXpJeZOHi8 + f2hCG8jOEpmBMTE0NHD27JPvvPvB0jrFfL9THyk6/KSx2yktlm+TLYzA+djIwMH9+2Lv3lpf3Zi9 + e/eJvX1wSLWj2/fmV7damUzm8Mxxbz28euP24tLK8mZ1esSDtZXC2u1bN7ZqDTc3vG9qpul25cdG + XGyvLB3pCi4SmczJmZOHDx/pHRwg0oBiMEDUlU0MDXRJjiCK6mHU0lCAUWGtXCxsbJZKlZ7e0Xgi + FUVmZXXNCgtJSjU9U/dU3XMd1/NatUZhq1yp1jHgwVitoqDdYjD9A30vv/Lqnv0HfR9Mc1gLrWDC + rnxmbGzEvTAbhGGt3tCPs7U7TwiRMdYycEbQnepdEowzizCIhNJKdxK6t9PFrbUKxmiljbLGEHD4 + 0OFnnn62pyfmOtuPH2AdwQYH+lOpBbVaBZMAM4AD1mzVVpbnW41qLDfU3zfcbEYry0WhyzERCIrI + tFut0Bjjx5KVant9o9RqIybgOLK/r6srn1woFq/fuJHuPxyTTj4ZzyR8kkJbaIK2EUgFQb1cKm6u + bxhl8tkewb1yxdaqLVe0mK2yqBajSKlWLpfWypRLjc2Ncl82jgT70jaZtcZYYxgRGIvFYnsmJq5e + ubG4tHLxwgUhnHZb5bu6/WRCONAK1uzU3FoDrRyCI6SUnoXQFmY7AM12OiOyhjOKwkBr7cfixIWG + BlnGYK1tB8GekZHjMzOjo8PZdFxx3oKJwHxGyUxmpKd3sKd3sTlfbbSKlUq6O8aZ1UYLMJ9kwo0f + P37i8NGjnhuXYEwD2sKaXC5z5swTf/7Ly3fWlucWlqq1iulEOAIETpZxzrngjzsOraGVsjpiZOiL + 3MMoCirLSw/XNwrKxFPZUc/xqxvzxlQqLFd3uiMWR6lIuhQXW1FQuz27vFn1LEkiNtDtDfRlGcOD + R3Ofn7/AXb9vYCCZSDBGjAAObNdwb5slwFjGyMAaYxhZa4mIkeTcwCqltdY6UjoKW0HYUDqgerOu + tQaxyJjQGP04pVIZFSmjtSPlkekjz73wfCaf5ZJvnzrTRrcq5c3C5nq5XJ6amkkl01tbZaVCIstF + Z9+HqUhzzq21y8sr5XIlCCJr5U4rAREIxBgjMp1Dh9UwkVVBf9fQ4akjk9MnU32JzvFwDagmZFDf + XLxz42qtrW2ix033lCpVigrMWqMsWcYJnHQ8xq2N1jbXlzY2IwwHCqxU2FpfqUesbaVlsuPKHEEm + ekYSXVmfAcowpRxQ3Ev43UPHT5wdG52AAWcWXFjyCXx4oPeF01N3z39SbNTvLG31H2X7DHxdLcx+ + vvhw1rrJ7n0zo5NHPBdOBK20EUTMpZgveXpyfGBifPTmxY3VYmt1s3JssFtkkiMDPf09mY21wsrS + QqFY7mpCcKEjVdgsLi0ujE6eHeA9C1vlSq0+v1woVRuxPNO2VSmUHz6cLxSbo2P7h4ZG8tkYg2o1 + K+XS5lapPJQfz+R6W21dWG8B4KxFtEG0AUtglE1nb9yZ39wsBlGoPerw6JwsI+Jcnn3qmSefPBOL + gX3hR2xbrcbS4lxpa9MYk+/qNsQLW40wKGV0PWK2qSMjeKvRymZy0Oubm8VKtdYDZYH19Y1ioaC1 + HhoeGR3f09MdExpQAEekVCwezx/I79m3b+7Cwq2tgrH4sur2SxOUTvu2gBWcpGSwNlK6HRlDIAYY + tk2/WwU4jIQgN1KhNSwej1togwiIODOw1kRm377D09MzAwPDrtMOiYfQFlpKeF3poYGurnx2tlqq + 1lvVWgO5+M6hbLfYTmLnthRYcGIuwBG1EIYw1mrT0I26YoV6WK3XjbWWeKSN0na7NEMrCps2bDuu + 6yQycHZIaavByeUI281WmzdDG2jSFoSOOQxBGSiDIGirINBtoEas3miHYRRJxwMJY8kSqGNspCOr + I1gLYow7xB1AWMbN9mkYButy5jLKZfPnXnx59NC0dAihgdUgA1/05JP9fd035iptxVoBosfULVmQ + 3umsuSX6kp3ELnaxi13sYhe72MUudvGfj13q9q9AxyLBfhFK9sW7AAhgjEvuSOE4UgqwIGxDwycB + wUEiHnOGh/oy6cUHhUqpXG01W2CZcrm4VSw0W6088dXVjX/zb//UaJ2whSyVU7LdNk6xHn12dfbO + neWo2qhU2vVGG8SATn4zB+ecMQUojWarHvMZ8YTrtRIxJ8YD1WzVG+1W1PEwgArQqJWUUq6bzPUO + JhIp12WSba/1GGewDFYjiLoyqb7u/P2VtWqtXmu1LU/Dio5mhCzBEgNYR1ZCdjtcCGTIWgbXk3HG + fGNLKyubGwVFbtfE3p7RUQsyiAhMkAcDWA3TFkmezad7svnNrdba0jpJ17ium6BDU1ODgwPtizc/ + +fiz4RNfEadHJfTm0r3Llz/nnr/v+OkTX3nt09nqVrF+7fPzT5zMhd0+TOvi1Zu3by35bnpifN/I + aJ8FgMgEtc21lWbLb5ca73/0+YMr1S6+KblsI980mSCKWq31paXb9UpZVmorW7WmJgJBtTYXHxU3 + NqJENpHtSaQh5c5thgGMFDwW9x0pHMl832+12/VWPO3vqCn5TjC8hjFgCMHDbDY1NjyYT6W2Vldu + XbsWvPgERLKt+JU7S8tl3jc48ZUnT4jbD+7fvLTw4P7SUtHO9MCY1dW5X3780VZDHzw2dfKZr7aZ + K9JcAFIyEKtWa0Ybybi1lhgHQcFaEzGEkllHUswVyUSs4XuGRLURhJFIkYnajVqlvLlRsIhfuXLN + 835QrlXJQSwTA0InrKBRvHHlyvz8BizagQqCyBpIh8V8L+a7sNoaE08kwFg7ghcGHgBHwsqe7tzQ + 0IAf8wwhUqqTzSJ4p4rfguC6bsRgLSiKYFVHrs06km1ixAXfVi6j846EdD3fGBMG7ccUCeedJ88Q + LHedZDKRiMc8z2O81Wy1G81WqJMuN+1mc6tYiEJVKRQuXbqwuFHtSiDGWwknIt2OWhULfn62vLy4 + QCk02812O7AJt6ur68yTT968+O7V+x/+6Ic/PH9z+ezJmW+//vqhyX3JoX7hwgEEsUgHYdgMglat + Vitulmhu4Wc/e49fetRqbeQyiDktxzSoXdmYn712baVcrqYVms3QGPpLZbOWiMA5iLKZ9NNPPXXt + 2s2bN27/4R/+4fsffHT8xMlXX//64SPTfQN5IRAqhG3tOuAArGk3G416vYVAWzAGwTpGlwwcIMYZ + I2s6Fy3SOlRaGY2O3pSsBUnHdV1XKWV0BC4JLIQOo0BI0ZVO9+TzeDRfqdcLpa3edtpJeFIKE2mu + KeHHs9mcn4wrGAmmFVSr6XrW99xsNptMpiK1NDt7v1qtCUYgaK2DVthotNqNRqvdUAYGYATpxqSU + gLYm4owcxyGiSAeNZtWYkEBRZK5duSWilRsXw0htlZCusJx2UrGgKmqbDx/OVms2FppWQ7Vb8GOY + mZnZKNJbPz3/0ccfzt6///6HH73w0le/8szTY2NDYmd8MwZGW3B6HDNprTXGCIcTI5htMxwSHFoV + i8X7j+5DULVZW1/Zmr+3cvX87SAMLREY3+aSuIDnudKRXPqen83muru7Y7G4sIDSCFug0JqwsLla + r1U4Y1vF0meffb61VXBcAbJaR0JwaygIosuXr5TLFc/zYUlF2loIDtup5yAwIs4FQYEsYCRpAkJl + crme4Yl9iVSCAwYII3gAPAfWho1qYWMtDNNLK2s/e/f9lNNMiLrDQNraSOmwXSkV7t68rlRzZWNl + aWNNAbVG4/alz/7oX/yvK4VaNYCGIC6JCcW8F17/lRde/87+kSQs00FggtARXjrTE0/l/Y4TiNbG + WMtZxzA36XJfmGq1euXm3RMvwFjYwnqrtGFVlM71x3N9fiLOGLgEJx4ZA06MCIK60rGe7jzz622F + WqOtNeCKdDI+c+zQxtrqvbXFa9evx4cO5MfiG8uVxYX1zY36K29OZHr3Xp9b++knl5dWS/Wm0mne + apUe3L+3sLwRUfLYyacH+/sTHIQgbFfrzbqy2NiqXr4+q9lfxARxE0onNLISUrHeDO5eK2+sFUip + Vr2xurqW8PKQDJwxQEqZTMrhkdG+fn/bixsAwBhrt9vzc48a9WoUhcVS6b33PyjeuZwNyylV1YKR + 51SD1uZya31tIwoVi2h9Y7O72uMztri4UCqX/Fh83/79/UPDBggi+FpDkiWroSOtmq1WpLQnfc7/ + o7M1+tI32261GrWq1hExzqRnWcfn1oARhJDMAZeMuFUk4DDi2miN0CAiZhi3jBHAHTchhR8GWnKQ + IA600Fa6zX2Rz6cymZSJNsuVerlSNbb78Tzpy84NFjtssjWAgTFQqlqprK6tz60Wig21Wmo+XCnM + zS8ocpOpDJfSWgBWpBK5ZMzqaH19I7e2qezOqRFQr9XLW5JRIpGMp3OGOUQQRFYrRBrKgsmtUnFh + ed0y02ytb5XmHswvvvvLuXKx5MYHLBCFcB2CI8G8juk1pFNvthrNlpuNGVDU+SytTRQxa1whpOsx + IQ0jCwjJoDqu3FFXJj4xNpq4OQ/uRQbKYEdt3DG7ZeiYkO/ytrvYxS52sYtd7GIXu/j/CbvU7V8N + +4XEpQP6wmuuk0gB8lyfM2FgJOeSOsleBjqyqp3PptLJpLVVgFtroUML3ZHJFYulu3fvNyNpjU2Y + 9RQ2Y6hGFGtbd3Wjro0VqVw60xWPJYkIZAC2UyBpGBmXG+46YAyaKUXcRi7qkiLGXSXSGoCGbpdb + ta1Ws23IS6W7peN1TFm3zwOA0jAKgjkcAsYaHYRhKwg1aMcUkgB6LLndka5tq241oKC1UQxMKkX1 + ZtAOWoybdFokEhaQIAmCAjTADHikXMMlcyxDNWxVWkGom0DCdbK93T39vYlEYnFhcXN1PYoiZaql + tfnFpblE9/7e/UdG9h4c6O7furV+++KF0taR+kRWtRsP5peLW2ZgYHJoaDSfB1lAByZqR0E7jESj + XLl87doy38zqFbJQoitkubZSWteajUIimevq7U935aQnwTW0Dup1o7UXi0M429dHA1AQBtDWaoIl + ssboIGhLKVx3u418KRUenfp0EAdn6WxmeKC3N5t6sFZaeHB/q7TVn+WFhppdbpRt9+BY/siBsVpj + 8R03XH30cHFuo9U8KINGYWv14dKSjQ0mBqYyA7FIQUg4AKwCgXMOYwnkuR5x3hH5cAaCsSqAjQS3 + DNZYq8CE5xKzOgg5LMFqpSuV+uzd+2FoIq0111YaQuibhqdqm2trSjnZ/oFkOi0dx9qOQ2uHV9UW + VkjBHXABUtvxdSAia41RWmvbsVu125k4sLpD3X7x8HABowGrlTJaM2KMCcbEdsMyUCGsZzr/V3Am + OCNACiGl3Nk/2ZaXMkI6nfY8TxsL4pwLzjmAKFKtZttoVOpbt+/eWNyspF3toSFUXUA53Cht50rc + T8bj3dlsLsMFA4Fzmcj1vPDcy6EcePf8vXsr9Xff/UVxZXWgp7d77+jx554aOLhvLOFzThYqCNvG + 2HYrXF8rnj9/yaTWYSuOqEhWdmzTM62wslEs2ng8n8/3el6cc+owcY89IamTRUWAUpyLsdGxN994 + I5nMfPjhpxvrG+/+4r2Vtc3BkeHR8Yknnzo7PDKcyiQJ2/wLM4YsGBOMSXqs5e3cKq3JWs91YE0U + RVEUdSSbAFlrAZKOAyJjjZSCc6ZhNYwBcc5hTdJzM6kkFzwyuh2FlpEFtNbQYJqgwRgnwQGmLYSF + 67hgbRA4Y4I7QrqO41lrwqjtmIARc13f83x4nuNKxnbq7gnWGBWFURTCWiEkACLigrWDVhi0oKPF + uTnbxv1EFVSvs3idJTT3PRU4QbMe2XxX78jQUC4REwyASOb7js+c/K3/8rc+Pz/74NHilcuXC1vl + C+fPDw4NnJw5efz40e6ujOQgTp1LzqgT/AUpBBEBpmNEsLAwd+nipYcPHywtLa4X1i23LdWuV4Jq + IdhaaxhriHFLbLv+QhsYcMYF40E7YMT8WAzbbgwWgoFZo0IVtZUKwzBaXlk1Vm+VCoyshbFWg6w1 + pDW2iiXf92N+yvN8a8locPFYRtmhfzo+ORpWCRNYo0PLrZ/zUjliAKAMfLadWwWtozAI21qFrcLa + 8qVLlyRrCNQdE8aYlSo0USto1WqVrYHB7qGRwUx3V2jRDpqVcnFh/v5qodHUHCJmmWNIhnA3CuV6 + I1AqCRIuk1BWhUZpLmSc821WkDHSsNYYz5HdXTlHcK11pE1Hf2yNDlr1dqulfStcz/G2Qx0tQJyZ + jpQYxmFWcGa0rrbalVozCi1c4fnekal9N25cvL64OrcwN76xFQ3F19bKW4Wm5Bjozw3u7Q5c+bML + 1zc2G/fuzffFu52wvLK8VGlGIjWw7/BMNp12bF1QWweNRrsVAVu11uzcSq15IUXtmGlwJ6yJel00 + mROrrEFHKp9KdWWziXicGIPWMJoAY0wQamt38ikNrIEmQ0ScUadRN5qN9upqTdyoP7SZoJRWVcXJ + SN42pl2T5VLke/FsXyaZTEnXJa2JMWus0kpIB4wrC9kRyWrNpRCQxJntfB5tG91+UX3/5R/stnuC + EExKDmMsWRDnEox/4fMEQGttDBgkEBpjjdUEywBGlpHp7EBay4mE60hORsNqKILhHLAmEfeSyTgY + i7QJI7WTzGnAWCcrjfEvjYXWqkbj3q1rd65fvn3ndr3erFRrhXrQsk6pbdYr7c3NTT/f32y1t3eR + NeC7wwM9T5w68f4aXb89+8N///npyZ6JHMWC8r3PP7n485+US1vDh6ePzJxKZ2NCggGcUNjcnJu9 + f/ni1UeLK4VSTdkoUoV2sL5Zqtxb5Ig4wACmlIG0O/ludttkBtwSiyJtH++vERGgwpCM5pwL6UJA + A1FkuVFgFlYLKM5AjBuSGp2HlGAttIZR21L///AW7WIXu9jFLnaxi13sYhd/HexSt/8pbM+8qVMJ + b7Y1FNu81PavjbHWkCMdxpi1VnIhOKAttIEKtAocQVIKRkwIh3EOozmznBMRRUpHyjLmECNhHKZh + VGi5G4vFhoayyYH0OOUnJw9lc/kdn8bOYTFrDRC6gJDSQljrKk1kQtfWBDHijuJpDZCxUBUd1VUU + aesIJ06M252lVcePDZ3VmXA4LIzqUNGaSG+rbMkYa619TOJ2VolExDi3jAxZDaNtxAyD0m6kYWGI + tVxXSccAEnDA0KkDdQFuAhlpKAqNHwlueKBMCzYmpUwm+/oH+vv679+cL6xvljc3BC9UNhYLm+uD + k+dy4/tzvb3DvSNzV9eX7s0WNpc2o5aqqaW1YhjG9h061tc3lIx1vOYCGwVGK2uNIUZcSuG6zNFh + SIKYIBLS2Hgs5qSHJ/qOnd4zOenGJKgFo20YcWKOGzPg2m4vSwkaTIFpWGOtttYYY7XSjuN67HFL + ITy+sp03GQcjJOP93V3j/X1LK8ubq8vr62tdGb5ebj1cbxs+MDQ2PjbYVV4VEz3endXVpflCuQzV + Lm0W11aLxdT+J1PD08KFcOACDgATgUhKByCy1pUOEetYywoQB4yJYCIGTaSNMcpCeuCcrIkEs4Ix + Is65ADFrEYvHI4SNqA5oIut6Tn9/X2q4f39iYmxsIh5PbourrYU11hjAEGNcgNNjPZEFdXjCUGll + YImxznaGNmY72Zxgt1sQIDgMh1LGGGN0pxUR49hhAIxG528NDOfcERwWjDHeabeP08uNBmw8HpNS + Km2IcS6kABHIaK0iBQtiEJIcjzsOSS1NSMR5zI+3g6i7J3t2YCrWM3Hw8H7Hk0QAcbjxI6fOJvsP + 5YZv/fjDK7cuXbtw4WKr2pA96ddqxaftS/kTB3xhLHQUBbDgXErhWMOk8D0fUVDTRhkTCUHxTJLH + cyP+wfHpmWyu23EAC7OtWO80mMfXz3DGkvncuXPnhobGYvHU5Ss3Hs0vXrhw4YOPP05lMqVK+fmX + Xjx24pglCyJwxgicMSldYgKPi/c7r8YAVnAOY7RWBgAjAsEakCVGjHMDWGullOBsh1wXxAgESSQ5 + Z8TAmGVEnFlAG0sgbshqS9TZlGDGWIDguLABjLbWWgvOZCyeIMaUCpUOBTFXuq7jW9eVjuhwOh0p + mjFWq1ArWGuIMQswxoQjWu1mFLYdxh3GOWdgPpPWFZ5lLIKWxATF9h46mspPTByYGezpcgQADpGY + 2Jv53veGR0bOv/fBp1ev316YX7hz57bW+uWXXgrD4MyTT3Tn01JCKTAGwcloywAS26UMVuvC5ub5 + z8//8b/+o4X5+Var5Sd9w4zhmoyrw45fMFmiDnVrLGAstGHEGLGgHRDIcVxjje1UjHMGZmEjImWt + 1lpZA8a4FI61qqNJ1FoRkePI8fFxR8Y8L9HfPyCE7Aw2ne5kp813+msNq2AjAjRzIpmEjHUak4nA + O/UBykIrrSKjQFpxaM4Yk74h0mFNCB1jCpzHHL87NzCYHO4/fXpkcq8mgNlEwhsfHUrnowgeOUlF + bgQngNfTNyicmNaAYB6X3JKObLttLVx0bijbHh2stZwzz5EMloik64MBBCY5dBSGCHlkibPOpMPA + kCVBFgRjYDW3mqyGVm1lgshozaA5pLN/3+jwUJoQLCwtrGwUW8Hw8lKxWg6TiXhPd3p0NN12YrF4 + fLMc3Lhx79i4E9dbjx7er7dNLDsyvv9YJpUQug4RmKjZDkNF3HLPiAQJz0OYIkVcNTnTrh/L9sbI + 6/NFJpE/fGB/LptlTEFpGA1rjDZRqMJIK7UdWdrZRGIMjDMpubXGWsOFENIR0kojJSQ4AljHcfxs + JuXFfNndc6inf2Ag5sZZuyGlJCKltDZGG6sMOAcMGWsInIEzzhjnYLwzCn959/gvkYId6pa7UnSK + HSzjxEBfjFDWwCijrQUjQWAwMNby7fGq4+FsAW40g+WOA5CwUAaGYBm30JpzwzmBMZBA5+4aa2DA + tg+H7RDFsAhazbXlpXfeefvjd9+5cfNmMpWWrqdFzHrpppVBYME4wJqtljY7XRhnmVRiYnT0o8Lq + zYcL/9ef/Xlheniq34sFW1fffef+hU9cRxw5Mn3qyadT2W0NfdBq3r175+c/fucnP3673ori6ZxB + RLzGeV2BESUgJDGxzW13hhlrqHM5teFcEBeBalvGdg6eGJGJFLQmEBfSEjSgVGempEGaTGh0ZEGa + hHk84lsLo2EUrPyP3J9d7GIXu9jFLnaxi13s4q+BXer2rwYDNyYwMIwJkIHtJHEDJLUlWM1tG7op + yEjiAN9e2KkIYJyJzc2tcqlMIMkFd1w4vmKOAgOxqcOHX3rlzV/9te8xxhLYiNkNF2VFMc3iDeU0 + bUY5PW4a3QkIAWtAxoA4iIhBmShiluAygDMS0gMJQ9xaCsNQRyHB4QQuRdzzfcdjhrVqzagdaq2s + EAwgA60izglCwnIIn4kkrJeIpfOpjLNtEmki1dYqIBNKDkYdBspyIXzP58QYmOSCM8EYB2NezHd8 + CRaFqhkpRSBCjMAADQZwC8cPG6IVGmWRzmd7+3ql6BRYG7i2b6Ln0OF9V+4tVxeW7129ZQf1Rqm1 + 1UDXwEi2f8CN48D+/pXbXuH+1vrCvcz9CtUTGyVDyYljT7yUznVxQFIEZgDSGo7jTk0d/m//4T88 + 1Ev9skIm1CyueExBKAtlTQNkMlnKxTIcCENwgiMsQatQMMMArTvFyxQGxvVcQ56xjtZcCMplUh7f + dvDtVP6DfeGADAAgwAGLZZLm9JHJ1YVisby6snw/now2N+1iod7XOz6455D05PBw+qnTRx786a3a + 6mpxrVBqLM9trBcbemrP5MSegwzwCB7AEYEsmGTcAWPaWqUjDuMARmvLycLjjgFtMR34AgxGKaUN + COBOAswzlgnBDx6YfP3rb775zW8JV2pmQgqBMGbaCQRMmwbPN0W3iKeTDpQCBCwxA2msYw2D0cwC + QKCUw7YdbSVnjiNBTIN9kaRjOwIlA1hirLP6FcQBBiLBSJIlo8juGHJoEOC4CElqkDIRyCEuGINW + oY7akqUlYCAsAMZI8kC1Qx0a0pFR2mraVihyy7kmTB2Z+u7f/fsje4/0ZRNxabluC2jBTBBFDZYJ + 3C4lE0kX8RiE7FDChGRqbF/vtwcPPfnc15fn5q+dv/izn/zkl9ev/NH/8cdlo/p8kTwwZA20ttqY + np7e6WeefeM3v9+zf9p1IzKbDEXXtONQng5byNf5UOhlEjHEfGy7I+xcG6MUrGLSgZDEAZDjOOMT + 49///ve3StX5xaUPPvrkF++9d/natX/+B3/QbLf7Bwe8rjQHOmFkjMN1mNFhFEKx7TglaA1BINts + 1bVVQspYLEEEZSITtCUgBQ/CUBFBOAYMlhORCxYi0lEI8FKjtbJZaIYhkyLux5gyjgtHSMkA0pYM + OY6FpwHJiG+bXGtjjLG22W63Wm1lLOfCkZLbwBgVqCCIgigKtIo6itdO70hkJWccMFpHUSfhUBrr + tAMLou7u1Le+/dqzzx0dm8iCt0OwNpgCYwoUGU/4JDPEUqksOqpbwOPC7evNfu3VV5548uxGsXT9 + 5p1PP/30hz/80ds/fmt1ZcmPecdOznTnCZZJZQAAIABJREFU4q124AgufcEZg9ZWKWIATBC0P/zg + w7d++NbHH3729LNfefb558+99ByYJqEl3MJy6f23P/hH/+P/RFqRoY7QD4JBaWuJjBDkCuFKzi2g + KJImBNdgJJjkkATmev65F849/8Jzp07NeJ5kDNpE1hhiXHCHwAmCkUwm/m/23vPHkjS98jvPayLi + ept503tTmeVtV3V1dU93V0+PZc8MdzgjabVcagVQBChAf4YkEJCAFYH9IC5JaTHDHZGcme5pM+1N + VVeXt1kmXaX3Pq+LiNfoQ2TNDAlpCYjSJ+UPSNRNIFG4Ju4bEec9zznZeJwJCSKYZ0k9xoLIMrBo + 5YfrePEEEVfKqiAUgAtEKzMoMhdG22nwYvFT5y786X/3p9lczHGMq8pJ1BKmwmwIGGv1FsvUc331 + rJcCcoVc+wsvDg8PKyMsPM1iAdyAXM08L5VzEvAUoHaNqTsOJ24CZerKaHAS0EFowLh0BHer1drT + mamqXxVOIRlLcEBwIJ124zHOoQIV1gLlw0qATLRuCnAwDkuGrAVAPJ1I53ON3ImDazh+cynfXkpl + 4mJhamJpZq5SOTY+s7K4Y5PF1lxDY0tLg3appZBem9wdvXe3dqFdB7tfXb21UUWhu7F1oCOVA9gK + lLZhyJQSsL1DBy5880ffef2bLW49Ha5zJ9h19a5rLI/b3ZgtJ5JeJl4Q8QSYsSBAItRVA+3GY8SE + MdAanMA4QNxCGaOsUWR1S6n5xTd+ePzlH53pyRfsVircDJlVgjQRUxmmMkwndEIlWoSBgtlVKlCk + mWCCcQnGLCwDBBiX2uwaFhKElA5xHiitQ0NqL0Lc7IU1RecZCxMaqxmDtSy0joV0GaXgizpsAFgC + dwAGWMEYiEIYwCHHscSiowahEYHm2oJsaFWAMCAI0gAkpI/QBgbEdjfLG2tbCI2UbjwW42RgA2sd + gEILWAgGAXBoGH9xevTzzz7/u5+/FfjB2a998/vff6O3t8+JJzR3yn44s1r5H/6Xvxib3+IkbWht + ADAGqxa2lr+6d7Xqp61273752eTlnYLdTaHO/HJLNvnqG9899d1vdZ4oCgccoNrW7Pjj9955529+ + 9stsruHb3//ud3/vjXw+5Tp10A64/Nmbt//7//nvpLAgKwRTlrnWwlpllUEIbhjXDERWwngW0NBg + ihgY55ZENHViDSzBcwUCgzCECyIia2E0M5pFC2G0ocgYmHg2rrTvut1nn3322WefffbZ5/819qXb + f4JnVlMOy8CiAMIQpAEOFjPcY0xzuw1/TQdlA1gIC0OkICS0o6xcXFzf2tqNuW4ymRBuvG6l9jI8 + npFuLPDrHLqr1XUkPNZKSMHugDywmDGOb2QAGAaHgQBFjBnDrQJxCALxZyXkBpYbiwCuzzJWqrjr + xJlPcBis8FL5HHLJjAj10vTs9vpGUPcTScEAacGYBWcwhJqaWt5ZWA28eFM6XkiLWAwWyg/Dmhvj + UmjoivLr2niGgxkWhNqv1UkZUtYEhoEbcHCebCpmi2mw2src6MJ0f4DjDK4BuKjCVGEU4NWr7uZu + uF6v5woiXcykE16SiCEABbn2dP9AW8GVan55/O5osOVMrUG76abO3mJT0Y1j6GBu4nbw5f2pjdnx + pUdVv1Lc2HFy2cH2g+fimQwHoHcB68XSxYbSbOg6nOfy+WJzKp9UsD4YBzFAGGIBoQ4oBgUkYEEa + nsy0NMXm9I6/W9teDestIgHAWnASKQ1bC7e3KwitY1RQ2d6w9R2OmHhWgmQYLCB+Wx3DgDjAUnH2 + 3MGeR19dvjw7PTF6y3rVarWwUdNduY62/sMsKZONmd6+9oz7KFyen3hwL/Afj66u1xk6O7v7WnIe + 4EV+ZYQAYLmvYbmA5NqEzPgeDMgqKwLiEowLN8YthRVoH3vHBxSSmiWlm0jGY1bXk0m3t6/d8r2X + b6HiNmRWwdg8YlUrFYew4AbKhL6yynok80LEbehzHXA4wnVgKBIGrFHGGCYdSA9MgCAAySx0CBuA + PMsQ7s3iEmkgVCR40uUxybVRoVLG7Jm6rYZlsEyA8n4g6qEiDkYKpqZ8SAHOomlfZm24U90OETpJ + hxxEorEFnFgsUcjDE8Za0qa9sam9NScpyhhREBZGhxRXDGFkG7NgFFgTUOiDc3iJnJtMpERbLt9e + yOSTLNaY/dWlK5NP5sefTB/qaI67yUwm73nxaqXuq3pja0NHLwSkQItAzrGBsIC2WZaqWb7Dwffq + +aLJcQtriIgxsZd8oiLdkpMQiYSIJ5LpbDZXyGfyOS8RY468c+/e7Ozs0tJKQ8L1XA3B0g25WGK7 + VtlCWI3a440Bs1H4gVY60JFoTghDDQsBzhiTRkNrZW1N61poQsOtlUSGI4xDOZxB0exmeXR2wQjh + JeIJx01CJo1V1bqENsKv6tpGpbypQ8GFu2deUyBbr9emZ2e3yrtw3aa21mQqKRgnycnhTJJhykay + hoIBLAMAxskRPO6Bc6a0toBFDCydSDVJb9LXG7GUKjZnW3q6tKop4pHWTJZIgxO0ge9DuiDAakEs + AZJMOrl8Ip1Jt7Q0FPLxQto19fKNa7dmpp8+nZ9tGRrIFRLcc5mNNgksjIZWcLiFCYPg0YNHU2PT + jkyeeu5rz7/y7Z4D/ZwCwBdap0hMNsTycXJJQ1kGaMCYkDEYRSaULk9pReW673rCcAOK8j2tVSzp + ZTw3bqytBfVYItHT37cX0gDAGiLGAWUiaz+AZwosgQhcgNgzz7oFLIE4iGtLjpCOtSwMuYYLCA5r + otYlQDI3ncwV44vrcemk8/mm5hKSMXjIxRHAVGB01LSZ44ld5u4AAhBMyFxTJtsAzWAEeAwkfCCM + 8hAIDtWAKrG6YSG4IClDg9BCc3DJYLlSxC2r1IKVrY2K8eOJeGdba9qN9m6Ml0mnUnFsWn+rUtnR + NskhFLMMYFpZhAo6XN7cWFpZg6FiMtfY0EyOBHcBLrPJ9oZUSyY+v7K0PjOzsrT+YGJ+tsozbYOJ + fCmXyRnGu4qpqbGn8yN3FiYOc7U2/nTRyw439nc4BVgPoBgQ5pOZpkwqxiyHcjzW1JloSSU8VQDz + c1QF1Qxz/Xw+0BQNFsDAsdFWg2+FD2HAubbMREu7gVZGk2ECnusUC9mYK6xCUDG5VEtbByVNLElJ + ROomFKmMG62DQmiOEDpUKp9Ny5Trh/X5mZndlQ2HwRLAYYkJ4hamvlsNgjAwNoRlVjhWRttMCtDW + Oswy0rAapFlUYMZicPPxZJFv1bD6NF6tSJ0Ad2AULDFCEAZ17ZcR1oWtal2u+JmElBBQQuyEqAdc + IKR6DbUaQTxbIz24HCFCuzK3sTS/yt1ELB53HM5JASFnXkDwLbiFE52nTB26sjn/5MG92/Or2z3D + p1789o8PPfd8a3NJOASoyva6spRwBRGDYY51XA1oU1levDU3NrIw13H8xROHXi7lm7zydqy8w03g + JZyGpnz3keONQwc9FwyIw/DyyszI7Ynx8U3fnDl1/uSFlw4eOxKTcBwfvAaDhvxTgs95YKHrCpI7 + 4BKMadIGAVhNqx2jleukBJy9zT/uhxQG1kLEhPSs0sxAcPBotQWD0sYS54xbRWHVBopbIaJBjyj8 + x+7tav4mV2ufffbZZ5999tlnn33+mexLt/8Ezy6+ObPRxG8IG4IBjFvmWe4yZijc2FwZX10a3ljv + zWdSQjBOHEIioK3t2tz8anm3mkkV89mMG0/VrEAin8g2ZPKFrc2NpfmZylYgMw48gDjgWMsJjBjj + AEVyFUEDABMEpjTtudeY3pvvMzDGWArh1SltqOJIHqc6MwlGcLykk3WaG5pSwpmeX1hZWNza3Mol + E8yA2ei/Qc0P55e3H8+sLq6rQqG7mGnKSM+DhfK18R2XSWFI10xY0cYzDMbyUOmw5pOyTFuElgzT + gGYs3dRYaMpxGS5MPZwaP1Atg8VhGLgIobZgBFRiZaE+Nbe+Xas2FJKNbaVk3I1RCAQW1URzrKun + uTHh0fL6xN3RtXVves06mdbG9p5MISM99PYn29rrpKpr06OclTfL5d16oqHzcKmvzUuCwydVBrOJ + VL6ra2B0a71W2V2YX2jLd2cTjssIFAI1QBqKhwwApIZnbJLXAQVPFrvb0xO7sys7SzNjm2sN3Y0t + UIHlgvFkLVTLG/7o5FKlZrVCdXuTgrJASQBRgkYYZUIQJAAEIAbEAM/1zLG+1sGCuVLbHH10reLW + GD9QszLZ2NvSN0CJZU/HO7qaGpKOWVl8ePN6GZOT65ssmWhrb28vwjFwGBwYmACwsLweGsM4JDNQ + zATS1EGkLPfBXYpLJh1SXFW5VTwqjAIUpSCyiVQum0ntbK2sry2WK2UnkYgCDjVIR34pa2Et6ZrD + XcEZ41YFKjSkKQ6WYcyFCqSucDjcEVYRaQJFmZ6KhAMZAxcUiUFkYQJoH8woi4D2TIGOJSgDKZKu + iDtsKwzq9bpWIAmQUoE1XIKBgCAUfqjAwJlm8G2orBLcgbASIGXCil9WFHKPWWEs7c3peqlksaVV + ppJbOztjjx6dOPo8mnKKQVtGTAhY4gJRkZoFEQQpoB6aehDW4yQYIxjmEJxcKpsdysZ14Lif3RzZ + 3fRXFrb8qsmnE4V8Yy5f2FmdmVuY29xZa1DNCQELGDBjHRgJLQBoCyt+O0oLwFoDa4kAxmEZrDE6 + NEYLMAgBzkyoGKd8IdvQ1Kit3drdHZuYKFcq62vr9bYSHAvJ8qVCPLnkL27UdtdDHzwBS2RgCbq8 + tbayvrRT3jJWgVCv+9bAYQyCC6OsVsTZ2k55ZnF5eX07JWUswYRVkoWcCVWpTq5sPF1alflMNp/N + JlIpiFgtrNZ8ZgOFWkVVx2ZnUgsrqfbOJAxIwSpYs7u9MzLycH1r20klewYGMtkcixzogkjCkLYw + ZKw1e6EphlnG4QjuSSE4t4COPPmUam7pz+QflWtPltbG17YO9prOuiLLyBF8TwvhMBYmyh/fyzMR + 3MQBwAIM3GEJV/Z3l1IuV5XyzvLqzbGx1e3N9XrVB2ISwsAoy5mFNmTUnmUxVLNTcxvLm9lUcejQ + ya4DhzSBgRO0Dqv1nVVVXkzK0IFhmpGFtghN6JLUhqAcl6dUiHK1yr2EgbXMEiJdUxTSjdl0nhjN + zM8sriwqa60mwcEJFDl+Aa2tMZpAnHOLZ3VPtNfLB0Tj3VG0tPAtC4wVTLgWXGmuIQFBiN5ewQFi + qUKutav78U59eydYmFvPJQpp55kobKNtSAE4nFwHiD/baaohzklLsgTAkOHQBKXhkvF4DbICU7VU + C60fGGuCYG5xabmlNd6ImGBQXIeklNnY2plZWigH1WQp0d/VnYntjannmkqNpW1aDTYXVlbm57sb + 2yADggsLUtbWQ1S3J+fnZ+fmOW9ozjWWGuPkQDOXW46E29WY7mstzt7ZXn06NfZk8v7YfEUnBgd7 + 47kS4okC8YGW4qg7Njn+6OnIE8L24tL2wcGWlqEek4QSgHVBsYZMvi2fT0tW2V5fWJrdrCKbAjlw + rYugjLDKpHGkrTtUA2IKMYPIGg5bJSdkEpaRsmQsGAO01lYrshKIxbyWpsZMMh7UymOPpk4vV+tB + gjMIxxBIQwUIOHxBsUgR5iE4QmnRVComCilfhU/HJ1am51QdzIXl4CAJ4df85bn1tbWNnVrNgnMI + 1zjRTqEGLDRjRkIRqWdXK4xkQsRLyXQjW5pRi+Nie56H3RDSGGmMMSZYXlhdXl/Z0bWQyTqsH8Io + xolDOU7V8EAxZtZ3V+c35hYqy5kE4khwiBhcKB/rG0szqxur25lCXzaXSyZinDQoBOMaCAhu1Gpq + azBVmN3q2tT007G6Efmuo8PPvZZqakAsulzxmb9R21oKamWtLLPCJTfa75hZW76/PDW1jaMtiW+8 + cuzl515N+xSrKGW0zcRt2gklfMDf287cRXVteeLxxvoaS+YGTp7tHj4UzyRMbdcqRYCu1v3qrgp3 + gJqFVgaGcTAHYIYbywKgakwZWgl43DzLkSJfkw4sNDkOE1YrbsAFrAYRgQkoaEuMiHQodI1rX+wN + 5wBgCHSwU96RlSCZSMUS/19clO6zzz777LPPPvvs8/9D/nHx+T6/y7ObaDAwoqjnKZJoAGLaCm0Z + wZZ3Nz796L33f/3O1atX19Y2glCDCBYrS8s3bt17Oj1ntG1rbWlpLmVzCem4qWyxua19cPCA0eHM + 1OS9Ozc213assSAO5oJLZayxNprK5RYqxM7Ojq+0kA45Loi01gomhFF7oi4JLok7vuHlqr+ztRXW + yx5jDmeMM87l8NDwQF+fhZoYGxt9MurXAxgNGJAxWi+urV+6en16bkk4yaHhIx3tnZmkEACkdDw3 + 1IE2oeBIJhzHiWQLw4WIxxNCCMmFJ6U1JjRWWaTyhUIxn0q6W+tLC7Mz66tBtQylAdIQGtbUd2tf + fHHtw48+RVA/cHjwzNnTgjOEylgdQDnJWKlUaClldXX9ycjI7duP17dYsbE7ky86Hiyq6TQKDSyb + xvTY5NXPbl/+7IZ0U+19faV2OC6YNdxxwEQ6Uzhy7GQhn99YXf74ow9n5xeMgI20EKvBYCx8hVoN + TCPBCVBQIYBSe0eukK+Wd65c+vTRyF0AYNaClMHq2s7Va3f++n//6eTTWc55PpNKeNJ5FjtoIxUm + EtOjWXIQSAIupEwVMl1NmVwSD0cefHHpq5t37sRSyab25nwjlOWxRKG7u7dUzPjlhds3vrx778Hq + dtDU1l0sFpMeTACmAR3aeh3awDJtoI02Vu/lEBgNa2HJGgAIq/VqpRLznETM86SInhsTPJUpNpZa + GhqKG+ur9+7f/eqrL7e2t6Lj3MBqS7ACloNYzBVkfK1qxoZCcsf1iDt+SIGvHM4lswCUsYYYhAAx + Y6wyxmjDiAQXv1OeExXbURAitNhzq0kHMQ+e5ziSCJVyubyzHQSwBtgbPYaxUBqMuVy4FiBOrsMS + McEsQh8EBuKMC+lIbVWltlur7YbKjzxT2Vy+q7+v2Fja3Nr+8tKl8Sej21s1ISAkLLFqoMKo/8lC + B1YH9ahBTxlVC4K60tbYvXhIC5DRYT2o18giGU9lM3mjrTEUTyT7+geSqdTM3MzVa1+NT6zuvdyo + hsuISAyTEhwILYzZk24ZZwACP9CBgjFgjLmu4KK8s6PqPghccCmllIII2mijteM4jFgYhowxCAEh + 3GQiFndh1fTE6Nz0lAUYEWMMjN24deuDjz66fvPxTtlyIYIgsBoMgLU2DIxWbiw2Nzr65tvvvvfe + B1NTs4yYZFzA+tXq3fsPxmfmQiDf0NDZ2dnV0cEMoGzcjQV+vRpUamH9vQ/e/+jTz8p+3VqDSHis + 1+YXFq9ev762tpZKJQ4eHMpmM0Zr+GFYr5VrVT8MgsA3KpAcxqLmQ1vDGAexSkVZaxPxuDWo1lQQ + 4Njx0wcODPlh/fKVT6/d+KpcrTLpCOEEgTYGSqPmW23BBWKxqOPNcs7DEJVyfXtrW9XrUaM9QZFR + ZBQncMGJsUDrmkZUKMT2ep/wbKjZGKOrlcru9u7OTqVSC+ph9EVmFowz8XRi/PHI3d3NGmnlcMEA + ySAdAas5F470AMYYl1IwRDk0AAAmHDfR2tLZ3taZy+Wmp6cePHgwOTGxvb0dhga/M0nNOTHOQJYx + 8L1QGqu1NtYYa6wFY5wxASZAXFmuDaxWzCpBlu01gcFaE+VKg3ihofngkWOJZHpmZuaTjz/eXK8I + gmB7QT5gEswBcwFAG2a1gAEQgNe01eAAU36oNIjguHAlgYeAD1Wp1co1v7a2vnb/wYN//5d/+cGH + n+xW6n4QEsHhKG9vPxmb+PTL60sbSCST/d2thSQcCSQyg0fODAwd0n7twc0rV698sbW17eto6B9c + sFCpJ6MT9x+OLSwut7c29Xa0NDZASOjoVYN1tLWfPXUq4Yip8UeffPTB2NOnTjp/+vxL6VwjIMHE + ySPDh/raqpsrD+7evX/v8c5uraW9vbOvM2rHBATAvXiqmCsUstm11eU7t2/cf3B3eS0MARMpbiCA + mLV1g/VtVOuQfK/2DSokzpjkUQZ3lPMMTlIIx5EMzHGchkKxsaGRLG7fuDX2eHR9DVzCggfQNfgW + EEKCI1QIa9Fnz7nrtTS3FEslx5XLS4uTT56Mj85ubftBlLcKtjy//Nknn1+7fn1mfi7meIJxZix7 + dllio7676ENleystvEQ8lY/H08waf3t1ff7p1sZaGCjLHW1obWXtV2+9denLS+v1rYBUIp1saso6 + XEIDliXiqZjnhn7t9rXLv3znzXc/eG9xY5mBSwgOXt/ceXj91sLiCmOiuampu6urrTXHIymafnMk + R9KtBjTI6rBeq1aZdALDN3aq/t7FCqCCem23vLO5s7UZ+vVkPMaJQIDjgHFN3DKMjj65evmLuzev + Ly8taBUKxw2NLQfG35Oto9OuglbGaK21MtoybokswfUkEdPl2srS6ubGhgr9WnXbmDCZAmdR+DqY + lFxyWJWICc8RlZ2yrsMCgfEBRZwJ16n54fbOrjWGE5iFX/cRKhCD41owv+5rFXiS5TIJR8JYFfo+ + LHYWln79wUe/+OUvr1z5slqvaqP/H1597rPPPvvss88+++yzz++w77r9pyGAESMwwIIIYCAWFZRF + 99bGmDBU9+/dVxozU1NHDvR3lIpri7Mjt65du/TJ/PxCW8+xr792sbWl2XVAgCtke2vr+fPPb6xs + jI+N/vVf/eX5c+cOHu5uac0JxxjDqrVwbn55fb1qjXPw0Imu3hYpHWsC3/c97oAYrKFnSXfGGK6g + tEYkIQjBheCMUVQxpCFgh4eHZg6NXr574+atmzvSbK+vDnV2NmdzXIQjY3du3Lvy1ZWRyafrhWLf + xYuvDvT3uU40OciJi9DYUCtlQ62VsVGMGxmL0NjoTskYxchyRoI7bjzV0937tZde+vLG43t3b//5 + v/2fTp08daI301skqi1NL2zduDf6wQeXV5c3ug8PHz063N3V7joc5DOSDF7MzRcawt6B9vtLD8an + p/VaMtvROXjwUDaTcxxwaC8lm5rznV2FxbXqZqVeNqL78Omu7kwiARmN0lsByxOFxvMXLnz+YH38 + +uylzz+JUXVt/tihwfa41A7VScafLtfG58uLS8tHB9tOHe4pJsA5kEj3DR3svL8m7yw+fHDvvTS5 + KA/0d4VWTC0s37l3f/TBnTAMiYgRaRXit3dl9A/++QePAM6RjLd1tnV0dU89ero0uZprWGxqPlJq + 8aSEMHGHSol4rbWtND/xcHRstObUcx2540fONhaLrgchQARmOUgCCsQY49YYGOVIwbmIRjiJQXAQ + wIXDpFcLjR8EVgXsWaOeF0/0Dwy+8MILyysrjx8/+unf/M3UwmLPUH+uqZEYXF+zSm1mdHxle1Mx + 8/xLFzq7urTWxDkxxjm3sFqbUIVGGwIYEUWTocTAHCJutCITcrKMoC3CUEniIAligkPQntYHo2EB + LpKZfGd3z8J0bWpy/L23PzvSmcvz8vziRu+RF4odWYeBC8GYo1QkXbFKreZ5nnCicXNGEI6MMZBR + gRQkOQNsoALHcdtbOw4dOrKxfm9sbOxnP/uPc4tzZ86eTedSxE3NL5dru6vL60+fzrS3d/T3tQ0P + 93LQ5sbml5c+297aiDsNh4bOxmVesDrZyqUvPr/0xTXANjYUm5ubYrG465pMJnvixMnFqaUn126/ + //6vF+tq6dzxtqZ0Jg5Pc1GxO/MbD2emdgWK/d0vXThHDGRhjIk0Vi447YkPpOr16ampDz/8kBhv + LJX6Bw548YS2qNT869ev379/31qby+UaGhoc1wUL4Xh9g0MdT7bw5M6VLz5LhJtq7URbIVWtlMee + PL525dL4yIN0zivv1JXSjhAietNDH7CMMaU0tF1fX//1+x9UVxaOjQ329bVWquszY3NffXrt5u3b + UsqjR4/09/XGhXQdB8qAAhARY9bax48erb31ll5ffXXwwMFc1uN25OHdK1e/uH37jiPzfX09Bw8M + FHJZxgAhDJgCIy4555ysIEiKDmZSBoG2gYbSxlrDCVJwEY+1tbX39/f39HQtLi5+9OGHwvEODB9s + LDVJNwawcrmyvLw8Mz0T87zTJ08WC4VMKgmIzz777OGDB6lUcqC/t7WtxIWpVLYmx2c/+viT+fmF + XDbb2dFRLBQ5g9LYU+aVgrUQAow4uOt5HV2dDY2jY/NLV69+JfOF4aFe0uXt9fmFsYeX33v3/q07 + xoKICBYWlmCsYYy44GC27tcYQyzmMZCGDq3ikQdYeh6PDQ4MvvD8+U8uXbl+7dpf/sVfnDlzpqur + K5vNEKxS2q/Xn049rVWqiUR8eGi4rb01nUwQRWsMaaUsIKMlwDKAE0kQaR0yUlIyxinaMOB730eC + ZqWW7ufPpT64tX17ZuWDD96R2Dp7ani4t9WxVY/5kjtzcyuzcytPF+aPP/9c9/CBbC5vYCy0ZJyB + wRgiawy0gWCg6JRnCWBMCC8WY1yb8u7okye/fr8abD89OdzZkCsg1Jc///Tzz389Mb3a0dtz6uSp + no50Mg5GAEuU2g8NDKre7qfry2OffLhj1fqRw/2dre0J6a0szE8/vn7n6vsPHk4U8qVzr7x05GBX + wt2zCAMCIYvl2w4MI5W+NbUye/sWlXc3ctlkd3dPLJ6G9k0QtrS2tLc3MWbuP7hHXLue19XZ3tPR + 4nAwwFpLoYIba+3sfuW117cvPxx78uhnP/3J8tMTp48c6GxIpWxF1ta2K7WRlZuPNwz3smcO9DYd + bofVsARytJVKWa1Dzi3nMAY8iuvQ2iLgYDKdPXnizN351C8uL33wwVvwH58/3d7RxJKZuOWm4ld2 + lh7NPFnlQbK1MfnyiydlmsMyY6izs+f5589fvTlx+86Nn/0scfK5o20dpUTMPrhz+cGdy49ujRtt + CoXcwlbFGGW1slaAwAAiRpHs/Jv0QfFeAAAgAElEQVTzjbGwNplKnTx1amFpdWpq9ic//ens4uzR + E4fchJyZe3rn/s0vL12bX9pMJZNVP1DalwycCNbuiZKMwWooNTM98+Yv3lyZHzs+dGKo88DW3Nr8 + /Vt3P3l/ZOxJMt1w8szprvY2j8MagmUwFgwcUX1ltMgDTGSKjR1d3fdmH088efjhe+/G2MXWpryu + r81P3Bt78NUXt8ZnZ6aN22pNYFgAAoxfKpYO9x05e/T24pb/ySeXV+d2mmLJApdcSl+QL5l2vVxL + a0tv/5lDA6kEXC/d2N6Vzo3Wxh5f+/KzxiRiOJEQtr6zvjo/df3Grc8u3Qt831rNGES0vWq1AAcE + Iw4hBGOCweE2evIEwBIscb5X3sijxkQC52KvZpE4MRFdagEmDENrJCPGGDPl8sjDhz//xS+W/Fr/ + mVM9Xd2dTc2x+L73dp999tlnn3322Weffy770u3/LfRszHmvVt0yWI29zmaCtcZEzdrkul5nZ1cQ + hFevXpuenJw8cnCot2vy8YP7N68+vvcgnvCOHT/+rW++3lxK8T3DiG1qKr304oWxR2NXLl95881f + zs1MHXkycOhwr+uRMrS7W7tzd2RxcTOdbnTcVHtXKZn26oEK6qEXk7AgEAPnAIM1WkNZpTWIuBCO + Iz3XlVIC0FpDWQ70DfSfOHn8wO1rDxdmpt5fnZueeuHEib7WVib0R5+/c/3ul4sL5Wyi/9Chg6+8 + 8nJzp/Mba4+yiCzA1ppQBcZE3iRmrA2UMoCxRpuQMysBziXI7enpfeONNzYr9urNe//h3/35xKuv + Lh3vOtqV1juLD0anf/Xrz7ZqyLUOvfDaK8eODDcUuesAVkTmJwcilwsGhrom7t5dGp+r7aQbOk8c + GDyeSWclwKHJ46XmwsDA4PT8g/WVwMR0a0tjR0dKCgiArIAWsAyZ/PCpjhMnpu/P1B89GXtre3Fy + 9PZrL5/LxLnHQ+7E7z5ZuPVobn5uvvqdV7qb0wmeTCYZkpme/gPDB9e6b8+OPl389JOPV+dHX331 + xdCw2yNP7j8YSbrs0KFDfq1WIVarVrVS/+iAoX/w2+88lKK1vbW//8BnD+e31+oQ28dONzY2OQAk + i3M0SrHR2d368O79+fFpn1Dq7jt14nyxkHccCBFZmRiECyAyWVpryGrBiBgDMaU1MUgCWZDjyFii + Hii/7uvQj6aurYVw3P6BgYuvvfbo8eOb90befffd2aXlA0eGuw8MeJ7La35tZeP65cuLaytuOtHR + 19PV3W2thUXUyx0pQzpUNjL6EWgvwJXZqKROa6ZDZnS0ZwBlpCNhNYzlHBLgAGwk3Rowlm0oHTl2 + YmTr/uz0xH/8m5/MHepscIPxp/M/SDVnW04yD4xzMKE0iDgY1WpVx5VSCAKgYS0XwuWcMzKuZFIw + AsJQSe6USs3PPXd2Y80szl9/7713J6eerm2sN7c2Ox6v1HcXluZGRydu37rzjde/6blfGzrQTYxt + b21/9dXVO7dvQsW//spGJlmSzLe6/MnH71y5M1Zs6uvt7e7oaEsmk9wJ0il+8uSpucmFmxMzd+/c + ntzYmZl6dHios6mYTDPPX6vMPJj47OZ1m0mc/eZrr7x4LvoIlNKCE2eMSxm1nAOo1/2Z2dm3fvX2 + +sZGQ0PjqxdfS6YzgTIbW9tXr9988uRJoVDs6elub2tzXRek4caGDh059LScvzn95M6N6tL4zuyT + 4Z62rc2tK19erpZ3Ylz1Hxh+NPJAG+u6joziucOAM+Kc+0GATDaTiU9MTuzMP7177+r5F06ub8w9 + vjN699p95vOuwb7zzz/f19sLwHFdWAVjGOdSSiGFIjx98vh/fXBv6/nnp1taYpI+/fyjx09G1sq6 + 78zxs6eP9/eUMnFwaEiHmLBMCMe1QggCt5CAw0FgytjIgmcMjAoFgyfIE0k4fHBw8MULFy5f+eru + vbvTs/MXXnq5f2AwWyiGoV5bWx+fmLh963apoSGbTnuOzCQTRuOrq1d/9eYvs5nMyRPH+ge6hUS5 + vDUxOv3JJ596TvLQ0aOD/QPNjTkXMBrawjCQ0sTsXkYsg+d5x44dm5+cn1xeuXTpi80w3N48Z4Ld + xdnxB1cvLU882Vkrcw4CITq8NbQKhYgRg4YOVEAER0hAWxitohMDB2dg1N8/8I3XX59bXHn06NFP + /sN/WF5cHBo6UCwWLOD7/u7Ozq2bt8Iw6O/vT8QT+XwumUjQXu8hLCKTJ6y1UQyqhSQwYxSR4tyy + KCpBwxHRdiJgGBraT5/uO35sbmJz9PHje+WN6Ykng69eOO2RH+ehFO7tOyMPHoyOjI3+acwpdbbn + cjkNDWsFCQaALOcstDAaYLBA1B8GLhzXi8cTiYShwHMd+XDk0fSjLxdfPNXe1KBrlV/87c+ezs7E + kpmzL3zjwoUXm4vP3JGIZRoHDgybF55fvHFv7P7dG2NjI+cvPH/84FA+GX987/aDm1+MPbhXzODI + c8e+/c2LrYNMAM/WHoGQI9XY2RUrFvOP5+cnxneZmyjkM6VSo3Qco40fhsVisbm5kEx6U1NPmcOK + zT0dra0tJbgEAoyxXBk4bqmj67tvfG9yVzz+6Mb77/5qfW58cfLIkYGOrAhYZW1uafXK6PL9herA + wWMtSWEPt5PRIA7uKcVCpY3RUkAIGA0QLKw2WlvlWcBLPPfc81OV5hvTHz+6dWVh8vP5icHDg8VS + a0nG+Mr6yvSTlZuXHjSk2p4/c/jMqQPZVAYGQah7ewa/9S27sPy3U7NP//Zvl5ZWp7v7OpIxevet + n81NPXJt4ujAc7mYmbl2yxoNa2zUVAowxthv54IA2Cg1I5lKvXDhwvjk9Nj407/7+d8vrS8try/F + U+69kTu37lwnSC+WKbUkpqYXA7+qAuu6UXqugrXaWgBOY1GKYOTeyOL04yfdT1567qXpkfGJW189 + vno166H37LmvvXShvbVg92zezFoLE6WQ7z0RGEDwprau4ydOXb6/OPV04p1f7eZSor21sb6zNHLn + 8tjD6w/GV7Z3eLKpxRjfUABuEAb5YuNQ9/CRweNzlx+OP5rc2fAdvyrqFWtUSFQ3eqselroHj5x9 + MWG/lx1obvXSnYMHO7vHvNujVy9/6uhdW99KuaKysTo/OfrVtRuPZzc5544jRdS5pq2BBmdGkzUk + uIDRZHTcFZLAAMEYLLPWgsAkl1IwRpEnWDocimAAxohJ4ThSSmNUtVLWJsfAuBC71erExOSnn366 + 5Nd2BXv9tdcas7ncvnS7zz777LPPPvvss88/m33p9j/Fb8U4++y3PfcTAOJcxmKJWCzeVGr63hvf + S2fzi4vLv3rrzV/84pcfOIybEH61qbnxje/94IWvf7+nu8uJAYDVyg/ryUT85Injzp/88eHh4bff + ent+fnZq+uGnn7na1pWC1kRMtncMnD59qq+vJ512GeA6MYe7AEGpaGiTogF0yaE0ERNCgpHS2lob + qc5SCkYWRqWL+Zdefinb3vTTd9/88NbVa1e/evpwJCWkNrWN8nIsI7728ssXX/rx2ZPfaWp2iBAq + uMIi1NV6WAu1G4t56XzMc6PIAc64cBzpulHSruDEyBpABYqHYTFffO3ixUS21PvBZ3/787fu3bs5 + dfeToqw5arumeCVk51987dzF7z336u/lW7NR34j1Vd0EmhvmcjcW7z/Qc7MlZW8aC9FQ6BwcOJxI + JCxUiJpjg3wxe/DQkctX57TagWHt7aW2thRD1IcDcBdBFZZDur//g+8XOk/84pdvj9y/cfPGjScj + txwWCgoMid26YF7+6JGjA309pcaGZEpChLDaS2cvvPQ1ken96f/x5uOR69evXxsbe8jdBHNiZ547 + d/65EycOD/3Zn/3Z/fE5azTRM9MT0T8+YH73obUIw1yxoadvMObdwE7dGtvX19HSmtw7kiiXTNLh + owefjNz+/CZgWTbdPNh/MJ/LSAHsqZ2GGAeYNeSHIYPlMPVaJQgCbZlwPHBmAKNCCpVvmBNPu57n + MCsAATAOrZHN5Y4dP/4nf/LfvPP+x+988PGTJ48fjD5irvBinhNou1txifUfHHr9u99ub2vnjBMj + BtJKlyvlUCkp4vFY3OHCwAbVOgnpcIK2voI21hVcWKVDH9ZIzqQXB3wE1g/rPpfGdTiHYIDgMALW + NLS0fe3Vi/dW1MLdqatXLk3fu9SUoEQmX6mVhQQIxlhtGAhKGwuby2VcTwAwxjClw9Aykq6UrmSh + Xwl9n4HiXoKTgMcuXvx6U+lAT8+Jn//9e7Oz0z/96U8MrEGobBCEddeNNTSUBg8M9PX2Msa1DePx + xJEjR2dnZu7dGvurv/4rjgRHzaiyDsulpu5v//hHZ159rben03M0tE+M9w0M/MGPflQaPPjvf/7m + nam5Tz7+6MrnKuGaNI+Z7UD6ZGPey6++dPa5M9EuiDE2CALNSHImHbl3zBCS6XRvb99rr7320ccf + jzx8ODYxaUHK2FAb6ca7urt++Ac/Pvf8+cbGAoeBseBOpqvn1Vfja1T4q5/9am7q8btLk5cdlkyl + srn8H/xn/0VjJjY+cntzazuRShkdwkAwwHXIkZxzAO093S8ePdgUF6M3royM3HowckPpCvnMdb2L + F7/+yu9//8C5M7HWkoneZwsLMrAkeC6X/eF/+6fLMfHB3/3drVu3Hn/yifKrO7tbuWLm9W+89MoP + /tWh89/IxsEBYy0HhQa+Jg3GYDl0pF8bCwNjiUE4JAVxTngWU2wtOD9+4kRDY9PAgfff/+Cjz764 + vLK6HkskpeuFyoRBUK/7Q0NDJ44f6+zoyGVznPNKpTw0NDQ/O3Ply8t///eTRJqYBmlObqFY/PrF + b73yxhtdXV0SiLI6bB3VajXpcTCCNTr0FTRZdvbcWV3Xq5XqvafTv/j5zz/9+H3S9biLpnT8+z/4 + od3d+B///H8Lg9BqxQCjtdLa5bYe1P3QT6TjjJPv1zzXkXA8CYrceRrww1Jj02sXL2byxXffffft + t9+59PnnV6986XquUkprbYyJx2KnT5/+7ne+c2DwQD6XM1prY4jIkUKIvfgRpQzThiRXmpTSQpAx + tXptNwwDYq50wABoA6WhCOR52cK/+a/+dcPg6Dtv/2r00Z3PP/v0ztXPXAQOfFiq1sJ8oelrL798 + +NChhmIhVIEUghEz0MaAESC4IBgGAFop0ooEg5BcOMYik84cLg2//N0fr87e/+qTX7zz7nsUVMgv + 725t9gwMv/qt33vtm9/tGxgKa8aRDByAGy90Hj+VSRda3v/o04+++PLy9du/evfDr764lI3x8voS + wnJXb8crL547cfHHA4eHkAEBIrJwQsBNwTZkcm5vb8/jua3t+bWW9raW5oZkMs4EGE/Gkm5Mxlub + G5qaCv58zU0mhoaHM+kkN3s7RowzuB4sIVs4cizzn5t0quPg27/+aHpyfHZs5B1hYrbmmRoJWZYF + p7H38PCB9tbmMITLCXBAsUBxbUlK5rrMcSAYbGAMM44jLQhhHfVKY/fgt74xGKaHfvLutcd3P/34 + ow+vfl5hDrPcBjqQOl5MtgwN958791w8EQcAEvF0fmDwUDzTVg3ku+9f/vLq5XfeeVu6FHPQVEy/ + /vXvfO3cq7VNdfPyPX7zlucJzxX8WWg4e1aE9VssIEQ6mz10+Mg3v/UtA/bRJx/fv39/bHLUiYlU + NtE/MPjDf/HjhcnND96+vFOtCoHdrY14QxyMwXE0QcFC8OFDBw8PlXIpdeWLd69/de32pVuoaS8s + p4vJ3/+9b5/5zr/sPnceOVjAEgN4FP4rfhO/RRwkYG2pvevCS7mnq+qDSyOjTx79uz//t1JYjloh + 4x4Z7nn9+3/4N7/8fK0qE3HJpYWjwTk21ers+q3Ld1VNnD51/g//8F/lYyRVOaiVazV/eWnl6u2R + B1PLVy9dZb5i37nwnReOdg8devXr1dW6/fTSlctffHLv5pcxyYVWccZevfj1wWPi8t1Rkcw5gtdq + NuFZQQKagsD49VD5gV+rhfWKdRweRbSDgwTAlNIWxsLWatUw0PA4LGAsmAWImORcEgFGWaP2cm6J + XNeNxWOxWJyFvrXG/CYrZ5999tlnn3322Wefff557Eu3/ykIYPQb9TaaPKe9OxQiKZ1MJnvx1de6 + +ofPnn8xFk9ubO4UcvnN1UVdr0rSKVeU8pnnnjvb3n8klSBFgIVgRIIxLmTMHT4wGHfd5lJpYW5u + t7zGRFitbRvwZDITi6VLTR1Hjp5pamo0JsriI2IcBmCcsb3nZWGVMg4hmUqde+F8U0Pjml8ZPngw + EY+HJhRMck5MMBgbKxZO5k7uCt081LswN8eCkCtDLFBUyzTEDx987uDAS+1NReFCib2bdjCeLZZe + +8a32g/KwBlsacnKZ51csUSyq6//v/zXf+THm3pOHM+mYgQwksTdmBN3kvGTx4/Fk6mWtta11TVe + WUmjyv1tyEQyXzpw5GTH0MlCU1bEIRClUAjBXXALkOuqwaH+7//Bd5r6ztRsy+CRbw4OHHAcsqgx + AITGpqbz5y8YdM4vCB1LnH75Qkd7ikWflAXAwRxYgUC1Nje9eLYx4XmjxweXl+YIgQkr1tS5cJhM + J9KNfT09xw8PpJIJawMyAHMQkx2dRZlslzI2OX5wfXVWSEB4MpY4dfrMwYG+1lLhh3/wozPLm7Fc + c2tTaS/38NnP/3WjNDEIkW5tO3c+/ccmP7srE/ns+QtnW1oye39gHOkmDxwYeOMHrzd0dNZtpnvo + 3GDfUCopWHSXTiAwGIK15HqtrW0/+N73jm+bI4cPlZqaLBPGGq20MQwmZFw2tXf/yz/6rze89kT/ + 89nEb54cccdN5/MnT550Eqm2nt7l9c1dvxpYTQRXWU+jmMm093QfPH6kWCwCUKHixLK53AsXLhTz + RSS8jo52z3WNMZxzxvbSEhpaOs+d9XZFsWHgVG9Hm2RMGZC2ZAyznAvJiSH62tjo82bQYJnCoeOp + f6HiXSfXtlaXEqgVPOQaSj09XYwj1Oju7f3GN3+vubXz1PPns9m06wnO9kzAIOHF0l1dfa9/Hc1D + Z06fOlpqKBBANtI0ZCaTGxpKJuLN2Vzz/MJ8EKpaUFfGBzNuzEmnsw0Njc+dOVMqlRjIEsvm8qdO + n/Fc99jhxe0NX/mcw3elScZ5urXvyAsXi92dnhPVpzEwUMLr6Ol5MZMPE6kT80vbm8tQFW5rruZu + yLIimWgo9p861tbfz/fmvq2UkpFlkcpPBGNMEDLBGxoaX3zppXyx4eTk03KloowFMUs8kyu0dXSe + PXe+pa3NlYAiGAJ34InOru6vv5r10oX1mXGqbXnMJJKZfGPp3NlzuaTs7mgdGBpGuqmtpSUmYVVI + jKBUGAbEWDqd6e3tOTvcd7S7ZXx8qFLdULrqwivlmo4NHe89dTzZVAqjiWwQGCcuGBdgjHPe09V1 + cKivPZWqTU+z1TUd1IXDCo2FzqGjB06dzjc7kXxjLazS0kv0DR78o3/zxybRUBo49n+y966xtiRZ + etC31orIzL3POfdW3Vu3qrpeXber69H17upud4+7ezzTbmZ6ZmjZIEsYkAF7xvgHIGEsISFL/ESW + kJCNBEj8AoTsPyAhEEZCPDUyWGIGxm4P89AMMzA27e6ursd9nLP3zoi1+BGPjMy9763qqYY/5NK9 + 55zMjIzHihUrcn2xYsX5ULdU0zOfvv1T3/y5xz713HOvfunxmzcGDzLEGIXp7Nr1Fz67/WbUW098 + 6o23Pj8GHaOGqCTOifPeP//87Vdeeunpp586Oz8TYe/9m2++ebbdvPjiiz/8wfcvd3c7z8zWd+eP + P/apt9/+0ouvv+HPulAGJjPIOxCl0x2Zhc2I+Mknn/zKH/0J2p7/vd/7P797565pEIyPnA3PP3nz + J995y+8vD92NW69+4dlXP9cxvJPOPIDX33wd/6R87f33Xv0jX+ycEzBDKYcXIBBBaHBysz//8he/ + uO2HZ5566v333hvH0TmnpgBE5MaNG5998cWXXnzx5o2bXec0AllKEKOZmXPMLKQOYby4uPnGG2/9 + +V/6s8OnXnz79c+dnfcA1DCGKHrwSuABMYLl+dvPfqN79Oajw+/+1qt33n/X6QH7e053znnnNzdv + PXn7pRdfeOGFTT+MGhjMYIKZRURN2zqSs6GZqUGMYBwi7l/to3bXr1+8885b/eeff+m5R374939L + L9/f0ni+HZ564bVXPv+V2y+/9ui1DcLYkTEY3GHwjzzWvzYMbOOnnn3m81/56r2RZH8Huw+vdXbj + 2tkzT9567XMvf+qVLwyPyH0CRbCUQ9V4QHft/LHtt7/9C59+5c0/+OG9G0995pV3fmK7gRGCOSeC + Hq+/9uJf+Od/8fsf3JHN9onbr7z02dsbl79vYjTHDlDQhq65N998kx956qmnnvrBP/z7l3fe5/Gq + s92GxmF7QdeeHB6//fkvfPnZp5/ktB5Igs0jX/v6z7pPffn3725e+MwzTBhH9EAKOk/puE/use2e + +/T1b26edTee+b++/Px4/3tx9+4+7pRNOrneP/rUY8++8dJbn3vpM13nYQRxYOnP3bPPXP/GN75x + 7eaTL7/6mUPYKcXe4TPPPf3ay59546U3L9/fPX3ruceff/7tt984P+tyQGWA8ll9qNFXwAwwvLu4 + fu3tt9/uh+3Ln3vlhx+8e3W4ZI+bj9+4/cJzX/nKT7x3+/LGI0+9++4Hz7/6/MW2F1NoBFEARSI4 + uvbotVdefuWLbz53+4lHvvv7393f2dNo13x8+sbmj7zz5lOvv7G54S8TJk8C7gjCBE4oJQMsgIdG + nF1/7vbNn/+5n/vUc6//zu/8Fmw0jI7HWzfPX3np0y+99sXNo7ffu4fHH7t264nrO7v0h8vf+Y3f + /t9/9Tff/QcfvPX1n/vSL/ypr379py6Gg9MPadzpbrzzg/efffb58T//H/77X/nNX/vV73z97ZdH + GYatf/3tt/50v3311Zfffff7+92VwM5cd+vi+le+/NUr2rz6xe9F39/+zDPCxmQEBrlHH33iK1/+ + 6l/6i8Pzr33lxjOfPfiLW49A09YQuMdu3vrZb33rtZe/oBebJ5980glHhQHElCavp5569hs//djF + E1977LmffurJW32XJ/pus33jrbf+3C/94ntxfOKlFz/93HPb7fYTfYOutNJKK6200korrbQSAIBs + dQp4AFn5x0g71gMs5OPQ08EmauMhqvTm+ggOEVEx9NCD7e/fCVf3zgd3dr4BO/BWCXsFE5wYIZqR + BoujErjv3GEfQrxUu7p7732S7vEnniLyahIV+wOiqeuIRHsjZwQFHHaE+4he1R3i1hxYcLWHAoPT + nu+KxkM4831HTAG4f4VIOB/2YXdftPP+cPc+HYLvMeKS/Xi2eVzHCzuAHdRDGQ6jxw9gPwSGeP+x + 9/ePDhfwDiCwjR6XwCVMgzx6H9sAnAGD7aAjyO1NRvLGBODO5aHb3b3hot57P0o/PHoLbrhUefcy + ujN5RNArRAMYyhihvd0DrqD3oZ+G9WPE6HFpMH/3Ou53eB94H/EJxOcQPByuOlwBAvRAH5Or232E + D8OVuuFJ830wXO4QY+wH2V3dHcfLzbDt+4u079UTCIdxd8dJpI7AHnpu6tVw2Ifd7sOul9F4H/Ti + 2vVeYOHgnIzsRuBK4RXnDimURhIOD3gANk5+Ufoh9A70LuiW0c17ThQIwAj0wBCxiQAb7F3w++Cb + wM1RM1JnBA94AwdAd4j3QXv01y91e4epY/SANyCO4xjVbEtRwl3oPQzX0D3xHuEAdEBvGACBwQ4w + BTk1vrfb7zUG6H6/HwzXfL8dNmDsxoMbOhh2u51zrmdH4rA77Nlos7lCoGgb8Q4g20OvYDvg7L29 + P2yGDSMGcFTRg+h+K0B/FsxFggMoBMYINhyuYAH99Ssd3o/QA7q4O+fQn52PDleA7fEoHSjcxe4K + mwsbzpVyxAXWkW2EHqB2Z3TvWX920W2BzoDxvvCBXRj3O8KF84+EA/aHuBsP4zgqAgkurp93XacK + ZjAiIwAjIVIKxDu6yzt62JFgf+2s44HRX7siugd0hi2p13sIBOoQ2NjtOvoAGHdBdG/jfboK19z2 + wp/j3N2PuPQYGGzwal6IzCa9EsPu6rLvenJOQyDiqHr33j2QiPMg9v3G9y45pCqAYAPug64QQrDt + h3qG3sl+1HvvbTs26QOc67qBI2EE1Nz5FfFlxKPjB8Lv62//yn/w3/36v/Rv/kcv/bE/9c/+yZ// + J771U7d6jGEX9Wq3v8sj37h+C1EuoeGs2wFb4BwR4wE/vPPv/Vv/9n/7N/+b7/zgu//av/tX3/kT + P/+kG+jeneHuPYZ22469HKiz4eJAiBEbQach7u712ePSRd5eAaEMDQewXiFeIUZ1F8ENCpAqhyvv + CCBTIxGQGPhqf7ja7S+vdv2wGfrNZuP3+wjDdhBKahn1wC+9vPPhfn+13XSGCHXebUSGseOd4JBG + ZUAP9ALEgLgHIjwDUUdl7gEP9vdDvBt0POw8Y+Pl+qZD2CEeIEPw2w8BBgZgY3tEQuwwAhH7DS47 + AOgxdmF0KCHRQRr1EM33vZmN43j//v2y8kEsIuL6vjezq6vdMGy8S8h+noN2+6Cq/dAJgeMBuzvg + iO7aHe2uvHT1dK0AHC47hJ4UHLG7tCjx2uOXzimwvzQ2nHna3XnPxcMwbLrN1uAux70M3oQilCAA + CVTG0YcA6aNzIa1RjsHpXZYDwt3Lv/crv/Qv/KVf+37/+Dvf/sv/xl/7/MsQxfv/9/e78e7NDTbX + L9DfvCQJhAHoLMAOoGEkVqA3RbyH8XLka2O/vTPi8OHdu//w9567de3i5g2kbSR8dkV8V0ERGw9n + GGKAXsKuIA7koTRaF7v+kJYuI8SwJUB3sPsgB+7AXSC5VOwZPcABXg+9A5CUBsFd7FgOEeMuhP0V + x73H2FPshm0cHgmOFegApxfH9uYAACAASURBVODxErSHHEC8i+ffGzduizOFXOpFRyp2FfdEYWsH + 4IAQ4G7uu+2HBonwhsP99y/HKxPrt/25Px94cCmYwDhmv2INYIA9SO7txrv37xoUgo75rPcdkyiD + ekBAOATsBF2fFwjThEkYoYES0G4C9VBBJA0aFeJlHw5Xh0ul2A2u2zgoiW0EZIooiAIL97eHe9jf + uf9//P5f+8/+x7/8V//Dn/ynfvGf/sf++J/+1tfZ7qeWjZf7nndnjw443D/Q9TBc2xE6oAc89oY+ + tQmKwRt4Dzsg7EARcgGcXV3S/cv7w+BZzHBgid4Zy0WAO0QEhbgrtQ/4zr3/+j/+T375P/0v/6tf + /pU//6//lX/kz/yFp25vHIzx3hbRg3E1fvDD/V/5d/76v/83/ublfv+v/st/9l/5F//MxqvzXoij + 6m6/v9zt4hiu92eb7SMwBuMgGClFuYWjcIYd2RUsAtdGbPeMPbAD7hrORjzRjR0+RNggbnEgOIwD + 7hL8wc6E2Az0HuL3Ie+Dbkd86hIQghAE0ZkiGHZjDEEvzkbnKHvynlrQXWmllVZaaaWVVlpppR+F + Vq/bB1ITKmFxm2AEYjD53iv5yAwDM4wggOuok+t0tvFkIEWIkADn2EDZI8uiqoboxDkWELwX53sQ + 9RsPiKlFC2bEjvsehhRGTvJBTwaYBQtg8uy7ziESQOh7KOCIBA7sOyHAVMkM3kEIZF3nogOD/cU5 + j6q2854gY1QFwJKdrQzYHXZko2ODYxmGcw9jJOgpRYJLx6RFDQHKzAzAJPnvEABEMiGis023cVux + ICJeabzc8UZcJ9cvJBIYEAKixajqBCIglw+ziiO4V0AN3iNCNB2AEgxBU9doAtIFAmhAVDghBIWJ + OzsDiY24vB+ll24jLNicXfQ2OHEOYIPLMX3J9z1IwQpyOob9HsPgN4PrumtBD0KyOetYyFTNLKol + 90vPcMkPtDi28mSk0UxmjBAJZJEpnXVXE48jvMJ1BAAWEQMYMWAX0G2SI5zGUdkAFnAHAETeUQdE + RTqOvBc/sJApGyADFGAfYzQSL3AAEaJCUv/FqKajcd/3nhFg59ttp+izrx0G4XRu/WazzYH+jND5 + jikCDkJsluLfIh1TIyA+G3rHEMA5kLDDwOpAEcSSw3ZaHPfMCnbwPdTBiBlbATl0NvSmyeuJAelg + ByMTbC7g+yRpVM5vSkc2wWzoh+vep+zjGHv2CeD13QDzIDgPIzHutmcbYhgryExNmGKMitAJ0lmD + TCbEIn7Y8HbooPvD/t4QHDo7BIJPO7hJFRZU2EBMQiGAHc43juFEvd9isOy17jt0BAOYwEwAQoym + kWBOBCzDZptCbbAIKJ06SF3X9cPmECJz3hAdDSGiE4J52Agnjvot3OXBHOLZ9QuEQxTv/cYYZDky + QcqwE4gxFDxsttuzGNXM0vgUAcjpgc+2Fz13IAHJ4NwOMGCMAQQEPewP0RBh4zjCTHLkgW6zPRMG + dQ6OO3KRMCoOl4d+49ixDBvkWKCqTpnZRkBADFVlMKSHgNkRoApn6r0DrIRHzZiksGyGzTBsWRwR + jaM6J1IjkWQlEC1Ggg3DZhh6gkYdYU58D+LkjJ82KcQIpbSFnmGcjxlkZicYI4zQu87LxgmTbbzr + hQGzXaDxgG0fJblcI53X56VDyk1gZkFHz54hLikDNQtqIDAPnWS3d+/l/AwGTqFniJBlg7abDUAx + mpo5YWIA6DqXdK2l/QR+A4wg7rzsgWAggyd0DsKDtwM0Ym+gLZ0N4ihovLzcb7pNL+QIF+fXnAUS + MbAxDcOwj4cQI4mAKaheXl1eeO+9RxpkAAxOhKVP/qckvh/OnCeN4bC7PITtlnHr1q2Nnjns4NL8 + Ac2LnREaQPFArIycqRMP3D+APR599OKxze2tBCjifhTXoYNjDAxVjDuQA8RBHXLkAwObJ0JyBwbS + pgdjUFTEEQKYM7VgYEKXgDMHZx6IsLQXHkhLfQLfO/NbR4MgioaYtsLnEMOIGtkJkBFy54dzjwg4 + xjAwAGbqxO8Po0Zj6cACohT11TE6Rn9+fYNzZTMyNorxICTEDC95BUfzPgKIOW/bbee8JyExckRi + BKY03YPIdzDODS+TCghIZ4fmLxNVKEEckyRv4M511HG0IJ6YEBCJVFiIodEO42XPEczwHbxXJmjY + h30YAwM9dcYWEIfzC+960B6D9+iMgIigcB4MIYANHjBGOcfVwfVACpZsG0/ubMOOQKZEJAoyBalC + DM4DgJJsrp1fXe7vfXgl1g1+611/GMHeGAgYvREsbrxsOr/tNsy+68/gNypj1njx4BxdXGzJpEcH + YxwQJZ0rBgGI0vRCUE6fcKYAksxiSzjrICBkJZe1RowQB+coHEKXZn3x4A5G44hIcF3uFIuRDhGA + bDcsMqYlt5VWWmmllVZaaaWVVvpx0ArdfjSZgsiQgC2zHOvWDCCwYxYr3+j50JCUUAVkybUPBYpg + U4KZKRmcsDDDNByiEyFhkGcWGIeoKZ90Skoy37IZkMw1I1WjtGWdCdEQQjYdyVSNGPk9MhDgGMEQ + RupSIjAzeybzJGQwZUkJU6HRIEwED+oQE1aIQzmkjSEAp/M6mMhNUSQYAUomnh1TtBgNntl7hwA4 + AeDGkLjZEfbpnCIDg9MB6QlTAnWwHlq2v3Oy2sWScy1tQD4dkcJcA/+ldxWkYAF1kA5BOVovEIdc + SwHDJzdqTiB4CrTpHCyqEZOA2TtOFqiwQL2CkbAUYhJHTGbQ5G7TyMk8WgKVALjJb9uBO5AjyZhI + ja4gDM5hBBzQQym96jjjTQVLNzABAmOMgTw6wkhghhkOQXsxJkMMKZ4AyBHBZYZmaNlAZAQmNmZj + 41QdMMhJCkSaEIWyq59R44UkgVBTJmLKZyjBCJQO7iYnZC0vABJnxgnIESNCTCf8IcHQIFUjB88g + ggdYOaZxlhEAhnRIYRlgBUIu0J45wIS5S8UrLHnSWuEZ2GIECQs6EhaQAEhbX42QlxiiKUBE6aB1 + g5Ek5Mx6YIQwQOkEntQ6JgcxUDL+wQRHCb6DY991hAiEnJobqTA1EBEzw1SVCWBBCktNnB5thkF8 + J048EYitcEOyA7eDeoQRYp1AxTohEknn5kAQU/QRE4DSKU8uRVUZDwn9YSZLRx3BiEhEvPPExskz + jCkNKAEkSy8pKO2fFyeOWUwNcM65HmBKhxNRio8KDI4ScGlZ+ZCxEZEAjixpHiLkfcmqCXVKgRQA + ggbkSqR6m4hwgbUTR0UyCCuc5cRMzYyFmAVkMHVKpmJqlIAbAxNSzA7K8SrTMppYCCQAe0g6FUuJ + xDG46zqXDtYj4g4CkKOM6IDIOCPtltA6kLpUNdMYTMQBZsRFytLIAgGdcyVqSD5lSg0AnJBaeofM + YApVsEAYZlCFKMAeQRGjsDqw5YAGiAovhGiIAHUQAYvGQBR6b50nlxbJOkcqqUZRbYyBCJ6ZmIIp + Gbx4YQcmqJoxgBDg2CACFSiNSuS8OBM2YCSDMIaOnG4Qs5aJZRKEMlQgRJznL1bAHEQEJkROsDnb + Iu7SiqNJZ0Zhb06IGFHAedZ0MD+5qxPYioYSKBAMvupTIiMmQlouihFOkBdBlKAMFpQpwwRgSWFB + AE9R0zQkOUCRpWDyUMYYozcvFSLNWo7B4oTMIU0JbJxgZgIihFmYY2o7RbZgdiCTjPcTQGmnvcUY + mGLfuxz5IKkcK6sTiDAhphQxRiOAmA4ry18idT4hKrKegykwwYlDRJpEmIVASgjBzA4do0ufF1H3 + UYMZHIkgrR14iDFY2LHARTCD0jogfBqvhkDiAKRFN0oH6sEMzN7AiEYaAfaeU9+RiBIrjMBsud6a + 1ZO7uPbI9uz6qPp3/+53Nn/rb732pc89dgvb86sx7K7u7u/8wfd+9Vd/+zt/5+9YDG+8/vozzz7L + zhEn4YrM7PLIdIgOY26+lWHL6QsBKN+9IoAYuAD9XeKaFbWd+wJSNbAaokE84KEggjDS6YBMRsSQ + pNFcZv7qb7vSSiuttNJKK6200o+JVuj2YZTdnaycpZzQWyRzuaBpCdHRBI1lmE0N4xgg5CW5uwoA + QQp2QIjK4BR9wA7jfncl2y0VOzVlzyIAH4JJQj6Qi23qRlyPx1K1EMjnY0I0RmVy4AyUJC81iogj + 0DFIoWYwYmGviAALXMJHQYgj1OJm0wkGYK8HM1Iwkq3iCERiSqSAiEA6cLaLTGyECtyQ9raP+/3O + DT3n4I8CZtr0KJ5SBo0hKoRJ2AGUQAwB9UBUkhxbNAX4hRA8MEAiaMgmmGQjnJFAcgU0h3WAIAaA + hjOf4sQmTCG7LBU/GZiCDcQKHIwE4r1nmcxmcY7UxhDYe2YQOwBksAD2k41cBaZY9S2Ky2AP2oD7 + eih4hZs7jxxUURxsgAkAl/zDEvBKxEyTECqNY4REJ8IEIkTgcAgeEAoY90ge4M6xSJ8FM4NcRARL + vHeOZFQzMyam1AgzmJmZwkQERKZazsJJMWZNYyDvBFyax2AHBcBsJkR1NCRUzpAWMZRgYGMv0MQw + AXEEiOCyVxkARDXLeVsaAjBNjt7CXIYBkCXKmIgnzhMMlrBvYRhUI4iJyfsMShMlT0cyg2MycAij + dwkPNLVoapydolm254CCqQNGQKNCCOIzbhsJhs4lwLTa+kUakku4y7i5GaKZMDMJYOGw16iu4zyk + mWFGRMPZWcrJOZeB9OS0m7NmwKkGg4rY1jOBoQFMTHklIiKt2UAT3ucAVewP8TDGqMxCZhYzxksg + 77uoY4w2+bICDugTrExCIkYEEd91TlhMFcExo0sO4DCYqoG1Zx42ngAzjWpMJCziKMkQC1HCMogN + BKNRRwJE0sglqMWgxMRSEA8zZpnGbAL8AVOEEMmJy050ABM7l2WYBcykdNhHoTxaJR9XBVKoGkGJ + CCxht2eD9B2cJIlSUyb2rsFchi1iD2HhdAgbHLNQh6hpYSzogdj1CemNFoNJUr1cposYysggCJNa + jFHIZ4Q6KojYkZTJJCo0WoxKJJCydKNGLIgcNcIHXwD2g2IcdSCYRgQjt00OqjHe913Y9Nu6gkSE + PHAJqtjtd9tN3zmvILVoRMNm62GIwTSCHAgxqDrNTsqRxggjIVYRc2zJyz7jsiogUkNEGQnJNZ7K + eCZDAEjguSeK2cXaQAwRcQ7EGhD2V13X+4G1eKaSc1CFFudK09SOhFhGICh8ukUEYmJO3Y2I/X5v + vYdjgFUBY3YOaQ2VOE3ZMWpkZmZyUlQMiPN5VFAGZDzsD1CSPElrgJTp0nFHnrAbEQExxzH5bmoI + Jo4oL80IhCUiBo3KdRqQPFnEGOCiZ7cPoxoRMbgC3khxmSEdVflAZCmHXNKEW0MkzSAo3rp5DZFI + zUhJEnQL3YdLz7TtPSwgAGO4CjGYwonvnHciAIwJcJ4RTc2IOK14Aug5BRpOm15AWsFRC2pqJCwK + QlSvgdKUphFETBJhSbyYIRFmo1JMS1hPPfPsc8+/0P3t7/zP/9Pf/gdBruxnnrt9/siN2Oth/70P + fu/Xfv1v/PX/4jf+4N7Zjc/+1E9+/cUXXyRO6ioAmpbnYgqjYmWScZAUCgewFO0KBHZQApwInCEA + riKteW2ewfljTSTrcBGBBWg5aVPJpf1OgCqYDcIAp+8MVSUSoUaNrLTSSiuttNJKK6200iegFbr9 + COJq7gKNb0uyWbngBpAUB6EkYgYPvaTkxVqW5JdiRiLliBEjx2dnG2QzLHmmkHMuGf2dm77903FV + tYze+7HWMvkpFXhHknmaXBRzxQ2SNvql3ebZ3isGSzniiwCg8/AknHY7oueOYc4Mncs7/QmAOEhX + UDS1DA+D+q3jlJN5Iho6RvGc0gJsEpiMgA7wnTjlhMMSUXaRMQ/ZMvd5O2mGtEXQAQEIefNsRjZz + LDnhhFUDyegGJ5i59lwF1vKebLIWdDVyRGQocEvubQaBmTznk4OK6yU6V7JtoKUJup094eyaSoUZ + yCeiSMZT0isCGuD6chhWfp8ASkBF7i7vB1aRghCCgWHovEVYQNcBBGawQ/G3taYqU0WB5DlIZVEi + SQElPCFtwZ1WB9IleXJWeZqSmaQtswS4Gs+jYNdGYGEyRXYJRbZvSUAsTMlzMDUZDCHSjGtnkBUg + sDEnL9JSaKo1ExXo1jHEF4/P1LPM4qQCqtWpexrNAIO88/UGM5duKZyy3DcO8MIEZGQkVa/4qFZW + p1akXnGScXNOjS5HlAFwzidn/qQUciWzJ2HmU/KfQ+Enkmpgz10qJxIAU8BSoBObOpdhRATPRT31 + PbsORGZKMM6YVWILC3dlw3VWB1LF2EgVII6ww3iwGB3Qw1EzcEBgyUO+3GERT0R1rBEKIJ8wPRBI + xHHRJxmiFu9R9VjWUTkHm0YWiNF5qcyUBNrm6+SkbmDuepd0suOMgCVx5rpzGur7AaSoIkLZl7EZ + yKnI7FPoANRt18njXeDEwXHKxYuTpBpKpGsYSCR792c5IyFXh5Ek5W+5CpbUsSORpo2cEEzA9SIK + GGMExAAncMzJnR69h6XVEfJegANyMpnxkMCOtmdnnrOIdyyxVoizEzoB3jMz5e3x4rvhzEgO49Xu + 6h5TFMlrZimPNCZ8hrwSw6TEzwGB4TuowogpxwAtvJW0eMaMs82GS68nf1WhdACXwIp3JkEwLcWR + AEplfqkYOTqBGzrhIk6uy3JiVvaxEBFEJK2pTgMI03AECQh+s1WHsWgH71t9CpCDY7BBiBAlxQ72 + LqG7KahOknOSstzb7qUneOeMI2DeOQOLOSpTRxLZclQlDOgcoy60gllc/hSpGzoM4OwRnqIqOJf2 + rBghKVIbNp0zzQ6vzOh6vzmTroNFJs2zYN1MJMTiAmIE1bXSWAH0JAM5MTH7hFcbxHul1IvQjK0D + aYI25PmZCFHHyJEwvP7GW/1d/iC6X/7N3/21/+1/+fXf+V/77c7393oL/nKU+4fd3n35y1975+v/ + 6De/9bPPfvo6T+qRYHVTRopnlZ9NE2z7NVE+3oTQEajMyExpsuaE/CYNn19ggnMgD3jIAO6oBj4S + 5N1VRXQkuTeX8lZaaaWVVlpppZVWWukT0grdfgxK9oDZZBUY8mbOigzSLDkRWFBMUMqOSRN4wdPn + PFP2JauPkkEJSoVYY3JPGRAE1ULOxlVNSpTcAufEOZJpyao1URmNgSEFr7Ps6OpATMn6L2ZhcgCs + wQansiQBTsm3E64ad5RLBwBKT01gAqkFFxAnMVYqTky1WUhBDzsgBaOoAFr+h1xt0gSJJceZ0ge1 + knMQs9QJbNXEm3U9UPDBFgMVmvqlWtkNGytUklqU3GiLe3LttCJcJYMuRWOkYqXnalPDeiKQ45KP + lsrkjduSPd1Q1hZQvOIwCVItbyq82LwNKIdGrCuEVCDC8pOJpjaRmRBFzPhIlRlNMUgoeYORUZM+ + I6ZZALj4dM0rNolHiavBBcihjMvUEViRvRYBBKxsMG6wd+amm6nWWKqtXxtXhLN6y0+tplx4xXMn + Qcus54YpLYupapVjg99yD1BGyG0a8NN6Q644mUEtlcNwHV278cJnX/oT3/72k29+9cUXbndd1TkF + ULapebmlUWHUD9s3P/95J+6VD37w/KefHbxQCWFpqhCX4JLiIli1C7XcbqUqLbmkSpZHhf+8ZNgx + bpt51GjQZnRUhUwZniWg9B1KX5YhQMh4y1yT41gLTOXKpL45x6JB1tdc1HCtmREs69b2bhHs5XCc + SkFZs0BlTeUKO0gkBIZa0WySor8yFdw2NYgcVBEto1ITkxQwgpPs2El590JTGy5iL0gBSvUQhfni + 0ce+8cd/5un3onvylRuPnE2Kt/wH5fWbrLUoP8hdwWkFCwz1OdoB8mFuyNorI4Y6YfgGJVIwIUqZ + N8BlFwVqWXC1q0vvovqSF3krTUwxKUpEi0YE6z+buEHZlb2ur86FMmkRBpBCQjCUEgZoVd5qZ9q0 + 8oRJAeRI1zABW46MXQtDDvlRRwWhLP/RpJYmVTpXqdQ+S4CwIS/fAiGCDCTw/fUbj73x5ps/84// + yS/9xJeff+6ZmVQyQOkIPM7K0LJslzgZqPMVlUVRS8xlRY4pSw0XCv/IYBATgwfc5vFbr7zzxi/4 + /uZv/+5vfPfdu/sPwfeY73eIW3IX0m82N1584ysvv/XV25+5vhlSNx4s899Vdma51Hqd+7Q2Jo8I + Q1pFQN6vgImlNPG/UQ2UghGlmXqu/Is+p6kK07srrbTSSiuttNJKK630yWiFbn9U4gI+UPtZfvID + fYJtCQVtoebFxp0u55wDaC6yXNiJ1UBgHKddoAElaZNFhRwrpNqafE3lzMARnhKWS429ZQClI9gS + epEwihkPkncPpZ3yuaattWr1rBDM0dvCh8yMefsSdKug5HMUAWErvqvITDZwSpFrbAW5aIpvUJHM + oLQVtPDJpj38leOFaS2Sfmzz11KofZEEhup1S8U1s3SfwixH1KCpqq0B3iTnarsnqhBGeY0KejvB + u03fLLrpSHSpYcyJN6arahdn2cjcTueaTZxpWFK5QQaOEyuKQBbRzfFxl8ixlb9m2AdTkrQJi2zc + Ri17U00LALVWdhqxQQOrTUNGExwzg/WolrdcG2jhkhMcnkvUQ2g28Etj8lbqFOujMmw2coESs6Xz + LsfrePSxd77w3F98+g16/DPPXMdmgloWlTKUkRejCpgvLn76m9/82h/96h3bb568OZJTHY07NewP + wQ9SUE9bYEk2a+Rs3NS1hBoJpuFGy7AZejvn+kluNZlhgmrqcFvUA0B+Ujow9XpV8Q3AlPPJHq2T + qOTQIOkmz5utJYQOmR31JM3/mD35qPamER4j1Caxb4rILDRGQD7dcVnINDytIGxzRuYWJodEo0Ow + jSPcfOKf+ef+3Ht07W7/mNv6ev5bW9EJ+geVpYO6RpUjhjKiz8qfkOMpF4C5SDnlfjeFUnZZT3tb + 3NTEonQAAClWADfCFItun3qz1C0ddDkhxMfQ7cQNm1RTXQaYdww3cHyUaU/FImGas+q0OesTqjFm + atr6fh4xerSscKRgFtmeUD1kUIMiRWUegzgHEriuv/XE1/7YjRuvfv7RZ24/vWmYgsRiUlDMAfXL + CJmVtpwltQDVTc3JFm+ZAubJ5wD63nXPPvUTTz/99je/ccd4tJFpx7x3ZNeGbcdudxWpu46eDIDC + 4mhxNOfB3lKw6KNPEVidbbOCy6FMbHpalmTSN9H0YVXHQsNbLt8h02xeVFxKRvO3V1pppZVWWmml + lVZa6cdAK3T7sYlm3+cN0JAt/pNkqPhTNa0TzqlNkmrIFZuxGAzJ3phtUW8eLFGheR2WjxpzorHI + QHP0tqSKBGiBbhPY1r5j4HRwTmpfRd+OK7C0gZrrJshEm+iE01sDC7gCxSYe5o3MDc6XNsyzZgRw + ctic1wetrVX22LePrJTYJp72gFdUtOnXzJ0lPESMfEQTl4YvcIQCgFNx32lfX1S/yYeWHEVxAMoZ + 17KayqB9qQUtHoIm1to2f835ki9sIZYLELhW2aYKFKimuTP5cVP71pEjOU0Vnz+q3sZNdxVcZCEA + hdncSPFRB0IZgeAmgKuMIspbtXnmul5YwLOrU7V/MJVRPv2sgAhPrSteX0aYAEUAKRiDIwaUEAwK + 5/vnnn38aoCnmsPCyTrdUoYxmMXn+13nndz057FzmoONmhGTyEIzLeGodlw8WEnOGbIcpoQTr57W + e3Npmw3VusQ0VSwLVYV6dBobeRSjKvCSM+dQrtO8qUDxb7YciKIB37Jk5xxKsQ+nJFcLzdjywqh6 + 5c8Q3sruZsZhKGCxycFK6M/SLzmbZU8CAMbRwOSYN2fXoFdQxcX16+4aO68C3ygQK1DYFG2DShyc + CcVMR4yhVIyBFD6VqqamRsQp81YNymk5ylydMJtu14xmw82QVSusbIZPI0vWcqrlcRsPxBqx4dzQ + llNp7qC8YJUfzYUg5Unz+6eo2dqzrFDOq2YwlcVzKaCTnyPtEClRCoyZWRJXI4IBut2e3b79qDm4 + NoucX/K6laI1iJffJZP2xMTtdlaced02qYjgJB3GZwYxeOl7dw2i8BoZ6phA5BSkDLVA0YtACF5A + 0oHEwAo2pGDLR83PK3y1N4pfreWnQkmTo7B0Nk7n82VCev307TFLME3eS0W20korrbTSSiuttNJK + n4BW6PajqNpi6YIWD05dFGqQl2LOZAAqGQ+x2Vk4x6asfv1r8ihcGnzNTu1lDYrZQK11gsm8oBkW + USszrzcMBIMoHFDOsq5GowHEmuFRmgeUnSrUxmRYWD8oO96n7ctIkEQT3bbkNsduuNjnApOpsSXz + ZC5qRl5q1TICwzMX1IllJf0Rl5d8odmlaTpCbnp37sbVWIdU9xbXlk3QrRUnYmpwual21mCMxX/Z + Jv42qKfA7FgujrDw1pCvvwlUd1YfgRmzGwY76vKpk4xOo0CNJDTwykLia4tm+AgBVVSASZxqfgvK + zsuxwEETDkQTXpswmaXpXSqjoBZAVkKgBSNz6VrgPK693o4u4FQN20QnHp2+tmXGiRVS3YprxyfM + SiSlZ7CHeRJ31nU2eQ7aUS9nnIqhhIoj5fGeIqoKzGAxRBiJuAapeFjNm/ZOvXyU4EF/LJn1QDSE + Zvy31pFzPnZLMOFZ3nWgzoZHzZOADN1SBXwtO8xPOr2F0XRylVwI2FEbP0pEZrzIw4FpmWdp0LRw + Q7VFVJSgzQQJBb0FoV0bsRwKFmrGChLXIQSYS0eKueJZmhdJKB/WN3m9wsABsHQwZrqlMCIlFIWW + QyXQUZUK7EeGAt1mtT+fFwhgRILCUOaFReyiB1zMBXDizymZtLLkw0BWCoVTaXylI/8yz40reJp9 + dadBW/um6br6aZE7K6v3tktnTAE1k9ViGC1Vmc0fV+KcBSMdyAlLgXrEyRnLoVXQM5CVrarTCkk/ + ZDxO7eZFwnmzCFYG69fONAAAGNRJREFUIwWIgZVIPQmBjDtERwRiVoB9BHNauhBKkcg5nXipQAnk + UAbCXFpSH1rtr8pFA5FJTXgkKHO9zyWo+7Sv5bhNJ65XWmmllVZaaaWVVlrpE9AK3T6cWqgkoyLF + bKNFQiLMzYHpJ83+LO45k405T7U0a8ohYHN7jVrbpFStwf3mcMfSGmk9Q6vF2BabXNA4wpUHNmsH + SCHJ4YjbyJOTsZSyrU6fCyfhFAfxyLppQiTYssW1ZZLPLi+QXGs+GUjBlk9dKxxKdmc5P2dpzU0n + Wz3E3JrwnZJMgUhooVsryDA1PYpi/dkCukXy1rSCqRCmgHu1rZPXMDUgzCkZSKUUrKbtrSYC6KyJ + E/xgE8NPMmHqC3uw+2QjcQssYbJ/pxgUD2Q15fWAeUZAYyZT+yiJOlWpX1ZoCQo1smQl2wYuKajL + vEVKiFQRwRlZOdBvWcYcgznZ0I+gj5Fi4lY7vDSqEedgouTgB3A0UBxHcr7gWyex4wzdwjiGIOYh + iCHCIgmTExEzUAjBiIe+nT6WWvHo+sHYzRL/OdHuHxkHmSuQiss2Orduh0ggrLVxRWrPWxqlk2xE + gGDZH1lBOuHdWAyhCt0aTin7o3AwH9Hq+QWD9OQaSRsQhxhzhLdWsKiVyesWNQ5QGTgEOMcaKKTY + 4ZTOaIKqKvKCXp15YlVwGTJXIAAR8GTEVhyAEX2qZXJVJ2q4ZLZscQrUm9BblJDjmRkEMIwRGg0j + WNBp3hat+TCZrMmmLuXkWzzz/i9xtXNmyXk/A6xTZCWrjG0U9qyQU4+mas2lx9oZhpoHD2vwos6W + wtQzQxVw8A6qZoh59fFkHvPwrbb4bjhRdFGj7faDRTICCFHNiByDWUkNwWAwZTjiEnqCoQSRfLAj + Qxkpii6jTMYE5MG6KCpXNbtIK4hrfIupojZNnfNQPUcdJkCHeipqm+Dh7FhppZVWWmmllVZaaaU/ + LK3Q7Y9KywNGJrLZQ5rbBafMdJqnOiYr0MHSpk0Pl4Y7TfUqFkdTkcYCpKmmQAZYaW7GKAxGPG0+ + tOTZJDV3a7xupwpkjKM6V1bYsa0kzcqtL7YwV/U/nd5L8U+5+C4d+7skizZbX3OjEROSMd3KGHpb + 8rHlVXCQysMKYioseYxVJyhr/fyOrPPsxMhZTiIwErp5tInZeVwE5Ei2xPO2zLJunGylBYgXaUoN + 0YAJdQfu1NiPgG6xQG8XmMC8wbPGTGZ/G0X4uDnImErBI+1hBZU/GymqNZ6x9EHNmldk9lZbRAqY + MI8Zmsu0Aj3Nh/L09ChOwyejub5gEOvk75kfMlks4hiMHTlIT+YQQgffEbiVNEu4YwKlcnxqApiy + T5tsNzCFU7A6GAk78oBoGY1UK1NaXlXPXO8RGqV16uknplLwErNvos7MQK5m6E6dZAayFtvRKcRE + gelLKbEdg22RRTJKzosZ4GNETnhQA/MvWWZhebO8UlHTlmOnNBDWKc7Mcq4JQTAiIYEpNIKT+zYb + i7gSwjxJnbUnCVoVxWBpwcNAmpA2WHJXTNMa5Ug8VoucVyNFI7EGVzvSqsrQfGpcWsxrZ8r5QtFM + IKdH+ekclazAOtWQ2I2mLVq0kbR8QmTB8OvayJHaavxP67fCyafLF9uuqWkWIn2C6MQlcT6Tk1In + Z40rwjDS4KVzJ15Oc1ejKstkOtf600sTq4nbhzRjAQEEdiXOtCmiZZRcyRQmiNCIKIgpcDcAhBAO + sOQqK8piXFnRfBYtGUkGSU3QeqKgNqnzmG1iEh3rpmki4xNPSz4PrMJKK6200korrbTSSiv9oWiF + bj+aJtAOzV+0MJiqO0x9OFn+mMLltV/ydHQ5zy9noIBRGxW2mkqNaTizfpf1X4bpPaoDzTMqm4Bp + Ormr+EVNIG8KKTu9u2jf8b75pR047VCco8Y1P2tAhwW4ujCIcuvtmKXUdoXR5NFZfZ9nfKVqkSeU + aTKNbVHT2jWNNZtOLbcZOFIakT2wptQRCG1wxtrf5a22acdNnrGjXLfYbtvdS+mZCWtzbcdv0/TI + ZlWsObemurTZ0GTF0vGrc+idKvK03F1Os19H95G8n6abtriY1b2teVPV48Cis2vKTl3L455SSpoD + 7k3drDDvk9vvJ8NQ5BFafMkNFpLMJ+EdDUoIEIok5IzYC8WMzFoewTY7OKigtwaARHLLHGAMCzDj + tFbBHIEQ4KVynxZdNa/tYhnm/wtwo/Rugf6mjpiLYn5C2sqBWVmR4bpfPgM7NgHDRjmyxpQVpnLm + OOknbmabgREgR3slAJhRs3xkLkUtrrWjZa0Sf0okkVl2BkBNCULJkRQCY4wHRWTni5NyEpEpLkXz + upY4CstKFilb4rat4kgrIkWHlPCjc9iv7DJIEVa41TgAZnFvfwSBxNR/TT9OoRImrT/p6HpCH0xg + Agby8YnNikE+sK24hTZfC6htLOXUaiWMtFn+aYPqHrGuubccYiUFEQhs5EwtGoiEzJJnNTN1FA0x + xySmNr/8ajt4aAndzu43TVvO/Y37dD6StH5UKJgq34o+b+eY1BimpLko4fWqUAKXjTztp0PDj1n0 + lCmsCJDxa8uhP1IUibrEO2sY0K7/zjg7dee8h1ZaaaWVVlpppZVWWukT0wrdPozs6A+U7/7mo39p + CDcIBrWJZjYMLRKgfW1emi3tssXzZmtwBThLVrX0xvywY2NkDl2Z1TCXzSbitDnRNTBgDiw72XJT + 1IGjeAdNoqmi01PL+Ji1z21hglKzTXTOKS3F59AHVN+kZJUloMWIUCzFKX6vNpVuPGAXltcM6iEA + phnjniE3Nr9THzQwe25D2VBsrn35qODSAy38PgMWUPbntuEgWqAKjTNv4nUL4CxqfyRqpSe0rby1 + DJmFDSTQ5IWaK8A2OSaXSuRaNVcAKhA8NbO07QQthH3Ok+nRUbNK/52COzB/pfgmwwhh4kUR8LkE + TTVaYj6flGb1nDQIAchBHgWAKSzmEL3MZAgRcIiEwyFuHLGX3smoo4VIXo4bjAm3TXhRaRwDUQ/j + vmMGp00AiIoQoiNpI3xg1tpJG8yxjWmYJPzkx8Kjk2S1KxOMVlSfHfVNuUMZv0khWWFWXEvLmlVy + Gs2ZlFC2syzy1dzr9sEaHDih8x+UrvYSw4h5AecTyBSIWU0TVEBSIkJMamOqj5V4x1VpzsdTGEcR + EUlcIAQar/ZBAedokg/Q0RgHDIh508DEgbYMOhqHBDSenKRFIIma8DhZHVq+27p0lpK17nzHxxLI + thY0u4fU69Fqv2vVdhMnkwxMzu9TxHKdx3BgwFmR+TyfTfUTLBoy1Zsn3Yj5n83PeTMXWdAsNUGI + AxBi8N7FMY670PUkvWzgdjiokVE3aVDCPF7PlGlFK5tnGQfNd2tQ9onnuVuPzzlTgxFPWih9ijCR + QIpDc+Iji4OkQgTKpggGEbgarD05gluptVGdQpNWtzp1Hcd4nlTG7GNgMYs1EyMtn6y00korrbTS + SiuttNKPlVbo9iPJ5v6zEx3ZhK0RPOFZDzXaU8qZ0dxYc9UwnGFGs2JPGaZYWg+EBXo7a0K1bZoW + wyrsUUgzeluOEk9Ix7wmR1hVAxggAyfz9tFRuvwnW/a6UkxBEpqkE16Y9+8fc/uoKoqZ9bcE8Hh2 + xvRpasGZEjChuXPaMZOO2tqGwZySpQ3oR4jDPKfCylJmNTa5en5V9K3Y/LVi+ZAW1Dxs4t1DAKbZ + o2WiWd8XJ6wmgABlGKa2oPDB6tNZIdbiTJpasUBvbcKMpEFYDGmjuy0q9ZBmnWxKrVytspZDzxZv + 2vRvjgY1ETGXeNiPRk2Vjv3YtcQYNSC5BkOBqHAd2FlBnbk/MwogMEwsmMWTINFsZJpiTEckJgyX + nfdghalpCGBF13XChMXKQWaAFd1FjLZDpqea9cFidPyYqAI/sz+nRw3OuBR+alS+Zq2SkJ7EFrP5 + W3QsFuUq+eHzQ0SwAcfaLE4mr484+wU2eq9kZoaoYEMkI5hrzhIDYAadoMN6D6e5TzBmIoIaYkBn + gLHfnomXEbaPOwGEpQVkm8pMjTZMirWB8U60Md/iVkPW0yzJqNmtXs6iEjCn7fVLKJhmApl642EC + GXN+zYxVGBTKOWlShrUCmI4jW+j9SR/opI8JNapDLT0pKyNMnsXWBBFChTApT530QFmZTSQT/09B + iinSj0v5ixLIie8HFlaoQAWBjEGaYsLmirZZTEUqwwroXItJWidB3VOEpZqkHITItRerDk/HfgKq + iByihchMIF/lRgG1SAjlGEkGiBiO85aNqQ+ohF+uLJ06J0vDrMrIWruugM6n7LaDa31RJ9aF/NM8 + 0UorrbTSSiuttNJKK31CWqHbh5ChMVKODc2jj/mHWOiwyUw5VcwfNttFogcZC3NYCXNDq3lcbe16 + 5viykPKWncoLZvls+sb6nSFrwFHISSwtpsosMihwIDjAtTW1it5m9CLZhxVTmRtc5X9pQvEfXQaj + rPeOzrpZsOcUQya+LZzPjpMSYMnyb6PcJrvcW9qATtXeF7RRFtCmr5CEgrgKAE8FthZz83AhJ/M+ + /qhWN3dzT6eC2NB0oc1Qhcr0IySdTmQ6ybEV7GZeD0qM1uXO4SxICy/O+QiyxX0c1SHn0yZfYjnp + 5+QhPJPKOWRiCxDgR6RljOtm3E6Y0dQ2i0VpaQJ7Et7shA1OLcBGsQhGXsqZu5vZ9HsGayTBYRaQ + wYwAUwND0vbkLH6LJYcGIaEFT1ouzgr7GDx6qLI8kdhOtOgBgMzReLaMFE073Gujpo6uHTxJ7/S+ + lX/Hbp6z9lDN9EQbW+2c/smD54QEzibEOSlGaSWVSn3mxSzPHqyQt7DM2kQMdkQJPtPlYZi1hZOn + MoASPjk/dI0enpVa0MF0jlT13GcCp5AIVhMVbJNAll1v6/tpiZGr93jjFJ7/WYO0NjzX2sdoRQSW + lm0IDHMn5ZhyX8OoxO7NAqEGRYIjDSWG6jx+xfRZ8KBAt7BpyXOpEFo9u6gS2kWwtrn1UE4ARNHg + COIYBlMzDgJjRpWTmiUt62cwYyrw9AyfreznUy2aorK09UqTPkMot5fzuCkalADSNOlQZqblsBTc + rFiWwajl2M9ZBWqCSZwaVhadZTXMyiLU1ZG461S/GfNXWmmllVZaaaWVVlrpx0krdPtwsmKvL2zd + 9mu9RQfaPeBMsxdPWmXFXK3GaEEGlgjI4qUjA6LFERZJSunz65nJvDijDNl4nxVQPM6a6lj7KwNq + luE0XTzK2MQyRmB9VHHY6RSgBD0dAKQzRWqp2Y5EyPslS0MSZmH5bxTApdQ/dVA5AM0a3DalKAET + rCnqBJ+bP6fErSQ8CKKav4jiAaw5ZiPI4NIB2Y1zMldgFE0vznPTvD26MjWfG9S8ZdVNrL47j9HZ + SPNH05JDZgW6bYDjeni9Ax3BtAaYgmRu6TbbtzOppViWc5ARgJUsq+C0xxnNcdWjETQztJeNmoYC + Hbd0VsG5BngQm07b8osXTln7Nv978k8uyoR0JsMKxHqOT0oVi6dfBGtUiYfOGcQvCjmhTojgm1Cl + DLCAAkDEzEZxHhfCipMjTVKmZev6rA9oQrrydWXQR6EeJ4fjrCOzQBgw4S9VFB+W97wLa3VyRASb + +DTzlLd8qNHJkWMo6khbd8AjqrhtKuJEtJWpgqUZx0JtVV7VcpwHggq4XYjKDJmr8ILbzjWAwcxU + 2CetIh4wIAosjCGMpJ33Pil6m52EBsIUGD153FM7L/ocKIYiyFVwcNLTiMSxaV6aSXMrdI4QEpBD + 4KDhS5G6GahmpTdL+AgrEUFyo00BSjDrxOT8K+YoFJk77Ql2lWNZw2L2ZL6vwlDDkc9ZnogrC46m + a+S41KeGyFJlThhmrVq5VzOnPMSNoQYChKEBGmKkg/fMkDTfNwHpT37BlKhBJ0o3Wk6FzUIfmumJ + mu8mJFBWGIAjEgYEijEqE5OBTIWIOa9oRkWIkZgFzM3ZizloLUVYEdHZR1UeBUsmTiFRchsewvB5 + 8pVWWmmllVZaaaWVVvp/l1bo9oF00oB+WOoTX/jtreI7OQdeqRpSza05nUIcTqG3P2oSeshVMdNm + 1lo1z+cAUkMNuLCkuTfT0dOT2SUkIh+a1CJh2aKKGfubF9na9pjePF1yY4bNHYRO9MKsGhWnmqf5 + iFIANAe+SXOKWnr/2AjkJYB3MvuFq+8pz9+awwluTT+O6OTdZfqM1T30nVOIRAnj8SBcrQIPHyPD + k08f2COlnnNOTEXNPZ1b6Vic+Nb+xlFpDxD6jxq7Hyftg5vGjBIftO6WJ8A57zgdjres6wOlmhb3 + 8n0R0eXy0oMqPkO45gJAi+RHYNZJOmL/MeWAtU1fnYgWc/zSybIWumNiTgHBqMnd2lUXTHWYPMHn + ENtxcR9J1v4+0uHW/OO6rEXz12YdfKTV0wWBiLjNtNwWz2S8dLmd8iQUKE6ysm4AQwJgDMQ24u7R + JBLnuXINgtKOJ8IxN6c8H85KW14d45+n0k5QJC04WdTZ8TxqWSo+ko7luhlgDxk4p4iwXAOY5065 + 54UnZ2NmOHKOPGX0vxRW5qjlFFlzpnnHzCo+FduuvlF9SkVUm/oRAPAU55whxGnliphqzGMiIYEw + E/JRfCd04gN1SqMZquopNT2q/YmXP0aPrrTSSiuttNJKK6200o+NVuj2gdTsvJ4dxIQFvJF8V6p9 + SmgeElXj44Ff+9zmTRUUKyDJ9JOOTJNySTM/kZnpZ/PLxVuNAd++wzAPFgaGUkWwKw5W+TV3dNBy + srEnD+KWleUwGZqlr885N55m1QMcoQd8KmeJI9W9kE0zUn0mPtR3jEAC4nqqU+VbfZdqN/HUmTYl + LKH18gtp7BDXaA5gcK5qk4xmBU1XqYLTds4jm3+q2ClEoGWutIXmmlTUJF+mfcpcWFRZRhXbo9Kn + i+JnORPVi8L6XBC375E027KJCsdno2bZdsrex40lPyusoANFqpnm4rQISFIkBBOAOWsUl/tzvCFH + 6pCaMwGAB86ytlyGaXBAlzyL26JplvQ0nvBRdAJ1WBTCgK+JSMBdYSMT4IuocW4tE3fVj3vBMM4I + U+1GnuQUVW4F6ABhYlcrQ1wFYBp0U1xSrixoGME5qGgZHYufH58hi2fNZRqYKfyIgVEikVCrKmsb + OYcybRvLXDRPFkli2AC4yiwBOlASxfzbptHuwWl9Rhaj46jm7Th6cKsLmNYMNm6VI6U6k4MxGMTt + wVdlAEjblwCB3HTQV5HaEl16Ap0bXgkRuwnrzAFRpdVyM802DfVJhxBncPjoGK+irNp7DxYSKrWY + rlNDmWZju0howeFlNlfSJJC1rJoTWOABoXSy5TQiuNVsqE7xPOkbrhrmSLhPOGoeSXDbpObqtEKY + awZrosOX8APUNNfySJCiHCiHKmCDByJSBOG5gq06vKlTM3nMKsCU1+Wovt6MXl5o+UVzGsWSr5mA + PIFRyz8uj1peYRrQafzlLmiklArYi2nat5yvA1Wdedy6WsPSpploL4fwQ9XZSiuttNJKK6200kor + fXwis4+BIfz/mGqE1uNH1Kaafs6eHzH3OJ/q8rMEzcojzebBgz09rPnjQQjI8dtNw2z6nX8pQEac + PPQcQBZhhiawYA1VyFMGR+jxrIxkN594tPQJm5LEsu11GbGOgCm26lT92Zk7MzPXZhH+Wm/Wto5U + 67/kV05VDyWBRcBAYqjR/jQHAWg2j1f4A0umtLxacKFNPL3zgDtTPlPdys3i7tU2vHrkFi+ochL4 + rPPmVWlaXTNeVIma121qo6ENhIpZpyjmXZQzmPmHNW2apbMiK+2j6qZVSesZbjP25xbWR+U+2kfN + 1mkEICDFXG74TkA5voyLj2H7aNaSHwvNxyys6XSC4v9p746VE4ZhAIDK/f8/7oE7xI4lSNqBoR7e + O64DKRA7Qs4pwT7XH2st4uuRung+zg6J3PYUEmdwlkkq0rd7fB9rhEeN+VgBUEIub11J7zbDfqDP + bDB/ab/qSOclhdX4VqNhfGX7mnlgBfZ39FFbTMv/9ZGezv5rES0eMyDbX617G0ru2nRIa5S1vNv9 + mO97TT46b43ONzz2iD6XdDrmN12RUPLQaEme0+BMZn296xgEnrNLU8IZh/hlHuQxs070o6aWF/hq + 61DU52pOuu/MEnWvy5SlTVcDVcmQ6/Naf8YjIsZiaHlbWyNKHxf2Shrtc5m1l1z5cox/j43a6uth + 6f1FV+/5NhVHmcji9Ixx2a3VfWvlEPeo42m52Tz9vYz9PieFutjNXg5K3HRPSdYtPZvGoHmGUo/M + fHFavOzsrXVW0+uuX50KrA8s//s+UgMAwOeUbgEAAAAAtnPxuz0AAAAAAP6X0i0AAAAAwHaUbgEA + AAAAtqN0CwAAAACwHaVbAAAAAIDtKN0CAAAAAGxH6RYAAAAAYDtKtwAAAAAA21G6BQAAAADYjtIt + AAAAAMB2lG4BAAAAALajdAsAAAAAsB2lWwAAAACA7SjdAgAAAABsR+kWAAAAAGA7P56qbmugKso9 + AAAAAElFTkSuQmCC + ) + ) + + (text "Power-on sequence:\n\n1. External power (3.3V_IN) is applied.\n2. U2 (1.2V regulator) turns on.\n3. Once 1.2V output is stable, U1 releases its PG output, allowing VCC_OK to go high.\n4. U9 (3.3V regulator) turns on.\n5. Once the 3.3V output is stable, U9 releases its PG output, allowing SPI_VCC_OK to go high.\n6. U31(2.5V regulator) turns on.\n7. After a short time, the internal POR circuit in the ICE40 allows it to boot." + (at 190.5 78.74 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1199146e-a60b-416a-b503-e77d6d2892f9) + ) + (text "TODO: Change C2 to 4.7uF" (at 21.59 165.1 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 12e1753d-bf94-4be5-88d4-b5c37757ca74) + ) + (text "(changes per datasheet minimum recommendations)" (at 21.59 170.18 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 13630c47-b459-4961-a710-9cf7d93cdb56) + ) + (text "(changes per datasheet minimum recommendations)" (at 21.59 119.38 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 256c33ce-7f03-4997-bca9-51b17fbb00ec) + ) + (text "TODO: Change C6 to 1uF" (at 21.59 114.3 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2a855294-ea21-4b09-b9d2-72b6efc4352c) + ) + (text "2,5V, 10mA" (at 78.74 143.51 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 72508b1f-1505-46cb-9d37-2081c5a12aca) + ) + (text "Note: Placed on 2.5V line, so that it only lights\n after all voltage rails are powered." + (at 116.84 170.18 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7c411b3e-aca2-424f-b644-2d21c9d80fa7) + ) + (text "TODO: Change C3 to 1uF" (at 21.59 116.84 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 89f72272-6755-45dc-8b94-c2415e29a434) + ) + (text "1.2V, 10mA" (at 81.28 41.91 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 98914cc3-56fe-40bb-820a-3d157225c145) + ) + (text "Power Supply" (at 118.11 24.13 0) + (effects (font (size 6 6) (thickness 0.254) bold) (justify left bottom)) + (uuid a9e47720-1ee8-41ab-a11f-f4a9b0cf2ae4) + ) + (text "TODO: Drop C1 or change to 1uF" (at 22.86 59.69 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b957a901-8961-402c-82da-8ab5a7c35516) + ) + (text "TODO: Change C5 to 1uF" (at 22.86 62.23 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c8b8cc40-891a-42bd-b945-652d117b138e) + ) + (text "From the Lattice documentation:" (at 212.09 22.86 0) + (effects (font (size 1.27 1.27) (thickness 0.254) bold) (justify left bottom)) + (uuid c8fd9dd3-06ad-4146-9239-0065013959ef) + ) + (text "1.2V regulator, supplies VCC and VCC_PLL" (at 44.45 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dae72997-44fc-4275-b36f-cd70bf46cfba) + ) + (text "3.3V regulator, supplies VCCIO" (at 43.18 76.2 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e6d68f56-4a40-4849-b8d1-13d5ca292900) + ) + (text "TODO: Change C4 to 1uF" (at 21.59 167.64 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ec3a1345-f14d-407f-b544-d0b28d52f485) + ) + (text "Not\nconnected" (at 76.2 151.13 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eed466bf-cd88-4860-9abf-41a594ca08bd) + ) + (text "Power Supply Test Points" (at 129.54 76.2 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f1e619ac-5067-41df-8384-776ec70a6093) + ) + (text "(changes per datasheet minimum recommendations)" (at 22.86 64.77 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f49a4c76-450b-4d80-9ba7-af728063e684) + ) + (text "Power LED" (at 137.16 129.54 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f4a8afbe-ed68-4253-959f-6be4d2cbf8c5) + ) + (text "Regulator for 2.5V supply from 5V USB" (at 39.37 129.54 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f959907b-1cef-4760-b043-4260a660a2ae) + ) + + (global_label "SPI_VCC_OK" (shape input) (at 52.07 148.59 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 10e52e95-44f3-4059-a86d-dcda603e0623) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "VCC_OK" (shape output) (at 91.44 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3c5e5ea9-793d-46e3-86bc-5884c4490dc7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "SPI_VCC_OK" (shape output) (at 90.17 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 582622a2-fad4-4737-9a80-be9fffbba8ab) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at -1.27 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "VCC_OK" (shape input) (at 50.8 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c088f712-1abe-4cac-9a8b-d564931395aa) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at -1.27 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "power:GND") (at 64.77 54.61 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061216e11) + (property "Reference" "#GND05" (id 0) (at 64.77 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 67.0052 55.5498 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 64.77 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 64.77 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dd13a1c4-170e-43b8-a5a5-73b3287ffc2b)) + ) + + (symbol (lib_id "Device:C") (at 30.48 38.1 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000612188a6) + (property "Reference" "C1" (id 0) (at 33.401 36.9316 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10uF" (id 1) (at 33.401 39.243 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 31.4452 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 30.48 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 30.48 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "10V,X5R,20%" (id 5) (at 30.48 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 27e91b9a-6f37-4b94-aa0e-6c8f69ad38c6)) + (pin "2" (uuid 21e504bb-e46a-4887-a84b-527d7866b7a4)) + ) + + (symbol (lib_id "Device:R") (at 41.91 38.1 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006121b215) + (property "Reference" "R1" (id 0) (at 43.688 36.9316 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 43.688 39.243 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 40.132 38.1 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 41.91 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 41.91 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 46be4b22-c00b-4c04-8251-e1de5711d290)) + (pin "2" (uuid c752dea3-afd2-4581-8ae9-cfab8fdf3d0c)) + ) + + (symbol (lib_id "power:GND") (at 30.48 43.18 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006121cc5b) + (property "Reference" "#GND01" (id 0) (at 30.48 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 32.7152 44.1198 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 30.48 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 30.48 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 095025c5-c78a-4a00-b56a-62de9b31de74)) + ) + + (symbol (lib_id "Device:C") (at 95.25 48.26 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061222da3) + (property "Reference" "C5" (id 0) (at 98.171 47.0916 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10uF" (id 1) (at 98.171 49.403 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 96.2152 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 95.25 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 95.25 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "10V,X5R,20%" (id 5) (at 95.25 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid afcf691d-7d0f-4e76-a37b-e022ce2ad830)) + (pin "2" (uuid abd7f2b5-ebf6-4918-aadc-24dabcc8e48f)) + ) + + (symbol (lib_id "power:GND") (at 95.25 54.61 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061223980) + (property "Reference" "#GND08" (id 0) (at 95.25 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 97.4852 55.5498 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 95.25 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 95.25 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 490f8f5a-03b5-4455-976b-beb38a1106a6)) + ) + + (symbol (lib_id "Device:R") (at 86.36 55.88 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006123854c) + (property "Reference" "R2" (id 0) (at 88.138 54.7116 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 88.138 57.023 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 84.582 55.88 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 86.36 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 86.36 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 86.36 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c1817d64-7531-4ca6-9ca2-a1f89535ac0d)) + (pin "2" (uuid 96e6f9a7-7beb-45c9-b428-893e77ed306d)) + ) + + (symbol (lib_id "power:GND") (at 63.5 105.41 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061244503) + (property "Reference" "#GND03" (id 0) (at 63.5 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 65.7352 106.3498 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 63.5 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 63.5 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8104374f-d3e6-4b3f-87b3-de22aeb23ff7)) + ) + + (symbol (lib_id "Device:C") (at 97.79 96.52 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006124bc7c) + (property "Reference" "C3" (id 0) (at 100.711 95.3516 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10uF" (id 1) (at 100.711 97.663 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 98.7552 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 97.79 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 97.79 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "10V,X5R,20%" (id 5) (at 97.79 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ef42eb58-68f2-4324-8de3-b25324774762)) + (pin "2" (uuid f73f4f13-4c0f-48d9-8ff0-c6483a249a40)) + ) + + (symbol (lib_id "power:GND") (at 97.79 102.87 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006124d9bc) + (property "Reference" "#GND06" (id 0) (at 97.79 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 100.0252 103.8098 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 97.79 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 97.79 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 15fe29b0-a484-4525-946b-4b6f3dfc201e)) + ) + + (symbol (lib_id "mta1:MIC5258-1.2YM5-TR") (at 64.77 45.72 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006125d556) + (property "Reference" "U2" (id 0) (at 64.77 35.56 0)) + (property "Value" "MIC5258-1.2YM5" (id 1) (at 64.77 38.1 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 64.77 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/268/mic5258-1891212.pdf" (id 3) (at 64.77 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Micrel Inc." (id 4) (at 64.77 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "MIC5258-1.2YM5" (id 5) (at 64.77 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digikey" (id 6) (at 64.77 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "2156-MIC5258-1.2YM5-ND" (id 7) (at 64.77 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b913fc35-101f-4bbe-8faf-08ce0e72254f)) + (pin "2" (uuid a3694338-54fa-4d34-86a5-38703530527c)) + (pin "3" (uuid ab7a19ee-1564-4b25-aef2-9020a34e15e7)) + (pin "4" (uuid 5a886d3e-6973-4332-b81f-6c3089d05a42)) + (pin "5" (uuid dcb44da4-e69b-4c96-922f-68be91b6f5db)) + ) + + (symbol (lib_id "mta1:MCP1824T-2502EOT") (at 64.77 147.32 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006126a5e3) + (property "Reference" "U1" (id 0) (at 64.77 135.89 0)) + (property "Value" "MCP1824T-2502EOT" (id 1) (at 64.77 138.43 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 83.82 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.mouser.se/datasheet/2/268/22070a-53890.pdf" (id 3) (at 64.77 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Microchip" (id 4) (at 64.77 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "MCP1824T-2502EOT" (id 5) (at 64.77 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digikey" (id 6) (at 64.77 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "MCP1824T-2502E/OTCT-ND" (id 7) (at 64.77 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5a4c8fc7-daed-4231-b2d2-c7fde16f5bbe)) + (pin "2" (uuid 295c070a-4458-4f4a-8593-fd5407c62f83)) + (pin "3" (uuid 28982f72-6a21-42d3-9eff-d562b5280b29)) + (pin "4" (uuid 972f9aa5-ad75-4625-b4bc-fd0e09e81789)) + (pin "5" (uuid 0a236e78-5f33-416c-8c74-e271016f428f)) + ) + + (symbol (lib_id "Device:C") (at 27.94 151.13 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006126b7fb) + (property "Reference" "C2" (id 0) (at 30.861 149.9616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10uF" (id 1) (at 30.861 152.273 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 28.9052 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 27.94 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 27.94 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "10V,X5R,20%" (id 5) (at 27.94 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 39f44100-b969-4fd5-81a2-167eb510ac29)) + (pin "2" (uuid c5fe4ada-212b-46d2-9387-4d8078ac47a2)) + ) + + (symbol (lib_id "power:GND") (at 27.94 160.02 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006126c45e) + (property "Reference" "#GND02" (id 0) (at 27.94 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 30.1752 160.9598 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 27.94 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 27.94 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cbbf70ed-7bff-47f1-96e0-d27c8f090127)) + ) + + (symbol (lib_id "power:GND") (at 64.77 160.02 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006126c89d) + (property "Reference" "#GND04" (id 0) (at 64.77 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 67.0052 160.9598 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 64.77 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 64.77 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2182db2c-e124-4535-890c-f41babccc9ab)) + ) + + (symbol (lib_id "Device:C") (at 92.71 151.13 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000612750eb) + (property "Reference" "C4" (id 0) (at 95.631 149.9616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10uF" (id 1) (at 95.631 152.273 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 93.6752 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 92.71 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 92.71 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "10V,X5R,20%" (id 5) (at 92.71 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c57f6a70-42f5-459f-8ae0-8600524da64f)) + (pin "2" (uuid e44df168-56b6-46f5-a334-6291f631d5a5)) + ) + + (symbol (lib_id "power:GND") (at 92.71 160.02 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006127a658) + (property "Reference" "#GND07" (id 0) (at 92.71 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 94.9452 160.9598 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 92.71 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 92.71 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3e53b3a5-0b74-4d48-8c24-8cd5303c8ac3)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 134.62 101.6 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 00000000-0000-0000-0000-000061290a70) + (property "Reference" "TP2" (id 0) (at 136.0932 98.6028 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "3V3" (id 1) (at 136.0932 100.9142 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 139.7 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 139.7 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9204ef8b-ebda-4e21-83b2-d093d08e80a4)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 147.32 101.6 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 00000000-0000-0000-0000-000061293ed2) + (property "Reference" "TP3" (id 0) (at 148.7932 98.6028 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2V5" (id 1) (at 148.59 100.33 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 152.4 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 152.4 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b83370bc-d275-4691-a16f-c0aad76cc339)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 162.56 101.6 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 00000000-0000-0000-0000-0000612c6885) + (property "Reference" "TP4" (id 0) (at 164.0332 98.6028 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1V2" (id 1) (at 164.0332 100.9142 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 167.64 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 167.64 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2c42f160-a5ea-4a79-881d-db8662a242ec)) + ) + + (symbol (lib_id "power:+3.3V") (at 97.79 86.36 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061383d03) + (property "Reference" "#PWR05" (id 0) (at 97.79 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 98.171 81.9658 0)) + (property "Footprint" "" (id 2) (at 97.79 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 97.79 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0dd7a7dc-3a33-40b4-a338-dc7d080d3818)) + ) + + (symbol (lib_id "power:+3.3V") (at 132.08 96.52 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061387554) + (property "Reference" "#PWR010" (id 0) (at 132.08 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 132.461 92.1258 0)) + (property "Footprint" "" (id 2) (at 132.08 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 132.08 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ab8b9096-df27-4863-8469-d7042c624744)) + ) + + (symbol (lib_id "power:+1V2") (at 95.25 38.1 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006138c420) + (property "Reference" "#PWR08" (id 0) (at 95.25 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (id 1) (at 95.631 33.7058 0)) + (property "Footprint" "" (id 2) (at 95.25 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 95.25 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7c9c8fbf-83c8-4463-803c-a3967b810f93)) + ) + + (symbol (lib_id "power:+1V2") (at 160.02 96.52 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006138c913) + (property "Reference" "#PWR013" (id 0) (at 160.02 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (id 1) (at 160.401 92.1258 0)) + (property "Footprint" "" (id 2) (at 160.02 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 160.02 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b2636a7d-6897-4e7a-8efa-89e4060107c5)) + ) + + (symbol (lib_id "power:+2V5") (at 92.71 139.7 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006138e6db) + (property "Reference" "#PWR07" (id 0) (at 92.71 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+2V5" (id 1) (at 93.091 135.3058 0)) + (property "Footprint" "" (id 2) (at 92.71 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 92.71 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c2a46c67-5532-405a-b677-0cab70ed838e)) + ) + + (symbol (lib_id "power:+2V5") (at 144.78 96.52 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006138ec8c) + (property "Reference" "#PWR012" (id 0) (at 144.78 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+2V5" (id 1) (at 145.161 92.1258 0)) + (property "Footprint" "" (id 2) (at 144.78 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 144.78 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 95b40bbc-53d0-4e8f-bb6e-895184d69e88)) + ) + + (symbol (lib_id "power:+2V5") (at 140.97 139.7 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000616b0743) + (property "Reference" "#PWR014" (id 0) (at 140.97 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+2V5" (id 1) (at 141.351 135.3058 0)) + (property "Footprint" "" (id 2) (at 140.97 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 140.97 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d42e47a9-7586-435f-b598-98d5dd3e39e4)) + ) + + (symbol (lib_id "mta1:NCP752BSN33T1G") (at 63.5 92.71 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000616b8c22) + (property "Reference" "U9" (id 0) (at 63.5 83.3882 0)) + (property "Value" "NCP752BSN33T1G" (id 1) (at 63.5 85.6996 0)) + (property "Footprint" "mta1:NCP752BSN33T1G" (id 2) (at 63.5 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 63.5 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "onsemi" (id 4) (at 63.5 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "NCP752BSN33T1G" (id 5) (at 63.5 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digikey" (id 6) (at 63.5 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "NCP752BSN33T1GOSCT-ND" (id 7) (at 63.5 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f6f2b1f0-04bc-424e-88ec-e8d8c399ee1a)) + (pin "2" (uuid 11694ac2-d11d-4dfd-91d6-00244bd3f62a)) + (pin "3" (uuid 210e382e-bf69-4f39-88dc-94ea73a51412)) + (pin "4" (uuid 95e8e4d8-3c16-4964-8900-b439f6eb7949)) + (pin "5" (uuid 3ef4b28e-6f7d-431e-baad-d5a2526cc6de)) + ) + + (symbol (lib_id "power:+5V") (at 86.36 49.53 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000616c22f5) + (property "Reference" "#PWR06" (id 0) (at 86.36 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 86.741 45.1358 0)) + (property "Footprint" "" (id 2) (at 86.36 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 86.36 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 23ba4a6c-f61a-46f3-ac75-8bb5e52597b6)) + ) + + (symbol (lib_id "power:+5V") (at 85.09 97.79 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000616c348b) + (property "Reference" "#PWR04" (id 0) (at 85.09 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 85.471 93.3958 0)) + (property "Footprint" "" (id 2) (at 85.09 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 85.09 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 42e14b0b-9242-48c7-b14e-f4ecef0faf1a)) + ) + + (symbol (lib_id "Device:C") (at 33.02 97.79 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006174ea42) + (property "Reference" "C6" (id 0) (at 35.941 96.6216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10uF" (id 1) (at 35.941 98.933 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1608X09L" (id 2) (at 33.9852 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 33.02 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 33.02 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "10V,X5R,20%" (id 5) (at 33.02 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7e12eb5d-5aaf-40c1-a668-485939b3e123)) + (pin "2" (uuid aec3e26a-d51f-447a-b3ce-d292ede94d8e)) + ) + + (symbol (lib_id "power:GND") (at 33.02 102.87 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006174ea48) + (property "Reference" "#GND0101" (id 0) (at 33.02 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 35.2552 103.8098 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 33.02 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 33.02 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 023f6e64-c54a-4d0e-876e-633c83be4118)) + ) + + (symbol (lib_id "power:+5V") (at 30.48 27.94 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617fd0c6) + (property "Reference" "#PWR01" (id 0) (at 30.48 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 30.861 23.5458 0)) + (property "Footprint" "" (id 2) (at 30.48 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 30.48 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 24d9dcbd-d263-4162-b272-ff2f976925ef)) + ) + + (symbol (lib_id "power:+5V") (at 33.02 86.36 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617fdf0a) + (property "Reference" "#PWR03" (id 0) (at 33.02 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 33.401 81.9658 0)) + (property "Footprint" "" (id 2) (at 33.02 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 33.02 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d417e6f9-8dd6-4709-89c8-ebe75b821c1f)) + ) + + (symbol (lib_id "power:+5V") (at 27.94 139.7 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617fec8c) + (property "Reference" "#PWR02" (id 0) (at 27.94 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 28.321 135.3058 0)) + (property "Footprint" "" (id 2) (at 27.94 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 27.94 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d9a1aef6-affc-43b3-847e-57acf222f384)) + ) + + (symbol (lib_id "Device:R") (at 140.97 153.67 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000618005cf) + (property "Reference" "R3" (id 0) (at 142.748 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1k" (id 1) (at 142.748 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 139.192 153.67 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 140.97 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 140.97 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 140.97 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 564b0903-4ce3-47ae-a24f-bd9d83070287)) + (pin "2" (uuid 64a4639f-c276-4821-be25-778d195ae2b7)) + ) + + (symbol (lib_id "Device:LED") (at 140.97 144.78 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000618029cb) + (property "Reference" "D1" (id 0) (at 143.9672 143.7894 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "0402 indicator LED, blue" (id 1) (at 143.9672 146.1008 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "LED_SMD:LED_0402_1005Metric" (id 2) (at 140.97 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 140.97 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Foshan NationStar" (id 4) (at 140.97 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "NCD0402B1" (id 5) (at 140.97 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "LCSC" (id 6) (at 140.97 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "C130724" (id 7) (at 140.97 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2c3bef64-0896-4852-8564-b9423ae2dcb5)) + (pin "2" (uuid 30a6cd55-20ae-4080-be10-540d9d863c57)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 121.92 101.6 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 00000000-0000-0000-0000-000061804e06) + (property "Reference" "TP1" (id 0) (at 123.3932 98.6028 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "5V" (id 1) (at 123.3932 100.9142 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 127 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 127 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cd30e7ef-3da9-492f-9c89-0222d921b353)) + ) + + (symbol (lib_id "power:+5V") (at 119.38 96.52 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061806b29) + (property "Reference" "#PWR09" (id 0) (at 119.38 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 119.761 92.1258 0)) + (property "Footprint" "" (id 2) (at 119.38 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 119.38 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 57cceb79-cfc7-4d19-ac0e-57daaf9c7f56)) + ) + + (symbol (lib_id "power:GND") (at 140.97 158.75 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid b138a11e-912c-4302-843e-d89c34b6f120) + (property "Reference" "#GND0111" (id 0) (at 140.97 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 143.2052 159.6898 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 140.97 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 140.97 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 181617e1-ba7d-4993-b837-bd7ffa6db805)) + ) + + (symbol (lib_id "Device:R") (at 85.09 104.14 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid c4c2a4ce-d12c-46e7-abc7-438cb069e22d) + (property "Reference" "R22" (id 0) (at 86.868 102.9716 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 86.868 105.283 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 83.312 104.14 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 85.09 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 85.09 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,5%" (id 5) (at 85.09 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8a6fae7f-19e3-4bbe-b14f-8b442b96b77f)) + (pin "2" (uuid 5ece99e6-b51b-40a1-bf7e-dbdebdd0a46f)) + ) + + (symbol (lib_id "power:GND") (at 162.56 114.3 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid cf4e8e3a-d27f-4432-a03f-eb71f8da5a95) + (property "Reference" "#GND032" (id 0) (at 162.56 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 164.7952 115.2398 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 162.56 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 162.56 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9ca88724-cdc0-4ef3-968b-3270fcc650f9)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 162.56 114.3 0) (unit 1) + (in_bom no) (on_board yes) + (uuid f74c1147-0f6c-4852-95d4-43a254720c79) + (property "Reference" "TP28" (id 0) (at 164.0332 111.3028 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "GND" (id 1) (at 164.0332 113.6142 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 167.64 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 167.64 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 363a3797-c9f0-4011-9c28-5b6fcde50111)) + ) +) diff --git a/hw/boards/tk1/tk1.csv b/hw/boards/tk1/tk1.csv index b42f0eb..3b9fc73 100644 --- a/hw/boards/tk1/tk1.csv +++ b/hw/boards/tk1/tk1.csv @@ -1,26 +1,26 @@ -"Source:","C:\Users\matt\Other-Repos\tillitis-key1\hw\boards\tk1\tk1.kicad_sch" -"Date:","11/15/2022 2:04:00 PM" -"Tool:","Eeschema (6.0.4)" -"Generator:","C:\Program Files\KiCad\6.0\bin\scripting\plugins/bom_csv_grouped_by_extended_value.py" -"Component Count:","47" -"Ref","Qnty","Value","Footprint","Description","Manufacturer","Manufacturer Part Number","Supplier","Supplier Part Number" -"C1,C2,C3,C4,C5,C6,C25","7","10uF,10V,X5R,20%","mta1:CAPC1608X09L","Unpolarized capacitor","Any/not critical","","","" -"C7,C13,C16,C17,C18,C19,C20,C21,C22,C23,C26","11","0.10uF,16V,X5R,20%","mta1:CAPC1005X06L","Unpolarized capacitor","Any/not critical","","","" -"C8","1","1uF,50V,X7R,10%,1.6mm thickness","Capacitor_SMD:C_1206_3216Metric","Unpolarized capacitor","TDK Corporation","C3216X7R1H105K160AE","Digikey","445-8904-2-ND" -"C27","1","1pF,16V,X5R,20%","mta1:CAPC1005X06L","Unpolarized capacitor","Any/not critical","","","" -"D1","1","0402 indicator LED, blue","LED_SMD:LED_0402_1005Metric","Light emitting diode","Foshan NationStar","NCD0402B1","LCSC","C130724" -"D3","1","LED_ARGB","mta1:0402rgb-1010","","Foshan NationStar","FC-B1010RGBT-HG","LCSC","C158099" -"FB3","1","BLM18KG300TN1D","mta1:Ferritbead_0603_1608Metric","","Murata","BLM18KG300TN1D","Digikey","490-5447-1-ND" -"P1","1","USB_C_Plug","mta1:U261-241N-4BS60","USB Type-C Plug connector","XKB","U261-241N-4BS60","LCSC","C319150" -"R1,R2,R19,R20,R22,R23,R24,R25,R26","9","10k,1/16W,5%","mta1:ERJ2G(0402)_L","Resistor","Any/not critical","","","" -"R3,R16,R17,R18","4","1k,1/16W,5%","mta1:ERJ2G(0402)_L","Resistor","Any/not critical","","","" -"R29","1","5.1k,1/16W,1%","mta1:ERJ2G(0402)_L","Resistor","Any/not critical","","","" -"R30","1","2k,1/16W,1%","mta1:ERJ2G(0402)_L","Resistor","Any/not critical","","","" -"U1","1","MCP1824T-2502EOT","Package_TO_SOT_SMD:SOT-23-5","IC REG LINEAR 2.5V 300MA SOT23-5","Microchip","MCP1824T-2502EOT","Digikey","MCP1824T-2502E/OTCT-ND" -"U2","1","MIC5258-1.2YM5","Package_TO_SOT_SMD:SOT-23-5","IC REG LINEAR 1.2V 150MA SOT23-5","Micrel Inc.","MIC5258-1.2YM5","Digikey","2156-MIC5258-1.2YM5-ND" -"U3","1","CH552E","Package_SO:MSOP-10_3x3mm_P0.5mm","8-bit enhanced USB microcontroller CH552","WCH","CH552E","LCSC","C967938" -"U5","1","USBLC6-2SC6","Package_TO_SOT_SMD:SOT-23-6","Very low capacitance ESD protection diode, 2 data-line, SOT-23-6","ST","USBLC6-2SC6","Digikey","497-5235-1-ND" -"U6","1","ICE40UP5K-SG48ITR","Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm","iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN","Lattice","ICE40UP5K-SG48ITR","Digikey","220-2145-2-ND" -"U8","1","W25Q80DVUXIE","mta1:W25Q80DVUXIE","IC FLASH 8MBIT SPI 104MHZ 8USON","Winbond Electronics","W25Q80DVUXIE","Digikey","W25Q80DVUXIETR-ND" -"U9","1","NCP752BSN33T1G","mta1:NCP752BSN33T1G","IC REG LINEAR 3.3V 200MA 5TSOP","onsemi","NCP752BSN33T1G","Digikey","NCP752BSN33T1GOSCT-ND" -"U10","1","PT2043AT6","Package_TO_SOT_SMD:SOT-23-6","SOT-23-6 Touch Sensors ROHS","PinTeng","PT2043AT6","LCSC","C2914233" +"Source:","C:\Users\72417946\Documents\GitHub\tillitis-key1\hw\boards\tk1\tk1.kicad_sch" +"Date:","2023-03-17 11:49:31" +"Tool:","Eeschema (6.0.4)" +"Generator:","C:\Program Files\KiCad\6.0\bin\scripting\plugins/bom_csv_grouped_by_value_with_fp.py" +"Component Count:","47" +"Ref","Qnty","Value","Cmp name","Footprint","Description","Vendor" +"C1, C2, C3, C4, C5, C6, C25, ","7","10uF","C","mta1:CAPC1608X09L","Unpolarized capacitor","" +"C7, C13, C16, C17, C18, C19, C20, C21, C22, C23, C26, ","11","0.10uF","C","mta1:CAPC1005X06L","Unpolarized capacitor","" +"C27, ","1","1pF","C","mta1:CAPC1005X06L","Unpolarized capacitor","" +"D1, ","1","0402 indicator LED, blue","LED","LED_SMD:LED_0402_1005Metric","Light emitting diode","" +"D3, ","1","LED_ARGB","FC-B1010RGBT-HG","mta1:0402rgb-1010","","" +"FB3, ","1","BLM18KG300TN1D","Ferrite_Bead-Device","mta1:Ferritbead_0603_1608Metric","","" +"P1, ","1","USB_C_Plug","USB_C_Plug","mta1:U261-241N-4BS60","USB Type-C Plug connector","" +"R1, R2, R19, R20, R22, R23, R24, R25, R26, ","9","10k","R","mta1:ERJ2G(0402)_L","Resistor","" +"R3, R16, R17, R18, ","4","1k","R","mta1:ERJ2G(0402)_L","Resistor","" +"R29, ","1","5.1k","R","mta1:ERJ2G(0402)_L","Resistor","" +"R30, ","1","2k","R","mta1:ERJ2G(0402)_L","Resistor","" +"U1, ","1","MCP1824T-2502EOT","MCP1824T-2502EOT","Package_TO_SOT_SMD:SOT-23-5","IC REG LINEAR 2.5V 300MA SOT23-5","" +"U2, ","1","MIC5258-1.2YM5","MIC5258-1.2YM5-TR","Package_TO_SOT_SMD:SOT-23-5","IC REG LINEAR 1.2V 150MA SOT23-5","" +"U3, ","1","CH552E","CH552E","Package_SO:MSOP-10_3x3mm_P0.5mm","8-bit enhanced USB microcontroller CH552","" +"U5, ","1","USBLC6-2SC6","USBLC6-2SC6","Package_TO_SOT_SMD:SOT-23-6","Very low capacitance ESD protection diode, 2 data-line, SOT-23-6","" +"U6, ","1","ICE40UP5K-SG48ITR","ICE40UP5K-SG48ITR","Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm","iCE40 UltraPlus FPGA, 5280 LUTs, 1.2V, 48-pin QFN","" +"U8, ","1","W25Q80DVUXIE","W25Q80DVUXIE","mta1:W25Q80DVUXIE","IC FLASH 8MBIT SPI 104MHZ 8USON","" +"U9, ","1","NCP752BSN33T1G","NCP752BSN33T1G","mta1:NCP752BSN33T1G","IC REG LINEAR 3.3V 200MA 5TSOP","" +"U10, ","1","PT2043AT6","PT2043AT6","Package_TO_SOT_SMD:SOT-23-6","SOT-23-6 Touch Sensors ROHS","" +"U11, ","1","~","1674954-1","1674954-1","AMP - TE CONNECTIVITY - 1674954-1 - SPRING FINGER, MOBILE PHONES","" diff --git a/hw/boards/tk1/tk1.kicad_pcb b/hw/boards/tk1/tk1.kicad_pcb index f90205d..e2d83d6 100644 --- a/hw/boards/tk1/tk1.kicad_pcb +++ b/hw/boards/tk1/tk1.kicad_pcb @@ -1,12586 +1,13389 @@ -(kicad_pcb (version 20211014) (generator pcbnew) - - (general - (thickness 0.8) - ) - - (paper "A4") - (layers - (0 "F.Cu" signal) - (1 "In1.Cu" signal) - (2 "In2.Cu" signal) - (31 "B.Cu" signal) - (32 "B.Adhes" user "B.Adhesive") - (33 "F.Adhes" user "F.Adhesive") - (34 "B.Paste" user) - (35 "F.Paste" user) - (36 "B.SilkS" user "B.Silkscreen") - (37 "F.SilkS" user "F.Silkscreen") - (38 "B.Mask" user) - (39 "F.Mask" user) - (40 "Dwgs.User" user "User.Drawings") - (41 "Cmts.User" user "User.Comments") - (42 "Eco1.User" user "User.Eco1") - (43 "Eco2.User" user "User.Eco2") - (44 "Edge.Cuts" user) - (45 "Margin" user) - (46 "B.CrtYd" user "B.Courtyard") - (47 "F.CrtYd" user "F.Courtyard") - (48 "B.Fab" user) - (49 "F.Fab" user) - ) - - (setup - (stackup - (layer "F.SilkS" (type "Top Silk Screen") (color "White")) - (layer "F.Paste" (type "Top Solder Paste")) - (layer "F.Mask" (type "Top Solder Mask") (color "Black") (thickness 0.01)) - (layer "F.Cu" (type "copper") (thickness 0.035)) - (layer "dielectric 1" (type "core") (thickness 0.121) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) - (layer "In1.Cu" (type "copper") (thickness 0.0175)) - (layer "dielectric 2" (type "prepreg") (thickness 0.433) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) - (layer "In2.Cu" (type "copper") (thickness 0.0175)) - (layer "dielectric 3" (type "core") (thickness 0.121) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) - (layer "B.Cu" (type "copper") (thickness 0.035)) - (layer "B.Mask" (type "Bottom Solder Mask") (color "Black") (thickness 0.01)) - (layer "B.Paste" (type "Bottom Solder Paste")) - (layer "B.SilkS" (type "Bottom Silk Screen") (color "White")) - (copper_finish "None") - (dielectric_constraints no) - ) - (pad_to_mask_clearance 0) - (aux_axis_origin 100 100.95) - (pcbplotparams - (layerselection 0x00012fc_ffffffff) - (disableapertmacros false) - (usegerberextensions false) - (usegerberattributes true) - (usegerberadvancedattributes true) - (creategerberjobfile true) - (svguseinch false) - (svgprecision 6) - (excludeedgelayer true) - (plotframeref false) - (viasonmask false) - (mode 1) - (useauxorigin false) - (hpglpennumber 1) - (hpglpenspeed 20) - (hpglpendiameter 15.000000) - (dxfpolygonmode true) - (dxfimperialunits true) - (dxfusepcbnewfont true) - (psnegative false) - (psa4output false) - (plotreference true) - (plotvalue true) - (plotinvisibletext false) - (sketchpadsonfab false) - (subtractmaskfromsilk false) - (outputformat 1) - (mirror false) - (drillshape 0) - (scaleselection 1) - (outputdirectory "gerbers/") - ) - ) - - (net 0 "") - (net 1 "GND") - (net 2 "+5V") - (net 3 "+3V3") - (net 4 "+2V5") - (net 5 "+1V2") - (net 6 "Net-(D3-Pad2)") - (net 7 "Net-(D3-Pad4)") - (net 8 "Net-(D3-Pad3)") - (net 9 "/USB to Serial converter/INT_USB_IN_D+") - (net 10 "APP_CDONE") - (net 11 "APP_CRESET") - (net 12 "APP_ICE_SCK") - (net 13 "Net-(R1-Pad2)") - (net 14 "VCC_OK") - (net 15 "unconnected-(U6-Pad48)") - (net 16 "unconnected-(U6-Pad13)") - (net 17 "APP_LED_RED") - (net 18 "APP_LED_GREEN") - (net 19 "APP_LED_BLUE") - (net 20 "SPI_VCC_OK") - (net 21 "/USB to Serial converter/INT_USB_IN_D-") - (net 22 "INT_USB_D+") - (net 23 "INT_USB_D-") - (net 24 "/Application FPGA/APP_+1.2_PLL") - (net 25 "INTERFACE_TX") - (net 26 "unconnected-(P1-PadA2)") - (net 27 "unconnected-(P1-PadA3)") - (net 28 "Net-(P1-PadA5)") - (net 29 "unconnected-(P1-PadA8)") - (net 30 "unconnected-(P1-PadA10)") - (net 31 "unconnected-(P1-PadA11)") - (net 32 "unconnected-(P1-PadB2)") - (net 33 "unconnected-(P1-PadB3)") - (net 34 "unconnected-(P1-PadB5)") - (net 35 "unconnected-(P1-PadB8)") - (net 36 "unconnected-(P1-PadB10)") - (net 37 "unconnected-(P1-PadB11)") - (net 38 "unconnected-(U1-Pad4)") - (net 39 "unconnected-(U6-Pad32)") - (net 40 "unconnected-(U6-Pad12)") - (net 41 "unconnected-(U6-Pad11)") - (net 42 "unconnected-(U6-Pad23)") - (net 43 "unconnected-(U6-Pad20)") - (net 44 "unconnected-(U6-Pad19)") - (net 45 "unconnected-(U6-Pad18)") - (net 46 "unconnected-(U6-Pad3)") - (net 47 "APP_ICE_SS") - (net 48 "APP_ICE_MOSI") - (net 49 "APP_ICE_MISO") - (net 50 "unconnected-(U6-Pad35)") - (net 51 "unconnected-(U6-Pad9)") - (net 52 "unconnected-(U6-Pad10)") - (net 53 "unconnected-(U6-Pad34)") - (net 54 "unconnected-(U6-Pad42)") - (net 55 "unconnected-(U6-Pad43)") - (net 56 "unconnected-(U6-Pad44)") - (net 57 "INTERFACE_RX") - (net 58 "INTERFACE_RTS") - (net 59 "INTERFACE_CTS") - (net 60 "Net-(C27-Pad1)") - (net 61 "unconnected-(U6-Pad2)") - (net 62 "unconnected-(U6-Pad4)") - (net 63 "/Application FPGA/APP_FLASH_WP") - (net 64 "/Application FPGA/APP_FLASH_HOLD") - (net 65 "APP_GPIO1") - (net 66 "APP_GPIO2") - (net 67 "APP_GPIO3") - (net 68 "APP_GPIO4") - (net 69 "TOUCH_EVENT") - (net 70 "Net-(D1-Pad1)") - (net 71 "unconnected-(U8-Pad9)") - (net 72 "/Application FPGA/TOUCH_PAD") - (net 73 "unconnected-(U6-Pad21)") - (net 74 "unconnected-(U6-Pad31)") - (net 75 "unconnected-(U6-Pad37)") - (net 76 "unconnected-(U6-Pad47)") - (net 77 "unconnected-(U3-Pad5)") - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 00000000-0000-0000-0000-0000615528b5) - (at 111.45 92.65 90) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "usb_to_serial.kicad_sch") - (property "Sheetname" "USB to Serial converter") - (path "/00000000-0000-0000-0000-00006161400b/76a5b2c4-6b71-4a39-a2e8-5088b310a11a") - (attr smd) - (fp_text reference "C7" (at 0 -1.16 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c30b2551-d83e-4939-9ad4-fc2d3aec668a) - ) - (fp_text value "0.10uF" (at 0 1.16 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8fe8e813-db67-47e7-8af7-5adf6bcc7113) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp 46ab02a3-4df6-41de-a52b-a2230372c3a0) - ) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp bebb0a42-5ebb-4487-a793-cf32f187b716)) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp f30edba2-1ada-46ab-9a22-4ba8b1fa67ed)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 34474462-06d4-461d-a326-b9475b033985)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 3b136cd7-cf47-4df1-9dd2-60c70260bb66)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 87d7ea06-9235-4ae4-97d9-1bb0badd21d6)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp d957688c-0e45-4a1c-b99c-c716bde13a95)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 283d5dce-3b06-421f-ab66-bc6189e7c66c)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 43877fa7-1ed9-4f07-942f-958667100312)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 6ac1e3ce-acd1-40ee-a4ee-31c6df1a32f0)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 7e5a7326-9357-4a9f-a822-fba8b1db8846)) - (pad "1" smd roundrect locked (at -0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 044efde3-0dd4-451b-adce-22ec37ff1562)) - (pad "2" smd roundrect locked (at 0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp dc822088-6745-4d4e-b627-c6f86bff9e16)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 00000000-0000-0000-0000-00006155291b) - (at 112.35 92.65 90) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "usb_to_serial.kicad_sch") - (property "Sheetname" "USB to Serial converter") - (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-0000617f1504") - (attr smd) - (fp_text reference "C13" (at 0 -1.16 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7bd1ecfb-8649-4802-ba62-ff2505bc454b) - ) - (fp_text value "0.10uF" (at 0 1.16 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c305c471-7bd6-4525-be8b-314965cc529b) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp 4be1b8ce-1faa-4242-9a3f-e886b23e4929) - ) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp 69fff44f-696d-4161-ad85-20f799017645)) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp d14ffd50-1f1b-4d5e-b3f5-da398725f23f)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 101ff91e-9d6a-4360-833e-dd192a90f727)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 22ef8ee7-aca5-48a8-98fa-38f2e38def0d)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 717f9f7c-0cb1-4eef-830b-901adc42ff15)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 78c80159-2a70-47a2-85da-f00132752ff8)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 3e1908f9-7951-46fc-8c04-771be08db527)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 5baad0bb-63bc-4553-a17c-43463857b240)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp c402b1a0-4407-4d30-9951-a9f90b3010e8)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp dcc23804-4aee-4fe8-9147-ba6fb87d336c)) - (pad "1" smd roundrect locked (at -0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 0181f367-c738-484d-92b3-e86e6bbf0037)) - (pad "2" smd roundrect locked (at 0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 9e99723c-ee9e-4265-a02c-101748220629)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 00000000-0000-0000-0000-00006155294e) - (at 123.5 92.3 45) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f14fe") - (attr smd) - (fp_text reference "C16" (at 0 -1.16 45) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 95671eba-3c8e-4508-9f6e-c857e83852dc) - ) - (fp_text value "0.10uF" (at 0 1.16 45) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f0229260-7073-4331-86da-3abb3c463bc4) - ) - (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp 1f81fb30-547c-4531-b864-6a336df2030c) - ) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp 51763110-c6d5-49ed-b764-2ecb920e1669)) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp ee2670c6-6a19-46d2-a19b-ee9462d036be)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 0902ec98-06ea-473e-81dc-53fce82a3ddb)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 4caad4f3-553f-4baf-8f8a-0ee425c5d858)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 9705e673-c133-44a1-9545-3cae60a68b1d)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp bef036b9-b0d2-4a8d-879b-bb5657efa5c7)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 0645d232-1a5a-4c65-8967-b3fe6878c662)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 0a089a07-2629-4bd0-ac0b-e2476ae7a5f5)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 6f3502c1-8139-4b33-99d9-ae2025b2b368)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 8dca95d8-a4be-4db4-8701-aacf75e253b3)) - (pad "1" smd roundrect locked (at -0.39 0 45) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "+1V2") (pintype "passive") (tstamp 5fc10dcf-d7e6-4117-86a7-01915576dc11)) - (pad "2" smd roundrect locked (at 0.39 0 45) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 86a4d53d-2071-4289-911b-8507f770ea93)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 00000000-0000-0000-0000-00006155295f) - (at 114.8 98.2 -135) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1500") - (attr smd) - (fp_text reference "C17" (at 0 -1.16 45) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f92e3d31-32cc-44f1-b2cd-a2c7e3c1984e) - ) - (fp_text value "0.10uF" (at 0 1.16 45) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp cd9db847-971a-4a9f-b63c-034440cf4565) - ) - (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp 0b165f16-8dca-4695-a664-411b18175113) - ) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp 2b10221b-b8b2-48b9-959b-8013b2ca1f60)) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp 764e6d26-2f55-44bf-8175-88da9448610e)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 09d908b5-61fb-4249-a7ce-d30d5e3f6e47)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 0e986cbf-de11-4790-a7f1-9f8b41f2328d)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp d97606fb-8b99-4aa9-a068-75381749a25c)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp ee0b1132-31f9-4781-8558-891ba984816d)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 1658eeb7-4224-42ce-95cb-38bbba42f074)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 368c4cf7-7939-458d-b82f-2083d0b4c156)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 380d2df3-6eac-43bf-9cac-ab2405031d94)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 78722572-add5-430e-9f2c-d9ecadf292a7)) - (pad "1" smd roundrect locked (at -0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "/Application FPGA/APP_+1.2_PLL") (pintype "passive") (tstamp 42b9320f-2691-4dd7-8958-85a2e4f4ca4b)) - (pad "2" smd roundrect locked (at 0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 1645cefb-d02d-46aa-b3be-f8e3b94b307a)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 00000000-0000-0000-0000-000061552970) - (at 113.8 92.75 135) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1503") - (attr smd) - (fp_text reference "C18" (at 0 -1.16 135) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 91245f34-c0ac-4a94-a5e2-673583624024) - ) - (fp_text value "0.10uF" (at 0 1.16 135) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 14b7d920-4343-47e0-9651-b255393f36a4) - ) - (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp 5438f6dd-de21-4bad-9810-f51ee6c1f833) - ) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp 42481e17-c82d-451e-b528-21c542a5e4f0)) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp b58df5f3-92dc-4070-8b6d-7e752c98bce5)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 6b36b158-41fc-47be-8d5e-5b12bc423c22)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 6bb60ebb-cdf0-46c7-bec0-0c57b6b5c96d)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp e91b7856-0682-4fd0-96c1-d6565a8697bb)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp fb80dd55-3ea5-4682-ac71-8146f7928902)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 1bfb139c-9124-4f94-b93d-78625462a194)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 377cf5f9-be2c-4084-9725-71d0840b9d2b)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 43911a6b-a25a-493c-bc28-fc21d2fe7484)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp d0531e38-0811-4336-9e5a-fd03c837aa8a)) - (pad "1" smd roundrect locked (at -0.39 0 135) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+2V5") (pintype "passive") (tstamp b1b05265-ebbe-46d0-8f26-861dba6f0f2d)) - (pad "2" smd roundrect locked (at 0.39 0 135) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 2860347f-3e9f-4263-9d85-0681df9e9c63)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 00000000-0000-0000-0000-000061552981) - (at 124.2 93 45) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615530a8") - (attr smd) - (fp_text reference "C19" (at 0 -1.16 45) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp ef996755-edcc-43b8-b2ad-00cf3c9ab040) - ) - (fp_text value "0.10uF" (at 0 1.16 45) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5f684fde-122e-4d79-bc3d-24f2a52bfcc7) - ) - (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp 3f25ab0c-0c7f-4026-bace-0fcadf4616be) - ) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp 2f2bd3cc-0ebf-4311-9fc7-27367e50b744)) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp b9f136ed-c222-4126-8e7c-2e0b8593d543)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 102039b7-d700-496a-9813-9d0c8e92273b)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 8897472b-65ba-4ef0-a68b-040dc3a4f59b)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp d4903b5a-443b-481f-88d9-1ed64bc413e2)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp f010910f-27bd-4c35-a297-b984676fb7da)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 20e75239-e95c-4a34-95b4-855ec49d49f4)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp d55187c9-a467-4bc6-bf4d-6f4bfff9dfc2)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp e6918ee4-2918-4564-8c32-527a9ec50ec1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp fac0bbcf-ac01-422f-bb86-87201bec75cb)) - (pad "1" smd roundrect locked (at -0.39 0 45) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 3eef536b-5a02-4702-86a7-53ea2ae9ed36)) - (pad "2" smd roundrect locked (at 0.39 0 45) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 12af064e-84dc-498a-99a6-77c8056c6f6d)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 00000000-0000-0000-0000-000061552992) - (at 115.5 98.9 -135) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1506") - (attr smd) - (fp_text reference "C20" (at 0 -1.16 45) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f1d2d6f1-7ac1-43c5-874e-157d796e29ce) - ) - (fp_text value "0.10uF" (at 0 1.16 45) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6a89d0e5-11e5-42ec-b66f-771e26ccb8b4) - ) - (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp 9d7d814b-c5bd-4b64-90ff-5fc263abfed7) - ) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp 75463f23-75fe-437c-88c9-686dddc59dbe)) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp a6c49b21-2ef8-416d-9a08-c9d0fd5e0bf0)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 02824958-bdee-464f-b8ed-e7ced7ada6ab)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 0ba4570f-e4b1-409a-82d7-f14fc3a500d0)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 7d338515-d03d-40d7-9ff5-e83de776774c)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp adf7d60c-c1ea-4acb-977f-3e8faa5635f9)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 34abf0c1-6e36-4abe-867b-53caa94e819f)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 62f23f4d-b970-428d-a877-4992c0b0b79d)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 6b8531ab-5288-4a48-b969-16f13293b3bc)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 8d4c07cd-e3cf-40ae-80d8-8ed356b62810)) - (pad "1" smd roundrect locked (at -0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "+1V2") (pintype "passive") (tstamp 33954724-d5e5-46ae-8aee-b45ef1ee7a88)) - (pad "2" smd roundrect locked (at 0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 16185abe-89cc-4b4d-8d66-6bb9585c931f)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 00000000-0000-0000-0000-0000615529a3) - (at 116.2 99.6 -135) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615530a2") - (attr smd) - (fp_text reference "C21" (at 0 -1.16 45) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 81780b19-5227-4e19-a964-3466705c43f7) - ) - (fp_text value "0.10uF" (at 0 1.16 45) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 19a5c97b-d7a3-4c96-8be5-05a0fbaba0c4) - ) - (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp 08777c0b-96f5-45e2-a033-33ca91a966d7) - ) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp 80f52858-35bb-45ed-b02a-80c187a25783)) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp ec9071f1-f0d4-4888-9e74-23a0f6e2d0cc)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 5655e37c-e015-4ee1-b801-120b75e70c91)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 5714be30-4bd3-4d20-b42a-61455434e627)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 6a1963cb-82f0-410c-82d7-029ffe4304a8)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp da969fdd-033a-40c4-873b-2f2291aa4478)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 17a9806e-ffc1-4e4e-98e0-06f7c81a17e9)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 50a481ea-5772-4e7d-99ee-258720049e25)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 52f6e4e4-99f0-4175-b3ea-c5441c27d27c)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 5e55dec6-402b-4b2e-8c27-a9e679c47ff8)) - (pad "1" smd roundrect locked (at -0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp b3666649-47cf-43ce-a0e7-27c13564c759)) - (pad "2" smd roundrect locked (at 0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp c8572859-acd6-4512-b28c-db4f2e2ac41c)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") - (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000615529d7) - (at 112.5 90.85 180) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags "LED") - (property "Manufacturer" "Foshan NationStar") - (property "Manufacturer Part Number" "NCD0402B1") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (property "Supplier" "LCSC") - (property "Supplier Part Number" "C130724") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000618029cb") - (attr smd) - (fp_text reference "D1" (at 2.2 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp d1eb6e98-7158-4a94-a118-b1e0b7d61df6) - ) - (fp_text value "0402 indicator LED, blue" (at 0 1.17) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp baa70a4e-f765-4127-996c-f426a0c25287) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp 9ca1ced0-06db-45b5-badc-17ac876319e2) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp e83ad8bd-fedd-458c-ad5a-69871f9a7481)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 00cbc649-24f1-4303-ba33-cd561c64548c)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 4977ccd3-c093-4a84-a940-2b7f24261da0)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp b3f0e766-0f9e-49fb-af91-d6eb54220d05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp b649784e-b6fa-4d0a-97a8-ce6df9c20fb3)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 2a8ce4b9-53c3-49cb-81be-2350aa294e0f)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 6f12bc5d-a1b0-450a-b930-2f91c95f0163)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp a84b840d-f1ef-41a9-8b8d-b882e660d55a)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp b0c19a27-db79-4d23-9cfe-1bb349e8658a)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp bcc4c718-4e93-4e65-9235-d828065c16f2)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp cdf61c1b-36f6-4ccf-bfad-b45849626bec)) - (pad "1" smd roundrect (at -0.485 0 180) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "Net-(D1-Pad1)") (pinfunction "K") (pintype "passive") (tstamp 2f45e3dd-0214-489f-9929-6ef21f030dff)) - (pad "2" smd roundrect (at 0.485 0 180) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+2V5") (pinfunction "A") (pintype "passive") (tstamp a2974944-e845-4fc6-82e8-0b4e329ca6b8)) - (model "${KICAD6_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:0402rgb-1010" (layer "F.Cu") - (tedit 616EE650) (tstamp 00000000-0000-0000-0000-0000615529f3) - (at 126 98.95 90) - (property "Manufacturer" "Foshan NationStar") - (property "Manufacturer Part Number" "FC-B1010RGBT-HG") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "Supplier" "LCSC") - (property "Supplier Part Number" "C158099") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615e01fa") - (attr smd) - (fp_text reference "D3" (at 0.01524 0 90) (layer "F.Fab") - (effects (font (size 0.5 0.5) (thickness 0.075))) - (tstamp bd397544-ff56-4d51-b3c9-1b9f1f5e47be) - ) - (fp_text value "LED_ARGB" (at 0.0635 -1.54178 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f9f308d7-b844-4d61-97b2-be32493c4dd7) - ) - (fp_poly (pts - (xy -0.60198 0.24892) - (xy -0.2921 0.24892) - (xy -0.2921 0.59944) - (xy -0.60198 0.59944) - (xy -0.60198 0.39878) - ) (layer "F.Paste") (width 0.01) (fill solid) (tstamp 39f40aaa-21ba-483e-bd0b-4ebb5d156b94)) - (fp_poly (pts - (xy -0.6096 -0.60198) - (xy -0.29972 -0.60198) - (xy -0.29972 -0.25146) - (xy -0.6096 -0.25146) - (xy -0.6096 -0.45212) - ) (layer "F.Paste") (width 0.01) (fill solid) (tstamp 432159f1-6ae4-4ef4-a946-9e666d388f88)) - (fp_poly (pts - (xy 0.28956 -0.60198) - (xy 0.59944 -0.60198) - (xy 0.59944 -0.25146) - (xy 0.28956 -0.25146) - (xy 0.28956 -0.45212) - ) (layer "F.Paste") (width 0.01) (fill solid) (tstamp adb76a2a-bf68-4549-b705-c85f6ebc67ff)) - (fp_poly (pts - (xy 0.2921 0.25146) - (xy 0.60198 0.25146) - (xy 0.60198 0.60198) - (xy 0.2921 0.60198) - (xy 0.2921 0.40132) - ) (layer "F.Paste") (width 0.01) (fill solid) (tstamp e4c46226-7b35-4875-ac0e-124e67d7599d)) - (fp_line (start 0.6477 0.65278) (end 0.44704 0.65278) (layer "F.SilkS") (width 0.05) (tstamp 0b15f4f9-1505-417c-9138-2fb4322440c7)) - (fp_line (start 0.6477 0.4191) (end 0.6477 0.65278) (layer "F.SilkS") (width 0.05) (tstamp f1588d22-76aa-429a-a1f8-983ff509ccce)) - (fp_line (start -0.8 0.8) (end 0.8 0.8) (layer "F.CrtYd") (width 0.05) (tstamp 2bdb6275-447c-48c2-a84b-aa998dd2916b)) - (fp_line (start -0.8 -0.8) (end 0.8 -0.8) (layer "F.CrtYd") (width 0.05) (tstamp 86af766d-6b15-4b5b-88af-d0249ebdfc4e)) - (fp_line (start -0.8 0.8) (end -0.8 -0.8) (layer "F.CrtYd") (width 0.05) (tstamp cc72aed2-4aae-4bd8-a39d-953a894a4e46)) - (fp_line (start 0.8 0.8) (end 0.8 -0.8) (layer "F.CrtYd") (width 0.05) (tstamp ea2c0fc1-2833-4b4e-b574-ad2fc842bfc0)) - (fp_line (start 0.6 -0.6) (end 0.6 0.3) (layer "F.Fab") (width 0.1) (tstamp 3034e429-f9f9-44a2-8240-03a24b63e1fb)) - (fp_line (start 0.3 0.6) (end 0.6 0.3) (layer "F.Fab") (width 0.1) (tstamp 5409cc31-4322-4031-ade5-4014b3199ad7)) - (fp_line (start -0.6 0.6) (end -0.6 -0.6) (layer "F.Fab") (width 0.1) (tstamp 84afeae7-d3ce-4bad-bd47-1af44e760c0d)) - (fp_line (start -0.6 -0.6) (end 0.6 -0.6) (layer "F.Fab") (width 0.1) (tstamp 893925c2-1ab8-47db-9b31-6f7c1392d4b2)) - (fp_line (start 0.3 0.6) (end -0.6 0.6) (layer "F.Fab") (width 0.1) (tstamp 8f776380-fcbf-4da6-96c0-055517925d40)) - (pad "1" smd rect (at 0.38 0.38 90) (size 0.45 0.45) (layers "F.Cu" "F.Mask") - (net 3 "+3V3") (pinfunction "A") (pintype "passive") (tstamp b7a8bb85-3cbd-412a-a236-de199b98a95e)) - (pad "2" smd rect (at -0.38 0.38 90) (size 0.45 0.45) (layers "F.Cu" "F.Mask") - (net 6 "Net-(D3-Pad2)") (pinfunction "RK") (pintype "passive") (tstamp e30bacad-bc87-4d97-871f-8cd10b44fad9)) - (pad "3" smd rect (at -0.38 -0.38 90) (size 0.45 0.45) (layers "F.Cu" "F.Mask") - (net 8 "Net-(D3-Pad3)") (pinfunction "GK") (pintype "passive") (tstamp ecae4260-91c4-40c9-afb8-0400b711958b)) - (pad "4" smd rect (at 0.38 -0.38 90) (size 0.45 0.45) (layers "F.Cu" "F.Mask") - (net 7 "Net-(D3-Pad4)") (pinfunction "BK") (pintype "passive") (tstamp 10462b04-fbbf-48c0-9377-7042f2677f34)) - (model "${KIPRJMOD}/../mta1-library/mta1.pretty/3d_models/1010LED-FC-B1010RGBT-HG v3.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz -90 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 00000000-0000-0000-0000-000061552aac) - (at 103.55 88.7 -90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006121b215") - (attr smd) - (fp_text reference "R1" (at 0 -1.17 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 85541556-9fec-4b06-93ea-83a6b4c1ab48) - ) - (fp_text value "10k" (at 0 1.17 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 460e763b-39db-4181-a1bc-5b34916847ce) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp 6ea910d7-680f-49e3-8e12-a7ee6c6eef7b) - ) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 07c7ebc7-dec6-4e30-8fe2-020635fc098d)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 693e80cf-70d8-4b93-9540-ac5d51279e7d)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 11df8db3-2108-4e30-b5e1-7ab68f03ab32)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 370c363b-f4a9-4030-b517-5a52cd35f43e)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp d4ebc5a1-634e-4e24-91d0-73f369444d7c)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp e28481bb-1375-4651-9478-448db4f33dcd)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 3061572e-38b0-48ac-9678-6b0f5ee24d8a)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp a6513309-a01b-4821-bb6c-eca3fbd0ec17)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp c69cf5c8-a8e9-4db6-bb3a-624ae130a264)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp e38d1d7d-451f-4e18-b366-237933a2af00)) - (pad "1" smd roundrect locked (at -0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 276c84fb-2a4a-4b89-98cb-a3a302b0c5b0)) - (pad "2" smd roundrect locked (at 0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 13 "Net-(R1-Pad2)") (pintype "passive") (tstamp 2f866294-ed59-4edb-a038-8922fe21a220)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 00000000-0000-0000-0000-000061552abd) - (at 103.55 92.65 -90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006123854c") - (attr smd) - (fp_text reference "R2" (at 0 -1.17 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2a2e595d-ffb3-4066-b108-36c387a8ade3) - ) - (fp_text value "10k" (at 0 1.17 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp dac94632-6305-4ac2-900b-c34ee32944ab) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp bf392c7b-737c-4cbe-bb86-1a2bb0765818) - ) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 034f22e5-c95b-484f-a201-42183ca8eb39)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp e90589dc-3de8-4b42-a39a-6c3a182e90a7)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 32cec07c-033a-4dbd-9616-d391d29c4c52)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 46598995-69a5-46cc-84ed-6035b2023d85)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp b96043e1-e491-4fb3-9711-c00e3e48e2e1)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp dcefd506-b8ad-4db1-82c9-62e095be2d0d)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 15e3730c-632c-4bd8-8acb-eabd46ebc20f)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 1f442549-bab1-467a-b556-267db8d9444e)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 33b82b5b-640d-4430-be2b-cc918d4c9dd6)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 6c714c2a-0b1b-4214-b6af-18ad2bb9d064)) - (pad "1" smd roundrect locked (at -0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 095e1ba3-2974-4f8f-a24c-c096c7121cf9)) - (pad "2" smd roundrect locked (at 0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 14 "VCC_OK") (pintype "passive") (tstamp f07d0ccf-31d7-45b6-9241-6513028d66c7)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 00000000-0000-0000-0000-000061552bab) - (at 121.6 99.6 -45) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615e0200") - (attr smd) - (fp_text reference "R16" (at 0 -1.17 135) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1eb16441-c29c-41eb-9474-8ac1b59b17fe) - ) - (fp_text value "1k" (at 0 1.17 135) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp a33dac0a-21a9-4575-bf19-fe91b8890328) - ) - (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp 5a0a44be-b42e-41e4-8377-60c8cb74ccae) - ) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp c2e3562d-89a4-4952-95bb-3f1f60f58b2f)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp feb2bb9b-3312-462e-91c5-bc6b6e63f2b9)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 064e4403-8091-45dd-95c4-fba3e0a0eb7e)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 77d11f26-a74e-4354-b171-6a1be940ed54)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp b2f8d64f-308f-464d-bee7-f612f3ea3476)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp ca44be6d-a505-4c7e-892f-77b306f7b644)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 0b3bc7b1-a762-4791-8865-8335b6256fd6)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 0ee11374-d8fe-48f0-be7e-9834eb9fd851)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp a737643b-ca3d-4c26-b476-8ec8120d6178)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp c5b21375-8ac4-4378-a2ca-4de1a98394f7)) - (pad "1" smd roundrect locked (at -0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 17 "APP_LED_RED") (pintype "passive") (tstamp c0f50edd-aaa2-47a3-ab41-50d9f4e07a83)) - (pad "2" smd roundrect locked (at 0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 6 "Net-(D3-Pad2)") (pintype "passive") (tstamp 3309f1ac-1262-4a77-bbb3-ef1ef20b02a5)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 00000000-0000-0000-0000-000061552bbc) - (at 122.3 98.9 -45) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f150b") - (attr smd) - (fp_text reference "R17" (at 0 -1.17 135) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1064022f-7ded-49fe-83bf-2afe3f641c0f) - ) - (fp_text value "1k" (at 0 1.17 135) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp b42225cc-9a18-4d90-80f5-7deea5ae0248) - ) - (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp 9d20650d-8c1a-4814-97fe-c9526953db91) - ) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 9ff6d67a-ae07-4df1-8d40-a1959d7b1b86)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp e3d6cf19-a7b1-4c0f-aff4-8c2e01109f44)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 12540c03-0146-4564-a352-86662ffefa66)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 23379294-528e-4cff-80e1-bc9bacdce05b)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 90f2c5ac-d7b5-47bc-8f31-797397d14ce6)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp e66f6591-8777-49a0-800b-e6bdd1565c2c)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp d2d32c8f-9cbd-476a-a6d5-f93fcd37d4b1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp ee961f3c-e6d6-4740-bdd7-32c85c3ee57b)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp efa60911-e8ca-4382-9e55-e373ecba9fe2)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp f91e1597-aea4-43e4-828c-80349c0ccec9)) - (pad "1" smd roundrect locked (at -0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 18 "APP_LED_GREEN") (pintype "passive") (tstamp 456d3c1f-6e73-4a78-a8a6-bde19e84a3d6)) - (pad "2" smd roundrect locked (at 0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 8 "Net-(D3-Pad3)") (pintype "passive") (tstamp 1c47f60d-ba5b-40ff-ae1a-57c56e79d05b)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 00000000-0000-0000-0000-000061552bcd) - (at 123 98.2 -45) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f150c") - (attr smd) - (fp_text reference "R18" (at 0 -1.17 135) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0c71bda8-11ba-4984-8534-6d842572be73) - ) - (fp_text value "1k" (at 0 1.17 135) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7c31be7d-5f0c-4a2f-887c-398ec706d020) - ) - (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp 45d6ca36-34ad-4049-a6e3-f78c9835bc7a) - ) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 7584c897-8ae7-405a-a23b-0a18ed7fa21a)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp ae7abede-f5ae-426b-af4f-404a88f1176d)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 102d3f81-18e3-4925-b3b9-b05779640c26)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 55f71824-993f-4635-95ce-cf24f6c6cd14)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 7d6f1fce-44bc-45ae-b327-556421ea603e)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp c2ca64bf-d1c0-4880-bc82-ccdcb3431e36)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 1cbc8570-6077-4a0c-8f74-7bf0dc51b0ae)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp b6a5b4ea-5758-4277-b090-7b7b148fc0f3)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp cc2b8a73-ea8c-4144-8435-8af83f6b49e4)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp d8c1a070-d8d0-4eba-80bd-400972266c26)) - (pad "1" smd roundrect locked (at -0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 19 "APP_LED_BLUE") (pintype "passive") (tstamp d7e1fb39-a384-4fdb-b1a8-33f80f17ec2f)) - (pad "2" smd roundrect locked (at 0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 7 "Net-(D3-Pad4)") (pintype "passive") (tstamp 4b8799aa-9a1f-4878-86b9-52691b137193)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 00000000-0000-0000-0000-000061552bde) - (at 122.7 91.65 -135) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138f043") - (attr smd) - (fp_text reference "R19" (at 0 -1.17 45) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7d329339-c275-43da-88e5-137ba7fc8af7) - ) - (fp_text value "10k" (at 0 1.17 45) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp e48434e5-f36b-4c0c-a93b-612707921a88) - ) - (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp b7f72fd1-a120-4061-8768-cdf0233f123b) - ) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 51685e16-c56a-4107-90b9-fc766dfc16ef)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp a0a36433-ee07-4eed-be02-830401b43bf9)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 436cd454-872c-4e9e-93fb-943bf7378f47)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 5e685139-305a-4a3e-bae4-8d11e7e546f6)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp b2d3961c-eec5-4e74-8c6e-4a45feaef9f0)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp efdfffb5-7fd6-4f6e-a2c3-327b8a83b21d)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 5c301b4e-57e6-41dd-ab58-0287f95da95c)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 67a92bfc-d287-42b8-9a92-107baa759573)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp a6a5df31-23d6-46ee-a4bb-0b2d041b5df1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp a6cad993-2422-4364-8096-2078a759cb6f)) - (pad "1" smd roundrect locked (at -0.4 0 225) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 27560558-6cf6-471f-8039-3c01076d601e)) - (pad "2" smd roundrect locked (at 0.4 0 225) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 10 "APP_CDONE") (pintype "passive") (tstamp 8add40c0-f33c-4af1-988e-dd687153a1d0)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Package_TO_SOT_SMD:SOT-23-6" (layer "F.Cu") - (tedit 5F6F9B37) (tstamp 00000000-0000-0000-0000-000061552d25) - (at 105 95.6 180) - (descr "SOT, 6 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AB), generated with kicad-footprint-generator ipc_gullwing_generator.py") - (tags "SOT TO_SOT_SMD") - (property "Manufacturer" "ST") - (property "Manufacturer Part Number" "USBLC6-2SC6") - (property "Sheetfile" "usb_to_serial.kicad_sch") - (property "Sheetname" "USB to Serial converter") - (property "Supplier" "Digikey") - (property "Supplier Part Number" "497-5235-1-ND") - (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-00006179d455") - (attr smd) - (fp_text reference "U5" (at 0 -2.9) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c91d752e-abf3-4d66-84d5-8d0d40c7b7fa) - ) - (fp_text value "USBLC6-2SC6" (at 0 2.9) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 665f292b-7034-4406-810a-4c154af82c27) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.5 0.5) (thickness 0.075))) - (tstamp 415b93a8-f2d0-42cc-8f0a-77ff9a0a765d) - ) - (fp_line (start 0 -1.56) (end -1.8 -1.56) (layer "F.SilkS") (width 0.12) (tstamp 84fb99cd-cd4d-45fa-95d5-fc922374f67b)) - (fp_line (start 0 -1.56) (end 0.8 -1.56) (layer "F.SilkS") (width 0.12) (tstamp ab31a468-e09c-465e-b0b4-37609a1c727e)) - (fp_line (start 0 1.56) (end 0.8 1.56) (layer "F.SilkS") (width 0.12) (tstamp afddb59b-8aa3-42d3-aa90-fe3ced6ceb1e)) - (fp_line (start 0 1.56) (end -0.8 1.56) (layer "F.SilkS") (width 0.12) (tstamp da6f6b87-df1d-4781-a872-eecf7bf4c3e4)) - (fp_line (start 2.05 1.7) (end 2.05 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp 5dd68530-a721-4211-891d-c601d6d26953)) - (fp_line (start -2.05 1.7) (end 2.05 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 7dfe7602-471c-4188-a229-9f6d8c682255)) - (fp_line (start 2.05 -1.7) (end -2.05 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp 88416e41-d4a0-49f0-86ac-24be5d35ca27)) - (fp_line (start -2.05 -1.7) (end -2.05 1.7) (layer "F.CrtYd") (width 0.05) (tstamp c5681d2b-0499-45c5-831e-5e410cc5e54e)) - (fp_line (start -0.8 -1.05) (end -0.4 -1.45) (layer "F.Fab") (width 0.1) (tstamp 1dba1ca4-0289-40b8-aa12-61483902b062)) - (fp_line (start -0.8 1.45) (end -0.8 -1.05) (layer "F.Fab") (width 0.1) (tstamp 65a807e3-8829-4514-b345-941e991cdeda)) - (fp_line (start 0.8 -1.45) (end 0.8 1.45) (layer "F.Fab") (width 0.1) (tstamp c445254e-cfb9-4fd0-b401-6ee0db942ed8)) - (fp_line (start 0.8 1.45) (end -0.8 1.45) (layer "F.Fab") (width 0.1) (tstamp e49e4ce6-9064-4dba-a282-1261bcf246b0)) - (fp_line (start -0.4 -1.45) (end 0.8 -1.45) (layer "F.Fab") (width 0.1) (tstamp f1a80c30-51b9-4c20-9b0e-12caf36f06b2)) - (pad "1" smd roundrect (at -1.1375 -0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 22 "INT_USB_D+") (pinfunction "I/O1") (pintype "passive") (tstamp 2d0be82c-db87-4414-9a93-820f027cd050)) - (pad "2" smd roundrect (at -1.1375 0 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp 96e3c16f-bdb4-400b-9cc2-a12b47292224)) - (pad "3" smd roundrect (at -1.1375 0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 23 "INT_USB_D-") (pinfunction "I/O2") (pintype "passive") (tstamp 78a7aa48-e728-415c-84af-997480c5f1e6)) - (pad "4" smd roundrect (at 1.1375 0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 21 "/USB to Serial converter/INT_USB_IN_D-") (pinfunction "I/O2") (pintype "passive") (tstamp c919be7b-6e8b-4482-a776-d69274b39cfb)) - (pad "5" smd roundrect (at 1.1375 0 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp 1be42dd1-483e-4780-b59a-51b4b4eaf271)) - (pad "6" smd roundrect (at 1.1375 -0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 9 "/USB to Serial converter/INT_USB_IN_D+") (pinfunction "I/O1") (pintype "passive") (tstamp e3e733fc-6003-48f4-bfa2-84704a886f5a)) - (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" (layer "F.Cu") - (tedit 5DC5F6A5) (tstamp 00000000-0000-0000-0000-000061552d80) - (at 118.9 95.1 -135) - (descr "QFN, 48 Pin (http://www.st.com/resource/en/datasheet/stm32f042k6.pdf#page=94), generated with kicad-footprint-generator ipc_noLead_generator.py") - (tags "QFN NoLead") - (property "Manufacturer" "Lattice") - (property "Manufacturer Part Number" "ICE40UP5K-SG48ITR") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "Supplier" "Digikey") - (property "Supplier Part Number" "220-2145-2-ND") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006131a243") - (attr smd) - (fp_text reference "U6" (at 0 -4.82 45) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 27660951-bc32-4ea5-ba42-0ef07076d244) - ) - (fp_text value "ICE40UP5K-SG48ITR" (at 0 4.82 45) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 75e6177c-2ad8-4c4b-ba29-e45f39777b0b) - ) - (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp a4d0d1c4-424a-44ed-b5dd-d6d747777f26) - ) - (fp_line (start -3.135 -3.61) (end -3.61 -3.61) (layer "F.SilkS") (width 0.12) (tstamp 5d046470-7d63-43b1-b168-861c6a866e5b)) - (fp_line (start 3.61 -3.61) (end 3.61 -3.135) (layer "F.SilkS") (width 0.12) (tstamp 5f7728cc-0e1b-4748-97d8-c5a933a71336)) - (fp_line (start 3.61 3.61) (end 3.61 3.135) (layer "F.SilkS") (width 0.12) (tstamp 68de64af-ca33-4de5-9cb4-55ad55b1514f)) - (fp_line (start 3.135 -3.61) (end 3.61 -3.61) (layer "F.SilkS") (width 0.12) (tstamp 6a49bf78-50d8-4ce3-be0c-b3437e252e24)) - (fp_line (start -3.61 3.61) (end -3.61 3.135) (layer "F.SilkS") (width 0.12) (tstamp 728abb51-2c26-4065-a51e-9694737f95f5)) - (fp_line (start 3.135 3.61) (end 3.61 3.61) (layer "F.SilkS") (width 0.12) (tstamp 9226f8e1-4305-4d2d-b135-b03fdcbdb0e6)) - (fp_line (start -3.135 3.61) (end -3.61 3.61) (layer "F.SilkS") (width 0.12) (tstamp bd8131ea-18a2-4854-b35d-1cfc3e50f026)) - (fp_line (start -4.12 4.12) (end 4.12 4.12) (layer "F.CrtYd") (width 0.05) (tstamp 5d2a3ffa-2583-482d-91cf-dec98bd79704)) - (fp_line (start 4.12 -4.12) (end -4.12 -4.12) (layer "F.CrtYd") (width 0.05) (tstamp 90655309-69a7-499d-927b-6d45d2b63f5f)) - (fp_line (start -4.12 -4.12) (end -4.12 4.12) (layer "F.CrtYd") (width 0.05) (tstamp 9e4c1434-a1c2-4501-82ab-ac5a13f0db2c)) - (fp_line (start 4.12 4.12) (end 4.12 -4.12) (layer "F.CrtYd") (width 0.05) (tstamp d7f46307-b9cc-4fa4-8a59-d19bc7b6c852)) - (fp_line (start -2.5 -3.5) (end 3.5 -3.5) (layer "F.Fab") (width 0.1) (tstamp 7cd5cafa-6ec4-4d07-9995-0dce57d7f457)) - (fp_line (start 3.5 -3.5) (end 3.5 3.5) (layer "F.Fab") (width 0.1) (tstamp 89a689c4-a5f5-4ac2-8349-b5adbc5d802c)) - (fp_line (start -3.5 -2.5) (end -2.5 -3.5) (layer "F.Fab") (width 0.1) (tstamp b50c6138-4967-43b7-b501-342b8543747d)) - (fp_line (start 3.5 3.5) (end -3.5 3.5) (layer "F.Fab") (width 0.1) (tstamp b82fcd3f-61a2-404f-9095-16a50ccf5e1c)) - (fp_line (start -3.5 3.5) (end -3.5 -2.5) (layer "F.Fab") (width 0.1) (tstamp f46e51c7-f709-4157-a23c-bd0607d33a28)) - (pad "" smd roundrect (at 0.7 -0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 044e0592-9a87-405f-a460-50c34fd41a1a)) - (pad "" smd roundrect (at 2.1 2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 09047c60-b432-4721-9588-b4f0e2d1ccb7)) - (pad "" smd roundrect (at -0.7 2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 10e7a807-7c86-4523-b36e-91d40777f67d)) - (pad "" smd roundrect (at -0.7 -0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 3ec66170-9959-466e-944a-d113aaf3aa02)) - (pad "" smd roundrect (at 0.7 2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 454afd75-470f-4a3d-b3aa-7bd2a00c3f2e)) - (pad "" smd roundrect (at 0.7 0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 4cbdd53a-f470-4de9-a079-ba839de76ff0)) - (pad "" smd roundrect (at -0.7 0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 55c8dae7-9cdc-47a5-85a2-a761ed9956ee)) - (pad "" smd roundrect (at 2.1 -0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 73db61a2-e66f-41aa-ac6a-a8adaa1b31ad)) - (pad "" smd roundrect (at 0.7 -2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 9a11df6e-48d6-498e-a68a-4227347ab056)) - (pad "" smd roundrect (at -2.1 -2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp bc172167-06d4-488e-be31-e24fc9dc5b76)) - (pad "" smd roundrect (at 2.1 -2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp c0ecc375-5e6e-4bb5-ac23-be21ce6ab07a)) - (pad "" smd roundrect (at -2.1 -0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp c28c32aa-63a3-4a7e-b668-625e9314bb28)) - (pad "" smd roundrect (at -0.7 -2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp d26fceaa-0fe2-4477-ba8b-6c0484ac0614)) - (pad "" smd roundrect (at 2.1 0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp d85f7eea-61c5-46a5-922a-5bc97e055ad9)) - (pad "" smd roundrect (at -2.1 0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp d8e267f6-e0a6-4488-b12d-9c2a3cb78ade)) - (pad "" smd roundrect (at -2.1 2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp e1328f87-8fbf-4852-aec5-a6e4d77e7324)) - (pad "1" smd roundrect (at -3.4375 -2.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCCIO_2") (pintype "power_in") (tstamp a833e73f-1331-4db1-8937-521827ba81f1)) - (pad "2" smd roundrect (at -3.4375 -2.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 61 "unconnected-(U6-Pad2)") (pinfunction "IOB_6a") (pintype "bidirectional+no_connect") (tstamp a1f3e297-bc5b-4f23-a403-ce66d26fa47e)) - (pad "3" smd roundrect (at -3.4375 -1.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 46 "unconnected-(U6-Pad3)") (pinfunction "IOB_9b") (pintype "bidirectional+no_connect") (tstamp f5ba1845-f061-4173-b716-81a9efeafc97)) - (pad "4" smd roundrect (at -3.4375 -1.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 62 "unconnected-(U6-Pad4)") (pinfunction "IOB_8a") (pintype "bidirectional+no_connect") (tstamp 01e73b77-7c00-4bbe-a3e3-e01fc8c5247a)) - (pad "5" smd roundrect (at -3.4375 -0.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "+1V2") (pinfunction "VCC") (pintype "power_in") (tstamp f1f14068-8ff9-4859-9782-aa94889da600)) - (pad "6" smd roundrect (at -3.4375 -0.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 69 "TOUCH_EVENT") (pinfunction "IOB_13b") (pintype "bidirectional") (tstamp 20148975-352b-4236-99e3-455ca3d81d13)) - (pad "7" smd roundrect (at -3.4375 0.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 10 "APP_CDONE") (pinfunction "CDONE") (pintype "open_collector") (tstamp 9c113afa-3288-4f73-8ed0-d53d7dda48d0)) - (pad "8" smd roundrect (at -3.4375 0.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 11 "APP_CRESET") (pinfunction "~{CRESET}") (pintype "input") (tstamp c350a917-34d8-4d60-b737-ba6a7da4e795)) - (pad "9" smd roundrect (at -3.4375 1.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 51 "unconnected-(U6-Pad9)") (pinfunction "IOB_16a") (pintype "bidirectional+no_connect") (tstamp 5fca5dad-a903-4b19-8599-b66c361ccc4a)) - (pad "10" smd roundrect (at -3.4375 1.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 52 "unconnected-(U6-Pad10)") (pinfunction "IOB_18a") (pintype "bidirectional+no_connect") (tstamp 4db0adee-f630-4c4f-9877-a6ecef447df0)) - (pad "11" smd roundrect (at -3.4375 2.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 41 "unconnected-(U6-Pad11)") (pinfunction "IOB_20a") (pintype "bidirectional+no_connect") (tstamp 4cd6df93-b7ca-4690-b707-7e7ec743c796)) - (pad "12" smd roundrect (at -3.4375 2.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 40 "unconnected-(U6-Pad12)") (pinfunction "IOB_22b") (pintype "bidirectional+no_connect") (tstamp 50ec4ed9-dbcd-400a-89d9-8fb934ed75fe)) - (pad "13" smd roundrect (at -2.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 16 "unconnected-(U6-Pad13)") (pinfunction "IOB_24a") (pintype "bidirectional+no_connect") (tstamp 4c4c6277-2583-44d5-b7be-52af2c696adb)) - (pad "14" smd roundrect (at -2.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 49 "APP_ICE_MISO") (pinfunction "IOB_32a_SPI_SO") (pintype "bidirectional") (tstamp 1391ea56-3745-4f2b-9273-875dbadb140f)) - (pad "15" smd roundrect (at -1.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 12 "APP_ICE_SCK") (pinfunction "IOB_34a_SPI_SCK") (pintype "bidirectional") (tstamp 699ec0fb-dab5-450e-9218-375606df4b70)) - (pad "16" smd roundrect (at -1.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 47 "APP_ICE_SS") (pinfunction "IOB_35b_SPI_SS") (pintype "bidirectional") (tstamp 10ce5094-b039-42a7-8f37-336f4f8ddc76)) - (pad "17" smd roundrect (at -0.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 48 "APP_ICE_MOSI") (pinfunction "IOB_33b_SPI_SI") (pintype "bidirectional") (tstamp 2b7ee9eb-447b-4ffb-81ed-929687f2872d)) - (pad "18" smd roundrect (at -0.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 45 "unconnected-(U6-Pad18)") (pinfunction "IOB_31b") (pintype "bidirectional+no_connect") (tstamp fa496d03-a442-44f6-89d6-26c26b7dea11)) - (pad "19" smd roundrect (at 0.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 44 "unconnected-(U6-Pad19)") (pinfunction "IOB_29b") (pintype "bidirectional+no_connect") (tstamp a2ec0701-b2d2-42d7-85c6-e39a9dc91aae)) - (pad "20" smd roundrect (at 0.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 43 "unconnected-(U6-Pad20)") (pinfunction "IOB_25b_G3") (pintype "bidirectional+no_connect") (tstamp f881af86-6c87-4d46-bd66-180afd5e301f)) - (pad "21" smd roundrect (at 1.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "unconnected-(U6-Pad21)") (pinfunction "IOB_23b") (pintype "bidirectional+no_connect") (tstamp 9792ce7a-cd58-4448-8c89-ece78d6130a2)) - (pad "22" smd roundrect (at 1.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "SPI_VCCIO1") (pintype "power_in") (tstamp c7813a95-4e31-4ee9-9efc-676685c323ba)) - (pad "23" smd roundrect (at 2.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 42 "unconnected-(U6-Pad23)") (pinfunction "IOT_37a") (pintype "bidirectional+no_connect") (tstamp a2a12409-b785-435c-954b-6ba8bcf0896f)) - (pad "24" smd roundrect (at 2.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+2V5") (pinfunction "VPP_2V5") (pintype "power_in") (tstamp 4192206a-8ef6-4964-93e2-f7a5a3e0a3bc)) - (pad "25" smd roundrect (at 3.4375 2.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 25 "INTERFACE_TX") (pinfunction "IOT_36b") (pintype "bidirectional") (tstamp 7108d50a-c5c8-4ae3-95b6-20d08942a2bc)) - (pad "26" smd roundrect (at 3.4375 2.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 57 "INTERFACE_RX") (pinfunction "IOT_39a") (pintype "bidirectional") (tstamp 0d3e5b8c-68e8-4a5d-9e19-25b56b9ee13c)) - (pad "27" smd roundrect (at 3.4375 1.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 59 "INTERFACE_CTS") (pinfunction "IOT_38b") (pintype "bidirectional") (tstamp c605a393-48ef-4973-a726-d78312820672)) - (pad "28" smd roundrect (at 3.4375 1.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 58 "INTERFACE_RTS") (pinfunction "IOT_41a") (pintype "bidirectional") (tstamp a84d2e26-d040-4e52-8602-718a5a67a98b)) - (pad "29" smd roundrect (at 3.4375 0.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "/Application FPGA/APP_+1.2_PLL") (pinfunction "VCCPLL") (pintype "power_in") (tstamp 84671a89-39c3-4314-8dfd-14d85468e6aa)) - (pad "30" smd roundrect (at 3.4375 0.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "+1V2") (pinfunction "VCC") (pintype "power_in") (tstamp 665b9257-b3e7-4386-a57f-c25633692529)) - (pad "31" smd roundrect (at 3.4375 -0.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 74 "unconnected-(U6-Pad31)") (pinfunction "IOT_42b") (pintype "bidirectional+no_connect") (tstamp df9d489a-af65-46e2-a768-9bd96c30ee4a)) - (pad "32" smd roundrect (at 3.4375 -0.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 39 "unconnected-(U6-Pad32)") (pinfunction "IOT_43a") (pintype "bidirectional+no_connect") (tstamp 8c0cb532-1927-4285-b61a-2ee422d00156)) - (pad "33" smd roundrect (at 3.4375 -1.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCCIO_0") (pintype "power_in") (tstamp 6a957a57-8e4d-459e-8046-5aaacfad32ee)) - (pad "34" smd roundrect (at 3.4375 -1.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 53 "unconnected-(U6-Pad34)") (pinfunction "IOT_44b") (pintype "bidirectional+no_connect") (tstamp f64fe2cb-1401-4ba7-8212-0e1cb29ad247)) - (pad "35" smd roundrect (at 3.4375 -2.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 50 "unconnected-(U6-Pad35)") (pinfunction "IOT_46b_G0") (pintype "bidirectional+no_connect") (tstamp da6b2638-8725-49d5-8b3b-157cb264d00e)) - (pad "36" smd roundrect (at 3.4375 -2.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 65 "APP_GPIO1") (pinfunction "IOT_48b") (pintype "bidirectional") (tstamp 014e2a62-999b-4fd1-9d59-df5fc252f1b8)) - (pad "37" smd roundrect (at 2.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "unconnected-(U6-Pad37)") (pinfunction "IOT_45a_G1") (pintype "bidirectional+no_connect") (tstamp f2d67aca-5d42-47f6-9413-835c24d7472c)) - (pad "38" smd roundrect (at 2.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 66 "APP_GPIO2") (pinfunction "IOT_50b") (pintype "bidirectional") (tstamp a1be5326-1273-4e11-842b-dc1892140acd)) - (pad "39" smd roundrect (at 1.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 17 "APP_LED_RED") (pinfunction "RGB0") (pintype "open_collector") (tstamp 55388d05-1113-450b-9418-d20942410bd4)) - (pad "40" smd roundrect (at 1.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 18 "APP_LED_GREEN") (pinfunction "RGB1") (pintype "open_collector") (tstamp 75437f45-6a80-4fbc-b7e6-79ff93c644a2)) - (pad "41" smd roundrect (at 0.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 19 "APP_LED_BLUE") (pinfunction "RGB2") (pintype "open_collector") (tstamp 9acef439-79c2-4e40-87a1-f5961c93dc67)) - (pad "42" smd roundrect (at 0.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 54 "unconnected-(U6-Pad42)") (pinfunction "IOT_51a") (pintype "bidirectional+no_connect") (tstamp 06e2b7b0-e281-415d-aba7-77c6e799e573)) - (pad "43" smd roundrect (at -0.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 55 "unconnected-(U6-Pad43)") (pinfunction "IOT_49a") (pintype "bidirectional+no_connect") (tstamp bdd165cc-b503-46be-89fe-e196e5f41dc5)) - (pad "44" smd roundrect (at -0.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 56 "unconnected-(U6-Pad44)") (pinfunction "IOB_3b_G6") (pintype "bidirectional+no_connect") (tstamp 15ee890d-f99a-48c2-aa9a-ca199c3f2de0)) - (pad "45" smd roundrect (at -1.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 67 "APP_GPIO3") (pinfunction "IOB_5b") (pintype "bidirectional") (tstamp 478c9ff3-6806-473d-bbdf-d6c1c1cb1c3f)) - (pad "46" smd roundrect (at -1.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 68 "APP_GPIO4") (pinfunction "IOB_0a") (pintype "bidirectional") (tstamp ad5677cf-5a5d-4050-889a-d55fb779909b)) - (pad "47" smd roundrect (at -2.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 76 "unconnected-(U6-Pad47)") (pinfunction "IOB_2a") (pintype "bidirectional+no_connect") (tstamp f385410e-7db6-4c07-a4c2-9e2049404091)) - (pad "48" smd roundrect (at -2.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 15 "unconnected-(U6-Pad48)") (pinfunction "IOB_4a") (pintype "bidirectional+no_connect") (tstamp 7b2b2703-a532-4db1-a933-d07ffa052ec1)) - (pad "49" smd rect (at 0 0 225) (size 5.6 5.6) (layers "F.Cu" "F.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0bb5cd34-d493-45c4-917f-8c4bd795b455)) - (model "${KICAD6_3DMODEL_DIR}/Package_DFN_QFN.3dshapes/QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1608X09L" (layer "F.Cu") - (tedit 61373115) (tstamp 00000000-0000-0000-0000-0000615ccfb2) - (at 104.94375 92.2 -90) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "10V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006174ea42") - (attr smd) - (fp_text reference "C6" (at 0 -1.43 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4372b44a-6a1a-48c4-a545-84d22863eb44) - ) - (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 67e317d7-75c3-4f6e-9cfa-dc94088d3c8c) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp ec3de4ef-d63c-462e-86b6-af8ddde029cc) - ) - (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 423ecdfe-aade-46b4-bc86-71bc197ca1d6)) - (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 8eeaa4ac-ef35-4208-b1a2-5e796eabafe8)) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3d08b1b7-b890-4225-bcd7-b4b126342aef)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8ea61f67-754c-45f6-8ab5-3d7452e958c2)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ce76c198-05fd-4483-b5e9-127ba18861ad)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e0cf513c-f3f8-4a2b-9c3f-66cbe64d99a9)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 46300ee3-676c-47d7-910b-2c853e360ee5)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4c0eed23-907b-4176-8082-b7d821b78fc3)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a30c0233-b127-4ea2-99b3-37ca42adf85b)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp aeb6d1d2-2572-4405-8e7a-499a20d1ac6f)) - (pad "1" smd roundrect locked (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp d7ee3cba-4adb-4fb9-91e5-a162a47a7de2)) - (pad "2" smd roundrect locked (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 935da6fa-edbc-4f0a-a60d-d9f48039cbac)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1608X09L" (layer "F.Cu") - (tedit 61373115) (tstamp 00000000-0000-0000-0000-0000615cd1d6) - (at 113.05 98.8 -90) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "10V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617217f5") - (attr smd) - (fp_text reference "C25" (at 0 -1.43 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 33cf1533-e184-41b3-824e-0faa19aea0bb) - ) - (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 61770533-e5e2-472a-846e-db7b460f964b) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp d554b27c-0a63-4840-94f2-56f4d934091d) - ) - (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 2b08cf87-7f52-4a65-b630-4d1426bcb28e)) - (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 7a254eb5-96b9-43b4-ae97-96b0792a11e6)) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 173c28cc-e9f0-43bb-96da-f7ddb3bb0e94)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2f807b7f-9bdd-490b-9c27-f1b566f2c11f)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 901848fe-3ba5-4821-aaea-5a3455dcf306)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ee8b0ebe-f20f-4736-8c0e-6dbb21f76d46)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 136fda56-51e3-44bc-bc5a-91c383f2db61)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8c6d5da5-0436-4332-b547-e8a89cac668a)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 98f555da-b427-4c46-9a21-aeceb49ca111)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp dd77c751-1cd9-458f-a68c-abe093c1cc3e)) - (pad "1" smd roundrect locked (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "/Application FPGA/APP_+1.2_PLL") (pintype "passive") (tstamp 231a3e67-7015-479f-a012-8bf45bee3f99)) - (pad "2" smd roundrect locked (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 206dc652-6aab-4da5-ae55-70e54b145fda)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:Ferritbead_0603_1608Metric" (layer "F.Cu") - (tedit 61367F9E) (tstamp 00000000-0000-0000-0000-0000615cd24e) - (at 111.5 98.8 -90) - (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags "resistor") - (property "Manufacturer" "Murata") - (property "Manufacturer Part Number" "BLM18KG300TN1D") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "Supplier" "Digikey") - (property "Supplier Part Number" "490-5447-1-ND") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617217ef") - (attr smd) - (fp_text reference "FB3" (at 0 -1.43 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6a22bec3-c411-4404-8202-e3d0b2844d1b) - ) - (fp_text value "BLM18KG300TN1D" (at -0.04 1.77 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0a80616c-6898-4cc5-a3f0-bc547bb20ee9) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 8e5444ba-2d73-4d47-ac5f-5841fc64e86f) - ) - (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 4fc6dd57-4ba0-4d2b-9511-e2f6e596e150)) - (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp df99a70a-d1ec-42b6-94c4-cc7c5cc73952)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 05c615cc-c093-470b-9a65-c28087c1eb84)) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2ee85285-a08c-4f48-8b2e-9c2558f0aca0)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 331e5b90-fbb6-47af-9cf0-c071f04185a7)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6793554e-091b-488f-8cd9-8afa8b0d7632)) - (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 91980450-0e25-4227-a67f-615cbd17073d)) - (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp a8ff3145-56ae-4f76-bbe4-cf7ae1099221)) - (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp aafe03fd-85d8-4214-aa6e-898f89e27145)) - (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp dd352097-e92e-43b5-84bd-7486eabec57f)) - (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "/Application FPGA/APP_+1.2_PLL") (pintype "passive") (tstamp a524e57c-15d1-4fff-9e9a-7d1d647774d7)) - (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "+1V2") (pintype "passive") (tstamp 3c6c68bc-d962-48e6-9a19-8fb7a28c5123)) - (model "${KIPRJMOD}/../mta1-library/mta1.pretty/3d_models/Ferriit bead-0603.step" - (offset (xyz 0 -0.25 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 00000000-0000-0000-0000-0000615cd5f5) - (at 103 99 -90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (path "/00000000-0000-0000-0000-0000611a4eb9/c4c2a4ce-d12c-46e7-abc7-438cb069e22d") - (attr smd) - (fp_text reference "R22" (at 0 -1.17 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f14d2d64-daa8-4d75-b960-41576a329586) - ) - (fp_text value "10k" (at 0 1.17 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 84099be8-f41f-4927-b61c-c870a7c5044a) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp c2585497-9ee2-4b0f-b2c5-39dab5fc5be3) - ) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp bd17a0e4-9160-4c33-8a24-1d9ecbe8d9a8)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp d32b0e17-6f71-415a-839d-71273f79b7a9)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 0966df63-a093-4100-a178-3a20b4b6a919)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1c9dc8b5-0181-4a4b-acf2-1599d3fd5970)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp ca1f831c-9d03-48c5-964f-b8237afeddb5)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp f5e5755e-cc67-4122-94e4-9aa31871be9c)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 04d0d371-40cc-4791-93d2-cc66367f159a)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 4d471afc-4cbc-43b8-b3f1-69973ef25115)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 5a309e7b-b1c6-4923-9739-6bf49c52ff1b)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp f4029d8e-a1fa-483f-ad4d-115a466b190e)) - (pad "1" smd roundrect locked (at -0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 895e9604-841c-4793-bfc5-24d2129b3f0a)) - (pad "2" smd roundrect locked (at 0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 20 "SPI_VCC_OK") (pintype "passive") (tstamp 3452aa4d-62dc-4fbf-a46a-b490759974f8)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 00000000-0000-0000-0000-0000616cc614) - (at 103.55 90.65 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,1%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "usb_to_serial.kicad_sch") - (property "Sheetname" "USB to Serial converter") - (path "/00000000-0000-0000-0000-00006161400b/9858cd2c-db03-45a0-8bc5-55a6c8037bff") - (attr smd) - (fp_text reference "R29" (at 0 -1.17 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp ddf20534-244f-4713-b8b9-eeb72f48babc) - ) - (fp_text value "5.1k" (at 0 1.17 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 789fedc2-a640-42b9-a4c0-0b6f70847b45) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp ed20b2e0-154f-489b-9552-026af72c4582) - ) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 56e74d20-c368-4c8d-940c-0c9e46ad53d5)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 719a1dea-ebbe-4e6d-a219-03b7332906aa)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 4f958d36-95b6-4faf-a407-6ffdc897b0c5)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8e258f79-a518-4b07-89e8-a30e20f125a7)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp a14cec84-88cc-41da-86ad-e3fa2affd4c6)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp f8115bb8-9774-4744-b780-f07359d2434d)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 058582f1-bce7-4bb9-80d8-1d5a7cf3f012)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 722fc1b9-93ef-449c-aaf4-5c06bff7da7e)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 9aa95828-ba00-4d4a-bb8c-b7227aaec5c2)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp b62c4f3c-e51b-4929-9e2f-2669ce3e8cac)) - (pad "1" smd roundrect locked (at -0.4 0 90) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 28 "Net-(P1-PadA5)") (pintype "passive") (tstamp 12e30119-2e42-495d-b236-028c41feb019)) - (pad "2" smd roundrect locked (at 0.4 0 90) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp b0a33c63-bdbc-4c98-9f51-4ec34b22f8d4)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 00000000-0000-0000-0000-0000616eca10) - (at 115.9 90.65 -45) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138caff") - (attr smd) - (fp_text reference "R20" (at 0 -1.17 135) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 970305e8-b4e6-4e6a-a486-7cb7c2e3a8c3) - ) - (fp_text value "10k" (at 0 1.17 135) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7b3afea4-199a-4ac2-9fa0-2f0d77c9c7ed) - ) - (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp 61d129a6-6c04-45a0-8515-79a6863634f8) - ) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 2854fbe0-c46c-4725-ada0-bb57c54f10b3)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 645690ed-f3e2-40aa-bb2d-03a9e6e5fc9e)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 05515a0c-e795-42c4-9d72-7ae51e80ba01)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 613aa6f7-1f69-4e5b-87b8-eab787ed3ecf)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 6b5f4820-4c07-4d91-bc21-53c55b6e6d80)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp a7cd2808-d757-4484-aaaf-2f7645da6f82)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 6caa95d9-6d8b-4356-b71d-0985a5eb4f41)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 80d45b09-091f-4470-b5e3-130f820153d4)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp e732f0d9-890e-43c4-bb3d-0a49fd9ae255)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp e8853b6a-4c62-4e2e-8a7c-38e95f5dd85a)) - (pad "1" smd roundrect locked (at -0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 1df03625-223b-45e9-b862-97638f0e51c7)) - (pad "2" smd roundrect locked (at 0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 11 "APP_CRESET") (pintype "passive") (tstamp 88032e48-a06a-42da-a13d-1d5d8724d9ec)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1608X09L" (layer "F.Cu") - (tedit 61373115) (tstamp 00000000-0000-0000-0000-0000616f0071) - (at 104.84375 88.9 -90) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "10V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612188a6") - (attr smd) - (fp_text reference "C1" (at 0 -1.43 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c61515c2-e9f7-4675-a709-1d26b2846f7b) - ) - (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 784d8e67-c323-4774-9abf-365ee0c7e3c5) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 436901ac-af2c-4b15-bcbb-4c89bf38cb33) - ) - (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 8297dd45-cb15-4211-a71b-8496aa13e3e4)) - (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp e871bcc6-fe74-4c48-8d51-542b957e0d7e)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 428c4882-4fa2-4105-96dc-a3e7f24d9294)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8cef47cc-8fbc-43e8-aff5-46856bd38367)) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9223820b-4426-453a-9fba-a1128c4f3437)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ab2a6ee9-e7c6-4447-8d77-86b756a4f9f2)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1149f3c7-830c-4034-9f0c-970c22ed0993)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2a8b7231-c98b-4bd1-a3d1-f9242d0de487)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f256d4a5-b750-4ccf-bc0f-f5b71f73f02f)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ff5cfac1-10ef-4445-a9f9-4ba7faebd430)) - (pad "1" smd roundrect locked (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 0fdfb0ca-fb2e-49d4-924b-642c245af407)) - (pad "2" smd roundrect locked (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 5af6c398-bbec-47cb-b75c-b3a813666494)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1608X09L" (layer "F.Cu") - (tedit 61373115) (tstamp 00000000-0000-0000-0000-0000616f00a1) - (at 110.45 88.9 -90) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "10V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061222da3") - (attr smd) - (fp_text reference "C5" (at 0 -1.43 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp e48e343a-7569-4b7c-84db-c0dd2ebf6525) - ) - (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp bbb9ee83-0991-4d36-8531-3df2bfc0b089) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 2a709b4f-47c1-45c1-95e7-ad9b1b5eab15) - ) - (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 343b9080-f59a-4342-b723-b57cea0b0696)) - (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 4491f528-e70c-4b14-af63-da2583152959)) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 313faf26-5c4e-48b3-8374-e7316b07e5d5)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ac7e2fb0-08ff-4981-bf42-f6f10923af3f)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c46619fc-eab9-4606-b541-1ac9e08da778)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp f8004671-2cc2-4341-a861-8c0094c1b830)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5d45760e-9f6e-4e01-b81e-bc8c0662f72b)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 97b41c3f-fa68-4bf0-bbe9-00ce8b902aaa)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp a5073a51-9397-441f-8f5b-2613c7b7d343)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d4f684f8-8e75-4070-b3ec-3ea03cfa8ef5)) - (pad "1" smd roundrect locked (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "+1V2") (pintype "passive") (tstamp eee308b2-ab1e-488c-9407-e9206c9d7d2f)) - (pad "2" smd roundrect locked (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 589493fa-bb8e-4839-8545-fb6544fdb312)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Package_TO_SOT_SMD:SOT-23-5" (layer "F.Cu") - (tedit 5F6F9B37) (tstamp 00000000-0000-0000-0000-0000616f00ea) - (at 107.64375 89) - (descr "SOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py") - (tags "SOT TO_SOT_SMD") - (property "Manufacturer" "Micrel Inc.") - (property "Manufacturer Part Number" "MIC5258-1.2YM5") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (property "Supplier" "Digikey") - (property "Supplier Part Number" "2156-MIC5258-1.2YM5-ND") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006125d556") - (attr smd) - (fp_text reference "U2" (at 0 -2.9) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 751dac96-c540-4ada-9d76-4481de5e1e39) - ) - (fp_text value "MIC5258-1.2YM5" (at 0 2.9) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8a41c979-125c-4e1f-ad46-474915a2e4b5) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.5 0.5) (thickness 0.075))) - (tstamp 0a2cba25-2364-42ee-8cee-ba91bc2ac5a5) - ) - (fp_line (start 0 -1.56) (end -1.8 -1.56) (layer "F.SilkS") (width 0.12) (tstamp 252babf9-18c8-4188-b133-530d1c309a42)) - (fp_line (start 0 1.56) (end 0.8 1.56) (layer "F.SilkS") (width 0.12) (tstamp 43a44da6-8a26-4f6b-bfab-90bd54eff706)) - (fp_line (start 0 1.56) (end -0.8 1.56) (layer "F.SilkS") (width 0.12) (tstamp 43f30d59-714f-4bad-98a3-5b688f75bff9)) - (fp_line (start 0 -1.56) (end 0.8 -1.56) (layer "F.SilkS") (width 0.12) (tstamp 5da0398e-268c-4f3d-b1a2-7e6ed0a5acaf)) - (fp_line (start -2.05 -1.7) (end -2.05 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 00c966db-fee4-4b2d-b584-cd1ebad79435)) - (fp_line (start 2.05 -1.7) (end -2.05 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp 06814e55-4a61-4250-8da2-de90c516f698)) - (fp_line (start 2.05 1.7) (end 2.05 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp 0aa9bcb9-91fe-4062-9ff9-0477c09f9275)) - (fp_line (start -2.05 1.7) (end 2.05 1.7) (layer "F.CrtYd") (width 0.05) (tstamp f1773781-16d5-4c3a-898c-493ca32988e8)) - (fp_line (start 0.8 1.45) (end -0.8 1.45) (layer "F.Fab") (width 0.1) (tstamp 735e073a-9d59-4aae-be7b-46ce40346cb3)) - (fp_line (start -0.8 -1.05) (end -0.4 -1.45) (layer "F.Fab") (width 0.1) (tstamp b7870267-892e-4b07-8071-92051f424120)) - (fp_line (start -0.4 -1.45) (end 0.8 -1.45) (layer "F.Fab") (width 0.1) (tstamp c1cb263c-0460-4987-9103-0a5ab18e9c00)) - (fp_line (start 0.8 -1.45) (end 0.8 1.45) (layer "F.Fab") (width 0.1) (tstamp cc1ba9e6-9183-4de0-a9b8-5dc09c50e0a8)) - (fp_line (start -0.8 1.45) (end -0.8 -1.05) (layer "F.Fab") (width 0.1) (tstamp ff342e18-cd7c-431d-8d74-d97ac911c325)) - (pad "1" smd roundrect (at -1.1375 -0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vin") (pintype "power_in") (tstamp b45f4c62-e6e0-4f77-8d21-2b7de93d27fa)) - (pad "2" smd roundrect (at -1.1375 0) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3f95a877-1516-4fc6-b665-635927af7e9c)) - (pad "3" smd roundrect (at -1.1375 0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 13 "Net-(R1-Pad2)") (pinfunction "EN") (pintype "input") (tstamp 48f4dc19-f52b-40a7-9b0d-d05f9f4658bd)) - (pad "4" smd roundrect (at 1.1375 0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 14 "VCC_OK") (pinfunction "PG") (pintype "open_collector") (tstamp d4e17e1a-ff55-4a33-8073-52cce4ce5364)) - (pad "5" smd roundrect (at 1.1375 -0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "+1V2") (pinfunction "Vout") (pintype "power_out") (tstamp 9bcc10fc-4602-4260-b28d-e4a54de2082f)) - (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1608X09L" (layer "F.Cu") - (tedit 61373115) (tstamp 00000000-0000-0000-0000-0000616f01e1) - (at 110 98.8 -90) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "10V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612750eb") - (attr smd) - (fp_text reference "C4" (at 0 -1.43 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4094d5f1-d5e7-4d7c-b501-3cb84e58848b) - ) - (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp fe75b482-309d-4557-bef4-6b42792bfe6e) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 60186678-197d-493f-a5e2-314c01f3cde9) - ) - (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp c923e849-78c5-4a33-b62a-7779562f8d36)) - (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp f926b8ae-fb11-4c7c-91af-abc489e055de)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2f1cbc4b-75a4-4d27-ac0c-6767e9642c95)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 418dceb1-310d-4587-be8f-86433db4ff5f)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp dac29faf-cddc-4b37-ac77-9b216ff1c46f)) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f7b4f25e-9991-4695-897f-d29298d9dbc3)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 31a691eb-5a3f-4a88-af24-c08065fcede2)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 83c868cc-41b1-4e10-ac08-4d4dfbe64a47)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp b8aa663d-bb8b-493a-a895-79edd7eba999)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f22c5d05-87cc-43ef-a27c-b2d5df821fcf)) - (pad "1" smd roundrect locked (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+2V5") (pintype "passive") (tstamp 59eb002c-763a-4d4c-8aba-b4f785ee0ba2)) - (pad "2" smd roundrect locked (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 06575e57-5987-465f-a076-4b119e33ab69)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1608X09L" (layer "F.Cu") - (tedit 61373115) (tstamp 00000000-0000-0000-0000-0000616f0211) - (at 104.45 98.8 -90) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "10V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126b7fb") - (attr smd) - (fp_text reference "C2" (at 0 -1.43 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 93adbae8-0296-44c9-a712-23f73e32920b) - ) - (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 17b7e584-5283-4fb5-beb9-0179f8f6aa40) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp ebdaddc9-117f-4414-8ce6-1375df0d4830) - ) - (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 0e9fea0d-c241-45fa-b191-c1e10ecb37de)) - (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 7be216a6-4c2e-4534-af51-4975e70eb037)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 31a34782-3a42-49e2-96a5-3eb844929bf2)) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 341f3ff7-1bb7-49e5-b47b-f93e48888c94)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ba0392ba-aeb2-41ba-94fb-b8d4b9449fed)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp fd26503c-04c5-4204-9a72-efed88030030)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1e9085c7-dd3c-4371-af8e-e42caf900b89)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 74a67d57-c96a-4677-87c1-e0f4342012cf)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp a2982f89-f32e-4cc6-a42c-ec5bb990b791)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e2c82750-f109-4e1c-adfb-cbe3f9383799)) - (pad "1" smd roundrect locked (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 60ee22c3-b03d-4b12-b046-cb69e2316f13)) - (pad "2" smd roundrect locked (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 16c1ae55-38e1-4857-b2ce-4ba460561976)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Package_TO_SOT_SMD:SOT-23-5" (layer "F.Cu") - (tedit 5F6F9B37) (tstamp 00000000-0000-0000-0000-0000616f0245) - (at 107.25 98.95) - (descr "SOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py") - (tags "SOT TO_SOT_SMD") - (property "Manufacturer" "Microchip") - (property "Manufacturer Part Number" "MCP1824T-2502EOT") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (property "Supplier" "Digikey") - (property "Supplier Part Number" "MCP1824T-2502E/OTCT-ND") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126a5e3") - (attr smd) - (fp_text reference "U1" (at 0 -2.4) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2c15a0c1-1282-4ba7-a329-2404d70b6561) - ) - (fp_text value "MCP1824T-2502EOT" (at 0 2.4) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp ff308809-0d5e-42af-a27a-2394bdfcc9a9) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 2efd8e34-a42e-4f39-96f0-3c725aad81ee) - ) - (fp_line (start 0 1.56) (end 0.8 1.56) (layer "F.SilkS") (width 0.12) (tstamp 687e7af1-9fa2-44ec-b6c9-34aa856b3e66)) - (fp_line (start 0 1.56) (end -0.8 1.56) (layer "F.SilkS") (width 0.12) (tstamp 84361d2e-5c81-4cb9-8de2-72b05e3ed6f0)) - (fp_line (start 0 -1.56) (end -1.8 -1.56) (layer "F.SilkS") (width 0.12) (tstamp f136c6b1-3c3a-4dd7-9b7f-5d169f701575)) - (fp_line (start 0 -1.56) (end 0.8 -1.56) (layer "F.SilkS") (width 0.12) (tstamp f1da47ad-2044-441f-a9dc-f2135bd22a13)) - (fp_line (start 2.05 -1.7) (end -2.05 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp 122617fb-0568-4a45-a0e8-9468d9ac1f4c)) - (fp_line (start -2.05 -1.7) (end -2.05 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 657562bf-2220-49c1-9a02-113fb4a1552e)) - (fp_line (start -2.05 1.7) (end 2.05 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 70c17a86-db03-4fb7-a95a-53a81ba076e4)) - (fp_line (start 2.05 1.7) (end 2.05 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp a61d4b07-497e-4038-b25b-f79b916f4673)) - (fp_line (start -0.8 1.45) (end -0.8 -1.05) (layer "F.Fab") (width 0.1) (tstamp 159d1076-e438-45bf-8f10-dd41d7537a11)) - (fp_line (start -0.8 -1.05) (end -0.4 -1.45) (layer "F.Fab") (width 0.1) (tstamp 2bfac1bb-061a-407f-8206-e2837096dbcf)) - (fp_line (start 0.8 1.45) (end -0.8 1.45) (layer "F.Fab") (width 0.1) (tstamp 3e3cc380-3395-4a10-a961-04bc926f4a80)) - (fp_line (start -0.4 -1.45) (end 0.8 -1.45) (layer "F.Fab") (width 0.1) (tstamp a4334f23-4778-40f4-aca1-ee3fa55beff3)) - (fp_line (start 0.8 -1.45) (end 0.8 1.45) (layer "F.Fab") (width 0.1) (tstamp df350f11-94d4-4472-8f35-e1ab45eccec9)) - (pad "1" smd roundrect (at -1.1375 -0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vin") (pintype "power_in") (tstamp cbd4bd49-777e-4bbf-b319-69aad9dca7b4)) - (pad "2" smd roundrect (at -1.1375 0) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e32e9cdf-a4d5-4d1f-8e32-058b38785a2b)) - (pad "3" smd roundrect (at -1.1375 0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 20 "SPI_VCC_OK") (pinfunction "/SHDN") (pintype "input") (tstamp 5e14bf52-f0d5-4b7f-9fce-9263f1bddfc7)) - (pad "4" smd roundrect (at 1.1375 0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 38 "unconnected-(U1-Pad4)") (pinfunction "PWRGD") (pintype "open_collector+no_connect") (tstamp 4f1ca3cc-81eb-4e3b-a704-79df92f36fb7)) - (pad "5" smd roundrect (at 1.1375 -0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+2V5") (pinfunction "Vout") (pintype "power_out") (tstamp bd8ef83d-7d80-4d43-84c8-10cb5d7d52ec)) - (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1608X09L" (layer "F.Cu") - (tedit 61373115) (tstamp 00000000-0000-0000-0000-0000616f4071) - (at 110.24375 92.2 -90) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "10V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006124bc7c") - (attr smd) - (fp_text reference "C3" (at 0 -1.43 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5900fd6b-0f18-4c26-b84d-fc7a1798ee4c) - ) - (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp e85d03df-eb94-4a35-9afe-956fe237c84b) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 19df5920-f49f-4613-a2c5-e366e0b50352) - ) - (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 9578f2c5-1f4b-4bdd-ac70-c9f1fff9a5c6)) - (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp ad6f05e6-71db-46a9-b396-41c621e8ad5c)) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0f6423b8-6907-4300-9a5a-e5d78a535cd2)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 44ed9791-dbcf-4bd3-bff4-8aa7f17c49fc)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4eef8224-ad78-4798-a324-4eda7e0086f1)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 61db6725-feb4-4de4-ae72-27d013bf1021)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 16eea417-7a02-4461-9502-9b3f1e10a6fb)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 54ee5055-edab-4378-a0b2-d4ff602e66a5)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 59024a74-1432-4be7-ac04-f1d538d020ba)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b7d09718-60fb-4972-9802-e7f8b1c637bb)) - (pad "1" smd roundrect locked (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp b53435cf-4df1-4302-9a07-be93e2e0cbf1)) - (pad "2" smd roundrect locked (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp ea156770-db6a-4139-8ea5-f5021d1debb3)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 00000000-0000-0000-0000-00006170219b) - (at 115.15 91.35 -45) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000618005cf") - (attr smd) - (fp_text reference "R3" (at 0 -1.17 135) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 59d6507d-8c01-4b37-beee-b798f6eef3f6) - ) - (fp_text value "1k" (at 0 1.17 135) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp e0c526b5-6bf3-41c8-8aef-41dad4a0bcee) - ) - (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp 39ea8e29-0ec6-4fa5-8583-d656ac94d88e) - ) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 30f60303-4d1b-44ac-a44f-9331ad73f01b)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp c9d48ef7-7bb5-4826-8f65-227520cea761)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 48a4c2e4-1922-459a-acee-d0f104436ea7)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 740de89c-78b8-46da-882f-20e237df02c3)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 9ca92095-93df-4b57-ba91-110eb6639318)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp a17ee603-4dd3-4b46-b8a8-a06042a48883)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 16cf029d-3776-4b57-ad7b-0716ddf86090)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 5031c116-3e1b-4aaa-a0a5-b525d19cc383)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp a7ade8a9-14ef-43a4-bb49-5343a8775d2d)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp cbab619a-33df-4c60-a0af-b2cc70586503)) - (pad "1" smd roundrect locked (at -0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "Net-(D1-Pad1)") (pintype "passive") (tstamp b8bb4a94-4aef-4d3f-bdd0-866eb8c04d91)) - (pad "2" smd roundrect locked (at 0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 71f75b8a-f946-454f-9568-10f2e6db55af)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 00000000-0000-0000-0000-000061704a10) - (at 114.5 92.05 135) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f14fd") - (attr smd) - (fp_text reference "C22" (at 0 -1.16 135) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 37a7bd5b-0a9b-43ef-8bd1-ec1f26e880ec) - ) - (fp_text value "0.10uF" (at 0 1.16 135) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 26468200-853e-4a3d-8644-334dd47c1c5a) - ) - (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp ca8938af-6fab-4052-a3e3-5f75bf515783) - ) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp 374a4495-5940-4579-8136-0d75c7822125)) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp 876681eb-30ff-46c3-b0b7-0b6a7582ce65)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 8d73526f-de88-4651-a918-b92901742a32)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 900b897c-7c36-468c-88ac-21dfa356ec16)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 9b3c501b-dad9-4982-8d5b-c9a69e461fde)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp cda28a1f-4e2e-4e37-881f-06cc982c3a7a)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 49a25c1b-7f2b-45d8-8a7c-b3669ae49298)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 74693fdf-dae1-48b6-a99f-c18ecf6d1176)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp ae83c378-a041-4724-a78d-ecaa63c7d735)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp c85f50b8-8333-412a-940a-b25e6bc9faf2)) - (pad "1" smd roundrect locked (at -0.39 0 135) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp b0f526e8-453b-42d9-8a7b-e0d5738df104)) - (pad "2" smd roundrect locked (at 0.39 0 135) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 501e033f-e754-47bf-b15d-a3ca56d6d873)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:NCP752BSN33T1G" (layer "F.Cu") - (tedit 616ECE40) (tstamp 00000000-0000-0000-0000-000061716b1b) - (at 107.59375 92.2) - (property "Manufacturer" "onsemi") - (property "Manufacturer Part Number" "NCP752BSN33T1G") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (property "Supplier" "Digikey") - (property "Supplier Part Number" "NCP752BSN33T1GOSCT-ND") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000616b8c22") - (attr smd) - (fp_text reference "U9" (at 0 -3.81) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f8b3423e-42b2-4ab8-b25d-6187aea7928a) - ) - (fp_text value "NCP752BSN33T1G" (at 0 3.81) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 28aea811-d6db-47e6-b6d1-72573d539714) - ) - (fp_text user "${REFERENCE}" (at 0 0 90 unlocked) (layer "F.Fab") - (effects (font (size 0.5 0.5) (thickness 0.08))) - (tstamp 52a5d663-d8db-4109-9e24-629257f00944) - ) - (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer "F.SilkS") (width 0.12) (tstamp d1372615-da45-4e4c-94ab-0ea74b5537c4)) - (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer "F.SilkS") (width 0.12) (tstamp d6963276-5364-4b48-83b6-10b614944bca)) - (fp_line (start -1.9 1.5) (end -1.9 -1.5) (layer "F.CrtYd") (width 0.05) (tstamp 575ee32d-768c-4702-9bb1-45e9ebffa5c2)) - (fp_line (start 1.9 1.5) (end -1.9 1.5) (layer "F.CrtYd") (width 0.05) (tstamp 7daf587e-9ef4-4b18-9249-f900279b0106)) - (fp_line (start -1.9 -1.5) (end 1.9 -1.5) (layer "F.CrtYd") (width 0.05) (tstamp a2fbc4ca-d1ef-49e3-9949-4133eb20e235)) - (fp_line (start 1.9 -1.5) (end 1.9 1.5) (layer "F.CrtYd") (width 0.05) (tstamp f6e093dd-325a-4340-9476-973514f54e5a)) - (fp_line (start 0.825 -1.575) (end 0.825 1.575) (layer "F.Fab") (width 0.12) (tstamp 3b6143ac-0fbb-43d5-96a5-f52454b4169d)) - (fp_line (start -0.825 1.575) (end -0.825 -1.2) (layer "F.Fab") (width 0.12) (tstamp 6145e379-8456-4320-850c-4455f3e256a8)) - (fp_line (start 0.825 1.575) (end -0.825 1.575) (layer "F.Fab") (width 0.1) (tstamp 9f8b0cfe-463a-46f7-ac6c-317add47502e)) - (fp_line (start -0.5 -1.575) (end -0.825 -1.2) (layer "F.Fab") (width 0.12) (tstamp e57526fc-c7a2-4a63-bd49-3084ef4d3108)) - (fp_line (start -0.5 -1.575) (end 0.825 -1.575) (layer "F.Fab") (width 0.12) (tstamp f207c869-be0e-4a36-8329-d829da31c0ba)) - (pad "1" smd rect (at -1.2 -0.95 270) (size 0.7 1) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "+5V") (pinfunction "IN") (pintype "power_in") (tstamp fb64643f-6e7b-466d-b8ce-93db80260012)) - (pad "2" smd rect (at -1.2 0 270) (size 0.7 1) (layers "F.Cu" "F.Paste" "F.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 39613626-c374-4236-a007-70576bb3fbd0)) - (pad "3" smd rect (at -1.2 0.95 270) (size 0.7 1) (layers "F.Cu" "F.Paste" "F.Mask") - (net 14 "VCC_OK") (pinfunction "EN") (pintype "input") (tstamp 4dd3b93b-e478-4589-821e-d13e9641b04d)) - (pad "4" smd rect (at 1.2 0.95 270) (size 0.7 1) (layers "F.Cu" "F.Paste" "F.Mask") - (net 20 "SPI_VCC_OK") (pinfunction "PG") (pintype "open_collector") (tstamp 30caf96a-e89a-4746-84df-8350451b0658)) - (pad "5" smd rect (at 1.2 -0.95 270) (size 0.7 1) (layers "F.Cu" "F.Paste" "F.Mask") - (net 3 "+3V3") (pinfunction "OUT") (pintype "power_out") (tstamp 43a6bc29-fbed-452b-85cd-652ece270db5)) - (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/TSOT-23-5.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 118957eb-2587-4c27-8fed-0c1543353b12) - (at 118.2 87.95 180) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/1dc40799-6ae3-4c4a-b25a-16b0a8f8d3c0") - (attr smd) - (fp_text reference "R25" (at 0 -1.17) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 22875afd-7853-431b-9d45-014f42cfc828) - ) - (fp_text value "10k" (at 0 1.17) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 328f4151-f68c-4500-a17f-b006c86f0577) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp 8a567ea5-e417-4b30-908b-4f6721108f28) - ) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 67db2022-0134-4d12-aadf-d7f321f8b9c8)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 9ba779a3-f50a-4792-8338-542724c68e08)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 62eff6be-8430-491c-aae8-dbe93d881f2b)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 9c3626f0-ab6d-4767-b1ce-708a7d42c704)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp abdfbcae-267a-48e5-8881-1d257d280a3b)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp d902ce07-08f7-4441-a558-a679bf923414)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 1aec70fd-034e-458c-8fb4-4507cb936896)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 7aa71125-5909-4ae4-8517-a0e461459a45)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 93e35ddf-cc48-4d0a-9447-de7b44211c0b)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp fef1624b-ed24-45b4-8ed3-7cbccffd0ec7)) - (pad "1" smd roundrect locked (at -0.4 0 180) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp b1d844ac-9f7e-4f6c-bc48-2015e4360cd0)) - (pad "2" smd roundrect locked (at 0.4 0 180) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 63 "/Application FPGA/APP_FLASH_WP") (pintype "passive") (tstamp 04eda8be-f0e9-4627-9101-babaf4aaff0b)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 38d817ec-d640-4c2b-a408-a203843a7ee1) - (at 112.5 89.85 180) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/17dff2a5-14b1-4b13-b0b5-dee2988a7fcc") - (attr smd) - (fp_text reference "C23" (at 0 -1.16) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 61d75500-ecfa-479d-8d7b-479077748536) - ) - (fp_text value "0.10uF" (at 0 1.16) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 76cf05ac-6e91-44fc-b623-70958ad05da9) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp fdb0be64-26f1-46e1-b3ed-2d40cbe44242) - ) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp 77c5d489-4b7c-4393-bb7f-06a271949dad)) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp ecff7d55-679f-4039-b37d-6567b759b0e4)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 8085cd1d-f282-4ecc-bad6-9ea0ef89e818)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 995d0861-7583-4f30-b0bc-b604617fec72)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp cedfabe6-2eee-40f3-a1ca-63848a34a136)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp f039d574-930c-4f93-b74f-deac24841f31)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 01ba656f-6b52-42c1-97f8-6ccc4a0c7084)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 71bb36b7-6b15-4143-b770-70899c8718b2)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp bae55f23-e946-44de-816d-06a03b4cb140)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp f13c025e-65ed-46ee-87e4-4aacca19a735)) - (pad "1" smd roundrect locked (at -0.39 0 180) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 4f119f77-6e14-4a57-a06c-576087e612cf)) - (pad "2" smd roundrect locked (at 0.39 0 180) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 445b3dbf-45ba-4ef0-b5ed-6b2c83f94be9)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Package_SO:MSOP-10_3x3mm_P0.5mm" (layer "F.Cu") - (tedit 5A02F25C) (tstamp 4a367ce3-8651-4767-ac74-4fb0121bdec6) - (at 110.2 95.5 180) - (descr "10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.5") - (property "Manufacturer" "WCH") - (property "Manufacturer Part Number" "CH552E") - (property "Sheetfile" "usb_to_serial.kicad_sch") - (property "Sheetname" "USB to Serial converter") - (property "Supplier" "LCSC") - (property "Supplier Part Number" "C967938") - (path "/00000000-0000-0000-0000-00006161400b/b87ef039-9982-4e55-98fc-83a90017fbb0") - (attr smd) - (fp_text reference "U3" (at 0 -2.6) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9dd6841f-9084-4b05-baed-4772209c7d3b) - ) - (fp_text value "CH552E" (at 0 2.6) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0da988ff-6050-4f80-9778-32278b7b4a0a) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.5 0.5) (thickness 0.075))) - (tstamp f1735599-2e91-4026-80ac-26abc79c5725) - ) - (fp_line (start 1.675 -1.675) (end 1.675 -1.375) (layer "F.SilkS") (width 0.15) (tstamp 2214bef0-5b28-4184-be73-f63c07aee4b8)) - (fp_line (start -1.675 1.675) (end 1.675 1.675) (layer "F.SilkS") (width 0.15) (tstamp 3fde9030-6d4b-46cf-94bc-1e2f098b7b7d)) - (fp_line (start -1.675 -1.675) (end -1.675 -1.45) (layer "F.SilkS") (width 0.15) (tstamp 498656c8-ec1f-4b74-aee4-b65b5ef65da3)) - (fp_line (start -1.675 1.675) (end -1.675 1.375) (layer "F.SilkS") (width 0.15) (tstamp 905adf9a-32f8-4a6f-a270-46f0515a3a5d)) - (fp_line (start -1.675 -1.45) (end -2.9 -1.45) (layer "F.SilkS") (width 0.15) (tstamp b8fb23a3-7bc7-4aa5-9a09-31da67c22a3a)) - (fp_line (start -1.675 -1.675) (end 1.675 -1.675) (layer "F.SilkS") (width 0.15) (tstamp c94dccb6-97c1-47ce-be4c-1407a440c6d4)) - (fp_line (start 1.675 1.675) (end 1.675 1.375) (layer "F.SilkS") (width 0.15) (tstamp e93fedf4-2386-4d73-b28a-1d7d9e9c0354)) - (fp_line (start -3.15 -1.85) (end -3.15 1.85) (layer "F.CrtYd") (width 0.05) (tstamp 333a7df6-d1a0-42c3-933c-0d0816f49556)) - (fp_line (start 3.15 -1.85) (end 3.15 1.85) (layer "F.CrtYd") (width 0.05) (tstamp 576eeca5-54a5-4e06-9635-f69783a77397)) - (fp_line (start -3.15 1.85) (end 3.15 1.85) (layer "F.CrtYd") (width 0.05) (tstamp c1ca9dc5-bfc0-4361-98e5-df9413b1ab14)) - (fp_line (start -3.15 -1.85) (end 3.15 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp d14aeb1b-f48e-4320-aaad-0f6e15c74e8c)) - (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer "F.Fab") (width 0.15) (tstamp 0cdc8847-ca3f-4cc8-adcc-03e185a2e70a)) - (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp 348b78e5-056b-4b58-8e53-077d42106d20)) - (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp 41d3b11a-e8d0-40e6-90fa-dcead7c7d389)) - (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 6052af97-b759-4b9a-9973-82afb7dc3cdf)) - (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 67ba1d9c-23ae-4fa9-9936-7e221356a28a)) - (pad "1" smd rect (at -2.2 -1 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") - (net 58 "INTERFACE_RTS") (pinfunction "RTS(P1.4)") (pintype "output") (tstamp 7055480d-0c3c-484c-83b4-d10d64b3841e)) - (pad "2" smd rect (at -2.2 -0.5 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") - (net 59 "INTERFACE_CTS") (pinfunction "CTS(P1.5)") (pintype "input") (tstamp 279b61df-03fe-4016-b63c-f25f5700a1c9)) - (pad "3" smd rect (at -2.2 0 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") - (net 57 "INTERFACE_RX") (pinfunction "RX(PP1.6)") (pintype "input") (tstamp 34d4798c-2ce9-4d65-9bf5-11da1c3b6b4d)) - (pad "4" smd rect (at -2.2 0.5 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") - (net 25 "INTERFACE_TX") (pinfunction "TX(P1.7)") (pintype "output") (tstamp 6ec6f00c-6c4f-4517-97a0-bf1099a1d38b)) - (pad "5" smd rect (at -2.2 1 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") - (net 77 "unconnected-(U3-Pad5)") (pinfunction "RST") (pintype "bidirectional+no_connect") (tstamp d64a641e-e1d0-4df5-bec8-53a1149729d2)) - (pad "6" smd rect (at 2.2 1 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") - (net 22 "INT_USB_D+") (pinfunction "UDP(P3.6)") (pintype "bidirectional") (tstamp 52c4d81e-40a6-487f-9ba6-dbb69c94aa72)) - (pad "7" smd rect (at 2.2 0.5 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") - (net 23 "INT_USB_D-") (pinfunction "UDM(P3.7)") (pintype "bidirectional") (tstamp 07866225-a358-47ac-bea3-a3b050126093)) - (pad "8" smd rect (at 2.2 0 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8446bf23-fb7a-49d3-b20e-fa5f2afe7b14)) - (pad "9" smd rect (at 2.2 -0.5 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") - (net 3 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp fdc4d35b-fe49-4485-8630-6f753b57f9a9)) - (pad "10" smd rect (at 2.2 -1 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") - (net 3 "+3V3") (pinfunction "V33") (pintype "passive") (tstamp a4837762-1bf7-4ada-9fe3-27b267b71709)) - (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/MSOP-10_3x3mm_P0.5mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp 528c71a9-efd9-407e-a2a9-8803b3cae6aa) - (at 120.05 88.85 90) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/8474ba4a-e004-4036-b038-4ff6552cee7d") - (attr smd) - (fp_text reference "C26" (at 0 -1.16 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 204c3f1d-615e-4e36-8b5a-fcd5d9000047) - ) - (fp_text value "0.10uF" (at 0 1.16 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp fb07a312-6482-4248-92e2-bee918e006dc) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp d48066ae-56c2-4793-abf5-a0f612659ca7) - ) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp 50cdd59c-0982-410b-862e-238b59f72ad4)) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp 9417fe70-122c-45cd-a43d-3d86cd98744e)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 3438899f-622e-4a2b-aea7-314773839d25)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 9fe83833-7688-4b4c-ae48-aa97c7c2effb)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp f00a2700-d737-4ef3-880b-c62e233bffd0)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp faf7a239-d5ad-4568-bf7a-cd6c903d83c9)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 7934b4e4-da96-4a20-b74a-59666e050ff6)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp b67fe4ed-33ba-4f1e-b9f7-af088debecae)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp cba3798a-ffcc-4d91-bf61-18b4a2dca5b0)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp ff96bd45-4a24-4957-9a47-e7ade01a20ca)) - (pad "1" smd roundrect locked (at -0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 9c7acb4d-ad59-44b3-b451-a70dfcadf92e)) - (pad "2" smd roundrect locked (at 0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp f6f077ec-f1b0-45ee-9d68-10938066de7a)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 6642fc52-a8a5-46d7-baa8-3fa36d7485f9) - (at 118.2 89.05 180) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/fe6cb91d-e57b-4761-a6eb-995b9ad40281") - (attr smd) - (fp_text reference "R23" (at 0 -1.17) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1379d559-60aa-4864-9377-84339c3ecb35) - ) - (fp_text value "10k" (at 0 1.17) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4537c06a-fab8-4cb8-a2eb-55466a56cf1f) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp 7f7b127a-a763-461a-a124-a58a8cc1b3f1) - ) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp c93149f3-f457-42df-b275-d84044eeaea9)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp d3b52af2-4628-453f-9c94-f8a5ec294592)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 01821652-e0e0-41d8-b3c6-893a9418eeca)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 06cb99de-98af-4682-9909-21f8fdc0ea5e)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1546f94f-970b-4b7b-b757-e308a178aaf4)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8b2bba89-427a-4e39-ae45-afcdfd1b24f8)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 3eb6a4bc-a1d3-4365-ba28-2edcbe3eae21)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 752207c6-7411-46d9-825b-a50ce88fe595)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 8b839ffd-5ad1-409e-b23f-a7e3a49ae6a5)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp bb6d814f-2ff4-4273-b6d2-618afba67d03)) - (pad "1" smd roundrect locked (at -0.4 0 180) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp c6965c74-d1fd-4f8b-bc28-541073f28abf)) - (pad "2" smd roundrect locked (at 0.4 0 180) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 47 "APP_ICE_SS") (pintype "passive") (tstamp cbb2cac8-1f77-4bdb-ade1-8c02f9cd4218)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 7f2506ea-3680-4c00-b270-4daf9cf9137c) - (at 112.5 88.9) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/7732c5e0-271e-4de5-9ee2-962192432222") - (attr smd) - (fp_text reference "R26" (at 0 -1.17) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp d17d8546-c16d-4c1e-a714-e403686ecb03) - ) - (fp_text value "10k" (at 0 1.17) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6ff24462-f99f-4830-8e17-9f12c46f79f5) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp 909fd5f9-94f1-43a0-a22c-a89e9b3049e5) - ) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 1e6fd7e7-c833-4926-9685-1764b2ba9710)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 70a20630-5ebb-49b5-9b9c-c5f373e432f5)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 889d55bd-7a4a-4138-b44c-a604f9088f1e)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp b2f2e771-7ce9-47a5-865c-2ac6a3685c4a)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp d8bd1a5e-0435-4ac0-a937-675f672cd860)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp f3139bb3-a384-4a8a-a2d8-66b37728173d)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 13f3880e-4a6d-46e9-8b9f-f647bb20cb8f)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 6a827161-049c-4241-940b-bd546b1e4db0)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 88814b7e-4a63-4e48-82f1-885e403a187b)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp f95fbaf7-3652-458d-a99d-6d9cf1b518ef)) - (pad "1" smd roundrect locked (at -0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp b16a67e8-4738-47a9-bbd3-4aba730511d4)) - (pad "2" smd roundrect locked (at 0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 64 "/Application FPGA/APP_FLASH_HOLD") (pintype "passive") (tstamp 4a4bd4ca-d5d7-4d6f-bd7c-2be71d28fa30)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Package_TO_SOT_SMD:SOT-23-6" (layer "F.Cu") - (tedit 5F6F9B37) (tstamp 8a425e76-43cc-43f5-a37e-2f5fd65752a7) - (at 122.7 89 180) - (descr "SOT, 6 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AB), generated with kicad-footprint-generator ipc_gullwing_generator.py") - (tags "SOT TO_SOT_SMD") - (property "Manufacturer" "PinTeng") - (property "Manufacturer Part Number" "PT2043AT6") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "Supplier" "LCSC") - (property "Supplier Part Number" "C2914233") - (path "/00000000-0000-0000-0000-0000611cc101/206cf77e-9615-45a1-84e2-dd89660f9255") - (attr smd) - (fp_text reference "U10" (at 0 -2.4) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 34528f6e-20b3-46c4-a4a9-777ed1129c7c) - ) - (fp_text value "PT2043AT6" (at 0 2.4) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 556e4c41-cd6c-474f-a462-28e68160ac4e) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp c39f7b5b-f461-4773-8245-46439f74d64c) - ) - (fp_line (start 0 -1.56) (end 0.8 -1.56) (layer "F.SilkS") (width 0.12) (tstamp 0c84754c-b7a1-46ea-ba79-dc1717652de4)) - (fp_line (start 0 1.56) (end -0.8 1.56) (layer "F.SilkS") (width 0.12) (tstamp a2429851-03bc-441f-91fe-46f354b1bc05)) - (fp_line (start 0 -1.56) (end -1.8 -1.56) (layer "F.SilkS") (width 0.12) (tstamp b13bfdd4-61e4-445a-89e7-6af0486836f3)) - (fp_line (start 0 1.56) (end 0.8 1.56) (layer "F.SilkS") (width 0.12) (tstamp eb938f0f-86f4-44b8-b447-ff7b12fa25ef)) - (fp_line (start 2.05 1.7) (end 2.05 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp 4e4a3fc9-aace-4265-b321-19e6757dd96b)) - (fp_line (start -2.05 -1.7) (end -2.05 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 6dd4c5fb-3c3b-4f7c-8d3b-48f28038525b)) - (fp_line (start -2.05 1.7) (end 2.05 1.7) (layer "F.CrtYd") (width 0.05) (tstamp a5a54676-7d65-41e9-9685-830dcad63a0d)) - (fp_line (start 2.05 -1.7) (end -2.05 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp cfd2b6bc-75a2-4187-872c-2427d5d3cad3)) - (fp_line (start -0.8 1.45) (end -0.8 -1.05) (layer "F.Fab") (width 0.1) (tstamp 41ce6b69-95fe-4d4d-be3d-692e64ca80da)) - (fp_line (start 0.8 1.45) (end -0.8 1.45) (layer "F.Fab") (width 0.1) (tstamp 4b3c47b1-fb37-424d-8f6d-0ad7c1280a81)) - (fp_line (start -0.4 -1.45) (end 0.8 -1.45) (layer "F.Fab") (width 0.1) (tstamp 80bd1ed2-d717-467e-b0df-a5146a392af5)) - (fp_line (start 0.8 -1.45) (end 0.8 1.45) (layer "F.Fab") (width 0.1) (tstamp bffbf32b-5428-4eb4-af7f-d8a65bd86b89)) - (fp_line (start -0.8 -1.05) (end -0.4 -1.45) (layer "F.Fab") (width 0.1) (tstamp c7f3a378-27ae-4522-9952-15a5c748e648)) - (pad "1" smd roundrect (at -1.1375 -0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 69 "TOUCH_EVENT") (pinfunction "QC") (pintype "output") (tstamp f473c02d-e4b0-44e5-b9aa-c344477edcc1)) - (pad "2" smd roundrect (at -1.1375 0 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 10074144-499f-432b-a3af-2464146366f5)) - (pad "3" smd roundrect (at -1.1375 0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 60 "Net-(C27-Pad1)") (pinfunction "TCH") (pintype "input") (tstamp 661abf7c-06be-4429-9a0e-747f37b91921)) - (pad "4" smd roundrect (at 1.1375 0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "AHLB") (pintype "input") (tstamp cf9ef2ad-61f0-444c-a98e-239fadddd26c)) - (pad "5" smd roundrect (at 1.1375 0 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 3ece06da-6445-483c-a674-a6adf0f3a608)) - (pad "6" smd roundrect (at 1.1375 -0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "TOG") (pintype "input") (tstamp b7186f91-b23e-4285-b628-6a2dbce59179)) - (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:W25Q80DVUXIE" (layer "F.Cu") - (tedit 0) (tstamp 8d578123-6e0e-4e81-b760-55e9c346165f) - (at 115.4 88.6 180) - (property "Manufacturer" "Winbond Electronics") - (property "Manufacturer Part Number" "W25Q80DVUXIE") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "Supplier" "Digikey") - (property "Supplier Part Number" "W25Q80DVUXIETR-ND") - (path "/00000000-0000-0000-0000-0000611cc101/fb1bfba7-59bf-4387-b0fd-53ae34c08235") - (attr smd) - (fp_text reference "U8" (at 0 -2.54 180 unlocked) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp ad222867-dd08-4ce9-ae3d-e889f996cfee) - ) - (fp_text value "W25Q80DVUXIE" (at 0 2.3 180 unlocked) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c5e9c648-2734-4f13-929c-bc151eab25c9) - ) - (fp_text user "${REFERENCE}" (at 0 0 180 unlocked) (layer "F.Fab") - (effects (font (size 0.5 0.5) (thickness 0.08))) - (tstamp db7e0e0f-4944-4b22-95a0-caa3c92dc1bf) - ) - (fp_rect (start 0.1 -0.6) (end -0.1 -0.1) (layer "F.Paste") (width 0) (fill solid) (tstamp 077d79a7-def4-49f5-879c-2de6fe0c02fe)) - (fp_rect (start -1.65 0.35) (end -1.1 0.15) (layer "F.Paste") (width 0) (fill solid) (tstamp 465b9be6-4974-4225-9ae3-9f4403ab7ed0)) - (fp_rect (start 1.1 0.85) (end 1.65 0.65) (layer "F.Paste") (width 0) (fill solid) (tstamp 531f3c50-e87b-4d92-9546-37e73c37ff65)) - (fp_rect (start 1.1 -0.65) (end 1.65 -0.85) (layer "F.Paste") (width 0) (fill solid) (tstamp 69b9a427-955a-4496-90de-4d53627df8f4)) - (fp_rect (start 1.1 -0.15) (end 1.65 -0.35) (layer "F.Paste") (width 0) (fill solid) (tstamp 72caeb89-efc5-4a58-8c49-05c48fa09d10)) - (fp_rect (start 1.1 0.35) (end 1.65 0.15) (layer "F.Paste") (width 0) (fill solid) (tstamp 7bc4a832-2b9f-4acf-89d5-95a21def921a)) - (fp_rect (start -1.65 -0.65) (end -1.1 -0.85) (layer "F.Paste") (width 0) (fill solid) (tstamp a48527d8-8187-44bc-8be0-b9f088510073)) - (fp_rect (start -1.65 0.85) (end -1.1 0.65) (layer "F.Paste") (width 0) (fill solid) (tstamp b13557e4-33f8-443a-8a82-223e7c4ef6ae)) - (fp_rect (start -1.65 -0.15) (end -1.1 -0.35) (layer "F.Paste") (width 0) (fill solid) (tstamp cd4325a9-45ae-40fa-b5db-cfd30fb072b1)) - (fp_rect (start 0.1 0.1) (end -0.1 0.6) (layer "F.Paste") (width 0) (fill solid) (tstamp ee0ec53b-f7f9-422b-8176-451d675e291e)) - (fp_line (start 0.7 -1.1) (end -1.225 -1.1) (layer "F.SilkS") (width 0.12) (tstamp 49ffb3bd-49c6-462e-8911-e172c5ced2ce)) - (fp_line (start 0.7 1.1) (end -0.7 1.1) (layer "F.SilkS") (width 0.12) (tstamp c68551a7-918b-4ffc-ae66-cdc73a2ba69b)) - (fp_line (start -1.8 -1.3) (end 1.8 -1.3) (layer "F.CrtYd") (width 0.05) (tstamp 564cc769-dffb-4978-8dbc-c745c36eb934)) - (fp_line (start -1.8 1.3) (end -1.8 -1.3) (layer "F.CrtYd") (width 0.05) (tstamp 5d6011fc-8a91-431b-a7b4-223d671764d4)) - (fp_line (start 1.8 1.3) (end -1.8 1.3) (layer "F.CrtYd") (width 0.05) (tstamp 835d9496-3654-45d9-9846-bb73c5fa6514)) - (fp_line (start 1.8 -1.3) (end 1.8 1.3) (layer "F.CrtYd") (width 0.05) (tstamp a0a69029-3ad9-43c0-9b10-c51a60f340f9)) - (fp_line (start 1.5 -1) (end 1.5 1) (layer "F.Fab") (width 0.12) (tstamp 39c3f4e6-6bdf-4a7b-99f9-1105ff77c42e)) - (fp_line (start 1.5 1) (end -1.5 1) (layer "F.Fab") (width 0.12) (tstamp 81914e92-a24f-411c-9d0a-803fdbef0da7)) - (fp_line (start 1.5 -1) (end -1.016 -1) (layer "F.Fab") (width 0.12) (tstamp c8388137-b40d-46e7-a0a0-b5c28dc6d83f)) - (fp_line (start -1.5 -0.508) (end -1.016 -1) (layer "F.Fab") (width 0.12) (tstamp d7db54e0-b470-4d5e-8062-09e17cd71e0e)) - (fp_line (start -1.5 -0.508) (end -1.5 1) (layer "F.Fab") (width 0.12) (tstamp feb829c7-eab4-46ec-8dd1-91216436e207)) - (pad "1" smd roundrect (at -1.25 -0.75 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 47 "APP_ICE_SS") (pinfunction "~{CS}") (pintype "input") (tstamp ad3b6955-a668-4adc-9770-287cfbc95fa1)) - (pad "2" smd roundrect (at -1.25 -0.25 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 48 "APP_ICE_MOSI") (pinfunction "DO(IO1)") (pintype "bidirectional") (tstamp d4dff8fd-eee9-414a-b1cb-cf1356d14561)) - (pad "3" smd roundrect (at -1.25 0.25 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 63 "/Application FPGA/APP_FLASH_WP") (pinfunction "IO2") (pintype "bidirectional") (tstamp 2a6a0b26-7b59-4c50-889a-100e9864eba9)) - (pad "4" smd roundrect (at -1.25 0.75 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ecc86c49-09d3-43a7-8914-cb5f73b9a849)) - (pad "5" smd roundrect (at 1.25 0.75 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 49 "APP_ICE_MISO") (pinfunction "DI(IO0)") (pintype "bidirectional") (tstamp 114d368a-3fa0-4913-876b-f7cd3fd985ea)) - (pad "6" smd roundrect (at 1.25 0.25 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 12 "APP_ICE_SCK") (pinfunction "CLK") (pintype "input") (tstamp 967a345e-e616-4107-8ba7-96fde1eb7d86)) - (pad "7" smd roundrect (at 1.25 -0.25 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 64 "/Application FPGA/APP_FLASH_HOLD") (pinfunction "IO3") (pintype "bidirectional") (tstamp bab76610-3996-4e17-960b-4127c765802b)) - (pad "8" smd roundrect (at 1.25 -0.75 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp a0798c31-49be-4563-961a-bcb9212b3056)) - (pad "9" smd roundrect (at 0 0) (size 0.3 1.7) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 71 "unconnected-(U8-Pad9)") (pinfunction "EXP") (pintype "no_connect") (tstamp d9a39b88-26d9-405e-87be-27236d658e19)) - (model "${KIPRJMOD}/../mta1-library/mta1.pretty/3d_models/USON_2X3.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz -90 0 0)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp 9914da8d-26c7-4059-9d1c-7a29919a928b) - (at 125.75 89.7) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,1%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/6bc1edc9-8890-4578-b994-f654ccefb54e") - (attr smd) - (fp_text reference "R30" (at 0 -1.17) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 787bdee5-46bf-4ed8-bd41-02fe61f05395) - ) - (fp_text value "2k" (at 0 1.17) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 98a5f9d5-df6c-4f7f-aa1f-9fa526dcea7e) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp a2e0907c-e30d-4eac-83b8-1cc5d33adbab) - ) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 732ef4e0-d182-4c40-becc-56b1b07230a3)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 7c3cb0c7-fd86-407c-9cb4-25565c945a15)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 2a5b1e73-0d02-4516-9910-2adb9abd8f41)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 94fff111-4506-404b-ac73-cf7e1c60f459)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp f79cacb4-df99-4d8e-ba76-e98865eb3c4c)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp fea45aaa-c00f-4aa1-a7a1-239ef6f8ce8f)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 2efe260c-4b4f-4dc5-a93a-1a7f135a1f5a)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 88e560a2-1f09-4ec1-9971-91199c90200d)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 9f20a61a-056d-4032-a31f-51c9fe3f69e3)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp f1282e0a-40b5-410e-8a64-5e7c4be575f0)) - (pad "1" smd roundrect locked (at -0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 60 "Net-(C27-Pad1)") (pintype "passive") (tstamp 43ddc1bf-85ca-4be4-af18-e84f280c487c)) - (pad "2" smd roundrect locked (at 0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 72 "/Application FPGA/TOUCH_PAD") (pintype "passive") (tstamp 44779a4f-680b-4951-9c1a-6ef76154a6bc)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Fiducial:Fiducial_0.75mm_Mask1.5mm" (layer "F.Cu") - (tedit 5C18CB26) (tstamp a225e9fd-6545-4110-8e72-7e9c999e5896) - (at 101.5 88.5) - (descr "Circular Fiducial, 0.75mm bare copper, 1.5mm soldermask opening (Level B)") - (tags "fiducial") - (property "Sheetfile" "tk1.kicad_sch") - (property "Sheetname" "") - (property "exclude_from_bom" "") - (path "/59501395-780b-47e4-8967-9f965674a799") - (attr smd exclude_from_bom) - (fp_text reference "FID1" (at 0 -2) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 96e9151c-3ffb-4971-98de-85cb948ccc16) - ) - (fp_text value "Fiducial" (at 0 2) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f61337de-df22-47d1-92dc-eb1819702425) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.3 0.3) (thickness 0.05))) - (tstamp d54152f9-c36d-467e-9e7d-25b7302beb08) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 62b3c9b2-7111-4fb4-8944-c22c00c06d9d)) - (fp_circle (center 0 0) (end 0.75 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp 8f5f6b78-7379-40ed-b159-1ace68a64faf)) - (pad "" smd circle (at 0 0) (size 0.75 0.75) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.375) (clearance 0.375) (tstamp ce26a67b-8096-4ac9-9430-883e76869ef9)) - ) - - (footprint "Fiducial:Fiducial_0.75mm_Mask1.5mm" (layer "F.Cu") - (tedit 5C18CB26) (tstamp a9dc0c59-b820-453f-94ad-ca6fe558a198) - (at 124.25 96.75) - (descr "Circular Fiducial, 0.75mm bare copper, 1.5mm soldermask opening (Level B)") - (tags "fiducial") - (property "Sheetfile" "tk1.kicad_sch") - (property "Sheetname" "") - (property "exclude_from_bom" "") - (path "/bf741fd1-5855-4a31-8c21-db9bc5f783af") - (attr smd exclude_from_bom) - (fp_text reference "FID2" (at 0 -2) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5d65aa66-ef4c-4c39-9d61-47de6dffabb0) - ) - (fp_text value "Fiducial" (at 0 2) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 223b1bf5-aeb0-4409-ae26-7a05947090b1) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.3 0.3) (thickness 0.05))) - (tstamp 62009989-8103-49af-b226-a7bac174e878) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp d10ed321-fe4a-4b2e-8c4a-eae42c56c9ad)) - (fp_circle (center 0 0) (end 0.75 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp 47033c75-f09c-4ea5-aeb3-c219d9891cc7)) - (pad "" smd circle (at 0 0) (size 0.75 0.75) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.375) (clearance 0.375) (tstamp 764b9621-9f48-4ed9-9326-45a78f80bc3d)) - ) - - (footprint "mta1:CAPC1005X06L" (layer "F.Cu") - (tedit 6137303B) (tstamp ad4329ad-13cc-4ced-b376-45d2e2b7c8f9) - (at 125.75 88.7) - (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "capacitor") - (property "Extended Value" "16V,X5R,20%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/391c56db-bc39-4ec7-bb45-dcbe1aaf2b1a") - (attr smd) - (fp_text reference "C27" (at 0 -1.16) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 41de8faf-9d06-43fb-a2a5-92faa4af401c) - ) - (fp_text value "1pF" (at 0 1.16) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp e6a2e2f5-e16e-4b8a-a1a5-8d78c5b541a1) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.25 0.25) (thickness 0.04))) - (tstamp 9dd52158-fe2a-404d-931c-eb538c313acd) - ) - (fp_line (start -0.107836 0.36) (end 0.107836 0.36) (layer "F.SilkS") (width 0.12) (tstamp 4d4620b8-288e-4a15-a384-b532c2d355d9)) - (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) (layer "F.SilkS") (width 0.12) (tstamp f65e226f-0321-4248-967b-2b18e1757049)) - (fp_line (start -0.91 -0.46) (end 0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp 3bb6937d-ccf9-470b-bef9-709e845bcea5)) - (fp_line (start 0.91 -0.46) (end 0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp 6bfa25a9-9d93-4675-9fb2-7d2dd0fc09de)) - (fp_line (start -0.91 0.46) (end -0.91 -0.46) (layer "F.CrtYd") (width 0.05) (tstamp c4de31f0-88e4-47e7-a1a9-3f6ec8825129)) - (fp_line (start 0.91 0.46) (end -0.91 0.46) (layer "F.CrtYd") (width 0.05) (tstamp df6c40da-834f-42fa-b570-545c924c8f61)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 0be1a922-66bb-4594-b44d-82976ee6de8b)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 223f0141-f044-4973-9806-ea43c603dec3)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 2a5f5cb7-a5c8-4f5b-93e2-ef27070876a4)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 5a876849-da65-4e70-8058-15d17f23a86e)) - (pad "1" smd roundrect locked (at -0.39 0) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 60 "Net-(C27-Pad1)") (pintype "passive") (tstamp e73b451c-ec6d-4ff4-b3d6-311e58932fb4)) - (pad "2" smd roundrect locked (at 0.39 0) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 73e22a16-09e4-4aeb-a78a-b0aded8507bf)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Capacitor_SMD:C_1206_3216Metric" (layer "F.Cu") - (tedit 5F68FEEE) (tstamp aff9b94a-3155-4d61-8287-3dc8c06c9c02) - (at 126.2 94 90) - (descr "Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags "capacitor") - (property "Extended Value" "50V,X7R,10%,1.6mm thickness") - (property "Manufacturer" "TDK Corporation") - (property "Manufacturer Part Number" "C3216X7R1H105K160AE") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "Supplier" "Digikey") - (property "Supplier Part Number" "445-8904-2-ND") - (path "/00000000-0000-0000-0000-0000611cc101/0f8bd06f-60b1-4be8-90d9-1a7f1cd60020") - (attr smd) - (fp_text reference "C8" (at 3.7 0.2 90) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 19bdfa30-cab4-49bf-bece-77c35b750ddb) - ) - (fp_text value "1uF" (at 0 1.85 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5229fa86-42b9-42e1-a08e-fc83a01995e1) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.8 0.8) (thickness 0.12))) - (tstamp 92908810-09f0-4ef0-8215-df4d5a7b5948) - ) - (fp_line (start -0.711252 0.91) (end 0.711252 0.91) (layer "F.SilkS") (width 0.12) (tstamp 904fe3f2-3209-4ac0-94c8-3a1e252bd009)) - (fp_line (start -0.711252 -0.91) (end 0.711252 -0.91) (layer "F.SilkS") (width 0.12) (tstamp a0778bb9-f95a-47ea-9448-9e7c8db76ab0)) - (fp_line (start -2.3 1.15) (end -2.3 -1.15) (layer "F.CrtYd") (width 0.05) (tstamp 3016c585-5d99-4f7e-ac48-db84cf89896e)) - (fp_line (start -2.3 -1.15) (end 2.3 -1.15) (layer "F.CrtYd") (width 0.05) (tstamp 50d011c8-2be5-48d9-ad2c-2476b310ed47)) - (fp_line (start 2.3 1.15) (end -2.3 1.15) (layer "F.CrtYd") (width 0.05) (tstamp a70ce987-feff-40d5-be04-a02dd4572d87)) - (fp_line (start 2.3 -1.15) (end 2.3 1.15) (layer "F.CrtYd") (width 0.05) (tstamp d5e02e47-eca5-471e-8e74-e14c1b5faedb)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 297e587a-73e7-4d06-bb04-f9824e47a717)) - (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer "F.Fab") (width 0.1) (tstamp 3c09840e-6888-4391-9961-4a124d7b0570)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1) (tstamp 69a0d30d-9762-44f4-b7ec-b05d8d6830f2)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp d4e277e1-48c1-4fe6-a377-cd7304bd76d8)) - (pad "1" smd roundrect (at -1.475 0 90) (size 1.15 1.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2173913043) - (net 72 "/Application FPGA/TOUCH_PAD") (pintype "passive") (tstamp fd6b1f79-b0c1-4445-954c-08e114e01374)) - (pad "2" smd roundrect (at 1.475 0 90) (size 1.15 1.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2173913043) - (net 72 "/Application FPGA/TOUCH_PAD") (pintype "passive") (tstamp 15d234c6-6e61-43ac-847c-97df8120e74f)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:U261-241N-4BS60" (layer "F.Cu") - (tedit 0) (tstamp d0330d88-bd9d-4fa5-8b89-1b2d95749b04) - (at 101.05 94) - (property "Manufacturer" "XKB") - (property "Manufacturer Part Number" "U261-241N-4BS60") - (property "Sheetfile" "usb_to_serial.kicad_sch") - (property "Sheetname" "USB to Serial converter") - (property "Supplier" "LCSC") - (property "Supplier Part Number" "C319150") - (path "/00000000-0000-0000-0000-00006161400b/7b422c5e-fd7b-4ac9-8400-966a18c34fdc") - (attr smd) - (fp_text reference "P1" (at 0 6 unlocked) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp d2b2a0fb-ef5f-4895-93c7-ef2955a86bd7) - ) - (fp_text value "USB_C_Plug" (at 0 7.5 unlocked) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 32648182-78d1-48bd-92e8-99a019338fe4) - ) - (fp_text user "${REFERENCE}" (at 0 0 90 unlocked) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp b1000fa4-8405-4913-bb2a-3a576510c1f7) - ) - (fp_line (start -1.95 -3.05) (end -1.05 -3.05) (layer "Edge.Cuts") (width 0.05) (tstamp 18fa4eac-44be-4c19-85bd-ae41ed4e9ca9)) - (fp_line (start -1.95 3.05) (end -1.05 3.05) (layer "Edge.Cuts") (width 0.05) (tstamp 33c8b5d9-f488-4aa6-bc3f-f58a56be5f10)) - (fp_line (start -1.95 -3.05) (end -1.95 3.05) (layer "Edge.Cuts") (width 0.05) (tstamp 6cf1f8a5-0115-4654-96fb-fd5a2b2f392e)) - (fp_line (start -1.05 -3.05) (end -1.05 -3.95) (layer "Edge.Cuts") (width 0.05) (tstamp 7406101f-106a-45d1-912f-650a7ccfd0e7)) - (fp_line (start -1.05 3.95) (end -1.05 3.05) (layer "Edge.Cuts") (width 0.05) (tstamp bd3d50e3-7ada-4dea-9ce2-d0c01a14134a)) - (fp_line (start -1.95 4.3) (end 1 4.3) (layer "B.CrtYd") (width 0.05) (tstamp 4caa68da-1d74-422f-8bbe-3c28c9ea4b69)) - (fp_line (start 1 -4.3) (end 1 4.3) (layer "B.CrtYd") (width 0.05) (tstamp 63644b3f-486a-46db-be27-481471e940f4)) - (fp_line (start -1.95 -4.3) (end -1.95 4.3) (layer "B.CrtYd") (width 0.05) (tstamp b00f55cd-2fd0-4be3-95f5-ff701f60c355)) - (fp_line (start -1.95 -4.3) (end 1 -4.3) (layer "B.CrtYd") (width 0.05) (tstamp ba823e13-91c7-47a9-b198-7b27ae88618f)) - (fp_line (start 1 -4.3) (end -1.95 -4.3) (layer "F.CrtYd") (width 0.05) (tstamp 069bdd9d-f380-4c58-987e-4887748031e8)) - (fp_line (start 1 -4.3) (end 1 4.3) (layer "F.CrtYd") (width 0.05) (tstamp 4cc8f330-0552-463d-8506-7fc2c95c4de2)) - (fp_line (start -1.95 -4.3) (end -1.95 4.3) (layer "F.CrtYd") (width 0.05) (tstamp 7a89709d-a8cb-4a64-a3a3-ed263fad27d0)) - (fp_line (start 1 4.3) (end -1.95 4.3) (layer "F.CrtYd") (width 0.05) (tstamp b51e6374-6b7d-472e-92f2-9dae266de01e)) - (fp_line (start -1.9 4.3) (end -1.9 -4.3) (layer "F.Fab") (width 0.1) (tstamp 0c990048-7035-4646-8b07-f79fbfddff62)) - (fp_line (start -1.9 -4.3) (end 1 -4.3) (layer "F.Fab") (width 0.1) (tstamp 1e0670b1-a793-48f4-9da3-84fa0c929ebd)) - (fp_line (start -1.9 4.3) (end 1 4.3) (layer "F.Fab") (width 0.1) (tstamp 6e8e2ce6-25e4-45c5-9bc7-02a69f9cfe4b)) - (fp_line (start 1 -4.3) (end 1 4.3) (layer "F.Fab") (width 0.1) (tstamp b33915f1-896a-4971-bf05-1a91d75ab3fc)) - (pad "A1" smd roundrect (at 0 2.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp 3d25ea00-3597-4b84-9896-d06c9254cef7)) - (pad "A2" smd roundrect (at 0 2.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 26 "unconnected-(P1-PadA2)") (pinfunction "TX1+") (pintype "bidirectional+no_connect") (tstamp cd676e39-8b0b-4a97-a5f7-f1a894ba4f9c)) - (pad "A3" smd roundrect (at 0 1.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 27 "unconnected-(P1-PadA3)") (pinfunction "TX1-") (pintype "bidirectional+no_connect") (tstamp 2e49cb80-72d5-4c7c-ad59-9d99ad1c9f65)) - (pad "A4" smd roundrect (at 0 1.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp a0943e33-5217-4cb2-9cb6-2c232f98dc8e)) - (pad "A5" smd roundrect (at 0 0.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 28 "Net-(P1-PadA5)") (pinfunction "CC") (pintype "bidirectional") (tstamp a8091706-fc03-485f-99de-85f037326f50)) - (pad "A6" smd roundrect (at 0 0.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 9 "/USB to Serial converter/INT_USB_IN_D+") (pinfunction "D+") (pintype "bidirectional") (tstamp e25f0cb2-d101-4680-8039-e28dc3ef0e9b)) - (pad "A7" smd roundrect (at 0 -0.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 21 "/USB to Serial converter/INT_USB_IN_D-") (pinfunction "D-") (pintype "bidirectional") (tstamp 5745a04b-39bd-4cb4-89ea-e90a2c48774a)) - (pad "A8" smd roundrect (at 0 -0.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 29 "unconnected-(P1-PadA8)") (pinfunction "SBU1") (pintype "bidirectional+no_connect") (tstamp 600790da-009c-416b-b328-c098d09ec7e9)) - (pad "A9" smd roundrect (at 0 -1.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp d92bbe04-d739-4545-819a-e5ee4b4b49b1)) - (pad "A10" smd roundrect (at 0 -1.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 30 "unconnected-(P1-PadA10)") (pinfunction "RX2-") (pintype "bidirectional+no_connect") (tstamp c97c8102-2bf1-4ea4-9aa9-15a404c92447)) - (pad "A11" smd roundrect (at 0 -2.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 31 "unconnected-(P1-PadA11)") (pinfunction "RX2+") (pintype "bidirectional+no_connect") (tstamp 1949e7c9-6123-4a49-85e5-c886919fc2f5)) - (pad "A12" smd roundrect (at 0 -2.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp 8d418f4a-1f96-40d9-af23-daa03b7feb31)) - (pad "B1" smd roundrect (at 0 -2.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp d083eb6c-4193-4c6e-8bed-de8b6ee512ce)) - (pad "B2" smd roundrect (at 0 -2.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 32 "unconnected-(P1-PadB2)") (pinfunction "TX2+") (pintype "bidirectional+no_connect") (tstamp ec13d830-67f1-4408-9fd2-96be273e8b39)) - (pad "B3" smd roundrect (at 0 -1.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 33 "unconnected-(P1-PadB3)") (pinfunction "TX2-") (pintype "bidirectional+no_connect") (tstamp c7be7d36-044c-4624-98a0-a11901bbb38c)) - (pad "B4" smd roundrect (at 0 -1.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp 897c79e5-3d91-4f46-8ead-84d2a2b4a77c)) - (pad "B5" smd roundrect (at 0 -0.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 34 "unconnected-(P1-PadB5)") (pinfunction "VCONN") (pintype "bidirectional+no_connect") (tstamp b81dfee1-a640-4f3f-9d50-8f16edf039b6)) - (pad "B6" smd roundrect (at 0 -0.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) (tstamp 687f3fb3-88e1-4140-99d0-932bef1b418f)) - (pad "B7" smd roundrect (at 0 0.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) (tstamp b76342d5-1e15-4862-b1f2-61ba5d5402c4)) - (pad "B8" smd roundrect (at 0 0.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 35 "unconnected-(P1-PadB8)") (pinfunction "SBU2") (pintype "bidirectional+no_connect") (tstamp a2eca0c6-0180-41a9-ba6c-74c3cbd500fd)) - (pad "B9" smd roundrect (at 0 1.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp 1b129e0e-6a99-498a-bbfa-1e9cdb10259f)) - (pad "B10" smd roundrect (at 0 1.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 36 "unconnected-(P1-PadB10)") (pinfunction "RX1-") (pintype "bidirectional+no_connect") (tstamp 7e3f02e6-08b0-4eba-ad24-218495a9d231)) - (pad "B11" smd roundrect (at 0 2.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 37 "unconnected-(P1-PadB11)") (pinfunction "RX1+") (pintype "bidirectional+no_connect") (tstamp 3e759481-bd1e-43d1-a516-704165a0cc70)) - (pad "B12" smd roundrect (at 0 2.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp a0fbbaf8-8c31-444a-8589-c494a935cb8e)) - (pad "S1" smd roundrect (at 0.05 -3.5) (size 1.4 0.7) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 032ce8f7-50b1-4b5b-8ab4-9b0ab129f543)) - (pad "S1" smd roundrect (at 0.05 3.5 180) (size 1.4 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 9cf04930-92e2-4361-96fe-0e85f3c4b63b)) - (model "${KIPRJMOD}/../mta1-library/mta1.pretty/3d_models/U261-241N-4BS60.step" hide - (offset (xyz -1 0 -0.5)) - (scale (xyz 1 1 1)) - (rotate (xyz 180 0 90)) - ) - (model "${KIPRJMOD}/../mta1-library/mta1.pretty/3d_models/u261-24xn-4bs60.stp" - (offset (xyz -11.5 0 -0.45)) - (scale (xyz 1 1 1)) - (rotate (xyz -90 0 90)) - ) - ) - - (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") - (tedit 6137302D) (tstamp f1c7a2ae-a9d9-4b1b-82c5-a1c6e01424e3) - (at 112.5 87.95) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") - (tags "resistor") - (property "Extended Value" "1/16W,5%") - (property "Manufacturer" "Any/not critical") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (path "/00000000-0000-0000-0000-0000611cc101/d3227fe1-e3fb-433e-8be2-5ff5302bdec8") - (attr smd) - (fp_text reference "R24" (at 0 -1.17) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp d4f21d63-7198-4c16-9ad6-77e7129b363f) - ) - (fp_text value "10k" (at 0 1.17) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp de610b42-4a25-4547-a50d-579686aa1c0a) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.26 0.26) (thickness 0.04))) - (tstamp 056e8496-1e4d-4776-97dd-60b7c131c4d3) - ) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 29274c95-15a1-46c8-8155-8da9523a4844)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 3a0a2730-621d-4519-b19a-5fe5253e3378)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 255e18b9-5913-4eab-9962-8d6a409e19f4)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 55623875-bfad-4279-8d1c-fc25ba7afe3f)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8a3c151f-0ed9-447a-99ee-06666bd11f2b)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp d905932e-92dc-43c9-a53f-2bfdbe551cc5)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 2f7d165a-b953-4643-9609-8e3b590e561e)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 461f889d-b552-4dc5-a360-34e4e94ce9b8)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 6ba9488d-dd55-4f7e-9152-078008f04fed)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp cd0dccc4-eb4e-4861-905e-1b1eb4df14af)) - (pad "1" smd roundrect locked (at -0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 951bfa5a-755b-49e8-8bf0-1580a00db89d)) - (pad "2" smd roundrect locked (at 0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 12 "APP_ICE_SCK") (pintype "passive") (tstamp 9690787c-8520-4338-9168-63fdb115f3e1)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 00000000-0000-0000-0000-0000616f0274) - (at 103.5 99.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061804e06") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP1" (at 0 2) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp ec7e53f4-9d04-4a65-8f23-24f3769311d3) - ) - (fp_text value "5V" (at 0 -2) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 084c401a-8ae7-4181-852b-38a9286209e1) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp e4aebbe4-1bbb-4498-9d57-2d6e8a6a65b5) - ) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 6034334c-db9f-4b2e-8a53-12e0106f3682)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp d02b7a4e-6558-4c73-8438-fb69618b94b4)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp e4637a6e-c323-4a81-941e-f95fd79a4f46)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp eff2965b-7848-43ee-92b1-ea5c894d8150)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 2acf909d-151b-4ed0-8dd5-452e166209bf)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 540c3c94-da96-4815-8738-c7b4b1151258)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 5ca5c1f7-1b14-4ca6-9ca2-6491c0a24a9d)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp a8ce2a19-9dd0-4cd1-afcf-b038b4acbb8b)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "1") (pintype "passive") (tstamp 6963aa3d-259d-4d06-b21a-0d7d79a7fe02)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 00000000-0000-0000-0000-0000616f0289) - (at 107.5 99.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061293ed2") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP3" (at 0 2) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp bdbf9161-262f-4455-a6ca-5093513786ea) - ) - (fp_text value "2V5" (at 0 -2) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp dca1eae4-b54a-457a-98d3-85f0bf91ed0c) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp f6b2644b-6f42-458e-b7ae-d4eae23d22ef) - ) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 453779c2-cde7-499c-ae0b-119625d90ab0)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 839dbd04-fc7d-4ac9-b4f2-bfeb779bf774)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp a1af4f17-55ae-4284-87ae-d04e8984f69b)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp c8c2ebf9-4659-44db-b450-e59a58ae09d3)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 07849505-c618-448f-b8ff-cc4d65e9590b)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 3d785d93-a136-4a50-8a73-aba3ded97066)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 4e9a7e6f-b58f-400e-b772-00cd090b943d)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp b81c3f5b-4f46-471b-9e04-9cd698400c7c)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 4 "+2V5") (pinfunction "1") (pintype "passive") (tstamp 51ccba50-b8fc-4333-88fb-93d22245c985)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 00000000-0000-0000-0000-0000617195f2) - (at 109.5 99.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612c6885") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP4" (at 0 2) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 70a5c511-86a8-45a3-a39d-77bce68bde81) - ) - (fp_text value "1V2" (at 0 -2) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 23772f54-ea20-4be0-87e9-a93481c46a0b) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 6147d41f-163e-4399-b554-78ee86b2c872) - ) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 6f97cddd-ec0a-47ed-9e73-e07451bb9588)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp c9aa87da-0257-4e38-9c8f-b28b94031eef)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp eea8ec1d-7e24-47e8-9469-3d7839feef1c)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp fb1ba8a4-3b77-4dc6-9a17-997bbe52e26d)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 546e0b8f-92d7-43dc-b0c3-78b3294e7e74)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 59da8d4a-fc86-414c-9ca1-235ff176bc39)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp ad06c86b-bab3-4481-bf3a-32c00bb24e93)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp f8b2e8c7-04a5-4e57-ad05-0149ae537274)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 5 "+1V2") (pinfunction "1") (pintype "passive") (tstamp 1efb6b6f-79b9-4933-84b1-bab852242875)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 00000000-0000-0000-0000-000061719767) - (at 105.5 99.5 180) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061290a70") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP2" (at 0 2) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp bf25cf3f-1e78-4fd5-a63a-705608d6ffac) - ) - (fp_text value "3V3" (at 0 -2) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 49d42768-8281-4886-8b16-3b1e6f88e52a) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 036b2b33-c9fd-4468-803f-fa3eb1b2e9de) - ) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 0a933192-c2d3-4067-a2e8-9cd7c349fbb9)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp b167576c-c013-4f2a-a5e0-893e86a073e7)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp e6878206-491f-45fa-bfe3-38b4d90643d8)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp f3a3179e-d1e8-45f8-8554-abd7980e321d)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 358cefe1-0a41-451c-aa73-8ce80723bb79)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 4dbf41a6-730b-414a-b268-49f8bcf768c0)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 5cc4c51a-7451-4d05-a080-e732432e083e)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp c4dcec89-5d1c-4cad-98f0-3b9557615dbc)) - (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "1") (pintype "passive") (tstamp 63db694c-c243-4217-88ad-14c1ee3cc1d1)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 1c8a04eb-72bb-415a-a3ea-91bde9a730e0) - (at 113.5 99.5 180) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "usb_to_serial.kicad_sch") - (property "Sheetname" "USB to Serial converter") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-00006161400b/1f54ffea-0a26-464d-98d4-30979db7344f") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP7" (at 0 2) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp e0e15f85-0b05-4918-9ced-e29724c7e7dc) - ) - (fp_text value "INT_RX" (at 0 -2) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 0c853234-dedd-4390-ac6f-ca1db9045f47) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 97a4ee2b-ee22-43c2-83b8-43861cea1b29) - ) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 0ade6874-9b5f-4958-953d-bd388d1d5d69)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 10c00776-633d-4d70-8200-26e4b25fd090)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 700f1886-158a-4c1f-9fca-34118633e84a)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp c4f983fe-2e1f-4bb1-b6e7-afae7873ad69)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 2d233d57-736e-4e68-8e1d-101d5cda6c1c)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 7e01c530-401a-4328-9e81-4b6a4363f714)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp a8f04522-2d7b-47f8-b6c0-2f6560cdfedb)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp b5b9e787-1696-44fb-b63c-c09b9b1e926b)) - (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 57 "INTERFACE_RX") (pinfunction "1") (pintype "passive") (tstamp 943b2986-efa8-4cc8-bd26-160592b949ed)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 1d177f11-de44-485f-8731-c9f064d0e388) - (at 117.5 99.5 180) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "usb_to_serial.kicad_sch") - (property "Sheetname" "USB to Serial converter") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-00006161400b/d9fa1bba-ba87-4376-952a-41bb8392f957") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP9" (at 0 2) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp c8eebcb6-05d2-498a-9ae9-5b0bb259026a) - ) - (fp_text value "INT_CTS" (at 0 -2) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 7952b002-6689-4558-8e0c-bbe7421e0a8c) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 9eeeeecd-f022-4ea6-9d42-a104d2ccbdcd) - ) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 5173bd26-f29c-4a93-acc2-0b6a0642742b)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 700c2ae5-4897-4d93-82af-c192127a719a)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp ae2c3ce8-2580-4e68-9883-3fd512904135)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp d13e78d4-dcb1-4624-bb35-490140b151aa)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 03e56d82-5c83-4149-9556-8c89287a1a95)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 9b358b8d-9f5f-4785-9397-8f30983f6be4)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp b6498130-1006-4a6e-befe-79c9fbba5e87)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp d37a9f70-37fc-4854-8835-44221309c103)) - (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 59 "INTERFACE_CTS") (pinfunction "1") (pintype "passive") (tstamp 07f197a0-c9af-4023-93da-2c4f403c20d3)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 30dadb29-1b41-4944-b0cd-b50bf28c0e3b) - (at 125.5 88.5 180) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/ab35f289-cbe7-449b-a15d-459a3b4e9240") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP5" (at 0 2) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp c69dc99d-9361-4716-826b-efd6bbc53501) - ) - (fp_text value "APP_GND" (at 0 -2) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp dcd8ddf5-1d2c-4c74-b2fb-666e165d5c53) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 32d405cd-8537-46f0-a7cd-efa120b79e70) - ) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 99816f1d-98a2-4eab-86c4-83a4a44f4759)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp b8c3dc30-31ca-458b-8bb2-9f7872a3801a)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp d699a4ce-94cd-487d-9da6-6f720416b6a1)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp eda95f08-42e4-4028-9c64-ea567e4f09b0)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 0d5e25e5-88fd-4b03-b03c-11b91e2ea0a0)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 11a381d6-dd5f-4019-8da3-329bd740375e)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp b89fc257-3012-4d83-bfd7-b9b455b27575)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp d6389db2-967c-4782-b753-396a566c998a)) - (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "1") (pintype "passive") (tstamp 82e73043-ec80-4df8-8fdb-9ee8e60eb711)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 59b1ea38-9812-4f81-8b3c-7b7f6018553c) - (at 119.5 99.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/e7d44998-7d27-4371-9f3d-0a968eddee9d") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP18" (at 0 2 180) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 6bb5cc43-3781-4b82-9436-44618c999c78) - ) - (fp_text value "APP_GPIO1" (at 0 -2 180) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp c20e4819-4182-4c9e-9c5e-5f79a93d0e82) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 4d13d32c-e3b9-4173-8e8c-162ce4ae34fc) - ) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 15a7c029-3760-4602-8869-8325c9b66bdd)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 223d5c53-10c6-45d6-a21f-e8ca0e1564ff)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 742d1988-47cd-4f62-833a-516eb4e40fa7)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 7e880e59-d9cf-4a9d-bfa9-bd6ca743aa7f)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 7404695a-1652-4ed2-93bf-6ba8875f00c6)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp b6de2089-0246-4062-ab4c-daa53e4c61f8)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp bf765582-de53-47fb-bcc5-af81a256fb72)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp ca99c0ec-9e9a-43ad-a3b6-b9fae6dba02b)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 65 "APP_GPIO1") (pinfunction "1") (pintype "passive") (tstamp be86fc8b-8021-4d0b-afcf-e7bf50ee950d)) - ) - - (footprint "mta1:logo_OPENHARDWARE_4mmX4mm" (layer "B.Cu") - (tedit 61387763) (tstamp 5ef1b1ed-3b7c-451a-a2d6-8b9b1ac71528) - (at 107.9 89.55) - (attr board_only exclude_from_pos_files exclude_from_bom) - (fp_text reference "REF**" (at 0.4191 -2.4892) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 1f7bbec7-ab86-4a72-853b-a067e8111c5d) - ) - (fp_text value "logo" (at 0 -0.05) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 8b00960a-9e65-4e6b-bbf2-8c0bec2386e8) - ) - (fp_poly (pts - (xy 0.24384 2.09296) - (xy 0.25146 2.09296) - (xy 0.25908 2.09042) - (xy 0.26416 2.08788) - (xy 0.27178 2.08026) - (xy 0.369232 1.556847) - (xy 0.37338 1.54686) - (xy 0.37846 1.53924) - (xy 0.38608 1.53162) - (xy 0.7366 1.38938) - (xy 0.74422 1.38684) - (xy 0.75692 1.3843) - (xy 0.77216 1.38684) - (xy 0.77978 1.38938) - (xy 1.21412 1.68656) - (xy 1.2192 1.6891) - (xy 1.22682 1.6891) - (xy 1.23698 1.68656) - (xy 1.60528 1.3208) - (xy 1.6129 1.30556) - (xy 1.61544 1.29794) - (xy 1.61544 1.29032) - (xy 1.3208 0.86106) - (xy 1.31826 0.85598) - (xy 1.31318 0.84836) - (xy 1.31318 0.8382) - (xy 1.31572 0.82804) - (xy 1.470594 0.465315) - (xy 1.47574 0.4572) - (xy 1.47828 0.45212) - (xy 1.49352 0.44196) - (xy 1.50368 0.43942) - (xy 1.99644 0.34798) - (xy 2.00406 0.34544) - (xy 2.01168 0.34036) - (xy 2.01676 0.33528) - (xy 2.0193 0.3302) - (xy 2.02184 0.32258) - (xy 2.02184 -0.19304) - (xy 2.0193 -0.2032) - (xy 2.01168 -0.21336) - (xy 2.00406 -0.21844) - (xy 1.51384 -0.30988) - (xy 1.50368 -0.31496) - (xy 1.49352 -0.32258) - (xy 1.483397 -0.335541) - (xy 1.33152 -0.714928) - (xy 1.32842 -0.72898) - (xy 1.32842 -0.7366) - (xy 1.33096 -0.7493) - (xy 1.61036 -1.1557) - (xy 1.6129 -1.16078) - (xy 1.61544 -1.17094) - (xy 1.61544 -1.17602) - (xy 1.6129 -1.18618) - (xy 1.24206 -1.55702) - (xy 1.23444 -1.5621) - (xy 1.22428 -1.5621) - (xy 1.21666 -1.55956) - (xy 1.21158 -1.55702) - (xy 0.81788 -1.28778) - (xy 0.81026 -1.2827) - (xy 0.8001 -1.28016) - (xy 0.78994 -1.28016) - (xy 0.77978 -1.2827) - (xy 0.59944 -1.37922) - (xy 0.59182 -1.37922) - (xy 0.5842 -1.37668) - (xy 0.57912 -1.37414) - (xy 0.57404 -1.36652) - (xy 0.21844 -0.50546) - (xy 0.21336 -0.4953) - (xy 0.21336 -0.48006) - (xy 0.2159 -0.47244) - (xy 0.22606 -0.46228) - (xy 0.26162 -0.44196) - (xy 0.30226 -0.41148) - (xy 0.3556 -0.37338) - (xy 0.43688 -0.2921) - (xy 0.48514 -0.2159) - (xy 0.52832 -0.11938) - (xy 0.54864 -0.0381) - (xy 0.55626 0.02286) - (xy 0.55626 0.09398) - (xy 0.5461 0.1778) - (xy 0.51308 0.28448) - (xy 0.46228 0.37592) - (xy 0.41656 0.43688) - (xy 0.3683 0.48514) - (xy 0.31496 0.52578) - (xy 0.26924 0.55626) - (xy 0.2032 0.58928) - (xy 0.13716 0.6096) - (xy 0.09144 0.61976) - (xy 0.04318 0.62738) - (xy -0.04318 0.62738) - (xy -0.14224 0.61468) - (xy -0.23876 0.58166) - (xy -0.33528 0.52832) - (xy -0.41148 0.46228) - (xy -0.45212 0.41402) - (xy -0.49276 0.36068) - (xy -0.51562 0.3175) - (xy -0.5461 0.25146) - (xy -0.56134 0.1905) - (xy -0.57404 0.13462) - (xy -0.57658 0.08128) - (xy -0.57658 0.04572) - (xy -0.57404 -0.00254) - (xy -0.56134 -0.0762) - (xy -0.54864 -0.11684) - (xy -0.52832 -0.17018) - (xy -0.50292 -0.22098) - (xy -0.4699 -0.27178) - (xy -0.4318 -0.3175) - (xy -0.39116 -0.36068) - (xy -0.24638 -0.46482) - (xy -0.23622 -0.47498) - (xy -0.23368 -0.4826) - (xy -0.23368 -0.49784) - (xy -0.58928 -1.36144) - (xy -0.59944 -1.37668) - (xy -0.61214 -1.37922) - (xy -0.78994 -1.28778) - (xy -0.80264 -1.2827) - (xy -0.81534 -1.28016) - (xy -0.8255 -1.2827) - (xy -0.83312 -1.28524) - (xy -1.21666 -1.5494) - (xy -1.22936 -1.55956) - (xy -1.2446 -1.5621) - (xy -1.25984 -1.55702) - (xy -1.61544 -1.20396) - (xy -1.6256 -1.19126) - (xy -1.63322 -1.17602) - (xy -1.63322 -1.16332) - (xy -1.63068 -1.15824) - (xy -1.35636 -0.75692) - (xy -1.35128 -0.7493) - (xy -1.34874 -0.73914) - (xy -1.34874 -0.72644) - (xy -1.35128 -0.71882) - (xy -1.50622 -0.3302) - (xy -1.5113 -0.32258) - (xy -1.524 -0.31242) - (xy -1.54686 -0.30734) - (xy -2.02184 -0.21844) - (xy -2.03454 -0.21082) - (xy -2.03962 -0.20066) - (xy -2.04216 -0.1905) - (xy -2.041102 0.320538) - (xy -2.03962 0.3302) - (xy -2.03454 0.33782) - (xy -2.02692 0.34544) - (xy -2.01676 0.34798) - (xy -1.52146 0.43942) - (xy -1.51384 0.44196) - (xy -1.50114 0.44958) - (xy -1.49352 0.4572) - (xy -1.34366 0.81026) - (xy -1.33604 0.82804) - (xy -1.33604 0.8509) - (xy -1.33858 0.85852) - (xy -1.34366 0.86614) - (xy -1.6256 1.27762) - (xy -1.63322 1.28778) - (xy -1.63576 1.30048) - (xy -1.63322 1.31064) - (xy -1.6256 1.31826) - (xy -1.27 1.6764) - (xy -1.2647 1.68148) - (xy -1.25476 1.68656) - (xy -1.2446 1.6891) - (xy -1.23698 1.6891) - (xy -1.22936 1.68402) - (xy -0.79248 1.3843) - (xy -0.76708 1.3843) - (xy -0.41402 1.52908) - (xy -0.40132 1.5367) - (xy -0.3937 1.54686) - (xy -0.389301 1.556847) - (xy -0.29464 2.07518) - (xy -0.28702 2.08534) - (xy -0.2794 2.09042) - (xy -0.266732 2.094817) - ) (layer "B.SilkS") (width 0.0001) (fill solid) (tstamp bb578aab-0036-44a4-a654-1d1a117cd4ca)) - (fp_curve (pts (xy -0.010096 0.628599) (xy 0.303396 0.628599) (xy 0.557544 0.37445) (xy 0.557544 0.060928)) (layer "B.SilkS") (width 0.007514) (tstamp 01bb6edc-dc99-4f7d-a9e6-9daa01d77d31)) - (fp_curve (pts (xy -1.627735 -1.190824) (xy -1.627735 -1.190824) (xy -1.26473 -1.553769) (xy -1.26473 -1.553769)) (layer "B.SilkS") (width 0.007514) (tstamp 0471d320-7c46-4f92-a6a1-c794a5baf0fb)) - (fp_curve (pts (xy 1.610729 -1.156359) (xy 1.610729 -1.156359) (xy 1.334372 -0.753671) (xy 1.334372 -0.753671)) (layer "B.SilkS") (width 0.007514) (tstamp 058bc9ca-6544-4c9b-8ea2-eaaa9bef9411)) - (fp_curve (pts (xy 0.779201 1.388922) (xy 0.769007 1.381913) (xy 0.751532 1.38067) (xy 0.740458 1.386131)) (layer "B.SilkS") (width 0.007514) (tstamp 0612f852-f9e3-4f12-b3e3-237ca163d905)) - (fp_curve (pts (xy 1.210165 1.684665) (xy 1.210165 1.684665) (xy 0.779201 1.388922) (xy 0.779201 1.388922)) (layer "B.SilkS") (width 0.007514) (tstamp 0bf125ba-e756-44c8-ad1b-22d956b2e834)) - (fp_curve (pts (xy -1.354594 -0.753641) (xy -1.354594 -0.753641) (xy -1.630921 -1.156329) (xy -1.630921 -1.156329)) (layer "B.SilkS") (width 0.007514) (tstamp 0bfa996c-4e23-4f9f-a141-5d0bdaa77cc7)) - (fp_curve (pts (xy 1.500114 0.439921) (xy 1.487978 0.442197) (xy 1.47469 0.453604) (xy 1.470594 0.465315)) (layer "B.SilkS") (width 0.007514) (tstamp 0f1e8750-9586-4c12-9b3d-e6b006b731f7)) - (fp_curve (pts (xy -0.266732 2.094817) (xy -0.27908 2.094817) (xy -0.291064 2.084866) (xy -0.293309 2.0727)) (layer "B.SilkS") (width 0.007514) (tstamp 14864bdb-5b2a-40dc-90a1-477cc53ed525)) - (fp_curve (pts (xy 1.33152 -0.714928) (xy 1.33152 -0.714928) (xy 1.483397 -0.335541) (xy 1.483397 -0.335541)) (layer "B.SilkS") (width 0.007514) (tstamp 209f8a62-d8a4-4afd-817a-1fe6517bdf3d)) - (fp_curve (pts (xy -0.577767 0.060928) (xy -0.577767 0.37445) (xy -0.323588 0.628599) (xy -0.010096 0.628599)) (layer "B.SilkS") (width 0.007514) (tstamp 2491f3e0-848e-4ee8-91a1-04baeca8d3fe)) - (fp_curve (pts (xy 0.273209 2.0727) (xy 0.270964 2.084866) (xy 0.25898 2.094817) (xy 0.246602 2.094817)) (layer "B.SilkS") (width 0.007514) (tstamp 24e55dea-ed12-4021-ba86-9981e5d37165)) - (fp_curve (pts (xy -1.532471 -0.309935) (xy -1.520305 -0.312149) (xy -1.50729 -0.323709) (xy -1.503588 -0.33551)) (layer "B.SilkS") (width 0.007514) (tstamp 2d32508f-0f0e-493e-89f7-7a3ac014eea9)) - (fp_curve (pts (xy -1.627674 1.318536) (xy -1.636412 1.309768) (xy -1.637868 1.294264) (xy -1.63086 1.284071)) (layer "B.SilkS") (width 0.007514) (tstamp 2fbc558a-55b9-4735-92aa-488a6154bed5)) - (fp_curve (pts (xy 1.334372 -0.753671) (xy 1.327394 -0.743477) (xy 1.326089 -0.726063) (xy 1.33152 -0.714928)) (layer "B.SilkS") (width 0.007514) (tstamp 30fc5155-3c42-4d5f-b8e8-dc3b841674f8)) - (fp_curve (pts (xy -0.799301 1.388922) (xy -0.799301 1.388922) (xy -1.230235 1.684665) (xy -1.230235 1.684665)) (layer "B.SilkS") (width 0.007514) (tstamp 319929fc-5a36-48a8-bfc0-64ea3cc37883)) - (fp_curve (pts (xy -2.041102 0.320538) (xy -2.041102 0.320538) (xy -2.041072 -0.192766) (xy -2.041072 -0.192766)) (layer "B.SilkS") (width 0.007514) (tstamp 33045352-d786-424a-885a-3e42dc6fbfea)) - (fp_curve (pts (xy -0.315791 -0.417243) (xy -0.47328 -0.316397) (xy -0.577767 -0.139976) (xy -0.577767 0.060928)) (layer "B.SilkS") (width 0.007514) (tstamp 376ff36d-c43b-4f83-990f-ea570d93b656)) - (fp_curve (pts (xy 0.26802 -0.43839) (xy 0.26802 -0.43839) (xy 0.224363 -0.465118) (xy 0.224363 -0.465118)) (layer "B.SilkS") (width 0.007514) (tstamp 3c0fb8f3-2eff-4780-bf8e-60250c807445)) - (fp_curve (pts (xy 0.394716 1.527661) (xy 0.382975 1.531545) (xy 0.371537 1.544682) (xy 0.369232 1.556847)) (layer "B.SilkS") (width 0.007514) (tstamp 50df8fa0-ee2a-4112-85b7-c22bb6e6d9c5)) - (fp_curve (pts (xy -2.041072 -0.192766) (xy -2.041072 -0.205144) (xy -2.031121 -0.217189) (xy -2.018955 -0.219403)) (layer "B.SilkS") (width 0.007514) (tstamp 5168341e-2821-400b-86db-9098880d939b)) - (fp_curve (pts (xy -0.288182 -0.43839) (xy -0.296252 -0.433475) (xy -0.307205 -0.42501) (xy -0.315791 -0.417243)) (layer "B.SilkS") (width 0.007514) (tstamp 54e87955-8bdf-4919-be57-9c5c8229d8d8)) - (fp_curve (pts (xy -0.593664 -1.366729) (xy -0.593664 -1.366729) (xy -0.233966 -0.497611) (xy -0.233966 -0.497611)) (layer "B.SilkS") (width 0.007514) (tstamp 598be7e4-8f14-499f-85cf-1529d54075a6)) - (fp_curve (pts (xy 1.998733 -0.219434) (xy 2.010869 -0.217219) (xy 2.02085 -0.205174) (xy 2.02085 -0.192796)) (layer "B.SilkS") (width 0.007514) (tstamp 5dcf37f4-f92a-4b04-ba9c-2bd89ca63f15)) - (fp_curve (pts (xy 1.317716 0.821979) (xy 1.312012 0.832992) (xy 1.313105 0.850285) (xy 1.320143 0.86054)) (layer "B.SilkS") (width 0.007514) (tstamp 5e05a184-0d70-446c-a5f6-b46a13cd0f06)) - (fp_curve (pts (xy -2.018955 -0.219403) (xy -2.018955 -0.219403) (xy -1.532471 -0.309935) (xy -1.532471 -0.309935)) (layer "B.SilkS") (width 0.007514) (tstamp 625dceb4-fe94-4447-8547-7dfea19348ff)) - (fp_curve (pts (xy 0.602143 -1.377317) (xy 0.602143 -1.377317) (xy 0.776591 -1.284177) (xy 0.776591 -1.284177)) (layer "B.SilkS") (width 0.007514) (tstamp 634f0c6f-6d48-4d00-bf2a-3a0f6fd16fbe)) - (fp_curve (pts (xy 1.607544 1.318536) (xy 1.607544 1.318536) (xy 1.2446 1.68148) (xy 1.2446 1.68148)) (layer "B.SilkS") (width 0.007514) (tstamp 64530ee7-c3f5-457f-afbc-f32fd58d7024)) - (fp_curve (pts (xy 2.020911 0.320508) (xy 2.020941 0.332916) (xy 2.01099 0.34487) (xy 1.998854 0.347175)) (layer "B.SilkS") (width 0.007514) (tstamp 667c2b3b-4058-4a10-97bf-c8395e3f15e0)) - (fp_curve (pts (xy -0.233966 -0.497611) (xy -0.229203 -0.486173) (xy -0.233966 -0.47158) (xy -0.244524 -0.465118)) (layer "B.SilkS") (width 0.007514) (tstamp 692719e4-9001-4d91-aa4f-34eefca24fa2)) - (fp_curve (pts (xy -1.337847 0.821979) (xy -1.337847 0.821979) (xy -1.490755 0.465315) (xy -1.490755 0.465315)) (layer "B.SilkS") (width 0.007514) (tstamp 6a8d1011-eb13-4763-81c8-95e8233f1e6b)) - (fp_curve (pts (xy 0.573472 -1.36676) (xy 0.578205 -1.378197) (xy 0.591069 -1.38293) (xy 0.602143 -1.377317)) (layer "B.SilkS") (width 0.007514) (tstamp 6d7605b3-c5d8-4b56-beb6-38ba19679116)) - (fp_curve (pts (xy 0.740458 1.386131) (xy 0.740458 1.386131) (xy 0.394716 1.527661) (xy 0.394716 1.527661)) (layer "B.SilkS") (width 0.007514) (tstamp 6eb95c8f-7369-48b7-b539-31b347d84b6d)) - (fp_curve (pts (xy 1.320143 0.86054) (xy 1.320143 0.86054) (xy 1.610729 1.284071) (xy 1.610729 1.284071)) (layer "B.SilkS") (width 0.007514) (tstamp 71b5600c-8c89-4f55-98c8-be446466f0e7)) - (fp_curve (pts (xy -0.796783 -1.284147) (xy -0.796783 -1.284147) (xy -0.622334 -1.377287) (xy -0.622334 -1.377287)) (layer "B.SilkS") (width 0.007514) (tstamp 74c580a4-cae4-4cf7-bf6b-6bccbf9457c3)) - (fp_curve (pts (xy 1.483397 -0.335541) (xy 1.487128 -0.323739) (xy 1.500052 -0.31218) (xy 1.512249 -0.309965)) (layer "B.SilkS") (width 0.007514) (tstamp 7701d436-f572-4cd0-a25e-18f56b3256df)) - (fp_curve (pts (xy -0.244524 -0.465118) (xy -0.244524 -0.465118) (xy -0.288182 -0.43839) (xy -0.288182 -0.43839)) (layer "B.SilkS") (width 0.007514) (tstamp 789fe522-3d05-4020-a7a9-7aa1ea34389a)) - (fp_curve (pts (xy -1.230265 -1.556954) (xy -1.230265 -1.556954) (xy -0.834707 -1.285452) (xy -0.834707 -1.285452)) (layer "B.SilkS") (width 0.007514) (tstamp 8107430f-9003-4781-8e3e-4bfd55967ce3)) - (fp_curve (pts (xy 1.2446 1.68148) (xy 1.235832 1.690248) (xy 1.220359 1.691674) (xy 1.210165 1.684665)) (layer "B.SilkS") (width 0.007514) (tstamp 84922b30-12d4-4ca4-be4f-d29a86f240c4)) - (fp_curve (pts (xy 0.224363 -0.465118) (xy 0.213865 -0.47158) (xy 0.209072 -0.486173) (xy 0.213805 -0.497611)) (layer "B.SilkS") (width 0.007514) (tstamp 8621891c-fd7d-45e3-855e-d7f68d449c5d)) - (fp_curve (pts (xy 0.213805 -0.497611) (xy 0.213805 -0.497611) (xy 0.573472 -1.36676) (xy 0.573472 -1.36676)) (layer "B.SilkS") (width 0.007514) (tstamp 8a059fd7-5cc0-452f-a4bb-1e28531b5e47)) - (fp_curve (pts (xy 0.246602 2.094817) (xy 0.246602 2.094817) (xy -0.266732 2.094817) (xy -0.266732 2.094817)) (layer "B.SilkS") (width 0.007514) (tstamp 96f93caa-c17c-4dd4-98a0-a1c953a9da60)) - (fp_curve (pts (xy -1.26473 -1.553769) (xy -1.255993 -1.562476) (xy -1.240489 -1.563902) (xy -1.230265 -1.556954)) (layer "B.SilkS") (width 0.007514) (tstamp 9a33f76c-ac41-41ce-bca8-4cb20918837d)) - (fp_curve (pts (xy 0.814515 -1.285482) (xy 0.814515 -1.285482) (xy 1.210104 -1.556984) (xy 1.210104 -1.556984)) (layer "B.SilkS") (width 0.007514) (tstamp 9bab76da-76e5-4e0c-81ef-1a8148e50803)) - (fp_curve (pts (xy -1.503588 -0.33551) (xy -1.503588 -0.33551) (xy -1.351712 -0.714898) (xy -1.351712 -0.714898)) (layer "B.SilkS") (width 0.007514) (tstamp a0398de1-c0dc-4945-8cf9-e43b95d898de)) - (fp_curve (pts (xy -1.351712 -0.714898) (xy -1.346281 -0.726032) (xy -1.347555 -0.743447) (xy -1.354594 -0.753641)) (layer "B.SilkS") (width 0.007514) (tstamp a4a5db93-aae9-4c58-ad31-9c19ab686cc1)) - (fp_curve (pts (xy -1.63086 1.284071) (xy -1.63086 1.284071) (xy -1.340244 0.86054) (xy -1.340244 0.86054)) (layer "B.SilkS") (width 0.007514) (tstamp a4f1e7d6-84c2-4bf5-a525-5b5c5d3f8486)) - (fp_curve (pts (xy -1.520275 0.439921) (xy -1.520275 0.439921) (xy -2.018985 0.347175) (xy -2.018985 0.347175)) (layer "B.SilkS") (width 0.007514) (tstamp a77b9d60-c51f-4af7-bead-8f9acde6074d)) - (fp_curve (pts (xy 0.776591 -1.284177) (xy 0.787271 -1.277958) (xy 0.804321 -1.278473) (xy 0.814515 -1.285482)) (layer "B.SilkS") (width 0.007514) (tstamp a8ee54ff-1da2-48e7-b61f-d267b48f82d7)) - (fp_curve (pts (xy 1.998854 0.347175) (xy 1.998854 0.347175) (xy 1.500114 0.439921) (xy 1.500114 0.439921)) (layer "B.SilkS") (width 0.007514) (tstamp aee186d5-2458-45d4-8b9b-315a21d56700)) - (fp_curve (pts (xy -0.834707 -1.285452) (xy -0.824513 -1.278474) (xy -0.807432 -1.277927) (xy -0.796783 -1.284147)) (layer "B.SilkS") (width 0.007514) (tstamp aef72907-8687-4a26-92e4-1b6062644c72)) - (fp_curve (pts (xy -1.2647 1.68148) (xy -1.2647 1.68148) (xy -1.627674 1.318536) (xy -1.627674 1.318536)) (layer "B.SilkS") (width 0.007514) (tstamp b96db453-2534-4e21-9688-1aaed2f53ebd)) - (fp_curve (pts (xy 1.210104 -1.556984) (xy 1.220298 -1.563932) (xy 1.235831 -1.562506) (xy 1.244538 -1.553799)) (layer "B.SilkS") (width 0.007514) (tstamp bb3f4aab-3045-4c2f-a5bd-e0b6e0f35862)) - (fp_curve (pts (xy -0.414786 1.527661) (xy -0.414786 1.527661) (xy -0.760558 1.386131) (xy -0.760558 1.386131)) (layer "B.SilkS") (width 0.007514) (tstamp be451e67-481d-4fad-944d-cc135b2ded2b)) - (fp_curve (pts (xy 0.557544 0.060928) (xy 0.557544 -0.139976) (xy 0.453088 -0.316397) (xy 0.295568 -0.417243)) (layer "B.SilkS") (width 0.007514) (tstamp bf6b5a86-e685-4332-af79-edced1c6b42b)) - (fp_curve (pts (xy -2.018985 0.347175) (xy -2.031182 0.34487) (xy -2.041102 0.332916) (xy -2.041102 0.320538)) (layer "B.SilkS") (width 0.007514) (tstamp c57103db-923f-48c5-81fd-85270b0fdb2e)) - (fp_curve (pts (xy 0.369232 1.556847) (xy 0.369232 1.556847) (xy 0.273209 2.0727) (xy 0.273209 2.0727)) (layer "B.SilkS") (width 0.007514) (tstamp c807012d-ba21-4b08-ab24-45563605b2ea)) - (fp_curve (pts (xy -1.340244 0.86054) (xy -1.333266 0.850285) (xy -1.332173 0.832992) (xy -1.337847 0.821979)) (layer "B.SilkS") (width 0.007514) (tstamp cc794982-b194-4c7c-830d-6e261d25be68)) - (fp_curve (pts (xy 0.295568 -0.417243) (xy 0.287043 -0.42501) (xy 0.27606 -0.433475) (xy 0.26802 -0.43839)) (layer "B.SilkS") (width 0.007514) (tstamp ce29ab16-9f36-42dd-bd04-7039c5473e9c)) - (fp_curve (pts (xy -0.622334 -1.377287) (xy -0.611291 -1.38293) (xy -0.598397 -1.378167) (xy -0.593664 -1.366729)) (layer "B.SilkS") (width 0.007514) (tstamp d11283d3-a967-46cb-a619-d5790c19da74)) - (fp_curve (pts (xy 1.610729 1.284071) (xy 1.617677 1.294264) (xy 1.616312 1.309768) (xy 1.607544 1.318536)) (layer "B.SilkS") (width 0.007514) (tstamp d2df5774-d8ec-48b8-af13-deae2c450daf)) - (fp_curve (pts (xy -1.230235 1.684665) (xy -1.240459 1.691673) (xy -1.255962 1.690248) (xy -1.2647 1.68148)) (layer "B.SilkS") (width 0.007514) (tstamp d6ef81f7-3710-499f-8043-2b0cc052fe53)) - (fp_curve (pts (xy 1.607543 -1.190855) (xy 1.616311 -1.182087) (xy 1.617737 -1.166553) (xy 1.610729 -1.156359)) (layer "B.SilkS") (width 0.007514) (tstamp db9ab581-c042-432e-bf98-8773f601cf6f)) - (fp_curve (pts (xy 1.512249 -0.309965) (xy 1.512249 -0.309965) (xy 1.998733 -0.219434) (xy 1.998733 -0.219434)) (layer "B.SilkS") (width 0.007514) (tstamp dc57a9c8-980e-4aa5-b82f-7518323d2330)) - (fp_curve (pts (xy -0.389301 1.556847) (xy -0.391607 1.544682) (xy -0.403015 1.531545) (xy -0.414786 1.527661)) (layer "B.SilkS") (width 0.007514) (tstamp e0179e21-6428-45da-b033-c9fefee37896)) - (fp_curve (pts (xy -1.630921 -1.156329) (xy -1.637898 -1.166523) (xy -1.636473 -1.182056) (xy -1.627735 -1.190824)) (layer "B.SilkS") (width 0.007514) (tstamp e776955b-be98-493b-a021-9cc121c3850c)) - (fp_curve (pts (xy 1.470594 0.465315) (xy 1.470594 0.465315) (xy 1.317716 0.821979) (xy 1.317716 0.821979)) (layer "B.SilkS") (width 0.007514) (tstamp effb4068-cf5c-4454-9a0d-6be22d9dffb8)) - (fp_curve (pts (xy -0.293309 2.0727) (xy -0.293309 2.0727) (xy -0.389301 1.556847) (xy -0.389301 1.556847)) (layer "B.SilkS") (width 0.007514) (tstamp f2c03f45-efee-4ce3-b152-3763b6b11a57)) - (fp_curve (pts (xy -1.490755 0.465315) (xy -1.49482 0.453604) (xy -1.508078 0.442197) (xy -1.520275 0.439921)) (layer "B.SilkS") (width 0.007514) (tstamp f9998561-3059-4867-a07d-586a2a149fcd)) - (fp_curve (pts (xy 1.244538 -1.553799) (xy 1.244538 -1.553799) (xy 1.607543 -1.190855) (xy 1.607543 -1.190855)) (layer "B.SilkS") (width 0.007514) (tstamp fa678ac7-5ac1-4828-a531-e1fe21af71fb)) - (fp_curve (pts (xy 2.02085 -0.192796) (xy 2.02085 -0.192796) (xy 2.020911 0.320508) (xy 2.020911 0.320508)) (layer "B.SilkS") (width 0.007514) (tstamp fd1bbaf8-024d-49a2-badc-8a16764c1a83)) - (fp_curve (pts (xy -0.760558 1.386131) (xy -0.771662 1.38067) (xy -0.789138 1.381913) (xy -0.799301 1.388922)) (layer "B.SilkS") (width 0.007514) (tstamp fdc4434a-649d-4a37-b99e-652768851bee)) - (fp_line (start 2 2) (end 2 -2) (layer "B.Fab") (width 0.12) (tstamp 13019745-d965-4bc9-9806-6cb1cd0eee25)) - (fp_line (start -2 -2) (end -2 2) (layer "B.Fab") (width 0.12) (tstamp 44eeed7d-1dd8-41ac-93df-eefaa061ec07)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 87adb74e-f131-4c2e-9e12-181f4aa50a40) - (at 121.5 99.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/855a271d-d14e-4340-88ef-5eb1d2805d77") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP19" (at 0 2 180) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 2db6033d-ecc8-4a81-a834-2b3aae8111e7) - ) - (fp_text value "APP_GPIO2" (at 0 -2 180) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp fddd020a-67e2-4184-b969-4e51d4265ade) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp fed924c5-1789-42ad-9822-8be5914e8219) - ) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp ad13b0d8-c270-45b3-9bfa-fc1b7e1dce8a)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp cbc79a65-d193-46d1-a78d-c73077ec1436)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp d150e831-7614-4c01-a9e1-dc1d0f7f1dcf)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp d263382b-728c-43cd-991c-d599d3fa5c3e)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 4bc3d327-13af-4950-a1a1-b2699a2f246e)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 5d3d5314-49d0-4e67-a670-e4eebfe22e50)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 64ad0ff6-717b-4c0b-b871-e8ced397abb7)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 744b57d1-d1c4-4d56-a75d-b72886598678)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 66 "APP_GPIO2") (pinfunction "1") (pintype "passive") (tstamp 9517496e-503a-47ae-905b-c3ce00435fc8)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 91942a5b-120a-4ab0-ae3e-3c1b230e59f7) - (at 115.5 88.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/3c9864fc-0a77-4fe0-8c96-c0260a516ba1") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP14" (at 0 2 180) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp d54b90da-76e9-487d-a3f4-68dfefa718a9) - ) - (fp_text value "APP_ICE_MOSI" (at 0 -2 180) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 1f6a15d4-00cb-4963-9439-8f85f09436fd) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 19e577db-ec7a-4273-a932-573633e82162) - ) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 18d4f970-b3a0-453d-8d34-78c9ece356bb)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp adcb0b83-c618-4398-a600-68a608e58b6b)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp b0df1584-468e-4031-8688-fc57047acdbd)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp dacc1a25-3f27-4e1b-b627-f0a00f78773c)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 5be29cc6-1fdd-42c5-a423-24fe526f5734)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp a730243f-cb13-4bee-950a-d47ce6891e24)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp b3c0a9a5-d58e-497e-9b5f-e250a580c631)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp f61a1a6d-eaa8-4bc2-bccd-5fad95d86ed5)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 48 "APP_ICE_MOSI") (pinfunction "1") (pintype "passive") (tstamp 50a469f0-9b42-4f0d-920a-c728e011c721)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp 9fc8f214-ae45-48d1-84b6-8b76a95457cc) - (at 101.5 99.5 180) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "powersupply.kicad_sch") - (property "Sheetname" "Power Supply") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611a4eb9/f74c1147-0f6c-4852-95d4-43a254720c79") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP28" (at 0 2) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp cfcfabe7-029d-432d-818e-86ffca95e6d9) - ) - (fp_text value "GND" (at 0 -2) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp c67abeaf-ee09-4360-aff7-9c80b4fed279) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp cf1cc613-9ee9-4469-893f-773a6499ad20) - ) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 05a489e3-8f02-4d8f-9a70-c65a49b94fe5)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp a223687f-a6f4-4542-9e41-7a1928a1d29c)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp f7241cad-2f53-4952-a61b-73042c7feb93)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp fe6d9248-0cc4-46a4-ae8e-05e05dd2a86e)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 0ba3bfda-51a1-498c-8f14-a0d0bbcdf47d)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp a5bd2295-1e34-4045-aaee-a283e8a94273)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp acdcab39-3d32-4246-b7b5-b52c31ef30e8)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp f8616340-13ba-45fa-a1c0-baebe45d78f7)) - (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "1") (pintype "passive") (tstamp 77526995-9d2f-4bd7-82b4-adf56e63a6c1)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp acffc0f4-2c19-453f-9533-67f917ee923d) - (at 115.5 99.5 180) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "usb_to_serial.kicad_sch") - (property "Sheetname" "USB to Serial converter") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-00006161400b/74f30866-0d52-4558-88d9-da85c982a4ac") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP8" (at 0 2) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp c95433de-510f-482c-a0cc-7257a09620a2) - ) - (fp_text value "INT_RTS" (at 0 -2) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 52a0b976-34c9-40ab-a944-15f0fa33cc25) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 0f7fef10-8821-4389-ada8-a35c0974ea88) - ) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 493383c5-68ee-4ca4-b043-1d7512f56c9a)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 5025b807-14b9-4555-be40-b7fb4a442936)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 8aa162d2-f66e-41be-a212-6fbc9bc5bb5c)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp fffd4ae6-8077-4aa8-b5e9-1c522972149d)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 3b791526-4025-4ae9-8e00-b500303eec8c)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 872eb142-9548-42cf-a13f-e9523a6517d7)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 9042486b-9fcf-4608-833e-42a5916adc2c)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp cafc6813-4709-4117-b974-28e15465a275)) - (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 58 "INTERFACE_RTS") (pinfunction "1") (pintype "passive") (tstamp 04da0274-8a32-457d-9955-891963b21959)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp b6a45c84-b275-401c-8ad5-4def400f7c11) - (at 123.5 88.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/32f54cf3-1e0f-4aaa-b508-267b9568217c") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP17" (at 0 2 180) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 1bfc1ea4-7f42-48a1-b709-a13c9decd1a1) - ) - (fp_text value "APP_CDONE" (at 0 -2 180) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 42bfae5e-3ce8-43dd-965c-be0ad2eda45a) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 5a6728d2-1693-4999-93fe-970d975c5985) - ) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 1e642f80-e7b3-4203-a3af-51a6a257633c)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 882f0045-08c1-47be-9e3e-f1d655af801e)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp e19996f6-7a59-4e73-bb61-4b4aaae48243)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp fa628da3-e103-4bc6-9592-03afcb28a534)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 55279bea-dd19-422c-8c04-4fe8f0b6efac)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 7572e69b-fada-4c7d-86d7-f68656590735)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 8dd2cee6-ba4f-4187-af5c-c2c06d9f5d64)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp f5fd35be-4ca3-4ee4-9f43-41a7a21ff765)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 10 "APP_CDONE") (pinfunction "1") (pintype "passive") (tstamp d27ef2f0-8f49-48b5-b310-23038d81e409)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp b9e4181c-869d-4d95-bb71-881cdc72d5ea) - (at 119.5 88.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/0f9d611d-221f-42fe-a161-07c0ff52c542") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP15" (at 0 2 180) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp f2d9f7b7-0e60-4bc8-a32f-3e721064c3ec) - ) - (fp_text value "APP_ICE_MISO" (at 0 -2 180) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 19482e0f-aa5f-43e1-868c-a4c78ac62b61) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 1e42eb83-0e8f-4571-9714-7b6b482b027e) - ) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 46510f3d-3229-49fa-ba83-b44a397da7be)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 8301314b-75b9-448c-b063-662b74095c48)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp c1d0fe9f-d8c4-4ef9-9c8c-5fb82ef252ba)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp c6e2383e-314f-4b45-a60a-5fa5d0a8dff2)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 42b6466c-f316-4924-9216-75e9ef887fc9)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 46e10d17-358c-4175-b16f-5b9eac7c77b0)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 5450e0da-e7a4-49fa-86de-71947adbcf18)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp e69990c5-f81c-41a4-ac3f-ab65f78e54f6)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 49 "APP_ICE_MISO") (pinfunction "1") (pintype "passive") (tstamp 7d7fcd6a-2851-4209-8eae-24fe6cd4d1aa)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp baf58209-8421-4a8a-8963-654d4a611aee) - (at 123.5 99.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/8aa5c85f-46b3-4ac4-bd48-3e3352ebb3f6") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP20" (at 0 2 180) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp bfa5e561-4b18-4458-b990-10bd1c41b764) - ) - (fp_text value "APP_GPIO3" (at 0 -2 180) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 905121fa-8ee3-4167-8ddc-09d7ba070a17) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 40703b37-f4f7-4e7f-8fb5-28d11ac8e656) - ) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 20314813-5c8d-4d18-b702-af6dc7952da3)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 53cb26b1-515a-41f0-b7c6-f41da22f579c)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 60517d4c-f6fd-49f3-8cee-765ed984993f)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 8c8871f6-c79c-49f9-ad4c-d01ec6798334)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 2013ef49-ae9d-48ff-8afb-5bcf0f976a6c)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 6aca6ff8-30be-439b-8a83-245a9e17094c)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 9acf2eaf-ab44-4928-ba8b-8352570af82b)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp a57a48cf-f113-4ee2-9d03-c45873f1a5fe)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 67 "APP_GPIO3") (pinfunction "1") (pintype "passive") (tstamp 9b97a6eb-c4ea-405e-aba5-58cd5b1657e0)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp d7ede781-71e7-4f25-8d3d-bcf49bd68010) - (at 111.5 99.5 180) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "usb_to_serial.kicad_sch") - (property "Sheetname" "USB to Serial converter") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-00006161400b/fb64afad-a905-4f4b-98a2-d21a64bd12f3") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP6" (at 0 2) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 4aff2ce4-ca1e-48cb-b8c9-0def978210bc) - ) - (fp_text value "INT_TX" (at 0 -2) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 94419dcd-8a47-4346-bad9-959b3a1cf43c) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 32eb7caf-68bd-4984-a679-07469136e55d) - ) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp accc9442-d1bd-4e93-bbf7-de6aaeeed234)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp da025370-3f83-4dbf-bf8f-bce303a45f95)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp da60f249-77f5-4473-a7e8-57191b8eadd8)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp e73537d0-ea84-4d79-a791-c3300a028e39)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 2d488f5a-2d29-4e95-9360-b9e960c84fba)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp b897867b-c326-4bb6-ac3d-8419a3602219)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp dedf1edd-799d-4179-9f12-a547c3b259c5)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp f110e604-d9bb-49e9-86e4-8191152c6175)) - (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 25 "INTERFACE_TX") (pinfunction "1") (pintype "passive") (tstamp 4e8921a8-c8d5-4408-9faa-3b9f6b06ffb3)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp f395fe5c-9ea0-407f-9240-c03d5f6ee1ae) - (at 117.5 88.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/f77c0061-34af-41f5-8a00-bb8ae07fe922") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP13" (at 0 2 180) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 9ee79681-d934-4716-8aa9-d13ba8f742d4) - ) - (fp_text value "APP_ICE_SS" (at 0 -2 180) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp ecaa6834-8682-4ca0-bfce-9bbba0a655f5) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp e1d9e1e7-0756-4b46-bb19-e287022c232e) - ) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 22d9de77-21dd-4b89-a431-31772ee75a27)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 3b2d3e78-06a0-4e1c-90ad-786781d4fee1)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp ba35e9e9-1195-429c-9c50-e0cf8d0576c1)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp ddd63fcd-eace-4bff-a841-1b38923d9ad0)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 9c25d1a2-a950-4b2c-90e2-b6c356a5c483)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp c8a06988-5d29-48b9-b612-cde06b5de1cf)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp eba9b22c-e6f2-4a42-a8e6-50fd88b34d74)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp f5f9003f-1122-4c08-8141-3458eb821525)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 47 "APP_ICE_SS") (pinfunction "1") (pintype "passive") (tstamp 4d87c861-d1bd-4b00-8728-221ee5960db0)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp f39f1356-2d40-41b3-96d4-d2b09571ede3) - (at 113.5 88.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/b84f4f68-cc10-43a0-962e-10a1890e7eaf") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP12" (at 0 2 180) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 5c9ef059-ddd9-46c8-a8a1-1da8590c37b6) - ) - (fp_text value "APP_ICE_SCK" (at 0 -2 180) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 3a9bf487-a6b7-46e7-aa30-a403b26249de) - ) - (fp_text user "${REFERENCE}" (at 0 -0.1 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp e149d80e-6245-49ac-9631-d8edb4b51557) - ) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 3b093664-13a3-436c-b119-e0763ec6ab53)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp a0490239-211a-4800-b635-1329bccba03c)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp e852c60a-73ba-434e-9a19-47c1b20cd3ae)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp eeec9e76-a27d-43b2-b1ce-2ae4e38ee4f5)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 5801391f-6495-4efa-9ab1-594c15aea2fa)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 6e9a8103-4a43-4792-8c04-4504e840facb)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp d32e769b-65f1-41a8-8a58-137534e079e2)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp dadd4249-7070-4a20-ba99-f3e687f51821)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 12 "APP_ICE_SCK") (pinfunction "1") (pintype "passive") (tstamp a35663c2-9628-4947-8c75-d3948479c6a0)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp f61050cd-1596-442f-aebc-6673d7855b2f) - (at 125.5 99.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/a6d52094-2dbe-49fa-99c1-8b125d3ae4c6") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP21" (at 0 2 180) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 318eabbe-4916-47e4-b32a-4c4e8d4a9d30) - ) - (fp_text value "APP_GPIO4" (at 0 -2 180) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp f63edaf9-6b1f-4482-bf23-9bb790b54e0f) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp e9b34157-c4ac-4a2d-9e4f-27e4a6bf438a) - ) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 0af411ee-b8ad-429d-9db9-a0feb0e159de)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 0f6dd0e1-d0d5-4af4-b24f-18d954218025)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 3d2b0d70-824b-4fc1-a0a5-444186ee4d89)) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 92eb0742-090b-4d7d-a91b-487a5ca4e8f8)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 2796f700-eee8-48be-afaf-683b91b0749a)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 84a235bc-3945-41d4-9960-9b64fdbde0da)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp b03c619f-74cc-449a-9fb6-875489fef701)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp d363f5e9-85a0-4da4-8384-f799b0ed7a3c)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 68 "APP_GPIO4") (pinfunction "1") (pintype "passive") (tstamp b66b7d0a-1706-436a-b225-6c930b949197)) - ) - - (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") - (tedit 5A0F774F) (tstamp fdd88054-cc06-4e3c-b3d6-48d73890c0b6) - (at 121.5 88.5) - (descr "SMD pad as test Point, diameter 1.0mm") - (tags "test point SMD pad") - (property "Sheetfile" "application_fpga.kicad_sch") - (property "Sheetname" "Application FPGA") - (property "exclude_from_bom" "") - (path "/00000000-0000-0000-0000-0000611cc101/d7bf813c-bb4e-4ed8-b86f-d9ec1d86656d") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "TP16" (at 0 2 180) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp d10945de-1ce3-40af-b1c8-cba10d8d3a56) - ) - (fp_text value "APP_CRESET" (at 0 -2 180) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp c5d9b208-4627-4298-aaae-8d46a06ce474) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp a40cb1e9-fa91-4283-bb82-5df19cc3ffcd) - ) - (fp_line (start 0.7 1) (end 0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 1a41c237-e28a-42f3-90a6-bc5075f905fa)) - (fp_line (start 0.7 -1) (end -0.7 -1) (layer "B.SilkS") (width 0.12) (tstamp 2e3ffce7-5f6e-44e6-a9c5-b4293793aa7f)) - (fp_line (start -0.7 1) (end 0.7 1) (layer "B.SilkS") (width 0.12) (tstamp 7214ba0b-2f78-40e4-96ab-5cfbb671f6b7)) - (fp_line (start -0.7 -1) (end -0.7 1) (layer "B.SilkS") (width 0.12) (tstamp d515dac0-049c-4e0a-94b7-0fbbeeb9705f)) - (fp_line (start -0.9 1.2) (end 0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp 66792d18-2cdc-4ba3-917f-7c106a99a88f)) - (fp_line (start 0.9 -1.2) (end -0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp 66f04573-ce8f-4e6a-9308-af54278d3701)) - (fp_line (start -0.9 -1.2) (end -0.9 1.2) (layer "B.CrtYd") (width 0.05) (tstamp d59fb745-f1ce-4094-bd22-bbce59808d49)) - (fp_line (start 0.9 1.2) (end 0.9 -1.2) (layer "B.CrtYd") (width 0.05) (tstamp e9859d69-d654-4e3f-80fc-decb0e1ae686)) - (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 11 "APP_CRESET") (pinfunction "1") (pintype "passive") (tstamp e6dd0a46-999d-4329-9b51-59e9fdeec6ba)) - ) - - (gr_line (start 99.05 100.25) (end 127.75 100.25) (layer "Dwgs.User") (width 0.05) (tstamp 3e0ddb44-d2e0-4bda-98d0-0e13a42c4989)) - (gr_line (start 99 87.75) (end 127.7 87.75) (layer "Dwgs.User") (width 0.05) (tstamp ee823590-ecbd-4107-bb1f-1a309e1b21af)) - (gr_line (start 28.685714 183.145) (end 142.485714 183.145) (layer "Cmts.User") (width 0.1) (tstamp 025baa4e-9c0e-4171-ba18-c81707277562)) - (gr_line (start 28.685714 130) (end 142.485714 130) (layer "Cmts.User") (width 0.1) (tstamp 03273d97-5274-435d-8d30-f6cf1379d2ec)) - (gr_line (start 28.685714 162.82) (end 142.485714 162.82) (layer "Cmts.User") (width 0.1) (tstamp 3259f80d-9863-4549-b902-9b908fd99360)) - (gr_line (start 28.685714 146.56) (end 142.485714 146.56) (layer "Cmts.User") (width 0.1) (tstamp 34cf0ce0-4224-4cff-b8da-dac4a1c9b668)) - (gr_line (start 69.171429 130) (end 69.171429 187.21) (layer "Cmts.User") (width 0.1) (tstamp 43d2d4b8-f1d7-4f2a-aa85-7cb4bf6c251c)) - (gr_line (start 125.828571 130) (end 125.828571 187.21) (layer "Cmts.User") (width 0.1) (tstamp 44a15e1c-f254-4648-a79e-78dd546b3ad3)) - (gr_line (start 85.771429 130) (end 85.771429 187.21) (layer "Cmts.User") (width 0.1) (tstamp 571912b7-93f1-48e7-9716-795cf2eaaab5)) - (gr_line (start 28.685714 158.755) (end 142.485714 158.755) (layer "Cmts.User") (width 0.1) (tstamp 63d855ac-697e-4eed-8221-860e4b1819e2)) - (gr_line (start 28.685714 187.21) (end 142.485714 187.21) (layer "Cmts.User") (width 0.1) (tstamp 7338b5a9-3a85-4450-86b4-5007c87a58ff)) - (gr_line (start 28.685714 154.69) (end 142.485714 154.69) (layer "Cmts.User") (width 0.1) (tstamp 73a44f0b-73f5-401a-a4f9-19586eb00839)) - (gr_line (start 28.685714 170.95) (end 142.485714 170.95) (layer "Cmts.User") (width 0.1) (tstamp 79977da0-fdcb-4922-8297-b08770982ade)) - (gr_line (start 28.685714 175.015) (end 142.485714 175.015) (layer "Cmts.User") (width 0.1) (tstamp 8c1aa883-be0a-4c66-94de-9db387d409d3)) - (gr_line (start 142.485714 130) (end 142.485714 187.21) (layer "Cmts.User") (width 0.1) (tstamp a3211a09-e8bb-45b4-9fce-27397cf3f049)) - (gr_line (start 28.685714 130) (end 28.685714 187.21) (layer "Cmts.User") (width 0.1) (tstamp ae877162-4ceb-4c8a-bbfe-7112f9e7e7ea)) - (gr_line (start 28.685714 150.625) (end 142.485714 150.625) (layer "Cmts.User") (width 0.1) (tstamp b362ed42-4b28-4023-8338-57fce2c46bcc)) - (gr_line (start 28.685714 166.885) (end 142.485714 166.885) (layer "Cmts.User") (width 0.1) (tstamp cb6ca4a6-d548-496b-82da-ad3ca44106a9)) - (gr_line (start 44 130) (end 44 187.21) (layer "Cmts.User") (width 0.1) (tstamp d02abb4a-6862-4e43-bda0-9136ef818539)) - (gr_line (start 28.685714 142.495) (end 142.485714 142.495) (layer "Cmts.User") (width 0.1) (tstamp d4b6492f-ea43-4aae-99e0-bfb2aa20b67f)) - (gr_line (start 28.685714 179.08) (end 142.485714 179.08) (layer "Cmts.User") (width 0.1) (tstamp e130aa5f-12f3-4c64-8445-319d961fa089)) - (gr_line (start 28.685714 134.365) (end 142.485714 134.365) (layer "Cmts.User") (width 0.1) (tstamp e174db42-2133-4bde-8bf0-5dfc27789f4d)) - (gr_line (start 28.685714 138.43) (end 142.485714 138.43) (layer "Cmts.User") (width 0.1) (tstamp e835f670-a4e4-411b-93b0-aa3907eaf197)) - (gr_line (start 113.242857 130) (end 113.242857 187.21) (layer "Cmts.User") (width 0.1) (tstamp eb84e2f0-c873-4eb9-b0db-dd71bfafb64c)) - (gr_line (start 105.5 130) (end 105.5 187.21) (layer "Cmts.User") (width 0.1) (tstamp fa74e58b-1d1f-4c19-a9e0-9a5b12093d6c)) - (gr_line (start 100 97.95) (end 100 98.95) (layer "Edge.Cuts") (width 0.05) (tstamp 00000000-0000-0000-0000-00006170272f)) - (gr_line (start 102 87.05) (end 125.7 87.05) (layer "Edge.Cuts") (width 0.05) (tstamp 00000000-0000-0000-0000-00006171892e)) - (gr_arc (start 125.7 87.05) (mid 127.114214 87.635786) (end 127.7 89.05) (layer "Edge.Cuts") (width 0.05) (tstamp 10475719-3bd3-4522-afbf-c9bc94b1040f)) - (gr_line (start 127.7 89.05) (end 127.7 98.95) (layer "Edge.Cuts") (width 0.05) (tstamp 3f5dd2df-21e9-4449-98d0-20c04d0fd22a)) - (gr_arc (start 102 100.95) (mid 100.585275 100.364725) (end 100 98.95) (layer "Edge.Cuts") (width 0.05) (tstamp 415e16b8-6b2d-45a0-8768-17340423ff22)) - (gr_arc (start 127.7 98.95) (mid 127.114214 100.364214) (end 125.7 100.95) (layer "Edge.Cuts") (width 0.05) (tstamp 43da97d2-2a46-4cac-bebd-d9b93935c90d)) - (gr_line (start 125.7 100.95) (end 102 100.95) (layer "Edge.Cuts") (width 0.05) (tstamp 8634edb8-50db-43d2-95bb-5918d2cd24cc)) - (gr_arc (start 100 89.05) (mid 100.585786 87.635786) (end 102 87.05) (layer "Edge.Cuts") (width 0.05) (tstamp e671ffe9-4ebb-42bd-be8d-cda9a798e138)) - (gr_line (start 100 89.05) (end 100 90.05) (layer "Edge.Cuts") (width 0.05) (tstamp e9d903db-c5d7-444d-9c1e-bcca6f38ba00)) - (gr_text "GPIO1" (at 119.5 98.3 90) (layer "B.SilkS") (tstamp 00075467-7cde-492f-a864-1da19b0a448e) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "2022-11 RevA" (at 104.3 92.9 180) (layer "B.SilkS") (tstamp 15147481-f79e-4c44-b4c2-d5e26b23b817) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) - ) - (gr_text "1.2V" (at 109.5 98.3 90) (layer "B.SilkS") (tstamp 2609b095-bc31-4457-8068-c5748ad9c1ce) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "CTS" (at 117.5 98.3 90) (layer "B.SilkS") (tstamp 3a19116d-fa3a-450b-920d-8a40c85061bb) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "G" (at 101.5 98.3 90) (layer "B.SilkS") (tstamp 473f6510-1fb0-48b1-8b2d-e07a34954c35) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "CDONE" (at 123.5 89.7 90) (layer "B.SilkS") (tstamp 588c89e2-4904-475c-8f06-cdff1b40a647) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) - ) - (gr_text "MOSI" (at 115.5 89.7 90) (layer "B.SilkS") (tstamp 5dbff5ff-49a6-49ec-8f9a-775647680454) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) - ) - (gr_text "GPIO4" (at 125.5 98.3 90) (layer "B.SilkS") (tstamp 6e975a44-2b87-4cdf-ac04-19ae34ce419d) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "RX" (at 113.5 98.3 90) (layer "B.SilkS") (tstamp 91dfadeb-0354-4042-b3ab-a06332e22f30) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "3.3V" (at 105.5 98.3 90) (layer "B.SilkS") (tstamp 9597191c-bccc-40b5-b206-5b2761c5ca2a) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "TX" (at 111.5 98.3 90) (layer "B.SilkS") (tstamp 9f8ebe31-5a32-4d1d-b40a-9849440cf543) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "GND" (at 125.5 89.7 90) (layer "B.SilkS") (tstamp a1d35443-06ec-43b9-8d83-efbcda2db750) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) - ) - (gr_text "SCK" (at 113.5 89.7 90) (layer "B.SilkS") (tstamp af6c25a6-cc45-4647-a296-81cb0aaaadd0) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) - ) - (gr_text "MISO" (at 119.5 89.7 90) (layer "B.SilkS") (tstamp cf01b5ea-a259-44bc-9ce7-f39f4edbd455) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) - ) - (gr_text "Tillitis TK-1" (at 104 94.4 180) (layer "B.SilkS") (tstamp d0613635-1f4e-43e4-826e-4addfef3efe3) - (effects (font (size 1.4 1.4) (thickness 0.1)) (justify left mirror)) - ) - (gr_text "RTS" (at 115.5 98.3 90) (layer "B.SilkS") (tstamp d091ed31-20ac-45c0-848c-947108ffa6ac) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "5V" (at 103.5 98.3 90) (layer "B.SilkS") (tstamp d1d0b4b0-6f4d-4c66-86a2-a15b131731bf) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "CRESET" (at 121.5 89.7 90) (layer "B.SilkS") (tstamp d7353bd5-08db-4597-a99d-bfec5785db25) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) - ) - (gr_text "GPIO3" (at 123.5 98.3 90) (layer "B.SilkS") (tstamp de357d54-45ff-4517-b90d-bcbf0b295739) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "GPIO2" (at 121.5 98.3 90) (layer "B.SilkS") (tstamp e99fa3e8-5559-4845-a36a-50e712749d6f) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "2.5V" (at 107.5 98.3 90) (layer "B.SilkS") (tstamp f1e2339b-4804-464d-8dff-513935d27129) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) - ) - (gr_text "SS" (at 117.5 89.7 90) (layer "B.SilkS") (tstamp fced2412-e606-493c-bbaf-8a7b1510e760) - (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) - ) - (gr_text "0 mm" (at 86.521429 183.895) (layer "Cmts.User") (tstamp 00f08a0b-82b9-45e5-8519-9f3c6377cd02) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.02" (at 126.578571 159.505) (layer "Cmts.User") (tstamp 011a5828-4c3c-4dde-9bdb-284a3f3c4a43) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "prepreg" (at 44.75 159.505) (layer "Cmts.User") (tstamp 0243fc01-c89d-427f-ada0-c7b78b375c4b) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 106.25 179.83) (layer "Cmts.User") (tstamp 0915a960-c1d1-4819-9c53-aeb8cd5149bf) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.01 mm" (at 86.521429 143.245) (layer "Cmts.User") (tstamp 0b71d1a0-f7f1-4898-a4ea-edf5332f8ca7) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "4.5" (at 113.992857 167.635) (layer "Cmts.User") (tstamp 0c45290b-d76f-4c88-a4f6-10a6b4367d24) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Black" (at 106.25 143.245) (layer "Cmts.User") (tstamp 120c613d-4c12-4293-ae3a-6a512771985f) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "F.Cu" (at 29.435714 147.31) (layer "Cmts.User") (tstamp 1336502c-11bd-4ec2-9aca-20ce8fd7c351) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 106.25 147.31) (layer "Cmts.User") (tstamp 163963d5-9627-43e4-ac5c-e10ad7299143) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "4.5" (at 113.992857 159.505) (layer "Cmts.User") (tstamp 1995a1af-4656-4a47-a563-d0a3f10ab4cf) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Not specified" (at 69.921429 175.765) (layer "Cmts.User") (tstamp 1a253373-7aaa-4800-82a0-f05224ca4a7a) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Bottom Silk Screen" (at 44.75 183.895) (layer "Cmts.User") (tstamp 1b6d0560-1178-425c-aa39-65ccb9b9adf6) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0" (at 126.578571 139.18) (layer "Cmts.User") (tstamp 1bcfdeb5-4398-4ba9-8d2b-1afb409aafd2) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "3.3" (at 113.992857 175.765) (layer "Cmts.User") (tstamp 1e5a4a4f-7ec1-4d5e-aab0-77eebafcd5cd) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Not specified" (at 69.921429 183.895) (layer "Cmts.User") (tstamp 26fb18d1-6ffa-4a4a-b050-bfff5417256a) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 106.25 139.18) (layer "Cmts.User") (tstamp 2ab4e285-80ef-4098-93e3-671fb896f742) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "B.Silkscreen" (at 29.435714 183.895) (layer "Cmts.User") (tstamp 2c1ead4c-ba2b-4a8a-bb34-69dfd6a07338) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "F.Mask" (at 29.435714 143.245) (layer "Cmts.User") (tstamp 36815cf6-0422-444c-a3e8-ed66ef92f617) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "B.Paste" (at 29.435714 179.83) (layer "Cmts.User") (tstamp 369de6e0-38f9-4c75-93ed-d58163562fde) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 106.25 171.7) (layer "Cmts.User") (tstamp 37104389-0ffa-4ff9-884c-f7e490c8571a) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Dielectric 1" (at 29.435714 151.375) (layer "Cmts.User") (tstamp 37be8254-7e2c-4f4c-a147-46fa446006a2) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.0175 mm" (at 86.521429 163.57) (layer "Cmts.User") (tstamp 3c0146c9-302b-4005-9f50-7766581fb71a) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Epsilon R" (at 113.992857 130.75) (layer "Cmts.User") (tstamp 3def0672-3d83-48f7-bcb3-c4be8da902d5) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) - ) - (gr_text "Dielectric 3" (at 29.435714 167.635) (layer "Cmts.User") (tstamp 3e6b83fc-7519-4ddb-953c-bb9f626bfed6) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "White" (at 106.25 135.115) (layer "Cmts.User") (tstamp 3fd645e4-1c4f-4c07-afcb-59e3215127ca) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 106.25 167.635) (layer "Cmts.User") (tstamp 528fa016-8dda-47a4-ac5a-14ef00dc9116) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.02" (at 126.578571 167.635) (layer "Cmts.User") (tstamp 5362a7bb-6a5c-4582-8a84-dd179357b30c) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Color" (at 106.25 130.75) (layer "Cmts.User") (tstamp 53b141a8-4fb6-4e1f-b3eb-8e36e10c5cc1) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) - ) - (gr_text "core" (at 44.75 151.375) (layer "Cmts.User") (tstamp 53b9d0a9-bdca-4a98-a62c-67ea855d8049) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Loss Tangent" (at 126.578571 130.75) (layer "Cmts.User") (tstamp 53dc5eaa-73e3-43ab-9e31-a54cd5adc72f) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) - ) - (gr_text "Material" (at 69.921429 130.75) (layer "Cmts.User") (tstamp 5467a1d8-1da8-4db6-8370-a392f817657d) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) - ) - (gr_text "0 mm" (at 86.521429 139.18) (layer "Cmts.User") (tstamp 5c19c8eb-a9eb-4833-b94e-16d408a4c614) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "1" (at 113.992857 135.115) (layer "Cmts.User") (tstamp 5f3ac091-d5f3-4e8d-bed3-d7d84d73e753) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "1" (at 113.992857 183.895) (layer "Cmts.User") (tstamp 606bed62-2645-43b2-8746-701feb5d482c) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0" (at 126.578571 163.57) (layer "Cmts.User") (tstamp 60fcc63f-51e7-4ba1-b8e2-7f58e866098a) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "1" (at 113.992857 163.57) (layer "Cmts.User") (tstamp 63530c34-e56d-412b-a20c-0f5801e0b75c) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "F.Silkscreen" (at 29.435714 135.115) (layer "Cmts.User") (tstamp 6d2ec6c5-646f-4865-962c-fb5a5edbf1c2) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 69.921429 147.31) (layer "Cmts.User") (tstamp 6e4fd549-4e22-4263-aa63-fbb79f10ecb8) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0" (at 126.578571 143.245) (layer "Cmts.User") (tstamp 72e8fcce-5083-40f6-a91f-3bfabc7c7549) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0" (at 126.578571 175.765) (layer "Cmts.User") (tstamp 73237229-68da-4bfc-80d6-f3f33e277d06) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "copper" (at 44.75 163.57) (layer "Cmts.User") (tstamp 74936d8a-1d36-412e-8d34-dbf39e66d962) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Top Solder Mask" (at 44.75 143.245) (layer "Cmts.User") (tstamp 74a9d92f-93b8-42e6-97b6-ac630c5378b8) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.01 mm" (at 86.521429 175.765) (layer "Cmts.User") (tstamp 7505eede-a417-42c3-88a2-1fe21ee21a2a) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0" (at 126.578571 147.31) (layer "Cmts.User") (tstamp 7b7e0923-b508-4aa1-91a7-05a7557a88ee) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "1" (at 113.992857 155.44) (layer "Cmts.User") (tstamp 7bf62f93-87a1-4db1-8ca9-79ce9596c2b8) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0 mm" (at 86.521429 179.83) (layer "Cmts.User") (tstamp 7f27dd6e-61a8-4bb4-ac85-149b149d66f3) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "In2.Cu" (at 29.435714 163.57) (layer "Cmts.User") (tstamp 8356d232-ef50-40f0-a742-8beed5a9bc27) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 106.25 159.505) (layer "Cmts.User") (tstamp 837176e9-8fab-41d2-86dd-da3b1b3dd39f) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "1" (at 113.992857 171.7) (layer "Cmts.User") (tstamp 84164d3c-90bc-45b0-ac63-7f7a93843cb3) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Not specified" (at 69.921429 143.245) (layer "Cmts.User") (tstamp 862b97e2-70d6-4aea-9357-60983bc901d8) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 106.25 155.44) (layer "Cmts.User") (tstamp 884b30ea-af8f-4f82-a557-df4823436067) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "copper" (at 44.75 155.44) (layer "Cmts.User") (tstamp 888c76fa-7b17-4835-83d9-86e7676bd4ef) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "B.Cu" (at 29.435714 171.7) (layer "Cmts.User") (tstamp 8d9e19c9-1c38-4d1f-a346-c1ec50453cc1) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "FR4" (at 69.921429 159.505) (layer "Cmts.User") (tstamp 8f9bfdb5-2a57-4831-bd00-f02c2bbb920e) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0" (at 126.578571 171.7) (layer "Cmts.User") (tstamp 925356e8-9fe3-4fca-8329-eba967a76629) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.0175 mm" (at 86.521429 155.44) (layer "Cmts.User") (tstamp 9428c84f-f95c-4fa2-a59d-586cb3c5d4fd) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Bottom Solder Mask" (at 44.75 175.765) (layer "Cmts.User") (tstamp 96916265-4653-41c3-9a80-f6775aa2b630) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0 mm" (at 86.521429 135.115) (layer "Cmts.User") (tstamp 9fdf8bbc-e3ca-4283-a65c-7015973dbcab) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "1" (at 113.992857 179.83) (layer "Cmts.User") (tstamp a0b9f050-1be7-488f-85b2-08f372f83ded) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0" (at 126.578571 155.44) (layer "Cmts.User") (tstamp a104f8b7-5461-444e-b965-b1e6732ac99f) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "copper" (at 44.75 147.31) (layer "Cmts.User") (tstamp a24c495d-6be2-4999-9a23-d78f9efcd58e) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.035 mm" (at 86.521429 147.31) (layer "Cmts.User") (tstamp a5d01954-50f2-4ef4-ac22-4fad9b9b2741) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Dielectric 2" (at 29.435714 159.505) (layer "Cmts.User") (tstamp a91b2e0e-b141-4814-b267-2fdc9c6a6658) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 69.921429 179.83) (layer "Cmts.User") (tstamp aa63055c-baeb-45aa-a784-3ad93305f13b) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Not specified" (at 69.921429 135.115) (layer "Cmts.User") (tstamp b0c06db7-a576-4fd8-83c7-c014cc52b2d6) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "4.5" (at 113.992857 151.375) (layer "Cmts.User") (tstamp b2fb7a1b-c9ba-4acd-a02e-25484040900c) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 106.25 151.375) (layer "Cmts.User") (tstamp b559f405-4de0-4485-9eb1-aa1ba6266fb3) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.433 mm" (at 86.521429 159.505) (layer "Cmts.User") (tstamp bab9a1de-c8d3-471f-9075-142844f4fafd) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Thickness (mm)" (at 86.521429 130.75) (layer "Cmts.User") (tstamp bb5d112d-8806-45ee-9ac3-33210f67d54f) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) - ) - (gr_text "Top Solder Paste" (at 44.75 139.18) (layer "Cmts.User") (tstamp c5ca144b-4a8c-4b43-8d11-73bfc7ce35b4) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0" (at 126.578571 135.115) (layer "Cmts.User") (tstamp c6746a20-a2a7-491d-8bf4-6734530b9889) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "White" (at 106.25 183.895) (layer "Cmts.User") (tstamp c7d84f6e-a707-4ffd-8ab8-e4d824111c03) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 69.921429 171.7) (layer "Cmts.User") (tstamp c82525cb-40e6-49c8-b5ba-a548b20e026a) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "F.Paste" (at 29.435714 139.18) (layer "Cmts.User") (tstamp c909aa0c-2fd9-4d9c-a4ea-3fb1adec5ed8) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0" (at 126.578571 183.895) (layer "Cmts.User") (tstamp c9994eea-4a76-4588-a706-ad2e04aff285) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 69.921429 155.44) (layer "Cmts.User") (tstamp c9d7f80c-93d3-40b6-82bc-9669a79c7f05) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 106.25 163.57) (layer "Cmts.User") (tstamp cc3838d6-9c6c-4d91-aba1-bd29599115d5) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "1" (at 113.992857 147.31) (layer "Cmts.User") (tstamp cfa7d3f6-0cc9-4375-a0ac-d721b57ce3a3) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0" (at 126.578571 179.83) (layer "Cmts.User") (tstamp d0f188d9-dfb1-44a8-ad95-8dc6e323156b) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "FR4" (at 69.921429 167.635) (layer "Cmts.User") (tstamp d63c2d67-a8b0-4064-9c5d-a28bd9200b4c) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Top Silk Screen" (at 44.75 135.115) (layer "Cmts.User") (tstamp d9191217-fb4c-4445-8d6b-28ba96ed5884) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Type" (at 44.75 130.75) (layer "Cmts.User") (tstamp d9389f84-cc8b-46ce-9bf9-2f7fd6b103c4) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) - ) - (gr_text "FR4" (at 69.921429 151.375) (layer "Cmts.User") (tstamp d98ae824-3371-435f-8ca0-a21a12804f20) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 69.921429 163.57) (layer "Cmts.User") (tstamp d9afab37-6d16-489e-a6df-20a54d2ee9f9) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "core" (at 44.75 167.635) (layer "Cmts.User") (tstamp d9c9a498-33d2-4069-be67-c993eabe1d55) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Black" (at 106.25 175.765) (layer "Cmts.User") (tstamp da88cf57-0975-4f67-b828-34f4f4c6151f) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "In1.Cu" (at 29.435714 155.44) (layer "Cmts.User") (tstamp dd81f792-3a25-482c-b21e-05ec2d4eb5d6) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Bottom Solder Paste" (at 44.75 179.83) (layer "Cmts.User") (tstamp ddaaab04-fca3-4052-9a26-35c7845fd694) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "Layer Name" (at 29.435714 130.75) (layer "Cmts.User") (tstamp e462b99b-dc16-4632-9277-f42cc1c75e32) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) - ) - (gr_text "0.035 mm" (at 86.521429 171.7) (layer "Cmts.User") (tstamp e762fafd-aba3-4f95-8923-69fc7014c1b7) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.121 mm" (at 86.521429 151.375) (layer "Cmts.User") (tstamp e7d18ef0-3fda-41de-bee8-09bcd775905e) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.121 mm" (at 86.521429 167.635) (layer "Cmts.User") (tstamp e85705c7-e2a6-4d53-a85c-6c783418e0d2) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "3.3" (at 113.992857 143.245) (layer "Cmts.User") (tstamp f0ad4449-626d-4aef-bbd4-02eba1183b71) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "0.02" (at 126.578571 151.375) (layer "Cmts.User") (tstamp f626dfdc-a42e-49fe-92eb-181cb51736dc) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "B.Mask" (at 29.435714 175.765) (layer "Cmts.User") (tstamp f8978d6f-bc80-4d45-99fe-9eda6ceed8ec) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "1" (at 113.992857 139.18) (layer "Cmts.User") (tstamp fa98a317-14ca-498d-8226-47acdff0c9f6) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "" (at 69.921429 139.18) (layer "Cmts.User") (tstamp fba6e488-9940-4c72-a3c3-f2539158fdfc) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (gr_text "copper" (at 44.75 171.7) (layer "Cmts.User") (tstamp fbb57290-3adc-4d24-918c-497402e97c67) - (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) - ) - (dimension (type aligned) (layer "Cmts.User") (tstamp 72e32a2d-6d54-4c08-8135-8a9c14a6b016) - (pts (xy 102.5 100.95) (xy 102.5 87.05)) - (height -7) - (gr_text "13.90 mm" (at 94.35 94 90) (layer "Cmts.User") (tstamp 72e32a2d-6d54-4c08-8135-8a9c14a6b016) - (effects (font (size 1 1) (thickness 0.15))) - ) - (format (units 3) (units_format 1) (precision 2)) - (style (thickness 0.1) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0.5) keep_text_aligned) - ) - (dimension (type aligned) (layer "Cmts.User") (tstamp d917b12e-d3d5-4d05-a2f5-03ec2d9e5f30) - (pts (xy 127.7 95.5) (xy 99.15 95.5)) - (height -10.5) - (gr_text "28.55 mm" (at 113.425 104.85) (layer "Cmts.User") (tstamp d917b12e-d3d5-4d05-a2f5-03ec2d9e5f30) - (effects (font (size 1 1) (thickness 0.15))) - ) - (format (units 3) (units_format 1) (precision 2)) - (style (thickness 0.1) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0.5) keep_text_aligned) - ) - - (segment (start 114.4 99.5245) (end 113.1245 99.5245) (width 0.2) (layer "F.Cu") (net 1) (tstamp 036332c8-602f-4add-8cf3-94b8fa6d3ac6)) - (segment (start 106.1375 95.6) (end 106.93502 95.6) (width 0.2) (layer "F.Cu") (net 1) (tstamp 062cadd6-105a-4a60-ada7-573db6280bff)) - (segment (start 124.75 92.25) (end 124.75 92.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp 092f5dbb-91db-4f33-9215-1a314f621c76)) - (segment (start 101.9 97.5) (end 102.4 98) (width 0.6) (layer "F.Cu") (net 1) (tstamp 13dbccc6-d911-408c-8a07-b211f5632fea)) - (segment (start 109.891862 92.85) (end 110.24375 92.85) (width 0.2) (layer "F.Cu") (net 1) (tstamp 18d4d556-e152-448e-8491-0be7165d4ad5)) - (segment (start 123.15 89.05) (end 122.7 89.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1b3890e3-b879-4498-8ef7-467112707348)) - (segment (start 113.524228 92.474228) (end 113.25 92.2) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1bb71a04-96ed-492d-b571-6511abebae24)) - (segment (start 115.174228 99.175772) (end 115.224228 99.175772) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1c64c81d-13c5-492c-b899-fde75264d96b)) - (segment (start 121.5625 88.05) (end 120.6 88.05) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1f1a9b85-9cca-4fe1-ae6b-91ccc595ff54)) - (segment (start 112.35 92.26) (end 112.35 91.75) (width 0.2) (layer "F.Cu") (net 1) (tstamp 21b974b6-8704-43ac-97fb-3ea8c36ee40f)) - (segment (start 102.4 97.35) (end 102.4 98) (width 0.2) (layer "F.Cu") (net 1) (tstamp 2243e945-d4c3-43c3-ae54-1cb80a68dea7)) - (segment (start 104.95 92.75) (end 105.15 92.75) (width 0.2) (layer "F.Cu") (net 1) (tstamp 2388f0ee-9827-4e4c-a7d6-b3957bfece6e)) - (segment (start 105.15 92.75) (end 105.65 92.25) (width 0.2) (layer "F.Cu") (net 1) (tstamp 257f0bfc-3ec9-484d-b4da-380e30ede878)) - (segment (start 124.75 92.45) (end 124.475772 92.724228) (width 0.2) (layer "F.Cu") (net 1) (tstamp 2a72ef5a-3c71-4576-8f75-9530ea90ad55)) - (segment (start 124.5 92) (end 124.75 92.25) (width 0.2) (layer "F.Cu") (net 1) (tstamp 2d28af9e-6393-4ab3-a092-c6658ef20bc6)) - (segment (start 106.3125 99.2) (end 106.1125 99) (width 0.2) (layer "F.Cu") (net 1) (tstamp 3bb39713-2ae7-4ac2-84da-2b519b1644ed)) - (segment (start 115.432843 91.632843) (end 115.432843 91.682843) (width 0.2) (layer "F.Cu") (net 1) (tstamp 3f8f4e1b-8887-4e15-8e49-d50a699e2719)) - (segment (start 103.55 89.820552) (end 103.28798 89.558532) (width 0.2) (layer "F.Cu") (net 1) (tstamp 40403f6c-cf98-4a47-bff9-8d4b4316b122)) - (segment (start 123.8375 89.05) (end 123.15 89.05) (width 0.2) (layer "F.Cu") (net 1) (tstamp 417cf9f5-2068-4a1b-af29-c98a6d5a801a)) - (segment (start 114.4 99.5245) (end 114.8255 99.5245) (width 0.2) (layer "F.Cu") (net 1) (tstamp 43cc51a4-9b28-4f9a-b814-968c0a4187ef)) - (segment (start 105.7 89) (end 105.25 89.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp 4599901e-1734-4e45-bdde-8816ee1a6055)) - (segment (start 114.8255 99.5245) (end 115.174228 99.175772) (width 0.2) (layer "F.Cu") (net 1) (tstamp 50c9a332-2860-4ced-871d-f670881878bf)) - (segment (start 126.45 88.7) (end 126.85 89.1) (width 0.2) (layer "F.Cu") (net 1) (tstamp 546ab105-8858-4309-8747-78ada803f4fe)) - (segment (start 106.50625 89) (end 105.79375 89) (width 0.2) (layer "F.Cu") (net 1) (tstamp 57721914-1aae-46a8-b962-5087e5a986dc)) - (segment (start 106.5 99.2) (end 104.7 99.2) (width 0.2) (layer "F.Cu") (net 1) (tstamp 60f14820-21e3-4241-967a-cff1ecd6b872)) - (segment (start 101.1 97.5) (end 101.9 97.5) (width 0.6) (layer "F.Cu") (net 1) (tstamp 6111d0ce-59b4-4309-b6e7-cfc82d3d6c0f)) - (segment (start 108 95.45) (end 107.08502 95.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp 62322bde-b151-40e3-ad7b-72e451460ace)) - (segment (start 110.45 89.55) (end 110.45 90.35) (width 0.2) (layer "F.Cu") (net 1) (tstamp 63aa44ee-d3eb-4d77-9e1c-6f4df5f715e8)) - (segment (start 107.08502 95.45) (end 107.01751 95.51751) (width 0.2) (layer "F.Cu") (net 1) (tstamp 6903f08b-be78-4cce-99fa-cde0d713c8c5)) - (segment (start 101.8 96.75) (end 102.4 97.35) (width 0.2) (layer "F.Cu") (net 1) (tstamp 6be54f38-4418-4343-ae9a-4cae009fdc6e)) - (segment (start 113.712489 91.262489) (end 114.224228 91.774228) (width 0.2) (layer "F.Cu") (net 1) (tstamp 7444d067-12ab-4ead-b2d5-82ef164bde15)) - (segment (start 113.7 91.262489) (end 113.712489 91.262489) (width 0.2) (layer "F.Cu") (net 1) (tstamp 77c11829-5898-42a3-b571-6887be2358e0)) - (segment (start 103.28798 89.53798) (end 103.206771 89.456771) (width 0.2) (layer "F.Cu") (net 1) (tstamp 7b01edab-f457-4ef8-822a-5f397f19bd29)) - (segment (start 109.45 99.45) (end 110 99.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp 7e701161-bb0a-4eba-bfc5-be768cda204a)) - (segment (start 103.28798 89.558532) (end 103.28798 89.53798) (width 0.2) (layer "F.Cu") (net 1) (tstamp 7f4b79fe-8a2d-4c8d-939f-b7ea7da1fc6e)) - (segment (start 116.345293 87.690236) (end 116.490236 87.690236) (width 0.2) (layer "F.Cu") (net 1) (tstamp 89109703-2ba4-4b6e-af33-9fe408065302)) - (segment (start 114.4 100.2) (end 114.749511 100.549511) (width 0.2) (layer "F.Cu") (net 1) (tstamp 8cb6638b-eb4b-43b5-9a7c-34bb41bc3070)) - (segment (start 124.2 91.6) (end 123.775772 92.024228) (width 0.2) (layer "F.Cu") (net 1) (tstamp 929bb796-e2ee-494f-ad21-14d932256141)) - (segment (start 105.25 89.45) (end 104.85 89.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp 990cd179-e53f-45e9-97c0-6c94ec408372)) - (segment (start 106.93502 95.6) (end 107.01751 95.51751) (width 0.2) (layer "F.Cu") (net 1) (tstamp 995bb6df-2e51-4994-bc66-6838a185a8ad)) - (segment (start 113.25 92.2) (end 113.25 91.75) (width 0.2) (layer "F.Cu") (net 1) (tstamp 9a098fd9-c16a-46ff-a37a-04d02db1b745)) - (segment (start 120.05 88.46) (end 120.05 88.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 9ac4589a-fe99-40c3-8e44-18f2cb13f5a2)) - (segment (start 115.432843 91.682843) (end 115.8 92.05) (width 0.2) (layer "F.Cu") (net 1) (tstamp a6c10abf-ce05-4eee-8557-493001bc5c34)) - (segment (start 105.8 92.1) (end 105.65 92.25) (width 0.2) (layer "F.Cu") (net 1) (tstamp a72074d3-da53-412b-9511-03516698eef5)) - (segment (start 106.50625 89) (end 105.7 89) (width 0.2) (layer "F.Cu") (net 1) (tstamp abd1432c-3580-4279-b0ec-f6d15ee80342)) - (segment (start 101.05 96.75) (end 101.8 96.75) (width 0.2) (layer "F.Cu") (net 1) (tstamp af71a448-3835-4246-b209-013d71b27283)) - (segment (start 102.1 91.25) (end 102.2 91.15) (width 0.2) (layer "F.Cu") (net 1) (tstamp b193d068-a477-411f-96c6-ee3be8c5495a)) - (segment (start 101.05 91.25) (end 102.1 91.25) (width 0.2) (layer "F.Cu") (net 1) (tstamp b20deae7-b3de-418c-a8da-8c5744d349de)) - (segment (start 120.6 88.05) (end 120.5 87.95) (width 0.2) (layer "F.Cu") (net 1) (tstamp b6031d76-92ed-4485-889e-d76ba4086387)) - (segment (start 120.25 87.95) (end 120.5 87.95) (width 0.2) (layer "F.Cu") (net 1) (tstamp bd07617c-24d0-4b4d-8d17-37ed663ac94b)) - (segment (start 104.7 99.2) (end 104.45 99.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp c1146354-b177-4ca9-930f-5c5270b54c87)) - (segment (start 114.08 98.03) (end 114.524228 98.474228) (width 0.2) (layer "F.Cu") (net 1) (tstamp c1668fa2-5e14-4327-bdc2-6040680d4cdb)) - (segment (start 114.524228 98.474228) (end 114.524228 98.475772) (width 0.2) (layer "F.Cu") (net 1) (tstamp c5c631ad-4f7e-4f20-98ec-a852fdbb8a86)) - (segment (start 112.35 91.75) (end 112.2 91.6) (width 0.2) (layer "F.Cu") (net 1) (tstamp ca4005c1-cb6d-4d41-8b7c-1a3c02624a75)) - (segment (start 120.05 88.15) (end 120.25 87.95) (width 0.2) (layer "F.Cu") (net 1) (tstamp cd0d8b95-a88e-4c4b-95b2-ec3f9c8c1430)) - (segment (start 115.356905 100.549511) (end 115.924228 99.982188) (width 0.2) (layer "F.Cu") (net 1) (tstamp d03ff49e-fe04-4720-992e-03d397f77447)) - (segment (start 121.5625 90) (end 122.2 90) (width 0.2) (layer "F.Cu") (net 1) (tstamp d0a01fae-4138-4718-ab80-c1a26f3beec9)) - (segment (start 111.45 92.26) (end 111.45 91.6) (width 0.2) (layer "F.Cu") (net 1) (tstamp d5a0b235-061f-4cf3-bdf1-c84544449edb)) - (segment (start 108.6005 99.1) (end 109.1 99.1) (width 0.2) (layer "F.Cu") (net 1) (tstamp db1d17c0-9ac5-4a22-b208-9d2324dd2595)) - (segment (start 106.4 92.1) (end 105.8 92.1) (width 0.2) (layer "F.Cu") (net 1) (tstamp dbc623ad-59fb-432b-ae2c-a5db84f28007)) - (segment (start 103.206771 89.456771) (end 102.987526 89.456771) (width 0.2) (layer "F.Cu") (net 1) (tstamp dfb78031-99e3-409e-9f17-8b3ec82fc645)) - (segment (start 124.2 91.35) (end 124.2 91.6) (width 0.2) (layer "F.Cu") (net 1) (tstamp e482e8ff-2e74-4190-8886-aa25e79a3f37)) - (segment (start 109.1 99.1) (end 109.45 99.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp e5427cd5-b64f-4f5b-905b-66db5ff1c97a)) - (segment (start 120.05 88.5) (end 120.05 88.15) (width 0.2) (layer "F.Cu") (net 1) (tstamp e67616d0-c489-4852-b974-3cd8984b7115)) - (segment (start 126.14 88.7) (end 126.45 88.7) (width 0.2) (layer "F.Cu") (net 1) (tstamp e8b78fd0-7d9c-460f-b7b1-c3f70fae907c)) - (segment (start 116.490236 87.690236) (end 116.65 87.85) (width 0.2) (layer "F.Cu") (net 1) (tstamp eb0ead9a-700f-45df-b403-d1ef2999f666)) - (segment (start 106.5 99.2) (end 106.3125 99.2) (width 0.2) (layer "F.Cu") (net 1) (tstamp ec5aca93-00c2-4ef2-bda6-1fe1093ef84d)) - (segment (start 115.924228 99.982188) (end 115.924228 99.875772) (width 0.2) (layer "F.Cu") (net 1) (tstamp ed0e6fc3-9605-4edc-a9b6-49025e709d60)) - (segment (start 114.749511 100.549511) (end 115.356905 100.549511) (width 0.2) (layer "F.Cu") (net 1) (tstamp f0c1a7a7-372a-4689-b290-ff54652543eb)) - (segment (start 109.499292 92.45743) (end 109.891862 92.85) (width 0.2) (layer "F.Cu") (net 1) (tstamp f3e894c8-fa2d-42b3-ac3c-e57bb3694f38)) - (segment (start 103.55 90.25) (end 103.55 89.820552) (width 0.2) (layer "F.Cu") (net 1) (tstamp f8d9af10-6d08-456b-b99f-33508730f7b0)) - (segment (start 122.2 90) (end 122.7 89.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp fc3ef22a-d06b-46ac-a873-bbd4c48a2b3d)) - (segment (start 112.11 89.85) (end 111.4 89.85) (width 0.2) (layer "F.Cu") (net 1) (tstamp ffcb51f1-f1e3-4a09-a27c-908311a9c18f)) - (via (at 113.7 91.262489) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0bb059f4-e8ec-4687-97b2-35f59f1d3e86)) - (via (at 126.85 89.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 127c9e78-3c9f-4db3-a869-7e22f3c1faf7)) - (via (at 109.499292 92.45743) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 142015ea-e68b-4100-a6cf-85d7c464fe8a)) - (via (at 105.64375 92.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 24e28707-c921-436a-adc5-b4acee96015c)) - (via (at 114.4 100.2) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 25f6dad3-32fe-4ab0-9e52-2f71d68316ab)) - (via (at 102.2 90.5) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2c33dddc-094d-45f2-866e-cd758cfc3ea0)) - (via (at 107.01751 95.51751) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 328dfc2a-f022-4a5a-8ab1-7761d894ba0d)) - (via (at 112.2 91.6) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3ba20d79-511f-495e-95e8-db41a1710c12)) - (via (at 117.9 96.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3c613d0f-a0c6-40f1-8493-6e0bcd22ce95)) - (via (at 116.9 95.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3ca84852-5164-4967-81cd-4c246a9e30ba)) - (via (at 114.08 98.03) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4467a119-71ce-42e3-af1c-29e6c2b1de8b)) - (via (at 110.45 90.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 45fc2fee-5c27-4c81-b3aa-2dab55e91bc9)) - (via (at 116.345293 87.690236) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4abe3b22-64e5-4d46-bd25-8b0d1e2169ab)) - (via (at 119.85 94.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4d97717e-b8fc-4e3d-8302-28f6a380275e)) - (via (at 118.9 93.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 53355bea-c203-4c5d-a831-5f810719081d)) - (via (at 102.8 90.2) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 55cfbc6c-207d-41e2-9550-077604a957d4)) - (via (at 102.987526 89.456771) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5696793c-e166-4beb-8f6a-1f2c68a72d9f)) - (via (at 124.2 91.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5ba5e2d4-d0d6-4e63-b6a0-09174ece72df)) - (via (at 102.2 91.15) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5cc51447-2cf4-4551-bf22-029d9dd3b3e9)) - (via (at 111.45 91.6) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7079a3f6-11b1-4f49-93db-d35aedb678b1)) - (via (at 113.25 91.75) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 73727cb5-113a-41a3-a6e1-ee952f240139)) - (via (at 114.4 99.5245) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7ae05e2a-85e8-4185-b175-fc33ed8c027f)) - (via (at 117.9 94.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 82dfb14f-98bc-4030-a07a-7121fa076e1b)) - (via (at 105.59375 89.2) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 888caab6-caa4-4d78-b6da-c2fdd456fd6d)) - (via (at 118.9 95.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 93a335fd-070d-4e0c-988c-c5a581118678)) - (via (at 122.7 89.5) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9ea6fa1e-79d9-402b-bd18-927cf46ceb66)) - (via (at 108.6005 99.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp a5948ee0-63d9-41f8-b91d-7c6aeedfbc21)) - (via (at 106.5 99.2) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp b532e6d5-60f9-4e85-8ca5-049e40dc70e4)) - (via (at 120.5 87.95) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp bd67bb20-fb2a-49b8-b45a-a3aae9ec39f5)) - (via (at 119.85 96.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp cb61ca71-5186-4db6-be35-a56b24b93dba)) - (via (at 102.4 98) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp d2883cb8-05a6-410e-8407-42ae4374773e)) - (via (at 102.4 97.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp de17fe54-45f3-4ab5-8c1a-424e117fd615)) - (via (at 124.5 92) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp e0ad283e-48bb-42cf-8d9b-4b517382b650)) - (via (at 120.9 95.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp e5c59bb8-c244-428d-b6a2-2eff6ff1ad90)) - (via (at 111.4 89.85) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp e682a843-9cd7-494c-8cb3-5baddb618902)) - (via (at 115.8 92.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp ee68bc82-da42-4cd3-96db-0e3ff9cf4485)) - (via (at 118.85 97.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp f5410788-ace0-4182-9feb-2f5e17f87f81)) - (segment (start 101.1 90.5) (end 102.2 90.5) (width 0.6) (layer "B.Cu") (net 1) (tstamp 6faa1851-b527-463e-a474-9ae26d590858)) - (segment (start 102.2 90.5) (end 102.2 91.15) (width 0.2) (layer "B.Cu") (net 1) (tstamp 7823d2aa-83e7-4fb5-bac7-537c32e6c327)) - (segment (start 101.05 96.75) (end 101.8 96.75) (width 0.2) (layer "B.Cu") (net 1) (tstamp 7a7bb626-e546-44fa-b7ed-04bb43f15e64)) - (segment (start 101.8 96.75) (end 102.4 97.35) (width 0.2) (layer "B.Cu") (net 1) (tstamp c64559c7-6077-49cd-bf5f-1eaf39a7d32b)) - (segment (start 101.05 91.25) (end 102.1 91.25) (width 0.2) (layer "B.Cu") (net 1) (tstamp cc494621-208c-4f99-b9ee-e600e0cb7e9c)) - (segment (start 102.1 91.25) (end 102.2 91.15) (width 0.2) (layer "B.Cu") (net 1) (tstamp e415778b-7f90-4ba2-b470-0f22eca7087d)) - (segment (start 103.2 98) (end 103 98.2) (width 0.2) (layer "F.Cu") (net 2) (tstamp 04f3170b-1379-46c2-b02c-eec9c880d52a)) - (segment (start 105.5 88.15) (end 104.85 88.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp 052730d3-db22-4e0a-9246-ad2b7ac86dc4)) - (segment (start 103.55 88) (end 103.55 88.3) (width 0.2) (layer "F.Cu") (net 2) (tstamp 115cd868-dbbc-41e3-8b8c-1832dbff2db1)) - (segment (start 104.524546 94) (end 104.72452 94.199974) (width 0.2) (layer "F.Cu") (net 2) (tstamp 19d03dcd-ffb8-44bd-8bee-54ad2b5ad391)) - (segment (start 103.45 87.9) (end 103.55 88) (width 0.2) (layer "F.Cu") (net 2) (tstamp 2ec01250-6997-421c-aead-6004310c810b)) - (segment (start 104.5 97.1) (end 103 97.1) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3532004c-ab33-41cf-b5b1-7101f3566a48)) - (segment (start 103.8625 95.6) (end 104.7 95.6) (width 0.2) (layer "F.Cu") (net 2) (tstamp 398162ab-08e4-4ff9-8720-66bc421c6f4a)) - (segment (start 102.95 87.9) (end 103.45 87.9) (width 0.2) (layer "F.Cu") (net 2) (tstamp 42cb9317-8527-415c-99eb-60ccfa2173c5)) - (segment (start 104.7 95.6) (end 104.72452 95.57548) (width 0.2) (layer "F.Cu") (net 2) (tstamp 52fc53ae-3b88-497a-a16c-83996d779a1d)) - (segment (start 106.0625 98) (end 104.6 98) (width 0.2) (layer "F.Cu") (net 2) (tstamp 58f6bb77-ef15-4f7c-a41f-b3e5224b74d6)) - (segment (start 101.05 92.75) (end 102.2 92.75) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5cf512de-21b2-48b7-b5d9-ad833cfb8bd3)) - (segment (start 104.72452 94.199974) (end 104.72452 95.57548) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6bfbb8af-67e9-4414-b8ad-2366f231d9b1)) - (segment (start 103.35 94) (end 104.524546 94) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6dfd06b6-4e2a-4c77-a4a5-5eb637e08f8f)) - (segment (start 102.2 92.75) (end 102.3 92.65) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7a969750-0ecd-4311-a33d-83badbcff199)) - (segment (start 104.6 98) (end 104.45 98.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7b0591a5-244e-4b89-83a0-58b40713f17f)) - (segment (start 103.5 98) (end 103.2 98) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7eed1bb0-41d5-43dc-ae95-27ab4f18021d)) - (segment (start 104.94375 91.55) (end 105.29375 91.55) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8212186d-4ae8-4eb8-bc05-9af1bfc2e36d)) - (segment (start 105.6 91.15) (end 106.4 91.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp 83094b18-9ec3-4ad1-94f0-e198665cb40a)) - (segment (start 101.9 95.25) (end 101.05 95.25) (width 0.2) (layer "F.Cu") (net 2) (tstamp 846f9686-2815-4953-9b20-72dbeea9f719)) - (segment (start 104 91.55) (end 104.85 91.55) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9213b90c-4e6d-4a24-8e82-b03f0903ca0d)) - (segment (start 105.69375 88.05) (end 106.50625 88.05) (width 0.2) (layer "F.Cu") (net 2) (tstamp 92219433-6548-4449-a3eb-b24ca025e3ad)) - (segment (start 104.72452 96.87548) (end 104.5 97.1) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9699d3c0-c394-480f-97f4-713302ef8a0f)) - (segment (start 102.8 88.05) (end 102.95 87.9) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9b04c0a7-3a56-4fe3-90d5-fd4472adbc9d)) - (segment (start 105.29375 91.55) (end 105.59375 91.25) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9b178fd4-a51f-4cb2-90c8-b204ada4a61e)) - (segment (start 103 98.2) (end 103 98.6) (width 0.2) (layer "F.Cu") (net 2) (tstamp a1749999-4932-44cf-8724-c68d7a4c2070)) - (segment (start 103.55 92.25) (end 103.55 92) (width 0.2) (layer "F.Cu") (net 2) (tstamp a2c298bd-3328-48d6-ab0f-4128ffeeb66a)) - (segment (start 103.55 92) (end 104 91.55) (width 0.2) (layer "F.Cu") (net 2) (tstamp a751ef38-c4b8-49eb-a393-e0ae3bf03cc4)) - (segment (start 102.3 92.95) (end 103.35 94) (width 0.2) (layer "F.Cu") (net 2) (tstamp af483781-1824-4917-b03c-7ce1d842ee4b)) - (segment (start 103.5 98) (end 103.65 98.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp b6ec3f1d-7df4-4fe8-b7fe-1c4cf4e364f1)) - (segment (start 102.35 95.7) (end 101.9 95.25) (width 0.2) (layer "F.Cu") (net 2) (tstamp c4958bbe-82be-4588-9cdf-5963f8fa04bc)) - (segment (start 103.65 98.15) (end 104.45 98.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp c750a696-5e69-4c08-a31d-3fe494658361)) - (segment (start 104.72452 95.57548) (end 104.72452 96.87548) (width 0.2) (layer "F.Cu") (net 2) (tstamp cbab4dfe-be9f-43ed-a2b2-8c5f9d3e49ec)) - (segment (start 102.3 92.65) (end 102.3 92.95) (width 0.2) (layer "F.Cu") (net 2) (tstamp cf46c821-6fb7-4dc9-95d9-d0612dd0aedd)) - (segment (start 103 97.1) (end 102.35 96.45) (width 0.2) (layer "F.Cu") (net 2) (tstamp dc876747-6092-4dce-9a0e-a8720b7ab646)) - (segment (start 105.55 88.1) (end 105.5 88.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp ea383d1e-92b7-4b1d-b16a-02b444c4fa63)) - (via (at 105.54375 88.2) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2f63155c-5f9a-4e9c-a9c1-a4c3b435523e)) - (via (at 105.59375 91.25) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6781efb4-e3a5-46b9-83c1-45628e659ce0)) - (via (at 102.5 91.95) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp a6768cb4-ef6c-47ce-8100-69c83c301e70)) - (via (at 103.5 98) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp ac35333e-c21a-4199-9e36-58f095916142)) - (via (at 102.3 92.65) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae8957a1-c5ff-4083-9aff-be9bddb189b0)) - (via (at 102.35 95.7) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp b45009ef-3698-477d-9fed-f6a550b151ef)) - (via (at 102.8 88.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp f2e74aec-dcc6-4fa3-814b-29bfa06d811d)) - (via (at 102.35 96.45) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp f7fde10f-83f2-4255-a778-1d9d32052b7c)) - (segment (start 102.5 92.45) (end 102.5 91.95) (width 0.2) (layer "B.Cu") (net 2) (tstamp 1938b7a3-d6a3-4b36-9009-56d001a3134d)) - (segment (start 102.2 92.75) (end 102.3 92.65) (width 0.2) (layer "B.Cu") (net 2) (tstamp 4dfbfef2-8463-4e0c-9359-7618cfb737d8)) - (segment (start 101.9 95.25) (end 102.35 95.7) (width 0.2) (layer "B.Cu") (net 2) (tstamp 4e7b76b4-73ab-4d07-9f9c-5dff711071fe)) - (segment (start 103.5 98) (end 103.5 99.5) (width 0.2) (layer "B.Cu") (net 2) (tstamp 58cc9c5f-abed-41e4-8f3b-a6f58ef7115e)) - (segment (start 102.3 92.65) (end 102.5 92.45) (width 0.2) (layer "B.Cu") (net 2) (tstamp 9985e0ae-3ff7-44a6-9a48-18c0bb7644ff)) - (segment (start 102.35 95.7) (end 102.35 96.45) (width 0.2) (layer "B.Cu") (net 2) (tstamp a860e7ba-7769-4b43-963d-e19d1daa0954)) - (segment (start 101.05 95.25) (end 101.9 95.25) (width 0.2) (layer "B.Cu") (net 2) (tstamp b666c6b4-46ec-4e54-a9ed-57b0dfab80ab)) - (segment (start 101.05 92.75) (end 102.2 92.75) (width 0.2) (layer "B.Cu") (net 2) (tstamp d71163a9-1b9d-479d-ba71-0b2601fe3010)) - (segment (start 109.4 95.35) (end 111.25 93.5) (width 0.2) (layer "F.Cu") (net 3) (tstamp 0276e29e-33a5-4843-91bf-b6a69d7cb6dc)) - (segment (start 116.72 100.053257) (end 116.610383 100.162874) (width 0.2) (layer "F.Cu") (net 3) (tstamp 076cfa9c-8e16-41c6-bccb-627ba6c23e83)) - (segment (start 110.00048 95.176912) (end 111.377394 93.8) (width 0.2) (layer "F.Cu") (net 3) (tstamp 077fe5da-e366-4c45-bd36-bc7032124083)) - (segment (start 108 96) (end 108.75 96) (width 0.2) (layer "F.Cu") (net 3) (tstamp 0de8f893-45c1-4339-9bcf-234a48f68377)) - (segment (start 112.1 88.9) (end 111.45 88.9) (width 0.2) (layer "F.Cu") (net 3) (tstamp 1416f584-8819-45ca-abdb-7bba16e68bba)) - (segment (start 107.808531 97.05) (end 107.256692 97.601839) (width 0.2) (layer "F.Cu") (net 3) (tstamp 232040ed-65a3-4ece-9099-5346bd9dd222)) - (segment (start 115.4 90.15) (end 115.617157 90.367157) (width 0.2) (layer "F.Cu") (net 3) (tstamp 2657f7fd-2741-4f99-a539-f4260e0e319c)) - (segment (start 123.522528 93.677472) (end 123.522528 94.366559) (width 0.2) (layer "F.Cu") (net 3) (tstamp 27f04e74-8a0e-433b-a6b1-a56faf93dba4)) - (segment (start 119.365412 89.034588) (end 119.365412 88.215412) (width 0.2) (layer "F.Cu") (net 3) (tstamp 2a6a4e4e-7b7a-48cf-b5e3-77a3f8724515)) - (segment (start 113.7 90.15) (end 114.15 89.7) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3597ca0d-79c3-47f8-9cd9-45470a25b595)) - (segment (start 118.6 89.05) (end 119.35 89.05) (width 0.2) (layer "F.Cu") (net 3) (tstamp 372c03b7-f3ce-4285-8a79-a23ebebdc0f1)) - (segment (start 123.522528 94.366559) (end 123.275223 94.613864) (width 0.2) (layer "F.Cu") (net 3) (tstamp 392bb1e4-a962-4442-93db-e7aa55909a76)) - (segment (start 111.724554 93.800969) (end 111.999031 93.800969) (width 0.2) (layer "F.Cu") (net 3) (tstamp 396ebe2f-dd93-49fc-852e-4cdded631063)) - (segment (start 114.599988 90.338489) (end 114.788477 90.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3a642c8f-0f3a-431b-b4e4-c143fd48fedb)) - (segment (start 111.6 87.95) (end 111.4 88.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3b6b96bd-a595-4ebd-b2b5-d2719226b4e8)) - (segment (start 115.05 92.6) (end 115.05 93.05) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3f83553a-b4ef-483b-90ca-42326eb6c48b)) - (segment (start 117.353204 98.446796) (end 116.475772 99.324228) (width 0.2) (layer "F.Cu") (net 3) (tstamp 41d6741b-2a27-47e3-8b46-14d4a12e3d6a)) - (segment (start 111.4 93.5) (end 111.45 93.45) (width 0.2) (layer "F.Cu") (net 3) (tstamp 474572ed-7b88-4c35-8279-db1472701a58)) - (segment (start 123.924228 93.275772) (end 123.6 93.6) (width 0.2) (layer "F.Cu") (net 3) (tstamp 48a62ea0-2ae5-4f0c-a59d-a49f8e6f03d9)) - (segment (start 122.982843 91.367157) (end 123.371981 90.978019) (width 0.2) (layer "F.Cu") (net 3) (tstamp 49432af8-ef5f-4018-89a0-c08f28eee69e)) - (segment (start 119.365412 88.215412) (end 119.3 88.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4c34f848-bdfc-4fc2-abd9-4cbed2811a7c)) - (segment (start 123.371981 90.978019) (end 123.371981 90.871981) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4c785a81-0a03-4f46-a342-7e6e82d7abb1)) - (segment (start 111.45 88.9) (end 111.4 88.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4da9d04e-212e-4d52-98a7-6bfd69dad54f)) - (segment (start 119.365412 89.834588) (end 119.365412 89.034588) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4e890879-5986-4536-94ac-f278fd0cd261)) - (segment (start 118.6 88) (end 119.15 88) (width 0.2) (layer "F.Cu") (net 3) (tstamp 5255a863-6f42-461a-964f-3e6c503ab18b)) - (segment (start 109.35 97.05) (end 107.808531 97.05) (width 0.2) (layer "F.Cu") (net 3) (tstamp 530bcd2e-8fb6-4c1b-8c74-73ec9253abf3)) - (segment (start 111.25 93.5) (end 111.4 93.5) (width 0.2) (layer "F.Cu") (net 3) (tstamp 55d63ba9-f9c6-49f1-80f8-dc2eb7b4f1d6)) - (segment (start 114.788477 90.15) (end 115.4 90.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 59f9ae4b-3708-45b8-b7b9-0a98f3d11ba1)) - (segment (start 109.45 91.15) (end 108.8 91.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 5a3956e5-3daf-47d9-b9f2-95b5ed31fdfd)) - (segment (start 111.723585 93.8) (end 111.724554 93.800969) (width 0.2) (layer "F.Cu") (net 3) (tstamp 5a51a237-aa47-4a0c-a955-be73b0b2981f)) - (segment (start 115.231884 93.906757) (end 115.206757 93.906757) (width 0.2) (layer "F.Cu") (net 3) (tstamp 64201d9f-13c9-4f4e-b489-96aefae3044f)) - (segment (start 110.00048 95.69952) (end 110.00048 95.176912) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6a178ba7-1c68-4e44-b7bf-59a105281bd7)) - (segment (start 116.475772 99.324228) (end 116.475772 99.415772) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6b1de85d-db4c-47b1-9c1d-d806f0c97e1f)) - (segment (start 120.05 89.24) (end 120.51 89.24) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6cf6d1e1-b235-4d89-83b8-6d8918b1f64f)) - (segment (start 116.72 99.66) (end 116.72 100.053257) (width 0.2) (layer "F.Cu") (net 3) (tstamp 7cd6b70b-5e9b-4fb2-8400-d4832e8ed5f7)) - (segment (start 120.75 89) (end 121.5625 89) (width 0.2) (layer "F.Cu") (net 3) (tstamp 7d83fb8c-c6f7-44db-b342-3099954de2f1)) - (segment (start 123.522528 93.677472) (end 123.924228 93.275772) (width 0.2) (layer "F.Cu") (net 3) (tstamp 80c5224b-8224-4e0c-a6e9-45d1c914573c)) - (segment (start 112.1 87.95) (end 111.6 87.95) (width 0.2) (layer "F.Cu") (net 3) (tstamp 8298d4b7-ca84-4736-abf2-8669eab27200)) - (segment (start 119.3 89.9) (end 119.365412 89.834588) (width 0.2) (layer "F.Cu") (net 3) (tstamp 8314dfea-e55c-48a7-92e0-56c778467458)) - (segment (start 109.55 91.25) (end 110.05 91.25) (width 0.2) (layer "F.Cu") (net 3) (tstamp 851a5f92-1b7f-4873-b338-6e933a698ded)) - (segment (start 111.7 87.85) (end 111.4 88.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 86d92bb9-ce20-4065-ac37-a7beb107335d)) - (segment (start 114.775772 92.325772) (end 115.05 92.6) (width 0.2) (layer "F.Cu") (net 3) (tstamp 8a02c181-54f5-4f07-86d6-45da0d63fb36)) - (segment (start 111.999031 93.800969) (end 112.35 93.45) (width 0.2) (layer "F.Cu") (net 3) (tstamp 8db856cc-482d-4649-b0b4-09b06c2d61b2)) - (segment (start 110.05 91.25) (end 110.25 91.45) (width 0.2) (layer "F.Cu") (net 3) (tstamp 913e8f15-8473-41fa-af0f-05a080b29b2b)) - (segment (start 112.1 87.85) (end 111.7 87.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp 918601a8-039b-4b15-bac9-0ccae1763f72)) - (segment (start 119.15 88) (end 119.3 88.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 93194f2b-c953-4f03-9ba6-621f4c8855c9)) - (segment (start 113.7 90.15) (end 113.4 89.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp 98f602b0-fe9e-46f2-ab04-c3424a6a9403)) - (segment (start 109.2 96.5) (end 109.55 96.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp a15756fd-eca3-4b73-b34a-775b767534f2)) - (segment (start 114.15 89.7) (end 114.15 89.35) (width 0.2) (layer "F.Cu") (net 3) (tstamp a24b872b-faa6-4c77-91b3-8bd045e65c8b)) - (segment (start 109.55 96.15) (end 110.00048 95.69952) (width 0.2) (layer "F.Cu") (net 3) (tstamp a464f9ab-6061-46b7-a5f2-553c49d706e9)) - (segment (start 111.4 88.15) (end 111.4 88.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp a73bb2dd-b09f-40fd-ba37-b31cdd104039)) - (segment (start 111.45 93.45) (end 111.45 93.04) (width 0.2) (layer "F.Cu") (net 3) (tstamp ac126e94-3c6b-4e59-b50c-840c29d87164)) - (segment (start 120.05 89.24) (end 120.05 90) (width 0.2) (layer "F.Cu") (net 3) (tstamp aedc01b5-9c66-4f72-bfb5-f5b3c40841f4)) - (segment (start 111.377394 93.8) (end 111.723585 93.8) (width 0.2) (layer "F.Cu") (net 3) (tstamp b3ff6259-7b40-4732-87f5-a4951c616645)) - (segment (start 117.353204 98.414563) (end 117.353204 98.446796) (width 0.2) (layer "F.Cu") (net 3) (tstamp b8e481a6-346e-496d-8e39-ce7744d2af19)) - (segment (start 109.55 91.25) (end 109.45 91.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp bcadaad8-f06a-40f5-aa9b-da2744bb26d2)) - (segment (start 126.82 98.57) (end 126.38 98.57) (width 0.2) (layer "F.Cu") (net 3) (tstamp bd3b84a0-7c50-474a-b382-b741270d2712)) - (segment (start 108.75 96) (end 109.4 95.35) (width 0.2) (layer "F.Cu") (net 3) (tstamp bd9d0e05-0378-4a6f-b970-0dcdb7aec031)) - (segment (start 116.475772 99.415772) (end 116.72 99.66) (width 0.2) (layer "F.Cu") (net 3) (tstamp c0cb5d92-139e-431a-a9da-0a856a981f84)) - (segment (start 113.4 89.85) (end 112.89 89.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp c69863be-3006-439d-b134-a29dcd221a25)) - (segment (start 109.25 96.45) (end 109.55 96.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp dd0e0b3a-5979-45be-87ac-769585c416c2)) - (segment (start 115.05 93.05) (end 114.7 93.4) (width 0.2) (layer "F.Cu") (net 3) (tstamp f0e54e8f-85b4-4905-88a2-46118303d88c)) - (segment (start 112.35 93.45) (end 112.35 93.04) (width 0.2) (layer "F.Cu") (net 3) (tstamp f253567f-096c-41b3-88d6-18961de1147f)) - (segment (start 119.35 89.05) (end 119.365412 89.034588) (width 0.2) (layer "F.Cu") (net 3) (tstamp f545883e-1c6b-4d7c-b4c7-981e804da4c4)) - (segment (start 126.85 98.6) (end 126.82 98.57) (width 0.2) (layer "F.Cu") (net 3) (tstamp f8412248-46a2-4c47-90ee-f9f3a5fd5515)) - (segment (start 120.51 89.24) (end 120.75 89) (width 0.2) (layer "F.Cu") (net 3) (tstamp fa94b507-287e-4897-8bcc-641a6a9509bd)) - (segment (start 108 96.5) (end 109.2 96.5) (width 0.2) (layer "F.Cu") (net 3) (tstamp fc7bcb69-d263-4b30-a9f8-061cbac2a37f)) - (segment (start 115.206757 93.906757) (end 114.7 93.4) (width 0.2) (layer "F.Cu") (net 3) (tstamp fddb1aa2-9065-4768-b95e-f7ceed5f3e59)) - (via (at 114.7 93.4) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 175d93cd-2a91-4e22-af45-55facfcfe5ea)) - (via (at 123.371981 90.871981) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 32071249-72dd-4e1d-8950-0775c3f9f5f1)) - (via (at 111.4 88.85) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 343a4c18-d49f-4288-9f33-fc9d7ac2f534)) - (via (at 119.3 89.9) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 531f396c-f6aa-4020-9731-5466b12b4338)) - (via (at 107.256692 97.601839) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 5a245c53-29af-4559-851c-2d43d1fa53e0)) - (via (at 123.522528 93.677472) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 6032ae86-9594-44e1-9966-9b8a1cde2650)) - (via (at 116.610383 100.162874) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 64bdf249-5769-44ae-8d35-104dca9e73e7)) - (via (at 109.55 96.15) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 767b21f4-d8f3-4761-8cc9-6d54b0f9c2e6)) - (via (at 114.599988 90.338489) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 9277f89d-5b94-4112-9f0a-c9d3b3f23d07)) - (via (at 109.54375 91.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 9e39e6b1-79f5-44ee-b24d-99c617c6509a)) - (via (at 109.35 97.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 9f3269af-0052-425d-a694-f9787e28d212)) - (via (at 109.4 95.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp c16f9394-2c59-4811-b907-48e44490c1e9)) - (via (at 120.05 90) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp d6d13294-4829-4d21-811d-ff0114e4db00)) - (via (at 126.85 98.6) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp e73be583-7264-4763-a4ee-95db221f2c7b)) - (via (at 113.7 90.15) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp f7e54822-5781-4dd9-9fb1-799a2f4d21c6)) - (segment (start 107.256692 97.601839) (end 105.997667 98.860864) (width 0.2) (layer "B.Cu") (net 3) (tstamp 5f4cb965-129b-4302-bd56-1b69135664e2)) - (segment (start 105.997667 98.860864) (end 105.691241 98.860864) (width 0.2) (layer "B.Cu") (net 3) (tstamp 7342dce3-26c3-49e8-8a18-e62bed9770c1)) - (segment (start 105.5 99.052105) (end 105.5 99.5) (width 0.2) (layer "B.Cu") (net 3) (tstamp 93ddb852-8fba-458e-a17b-ff18e933d6c2)) - (segment (start 105.691241 98.860864) (end 105.5 99.052105) (width 0.2) (layer "B.Cu") (net 3) (tstamp ce618bb1-19c2-4d9d-995c-b92c4f31e3b4)) - (segment (start 110.3 95.400978) (end 110.3 97.85) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0ae91059-c3cb-42ca-b237-3365d95bbdf4)) - (segment (start 109.85 98) (end 110 98.15) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0b4bb15a-1e27-4d55-96f0-032794131652)) - (segment (start 110.3 97.85) (end 110 98.15) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0e8b54b8-a5f4-4bb5-915f-c2ac7f05bd90)) - (segment (start 113.75 93.55) (end 113.1 93.55) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0f6cb766-6eaf-4fea-a086-f05e99a5b2f0)) - (segment (start 107.6 98) (end 108.3875 98) (width 0.2) (layer "F.Cu") (net 4) (tstamp 23f5e88a-0302-4d06-b553-2187f4d0eceb)) - (segment (start 111.600489 94.100489) (end 110.3 95.400978) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2c75b0fd-8d39-4134-8230-331aada634fa)) - (segment (start 107.3 98.3) (end 107.6 98) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3302c029-167d-49d2-b5ff-cd148df7b448)) - (segment (start 108.3875 98) (end 109.85 98) (width 0.2) (layer "F.Cu") (net 4) (tstamp 41e19b2d-7e10-4f9e-a5ce-ab6142f2000b)) - (segment (start 111.45 90.85) (end 111.4 90.8) (width 0.2) (layer "F.Cu") (net 4) (tstamp 727b3430-554d-437a-aafb-4d6fec25d4ce)) - (segment (start 113.75 93.839087) (end 113.75 93.351544) (width 0.2) (layer "F.Cu") (net 4) (tstamp 74fcb366-7a76-42bd-89eb-06f7781dcb67)) - (segment (start 112.549511 94.100489) (end 111.600489 94.100489) (width 0.2) (layer "F.Cu") (net 4) (tstamp 8c6d2a68-1e86-4cd8-86da-9252a6831921)) - (segment (start 113.75 93.351544) (end 114.075772 93.025772) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9118ba1b-fae7-41f7-a08c-7f4e5226658b)) - (segment (start 112.015 90.85) (end 111.45 90.85) (width 0.2) (layer "F.Cu") (net 4) (tstamp e6b9734b-d777-4115-9b6b-6f4642e81329)) - (segment (start 114.524777 94.613864) (end 113.75 93.839087) (width 0.2) (layer "F.Cu") (net 4) (tstamp f1e4a8a1-2d87-4b30-a78f-15d7028dcade)) - (segment (start 113.1 93.55) (end 112.549511 94.100489) (width 0.2) (layer "F.Cu") (net 4) (tstamp faa47df8-079a-4cf0-9532-c75cf51ad6aa)) - (via (at 111.4 90.8) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 4) (tstamp 958707e8-b997-4b90-9087-5e8d8882ebad)) - (via (at 113.1 93.55) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 4) (tstamp c6dfcfad-b78e-4b0a-bdd6-77d6b57f67f2)) - (via (at 107.3 98.3) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 4) (tstamp e95ef1a6-5546-4606-adb0-ed585cd7e22a)) - (segment (start 112.141772 90.8) (end 111.4 90.8) (width 0.2) (layer "In2.Cu") (net 4) (tstamp 53fb8b3d-79fa-41a1-8725-77e3e02221be)) - (segment (start 112.724511 93.174511) (end 112.724511 91.382739) (width 0.2) (layer "In2.Cu") (net 4) (tstamp 565588b8-d806-4501-bcbc-8f11762b9853)) - (segment (start 112.724511 91.382739) (end 112.141772 90.8) (width 0.2) (layer "In2.Cu") (net 4) (tstamp b017e687-c51f-4b5d-b3b9-499c8ea8e8b7)) - (segment (start 113.1 93.55) (end 112.724511 93.174511) (width 0.2) (layer "In2.Cu") (net 4) (tstamp e1b673fa-8ee1-45f4-b232-39e01c42b033)) - (segment (start 107.3 98.3) (end 107.5 98.5) (width 0.2) (layer "B.Cu") (net 4) (tstamp 78c2700c-1397-49aa-94cf-3e81da6322fd)) - (segment (start 107.5 98.5) (end 107.5 99.5) (width 0.2) (layer "B.Cu") (net 4) (tstamp 8927d6ab-14ad-457a-b279-fd979b4e70eb)) - (segment (start 123.224228 92.575772) (end 123.224228 92.74023) (width 0.2) (layer "F.Cu") (net 5) (tstamp 0069077c-2696-4452-84a2-cd5ff0451c67)) - (segment (start 115.875683 97.770764) (end 116.292544 97.353903) (width 0.2) (layer "F.Cu") (net 5) (tstamp 02c7881a-9bb7-4b22-8600-a21ab3a673e3)) - (segment (start 122.078836 92.981825) (end 122.817843 92.981825) (width 0.2) (layer "F.Cu") (net 5) (tstamp 09a16221-b2d4-40a2-8da2-d1dd144dcad5)) - (segment (start 116.05 98.35) (end 116.05 98.132281) (width 0.2) (layer "F.Cu") (net 5) (tstamp 11828ab8-2e22-45e7-87b2-3360fd167c1c)) - (segment (start 115.875683 97.957964) (end 115.875683 97.770764) (width 0.2) (layer "F.Cu") (net 5) (tstamp 145374a2-2e5c-45af-9ab7-c2c7e3d6653d)) - (segment (start 122.817843 92.981825) (end 122.900238 93.06422) (width 0.2) (layer "F.Cu") (net 5) (tstamp 1bb72c91-8a1f-4452-863e-c720c53a8cdc)) - (segment (start 110.518912 100.17251) (end 110.95249 100.17251) (width 0.2) (layer "F.Cu") (net 5) (tstamp 831335d8-491a-4fe9-8e1a-75fda31b43b4)) - (segment (start 109.9 88.25) (end 109.75 88.1) (width 0.2) (layer "F.Cu") (net 5) (tstamp 8c60b26c-7368-490b-a57e-72cde9cb0666)) - (segment (start 116.05 98.132281) (end 115.875683 97.957964) (width 0.2) (layer "F.Cu") (net 5) (tstamp 91dd17d0-f577-46bb-adb3-790e600fc849)) - (segment (start 123.224228 92.74023) (end 122.900238 93.06422) (width 0.2) (layer "F.Cu") (net 5) (tstamp 972b4d65-002d-4cce-aae4-e05e509b2abe)) - (segment (start 110.45 88.25) (end 109.9 88.25) (width 0.2) (layer "F.Cu") (net 5) (tstamp a20646ea-d43f-4c44-9648-05cdfc77bbc2)) - (segment (start 109.6 87.95) (end 109.75 88.1) (width 0.2) (layer "F.Cu") (net 5) (tstamp a60aa31d-e72c-4ec1-b81a-da015d17ccdd)) - (segment (start 108.7875 87.95) (end 109.6 87.95) (width 0.2) (layer "F.Cu") (net 5) (tstamp a91cfffe-edeb-4392-851c-2bd64690fedd)) - (segment (start 121.86101 93.199651) (end 122.078836 92.981825) (width 0.2) (layer "F.Cu") (net 5) (tstamp cb7abaa2-0291-4674-8ba9-f74f4e6c8645)) - (segment (start 115.775772 98.624228) (end 116.05 98.35) (width 0.2) (layer "F.Cu") (net 5) (tstamp d1d5b029-72d8-4d3a-a188-c6e55ef5f532)) - (segment (start 110.95249 100.17251) (end 111.5 99.625) (width 0.2) (layer "F.Cu") (net 5) (tstamp ffc8e9d8-2c62-4d9e-a041-77e4f7d91267)) - (via (at 122.900238 93.06422) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 5) (tstamp 09910216-9b6b-4009-97fd-74625d7d82ea)) - (via (at 115.875683 97.957964) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 5) (tstamp 43faadc8-9523-4e65-ae82-cc2e5d8adf5c)) - (via (at 110.518912 100.17251) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 5) (tstamp 49eaee68-4c7e-4b3c-820b-2a5140567385)) - (via (at 109.75 88.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 5) (tstamp a74d3530-6a69-4061-b4b8-cec9761d8378)) - (segment (start 115.875683 97.957964) (end 115.033647 98.8) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 099bdf00-8e3e-4e8d-aef9-798414e68a8e)) - (segment (start 114.725489 89.425489) (end 115.65 90.35) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 112c73b6-5a28-45ea-8bcf-8418d3e581d5)) - (segment (start 109.65 88.1) (end 109.75 88.1) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 2b4a52a9-d086-4e87-847a-a42d2308233e)) - (segment (start 113.025489 89.425489) (end 114.725489 89.425489) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 2c0fefe6-bbf6-4cc1-81fc-75daed70795c)) - (segment (start 108.35 97.1) (end 108.35 89.4) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 449e0689-9862-44a0-bcb5-187fb3f00420)) - (segment (start 108.35 89.4) (end 109.65 88.1) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 58b2411e-1465-4934-ac68-74805cee7d3d)) - (segment (start 115.65 90.85) (end 116.05 91.25) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 637280e4-e6c2-4718-933b-8b1e6250b40e)) - (segment (start 110.224511 98.125489) (end 109.8 98.55) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 70950131-48f3-4bcb-98d3-2bb344790cec)) - (segment (start 113.7 98.8) (end 113.025489 98.125489) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 72ef6b17-1cda-4caf-88cc-ee4d7cf07021)) - (segment (start 113.025489 98.125489) (end 110.224511 98.125489) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 874b86e5-d265-4890-bb5b-e4a59db57603)) - (segment (start 109.8 98.55) (end 108.35 97.1) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 99bcc98a-7d06-472d-ab06-3e178b9baf37)) - (segment (start 111.7 88.1) (end 113.025489 89.425489) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 9fa160a2-d12d-4cd2-aee1-96d7c3ffdafd)) - (segment (start 110.518912 100.17251) (end 109.8 99.453598) (width 0.6) (layer "In2.Cu") (net 5) (tstamp a20bbc85-1f85-4945-b053-3195d2e034d0)) - (segment (start 115.65 90.35) (end 115.65 90.85) (width 0.6) (layer "In2.Cu") (net 5) (tstamp b62cde7a-dcd3-4aed-9a81-dec41b35d9f9)) - (segment (start 109.75 88.1) (end 111.7 88.1) (width 0.6) (layer "In2.Cu") (net 5) (tstamp c86175a1-c6f8-44fd-ab75-1a4dd7eb67b6)) - (segment (start 115.033647 98.8) (end 113.7 98.8) (width 0.6) (layer "In2.Cu") (net 5) (tstamp da2e90af-ad8a-4dd3-8fe0-2a69190089ea)) - (segment (start 109.8 99.453598) (end 109.8 98.55) (width 0.6) (layer "In2.Cu") (net 5) (tstamp de99e880-9f77-4d9d-9d4f-efd4d8d25c43)) - (segment (start 122.16422 93.06422) (end 122.900238 93.06422) (width 0.6) (layer "In2.Cu") (net 5) (tstamp deb258f3-1669-4178-bcc4-7fd16e9ac0f7)) - (segment (start 120.35 91.25) (end 122.16422 93.06422) (width 0.6) (layer "In2.Cu") (net 5) (tstamp e190346e-2d6e-42c5-94a0-46ea42d99ed9)) - (segment (start 116.05 91.25) (end 120.35 91.25) (width 0.6) (layer "In2.Cu") (net 5) (tstamp f85cfa34-7a4b-43bb-8e90-5ee065ce1d6c)) - (segment (start 110.518912 100.17251) (end 110.17251 100.17251) (width 0.2) (layer "B.Cu") (net 5) (tstamp 9df54906-d6bc-452a-b395-8a9a1ee46a87)) - (segment (start 110.17251 100.17251) (end 109.5 99.5) (width 0.2) (layer "B.Cu") (net 5) (tstamp bf1741c7-7b58-4434-88e5-28df45c7c3c0)) - (segment (start 122.6 99.85) (end 126.25 99.85) (width 0.125) (layer "F.Cu") (net 6) (tstamp 27208dd4-564d-43aa-8a1b-dd439c858351)) - (segment (start 121.882843 99.882843) (end 122.1 100.1) (width 0.125) (layer "F.Cu") (net 6) (tstamp 6c208f45-86fe-42ba-b51a-aee5142999ca)) - (segment (start 122.1 100.1) (end 122.35 100.1) (width 0.125) (layer "F.Cu") (net 6) (tstamp 79b86b85-25cd-41f8-bccc-370b887b9cf9)) - (segment (start 126.25 99.85) (end 126.38 99.72) (width 0.125) (layer "F.Cu") (net 6) (tstamp 837e9a9e-c603-4965-a02f-17145db4945d)) - (segment (start 122.35 100.1) (end 122.6 99.85) (width 0.125) (layer "F.Cu") (net 6) (tstamp ac525130-f130-491b-aa34-d04aae223cc5)) - (segment (start 126.38 99.72) (end 126.38 99.33) (width 0.125) (layer "F.Cu") (net 6) (tstamp c61a4f88-2d97-4a32-8757-68cb58a348db)) - (segment (start 125 98.9) (end 125.33 98.57) (width 0.125) (layer "F.Cu") (net 7) (tstamp 255636fb-1a4b-45b4-b254-0ff08ebb13ec)) - (segment (start 123.7 98.9) (end 125 98.9) (width 0.125) (layer "F.Cu") (net 7) (tstamp 27faaa12-69ee-46d6-815a-163786ee2c97)) - (segment (start 123.282843 98.482843) (end 123.7 98.9) (width 0.125) (layer "F.Cu") (net 7) (tstamp 46ca10a0-2b3f-4a51-89d5-efba303f3f46)) - (segment (start 125.33 98.57) (end 125.62 98.57) (width 0.125) (layer "F.Cu") (net 7) (tstamp 4de33345-4e04-4a20-b266-7bf2a3fc6b37)) - (segment (start 124.95 99.55) (end 123.15 99.55) (width 0.125) (layer "F.Cu") (net 8) (tstamp 299f5b3a-7533-433d-afbd-31fbc9b87e19)) - (segment (start 125.62 99.33) (end 125.17 99.33) (width 0.125) (layer "F.Cu") (net 8) (tstamp 548dc7ed-a3df-4ca7-af4a-33371881c8d7)) - (segment (start 125.17 99.33) (end 124.95 99.55) (width 0.125) (layer "F.Cu") (net 8) (tstamp 89311193-51cf-4e30-bb59-efa6dd9d2ad9)) - (segment (start 122.582843 99.182843) (end 122.95 99.55) (width 0.125) (layer "F.Cu") (net 8) (tstamp 91d38618-a080-4165-b7b1-838b672ec208)) - (segment (start 122.95 99.55) (end 123.15 99.55) (width 0.125) (layer "F.Cu") (net 8) (tstamp a9b5a8af-ce38-4253-8030-d2a2d99cc840)) - (segment (start 103 96.55) (end 103.8625 96.55) (width 0.125) (layer "F.Cu") (net 9) (tstamp 1a7ce44c-660f-4952-b1ea-3afac9cb8ab5)) - (segment (start 102.85 96.4) (end 103 96.55) (width 0.125) (layer "F.Cu") (net 9) (tstamp 47be0e20-4ddd-47b5-8bec-996ad2856802)) - (segment (start 102.4 94.25) (end 102.85 94.7) (width 0.125) (layer "F.Cu") (net 9) (tstamp 7a6d336a-4ff7-43fa-abf9-8e432f6c9755)) - (segment (start 101.05 94.25) (end 102.4 94.25) (width 0.125) (layer "F.Cu") (net 9) (tstamp 88e1f3da-5219-4430-bd49-720d6ada710f)) - (segment (start 102.85 94.7) (end 102.85 96.4) (width 0.125) (layer "F.Cu") (net 9) (tstamp aefd3fbf-26f5-479b-9101-276f598b0333)) - (segment (start 122.2 92.15) (end 121.95 92.15) (width 0.125) (layer "F.Cu") (net 10) (tstamp 0ee4e6dc-a0b4-4966-9023-023a300cad3d)) - (segment (start 121.723223 91.923223) (end 122.75 90.896447) (width 0.125) (layer "F.Cu") (net 10) (tstamp 200957f3-28bc-4bfb-9903-c69c066cfc03)) - (segment (start 122.417157 91.932843) (end 122.2 92.15) (width 0.125) (layer "F.Cu") (net 10) (tstamp 3a3c817d-125c-404e-bbd0-a7fe6c521806)) - (segment (start 121.95 92.15) (end 121.723223 91.923223) (width 0.125) (layer "F.Cu") (net 10) (tstamp 4568f9f2-c715-4c77-8fe2-4a9c7b07984b)) - (segment (start 122.75 90.896447) (end 122.75 90.45) (width 0.125) (layer "F.Cu") (net 10) (tstamp 997399fd-295e-45f7-b355-4cbad21dc3a8)) - (segment (start 121.153903 92.492544) (end 121.723223 91.923223) (width 0.125) (layer "F.Cu") (net 10) (tstamp fa62c284-6322-413f-94ae-dac01c6e4f5e)) - (via (at 122.75 90.45) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 10) (tstamp 5c131389-ee36-4507-ac90-262a3986add0)) - (segment (start 123.5 89.7) (end 123.5 88.5) (width 0.125) (layer "B.Cu") (net 10) (tstamp 2898bff9-b4c9-4f4f-8b54-6833a157cf16)) - (segment (start 122.75 90.45) (end 123.5 89.7) (width 0.125) (layer "B.Cu") (net 10) (tstamp e26ac22d-5d52-4bfc-82c1-06641778b63e)) - (segment (start 116.4 91.15) (end 116.5 91.15) (width 0.125) (layer "F.Cu") (net 11) (tstamp 0706b704-3fb2-4de6-850e-6254084e696e)) - (segment (start 116.5 91.15) (end 116.637491 91.012509) (width 0.125) (layer "F.Cu") (net 11) (tstamp 13d8d434-3fba-43eb-a1d5-4599d1b88651)) - (segment (start 116.182843 90.932843) (end 116.4 91.15) (width 0.125) (layer "F.Cu") (net 11) (tstamp 4beadba8-370a-4c49-b8f9-bb99637182c7)) - (segment (start 116.637491 90.487491) (end 116.4 90.25) (width 0.125) (layer "F.Cu") (net 11) (tstamp 5543d72d-5073-4a7f-afd7-38377ba4dd2f)) - (segment (start 116.637491 91.012509) (end 116.637491 90.487491) (width 0.125) (layer "F.Cu") (net 11) (tstamp 8271cf4c-56b3-4a75-a094-a5caf0c2dc07)) - (segment (start 121.15 91.789339) (end 120.800349 92.13899) (width 0.125) (layer "F.Cu") (net 11) (tstamp d83118e0-2808-4d79-b575-8776dd707276)) - (segment (start 120.896377 90.771778) (end 121.15 91.025401) (width 0.125) (layer "F.Cu") (net 11) (tstamp f7ec46eb-d016-4bd9-8130-fdaedf921aa4)) - (segment (start 121.15 91.025401) (end 121.15 91.789339) (width 0.125) (layer "F.Cu") (net 11) (tstamp fdd4a615-4105-4c0b-8e57-edb947cac35a)) - (via (at 116.4 90.25) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 11) (tstamp 6d9eb038-49b2-4dea-9257-da7988be3e43)) - (via (at 120.896377 90.771778) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 11) (tstamp 774b334a-5c2e-4795-a9ae-949117020955)) - (segment (start 116.9 90.75) (end 116.4 90.25) (width 0.125) (layer "In2.Cu") (net 11) (tstamp 9b963213-888f-4692-9559-153ee713d420)) - (segment (start 120.874599 90.75) (end 116.9 90.75) (width 0.125) (layer "In2.Cu") (net 11) (tstamp a6a20d52-18ab-428c-b967-0a27e495e552)) - (segment (start 120.896377 90.771778) (end 120.874599 90.75) (width 0.125) (layer "In2.Cu") (net 11) (tstamp e4cd93bb-66b8-492f-b9a5-da0f50ce43cd)) - (segment (start 121.5 90.168155) (end 121.5 88.5) (width 0.125) (layer "B.Cu") (net 11) (tstamp 064f747c-42cf-475e-8295-e8b336b053e4)) - (segment (start 120.896377 90.771778) (end 121.5 90.168155) (width 0.125) (layer "B.Cu") (net 11) (tstamp 861a0cfd-cfc2-409a-8b83-72e83b67474c)) - (segment (start 117.45 91.175127) (end 117.706757 91.431884) (width 0.125) (layer "F.Cu") (net 12) (tstamp 067d299a-8e10-4177-857e-ea374d6813c5)) - (segment (start 113.4 87.95) (end 113.5 88.05) (width 0.125) (layer "F.Cu") (net 12) (tstamp 09854350-9635-4e9f-b3dd-3decf0af5bdc)) - (segment (start 117.45 90.162) (end 117.45 91.175127) (width 0.125) (layer "F.Cu") (net 12) (tstamp 34e17063-8db1-4c18-a4a9-c84c60266193)) - (segment (start 117.562 90.05) (end 117.45 90.162) (width 0.125) (layer "F.Cu") (net 12) (tstamp 4083f32a-138e-4671-8b45-a5f6a4bf79d6)) - (segment (start 113.5 88.388) (end 113.5 88.05) (width 0.125) (layer "F.Cu") (net 12) (tstamp a695b57b-69b3-4aaf-972c-b6771e6c8717)) - (segment (start 112.9 87.95) (end 113.4 87.95) (width 0.125) (layer "F.Cu") (net 12) (tstamp dff64e5c-8825-4576-a6df-3c1cf6a74709)) - (segment (start 113.538 88.35) (end 114.15 88.35) (width 0.125) (layer "F.Cu") (net 12) (tstamp f7de5b04-b96a-42b4-85b2-d5f8540f84fc)) - (via (at 113.5 88.388) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 12) (tstamp 17bd4c4e-a426-429d-ab0c-287edf88184a)) - (via (at 117.562 90.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 12) (tstamp 52bf7cca-1d2c-4f5b-9c64-06c7846efe10)) - (segment (start 113.719323 88.607323) (end 113.5 88.388) (width 0.125) (layer "In2.Cu") (net 12) (tstamp 3f21b4cf-050a-4447-b134-099665ac42b3)) - (segment (start 116.457323 88.607323) (end 113.719323 88.607323) (width 0.125) (layer "In2.Cu") (net 12) (tstamp 95a28c5e-00dd-4f92-bb95-884487aebc10)) - (segment (start 117.562 89.712) (end 116.457323 88.607323) (width 0.125) (layer "In2.Cu") (net 12) (tstamp adf060cd-a020-4ed9-8bab-7aad9f162287)) - (segment (start 117.562 90.05) (end 117.562 89.712) (width 0.125) (layer "In2.Cu") (net 12) (tstamp bec29047-97fd-4f27-acbf-084f63301b9e)) - (segment (start 103.55 89.45) (end 103.55 89.1) (width 0.125) (layer "F.Cu") (net 13) (tstamp 290135d3-3511-451a-b54c-841675fccda7)) - (segment (start 106.50625 89.95) (end 106.34423 90.11202) (width 0.125) (layer "F.Cu") (net 13) (tstamp 2c79af53-aad1-4098-baf0-20afdf9bb77f)) - (segment (start 106.34423 90.11202) (end 104.21202 90.11202) (width 0.125) (layer "F.Cu") (net 13) (tstamp 4a89a90c-ad2a-4e37-b3b0-be4d802f4073)) - (segment (start 104.21202 90.11202) (end 103.55 89.45) (width 0.125) (layer "F.Cu") (net 13) (tstamp b70afcac-3389-4d8e-b5bf-a6954b44bc46)) - (segment (start 106.4 93.05) (end 106.3 93.15) (width 0.125) (layer "F.Cu") (net 14) (tstamp 055238cd-7d35-44e2-8010-15ad9e18d480)) - (segment (start 105.8 93.15) (end 105.45 93.5) (width 0.125) (layer "F.Cu") (net 14) (tstamp 08cfb7a5-28b3-4c22-873c-0396efbd7b71)) - (segment (start 107.95 89.95) (end 107.15 90.75) (width 0.125) (layer "F.Cu") (net 14) (tstamp 2fcd7811-4bb0-4a10-8be2-f85c747b1c02)) - (segment (start 106.95 93.05) (end 106.4 93.05) (width 0.125) (layer "F.Cu") (net 14) (tstamp 570e0635-7856-4fec-bf29-03a2bd6a028c)) - (segment (start 105.45 93.5) (end 103.65 93.5) (width 0.125) (layer "F.Cu") (net 14) (tstamp 73bdde1e-169c-49c4-b990-6f980affdaf0)) - (segment (start 107.15 92.85) (end 106.95 93.05) (width 0.125) (layer "F.Cu") (net 14) (tstamp 7eff082a-214b-48fd-af1e-8b5a04f7ee8e)) - (segment (start 108.78125 89.95) (end 107.95 89.95) (width 0.125) (layer "F.Cu") (net 14) (tstamp a0827622-f328-4a82-a94b-222950aa7d6b)) - (segment (start 107.15 90.75) (end 107.15 92.85) (width 0.125) (layer "F.Cu") (net 14) (tstamp a9065798-12b4-48db-8038-4a64d7905711)) - (segment (start 103.65 93.5) (end 103.55 93.4) (width 0.125) (layer "F.Cu") (net 14) (tstamp ad4869d4-3d61-4193-8473-23e141dd0e54)) - (segment (start 106.3 93.15) (end 105.8 93.15) (width 0.125) (layer "F.Cu") (net 14) (tstamp edeb17d8-f8c5-4fe3-ae7d-335aff9df724)) - (segment (start 103.55 93.4) (end 103.55 93.05) (width 0.125) (layer "F.Cu") (net 14) (tstamp f0c87c23-94ee-4f5a-a43f-eebdd08d1d11)) - (segment (start 121.317157 99.317157) (end 121.1 99.1) (width 0.125) (layer "F.Cu") (net 17) (tstamp 07ff171c-55c2-41ea-90db-3269f98fd593)) - (segment (start 120.425127 99.1) (end 120.093243 98.768116) (width 0.125) (layer "F.Cu") (net 17) (tstamp 1112924a-6a82-433b-a9e1-caee9860bf62)) - (segment (start 121.1 99.1) (end 120.425127 99.1) (width 0.125) (layer "F.Cu") (net 17) (tstamp 7e07c487-8435-4acc-8f5b-089a0a3367fa)) - (segment (start 120.446796 98.414563) (end 120.832233 98.8) (width 0.125) (layer "F.Cu") (net 18) (tstamp 00a9f7ec-8d55-46b1-a676-58e97e5ce788)) - (segment (start 121.8 98.5) (end 121.967157 98.667157) (width 0.125) (layer "F.Cu") (net 18) (tstamp 090fb8e3-52ee-4869-93c0-8785a8073a5f)) - (segment (start 120.832233 98.8) (end 121.15 98.8) (width 0.125) (layer "F.Cu") (net 18) (tstamp 8fa01494-b5d3-444c-8760-0fed491e2efe)) - (segment (start 121.15 98.8) (end 121.45 98.5) (width 0.125) (layer "F.Cu") (net 18) (tstamp bac6442a-d028-4e47-b21c-26978230b76c)) - (segment (start 121.45 98.5) (end 121.8 98.5) (width 0.125) (layer "F.Cu") (net 18) (tstamp bceb3c5b-f4fe-4508-abd6-813b00c7bca1)) - (segment (start 122.45 97.65) (end 122.717157 97.917157) (width 0.125) (layer "F.Cu") (net 19) (tstamp 4789f033-5931-47f8-b97b-6574060213b0)) - (segment (start 121.508665 98.241335) (end 122.1 97.65) (width 0.125) (layer "F.Cu") (net 19) (tstamp 64c2647e-9b85-446b-b789-45924739aa01)) - (segment (start 120.800349 98.06101) (end 120.980674 98.241335) (width 0.125) (layer "F.Cu") (net 19) (tstamp 6d0a659d-d132-4d14-8c53-a4286129384b)) - (segment (start 120.980674 98.241335) (end 121.508665 98.241335) (width 0.125) (layer "F.Cu") (net 19) (tstamp b7eeaa15-56ea-49eb-96a3-a26262f8403a)) - (segment (start 122.1 97.65) (end 122.45 97.65) (width 0.125) (layer "F.Cu") (net 19) (tstamp d43696fe-5631-4729-aea2-3accf2131d5b)) - (segment (start 107.8 93.05) (end 108.8 93.05) (width 0.125) (layer "F.Cu") (net 20) (tstamp 0a230aa1-dd36-4ad1-b1f3-1a6e35430596)) - (segment (start 102.463948 100.180819) (end 102.669181 100.180819) (width 0.125) (layer "F.Cu") (net 20) (tstamp 14127424-0f91-4793-8a8a-36511132b3fd)) - (segment (start 106.01298 100.04952) (end 106.1125 99.95) (width 0.125) (layer "F.Cu") (net 20) (tstamp 32a09316-537b-49a3-b2b3-1f44b3361837)) - (segment (start 107.4 93.45) (end 107.8 93.05) (width 0.125) (layer "F.Cu") (net 20) (tstamp 4fcc7bda-0325-43cc-8d89-7926efc981f5)) - (segment (start 103.19952 100.04952) (end 106.01298 100.04952) (width 0.125) (layer "F.Cu") (net 20) (tstamp 5a003d5b-b190-4881-8488-fe37c0a4523c)) - (segment (start 103 99.4) (end 103 99.85) (width 0.125) (layer "F.Cu") (net 20) (tstamp 80164433-9c39-4045-b514-10bd50a627de)) - (segment (start 103 99.85) (end 103.19952 100.04952) (width 0.125) (layer "F.Cu") (net 20) (tstamp b7685028-053b-4bb3-a332-3cd0d203bb9c)) - (segment (start 102.669181 100.180819) (end 103 99.85) (width 0.125) (layer "F.Cu") (net 20) (tstamp ef95896f-b7c7-403f-bf00-6ed92781f0c6)) - (via (at 107.4 93.45) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 20) (tstamp 4e91f358-dd3d-46c8-9f39-d8841d50304d)) - (via (at 102.463948 100.180819) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 20) (tstamp b8a11da5-d6d7-42cd-95c0-9d136198090a)) - (segment (start 104.719181 100.180819) (end 102.463948 100.180819) (width 0.125) (layer "In2.Cu") (net 20) (tstamp 64a25608-e188-49a6-80ac-798e0a3ce67f)) - (segment (start 106.45 98.45) (end 104.719181 100.180819) (width 0.125) (layer "In2.Cu") (net 20) (tstamp a707a145-80e0-41ca-8658-5fe4f79ea5d0)) - (segment (start 106.45 94.4) (end 106.45 98.45) (width 0.125) (layer "In2.Cu") (net 20) (tstamp a75773f5-e2fe-48c5-8b40-192606bac84f)) - (segment (start 107.4 93.45) (end 106.45 94.4) (width 0.125) (layer "In2.Cu") (net 20) (tstamp b1ba0365-ac78-4a96-8449-df4d5b2bb292)) - (segment (start 103.45 94.65) (end 102.55 93.75) (width 0.125) (layer "F.Cu") (net 21) (tstamp 419fce0e-29ae-4389-86f6-b8ee5b6f2ef8)) - (segment (start 101.05 93.75) (end 102.55 93.75) (width 0.125) (layer "F.Cu") (net 21) (tstamp cc105d92-fdc1-408c-b885-ebd786dd61dd)) - (segment (start 103.8625 94.65) (end 103.45 94.65) (width 0.125) (layer "F.Cu") (net 21) (tstamp e5440c57-e1d8-4d63-b669-0e7ae11da3c2)) - (segment (start 105.35 96.55) (end 106.1375 96.55) (width 0.125) (layer "F.Cu") (net 22) (tstamp 5b4b2a59-3684-41e7-9c58-e5ffd00cbed4)) - (segment (start 105.25 96.45) (end 105.35 96.55) (width 0.125) (layer "F.Cu") (net 22) (tstamp 69300ec7-773a-43ef-af34-bebfbd65386c)) - (segment (start 107.2 94.5) (end 106.8 94.1) (width 0.125) (layer "F.Cu") (net 22) (tstamp 6a817d72-fbbe-464f-a1c1-f631269e9044)) - (segment (start 105.4 94.1) (end 105.25 94.25) (width 0.125) (layer "F.Cu") (net 22) (tstamp 9f06142f-921c-4b20-b435-ad291257999d)) - (segment (start 106.8 94.1) (end 105.4 94.1) (width 0.125) (layer "F.Cu") (net 22) (tstamp c6636265-921e-40ab-8493-b854ee8e355b)) - (segment (start 105.25 94.25) (end 105.25 96.45) (width 0.125) (layer "F.Cu") (net 22) (tstamp f78ba561-c3b2-414c-9a6b-532059260600)) - (segment (start 107.95 94.5) (end 107.2 94.5) (width 0.125) (layer "F.Cu") (net 22) (tstamp fbe56419-d444-45f6-b82a-9a6714b5abe6)) - (segment (start 107.95 95) (end 107.2 95) (width 0.2) (layer "F.Cu") (net 23) (tstamp 24be7a8b-4212-4b48-a528-adee53432085)) - (segment (start 106.85 94.65) (end 106.1375 94.65) (width 0.2) (layer "F.Cu") (net 23) (tstamp 47ec70e9-1236-4130-895d-c27e77f59ce7)) - (segment (start 107.2 95) (end 106.85 94.65) (width 0.2) (layer "F.Cu") (net 23) (tstamp d7f2379d-9b8b-49fd-8f3c-be9aa810ffa2)) - (segment (start 114.83 97.68) (end 114.74 97.68) (width 0.2) (layer "F.Cu") (net 24) (tstamp 288b5f80-d9d5-4aca-aaef-12748c9c2fe5)) - (segment (start 111.5 97.4) (end 111.65 97.25) (width 0.2) (layer "F.Cu") (net 24) (tstamp 2d55a69d-4b2f-4ec7-af8b-2774a9eeba02)) - (segment (start 111.65 97.25) (end 112.95 97.25) (width 0.2) (layer "F.Cu") (net 24) (tstamp 647c10c2-78f4-4ec0-a527-b058ec7ffade)) - (segment (start 113.05 97.35) (end 113.05 97.5) (width 0.2) (layer "F.Cu") (net 24) (tstamp 7fb1c9e6-cdee-4c8e-af1d-69eccf632962)) - (segment (start 112.95 97.25) (end 113.05 97.35) (width 0.2) (layer "F.Cu") (net 24) (tstamp 9609db41-1fae-4631-afed-7c51a015d15d)) - (segment (start 111.5 97.975) (end 111.5 97.4) (width 0.2) (layer "F.Cu") (net 24) (tstamp a9870d0a-6884-40f5-8d89-44efcecd5e64)) - (segment (start 114.56 97.5) (end 113.05 97.5) (width 0.2) (layer "F.Cu") (net 24) (tstamp b5105e8a-6ac0-4599-838d-10d8581fc4ad)) - (segment (start 115.93899 97.06101) (end 115.075772 97.924228) (width 0.2) (layer "F.Cu") (net 24) (tstamp b730e66e-f99a-4bb3-a604-e1616c5fac3e)) - (segment (start 115.93899 97.000349) (end 115.93899 97.06101) (width 0.2) (layer "F.Cu") (net 24) (tstamp c5e2a405-a035-4470-a471-3bca6c3d44c4)) - (segment (start 115.075772 97.924228) (end 115.074228 97.924228) (width 0.2) (layer "F.Cu") (net 24) (tstamp c7ec99bd-bdee-4704-8a34-77980b73d58b)) - (segment (start 114.74 97.68) (end 114.56 97.5) (width 0.2) (layer "F.Cu") (net 24) (tstamp d0c6f201-9bdc-4075-9609-b5cb27190ea1)) - (segment (start 115.074228 97.924228) (end 114.83 97.68) (width 0.2) (layer "F.Cu") (net 24) (tstamp d566634b-b8c9-485c-819a-0760745e2a1e)) - (segment (start 113.05 97.5) (end 113.05 98.15) (width 0.2) (layer "F.Cu") (net 24) (tstamp e055ee16-63f5-485b-ac53-7b690a6a4898)) - (segment (start 110.707631 98.894377) (end 110.6 98.786746) (width 0.125) (layer "F.Cu") (net 25) (tstamp 1e38d138-54e1-48e5-b60a-b40c901ba840)) - (segment (start 110.6 98.786746) (end 110.6 95.925) (width 0.125) (layer "F.Cu") (net 25) (tstamp 2b7ec2dd-02ba-4906-bf24-7ccb4e2c3838)) - (segment (start 111.525 95) (end 112.4 95) (width 0.125) (layer "F.Cu") (net 25) (tstamp 2f4b0be9-a655-42a0-b446-833f5e02fc70)) - (segment (start 110.6 95.925) (end 111.525 95) (width 0.125) (layer "F.Cu") (net 25) (tstamp 36798b4b-0abf-423d-a82e-bdcb7b025baa)) - (segment (start 113.1 95) (end 114 95.9) (width 0.125) (layer "F.Cu") (net 25) (tstamp 4ba8e5f6-597e-430c-bcdc-190439e0497d)) - (segment (start 114.210913 95.9) (end 114.524777 95.586136) (width 0.125) (layer "F.Cu") (net 25) (tstamp 8407686c-d4b9-49b3-9d91-4a0ceb4a76d2)) - (segment (start 114 95.9) (end 114.210913 95.9) (width 0.125) (layer "F.Cu") (net 25) (tstamp b5598728-fde6-4bc7-98ed-94af4952524d)) - (segment (start 112.4 95) (end 113.1 95) (width 0.125) (layer "F.Cu") (net 25) (tstamp c1cf450e-745c-46fc-8bdc-e99a7bec9948)) - (via (at 110.707631 98.894377) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 25) (tstamp 70132174-4468-4380-99c5-b60c3b0f9cf0)) - (segment (start 110.707631 98.894377) (end 110.894377 98.894377) (width 0.125) (layer "B.Cu") (net 25) (tstamp 696b9343-ce13-462a-8fcd-14f5f47a78b6)) - (segment (start 110.894377 98.894377) (end 111.5 99.5) (width 0.125) (layer "B.Cu") (net 25) (tstamp b13953a8-42ca-448b-baaf-6e5c231a8b33)) - (segment (start 102.1936 94.75) (end 102.3468 94.9032) (width 0.2) (layer "F.Cu") (net 28) (tstamp 25a52c06-a30d-4927-a844-fd55b1a6fff9)) - (segment (start 103.55 91.2936) (end 103.206258 91.637342) (width 0.2) (layer "F.Cu") (net 28) (tstamp 622eb8c5-fc33-4ad8-b731-e90cdae89f24)) - (segment (start 103.55 91.05) (end 103.55 91.2936) (width 0.2) (layer "F.Cu") (net 28) (tstamp 67c72074-d6b0-4161-97a6-03405f6f80d9)) - (segment (start 101.05 94.75) (end 102.1936 94.75) (width 0.2) (layer "F.Cu") (net 28) (tstamp f859b5c3-3829-4784-931d-3becbb978ad3)) - (via (at 102.3468 94.9032) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 28) (tstamp 39b0bbc1-9017-40be-adbd-86d2ef5900c9)) - (via (at 103.206258 91.637342) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 28) (tstamp 889ba407-962c-49fe-99e8-e827914f9e73)) - (segment (start 103.206258 91.637342) (end 103.206258 94.043742) (width 0.2) (layer "In2.Cu") (net 28) (tstamp 11cedb14-b9ae-4f37-83c4-cc5891cc3ba1)) - (segment (start 103.206258 94.043742) (end 102.3468 94.9032) (width 0.2) (layer "In2.Cu") (net 28) (tstamp ef9b7800-93b3-48ff-8716-677214474f76)) - (segment (start 117.602576 89.1) (end 117.35 89.1) (width 0.125) (layer "F.Cu") (net 47) (tstamp 051434ad-dc20-4b80-87b9-86fd4074b872)) - (segment (start 117.1 89.35) (end 117.1 91.532233) (width 0.125) (layer "F.Cu") (net 47) (tstamp 0e6e98e9-49a4-4b84-8308-169d38a7879e)) - (segment (start 117.35 88.65) (end 117.35 89.1) (width 0.125) (layer "F.Cu") (net 47) (tstamp 4f8ca137-acfa-4a4d-b896-1332de465087)) - (segment (start 117.503018 88.496982) (end 117.35 88.65) (width 0.125) (layer "F.Cu") (net 47) (tstamp 9905316d-eab2-4d07-8967-0bb8d044830b)) - (segment (start 118.183368 88.496982) (end 117.503018 88.496982) (width 0.125) (layer "F.Cu") (net 47) (tstamp 9f9bd24c-496c-4b7c-8ebd-52530885a0ff)) - (segment (start 117.1 91.532233) (end 117.353204 91.785437) (width 0.125) (layer "F.Cu") (net 47) (tstamp b365a089-e747-4e8c-ada3-ab2863dca6b2)) - (segment (start 116.65 89.35) (end 117.1 89.35) (width 0.125) (layer "F.Cu") (net 47) (tstamp b8a72ee2-9ddc-4652-acba-292fac5a60b7)) - (segment (start 117.35 89.1) (end 117.1 89.35) (width 0.125) (layer "F.Cu") (net 47) (tstamp ff54566d-f8f2-4531-9148-99aa94de02f9)) - (via (at 118.183368 88.496982) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 47) (tstamp d3287eae-fc3f-46d7-b72e-c42400610a2f)) - (segment (start 118.183368 88.496982) (end 118.18035 88.5) (width 0.125) (layer "B.Cu") (net 47) (tstamp 3eb38e28-037f-4a5e-b893-3fcb3b39943b)) - (segment (start 118.18035 88.5) (end 117.5 88.5) (width 0.125) (layer "B.Cu") (net 47) (tstamp b824efbd-32e7-43fc-b507-4960aa217eff)) - (segment (start 116.862011 90.012011) (end 116.862011 91.237989) (width 0.125) (layer "F.Cu") (net 48) (tstamp 06d3b9a2-871e-430d-82c5-4db011801570)) - (segment (start 116.862011 91.237989) (end 116.6 91.5) (width 0.125) (layer "F.Cu") (net 48) (tstamp 2777f052-0e65-47b0-83ac-b3120e3721fa)) - (segment (start 116.25 89.75) (end 116.6 89.75) (width 0.125) (layer "F.Cu") (net 48) (tstamp 3e88de89-0ac6-4bc0-bf98-e5c8845a6b63)) - (segment (start 116.6 89.75) (end 116.862011 90.012011) (width 0.125) (layer "F.Cu") (net 48) (tstamp 46bf2ea4-c97f-4746-b558-2d55b3e08fe9)) - (segment (start 115.98624 89.094334) (end 115.98624 89.48624) (width 0.125) (layer "F.Cu") (net 48) (tstamp 5deac3ff-ee62-4b96-9087-7d9c729241b7)) - (segment (start 116.6 91.739339) (end 116.999651 92.13899) (width 0.125) (layer "F.Cu") (net 48) (tstamp 68bc27a6-d240-4796-ba76-90f6d5a3a992)) - (segment (start 116.2 88.85) (end 116.65 88.85) (width 0.125) (layer "F.Cu") (net 48) (tstamp 9a7608b4-6aee-4d6a-840b-292b12319b76)) - (segment (start 115.98624 89.094334) (end 115.98624 89.06376) (width 0.125) (layer "F.Cu") (net 48) (tstamp bb1eef5a-04d6-4146-95fb-5c608c65b4c8)) - (segment (start 115.98624 89.06376) (end 116.2 88.85) (width 0.125) (layer "F.Cu") (net 48) (tstamp df6cf12f-89b6-499d-94fd-4b89e6576462)) - (segment (start 115.98624 89.48624) (end 116.25 89.75) (width 0.125) (layer "F.Cu") (net 48) (tstamp e833ad04-c8c0-4a55-ad5e-79c4ed41451d)) - (segment (start 116.6 91.5) (end 116.6 91.739339) (width 0.125) (layer "F.Cu") (net 48) (tstamp edf76769-819b-4f27-89b2-bac9a4c299a6)) - (via (at 115.98624 89.094334) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 48) (tstamp baeff6c1-3e4d-4e67-b8ec-5c019a4fb323)) - (segment (start 115.98624 88.98624) (end 115.5 88.5) (width 0.125) (layer "B.Cu") (net 48) (tstamp 75d937c7-d5c5-4b21-865e-c240478c0377)) - (segment (start 115.98624 89.094334) (end 115.98624 88.98624) (width 0.125) (layer "B.Cu") (net 48) (tstamp b1fc4732-fa40-404c-bc2b-2e20ef795f10)) - (segment (start 118.4 89.9) (end 118.390791 89.9) (width 0.125) (layer "F.Cu") (net 49) (tstamp 02db54ab-ce11-41b2-a00d-dc21cfb7c93a)) - (segment (start 117.842485 90.448306) (end 117.842485 90.860504) (width 0.125) (layer "F.Cu") (net 49) (tstamp 311fcc96-2b38-47a3-8779-75916ff0d649)) - (segment (start 118.390791 89.9) (end 117.842485 90.448306) (width 0.125) (layer "F.Cu") (net 49) (tstamp 37e017af-2338-4fcb-b45f-397bf9e44b90)) - (segment (start 117.842485 90.860504) (end 118.060311 91.07833) (width 0.125) (layer "F.Cu") (net 49) (tstamp 3f4ed1ca-2533-498e-a896-24695784df0b)) - (segment (start 114.606642 87.860883) (end 114.595759 87.85) (width 0.125) (layer "F.Cu") (net 49) (tstamp a4e94f5f-f811-4f29-b498-cc2e61160cae)) - (segment (start 114.595759 87.85) (end 114.15 87.85) (width 0.125) (layer "F.Cu") (net 49) (tstamp dff9b9c4-26f1-4f53-8e49-640a7df47545)) - (via (at 118.4 89.9) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 49) (tstamp 312ccdba-3643-4751-9a74-d920e2599af2)) - (via (at 114.606642 87.860883) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 49) (tstamp 3c31b827-f909-4f4e-9238-1d49250e3f3e)) - (segment (start 118.4 89.9) (end 116.857803 88.357803) (width 0.125) (layer "In2.Cu") (net 49) (tstamp 8c54937d-9ea6-4999-a298-1d663afd5ff5)) - (segment (start 115.103562 88.357803) (end 114.606642 87.860883) (width 0.125) (layer "In2.Cu") (net 49) (tstamp d77b43c6-84c9-46d8-9cd9-9b61f94718fd)) - (segment (start 116.857803 88.357803) (end 115.103562 88.357803) (width 0.125) (layer "In2.Cu") (net 49) (tstamp d7ef5a2f-c4ee-4b8b-96d7-f2b222dd988c)) - (segment (start 119.5 88.8) (end 119.5 88.5) (width 0.125) (layer "B.Cu") (net 49) (tstamp 4a07b7f6-e0a2-48d7-9357-c1d78824814b)) - (segment (start 118.4 89.9) (end 119.5 88.8) (width 0.125) (layer "B.Cu") (net 49) (tstamp 5f92749e-d901-43e2-9830-cd67adc28c43)) - (segment (start 110.86298 98.36298) (end 110.86298 96.18702) (width 0.125) (layer "F.Cu") (net 57) (tstamp 072d91d6-6222-4938-ae3d-43a30dfdc0f5)) - (segment (start 112.4 100.1) (end 112.4 99.269013) (width 0.125) (layer "F.Cu") (net 57) (tstamp 08debcd5-0ba4-4797-b9f0-b08019a25f4b)) - (segment (start 114 96.3) (end 114.518019 96.3) (width 0.125) (layer "F.Cu") (net 57) (tstamp 123a6091-225d-463d-8e52-fdf50203bfe4)) - (segment (start 111.2 98.7) (end 110.86298 98.36298) (width 0.125) (layer "F.Cu") (net 57) (tstamp 17e30a86-f3b5-434c-b8a3-78cbf782dbc1)) - (segment (start 114.518019 96.3) (end 114.87833 95.939689) (width 0.125) (layer "F.Cu") (net 57) (tstamp 184f667b-eb01-432e-9e49-b4218c23253e)) - (segment (start 111.830987 98.7) (end 111.2 98.7) (width 0.125) (layer "F.Cu") (net 57) (tstamp 5756121e-a0f9-4b60-a061-e1089a91cbf4)) - (segment (start 111.55 95.5) (end 113.2 95.5) (width 0.125) (layer "F.Cu") (net 57) (tstamp b7522179-5dbe-42d3-9632-fa71556972bd)) - (segment (start 113.2 95.5) (end 114 96.3) (width 0.125) (layer "F.Cu") (net 57) (tstamp ecbc017f-28c3-437d-80a3-2b66039eebb3)) - (segment (start 110.86298 96.18702) (end 111.55 95.5) (width 0.125) (layer "F.Cu") (net 57) (tstamp fbfe01db-b5f3-41f9-842f-52f069a1a781)) - (segment (start 112.4 99.269013) (end 111.830987 98.7) (width 0.125) (layer "F.Cu") (net 57) (tstamp fcb66788-5c5b-44de-b584-8476cb945f02)) - (via (at 112.4 100.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 57) (tstamp e5aa39bd-7e40-4b34-84f5-6ee168108b1c)) - (segment (start 112.9 100.1) (end 113.5 99.5) (width 0.125) (layer "B.Cu") (net 57) (tstamp 36c741bb-7c7f-4a7f-9645-edb33a58c4a3)) - (segment (start 112.4 100.1) (end 112.9 100.1) (width 0.125) (layer "B.Cu") (net 57) (tstamp 4de21e31-b6a9-44d7-bd2e-93c5845058d7)) - (segment (start 115.001531 97.152723) (end 114.930243 97.224011) (width 0.125) (layer "F.Cu") (net 58) (tstamp 06fbe44d-7ef9-4b4a-8d45-53de247049ed)) - (segment (start 114.024011 97.224011) (end 113.675 96.875) (width 0.125) (layer "F.Cu") (net 58) (tstamp 0a3db1d9-c7c4-4841-a65a-dfb60f099ad0)) - (segment (start 114.930243 97.224011) (end 114.024011 97.224011) (width 0.125) (layer "F.Cu") (net 58) (tstamp 2d1f2739-288c-4940-a250-1ad3ea481cc6)) - (segment (start 114 97.2) (end 114.024011 97.224011) (width 0.125) (layer "F.Cu") (net 58) (tstamp 32c718a9-f80a-4468-a5ee-6e682187b738)) - (segment (start 113.675 96.875) (end 114 97.2) (width 0.125) (layer "F.Cu") (net 58) (tstamp 60267191-9f76-4f08-8a0a-c36d47e19a98)) - (segment (start 113.3 96.5) (end 113.675 96.875) (width 0.125) (layer "F.Cu") (net 58) (tstamp 9ff67cd8-453b-4e70-851b-6036c41f4243)) - (segment (start 112.45 96.5) (end 113.3 96.5) (width 0.125) (layer "F.Cu") (net 58) (tstamp ad5bb306-cef8-4bd5-aa53-b47400f89f2e)) - (segment (start 115.082233 97.15) (end 115.585437 96.646796) (width 0.125) (layer "F.Cu") (net 58) (tstamp bfc0caa1-86a5-44ce-8396-e2eba2dfed7f)) - (via (at 115.001531 97.152723) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 58) (tstamp 7a196ff4-e8aa-4bcc-9f88-2106ee73146e)) - (via (at 115.142397 99.910606) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 58) (tstamp b3dd106c-a4b4-4298-993c-209db35acfd8)) - (segment (start 115.142397 99.454729) (end 116.4 98.197126) (width 0.125) (layer "In2.Cu") (net 58) (tstamp 07f8c083-e667-4a4a-9107-2eb5210e79ae)) - (segment (start 115.142397 99.910606) (end 115.142397 99.454729) (width 0.125) (layer "In2.Cu") (net 58) (tstamp 0e4d8831-5a63-4a45-90b6-e8049e5fe65d)) - (segment (start 116.4 97.7) (end 115.852723 97.152723) (width 0.125) (layer "In2.Cu") (net 58) (tstamp 3d099a73-55a8-43ac-bcf9-759d050aa903)) - (segment (start 115.852723 97.152723) (end 115.001531 97.152723) (width 0.125) (layer "In2.Cu") (net 58) (tstamp 46038ee3-1339-402d-b494-fa6c18d1dc73)) - (segment (start 116.4 98.197126) (end 116.4 97.7) (width 0.125) (layer "In2.Cu") (net 58) (tstamp 65ceb8ff-0cb7-4bca-890c-05020bcfae64)) - (segment (start 115.5 99.553003) (end 115.5 99.5) (width 0.125) (layer "B.Cu") (net 58) (tstamp 8ba08124-dfb6-4639-879c-cda99bf3f20b)) - (segment (start 115.142397 99.910606) (end 115.5 99.553003) (width 0.125) (layer "B.Cu") (net 58) (tstamp e8d209da-a2f5-4e6f-a836-c415ecf08f42)) - (segment (start 114.1 96.75) (end 114.775127 96.75) (width 0.125) (layer "F.Cu") (net 59) (tstamp 008125e8-6427-400a-8bc5-145955e4037a)) - (segment (start 114.775127 96.75) (end 115.231884 96.293243) (width 0.125) (layer "F.Cu") (net 59) (tstamp 0abd50a3-5ef5-4e09-8899-8c61cf2b4e6f)) - (segment (start 112.45 96) (end 113.35 96) (width 0.125) (layer "F.Cu") (net 59) (tstamp 17deca54-18ec-4581-bc43-43a4702aebc0)) - (segment (start 113.35 96) (end 114.1 96.75) (width 0.125) (layer "F.Cu") (net 59) (tstamp 4536d474-d6f3-4c79-8a29-57eef91fa233)) - (via (at 117.188553 99.431165) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 59) (tstamp 15c13c73-2a9e-4c86-abba-65f6b4bb3423)) - (via (at 114.4 96.762) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 59) (tstamp 99b449b0-4ea4-4638-9383-2d5f29d5e310)) - (segment (start 117.188553 99.431165) (end 117.188553 98.838553) (width 0.125) (layer "In2.Cu") (net 59) (tstamp 250fd168-96f5-4b0c-aea3-6ec8728dac5c)) - (segment (start 116.65 97.55) (end 115.765712 96.665712) (width 0.125) (layer "In2.Cu") (net 59) (tstamp 64025c83-4e49-4494-987c-8c07b9677d71)) - (segment (start 117.188553 98.838553) (end 116.65 98.3) (width 0.125) (layer "In2.Cu") (net 59) (tstamp 8a65a3e4-bbd2-403f-9b4b-0d0a3c12572a)) - (segment (start 116.65 98.3) (end 116.65 97.55) (width 0.125) (layer "In2.Cu") (net 59) (tstamp b37b3b9d-4975-403c-a24a-4eac9306872b)) - (segment (start 114.496288 96.665712) (end 114.4 96.762) (width 0.125) (layer "In2.Cu") (net 59) (tstamp c6dd1e73-5bf7-4a2a-83b4-ccc7d7e8595b)) - (segment (start 115.765712 96.665712) (end 114.496288 96.665712) (width 0.125) (layer "In2.Cu") (net 59) (tstamp e4e09e90-c59b-4e93-8a22-6840b1f5af3a)) - (segment (start 117.257388 99.5) (end 117.5 99.5) (width 0.125) (layer "B.Cu") (net 59) (tstamp 6108b0f2-11b2-4b55-b9cc-c4799edd5403)) - (segment (start 117.188553 99.431165) (end 117.257388 99.5) (width 0.125) (layer "B.Cu") (net 59) (tstamp abf7b895-f250-4dc0-a7ec-50b604d31cb0)) - (segment (start 123.8375 88.05) (end 124.55 88.05) (width 0.125) (layer "F.Cu") (net 60) (tstamp 12bda985-2edf-4bec-9861-1be78016dc30)) - (segment (start 124.8 89.6) (end 124.9 89.7) (width 0.125) (layer "F.Cu") (net 60) (tstamp 2d219e8a-76a8-4291-b353-254483a2c009)) - (segment (start 124.6 88.1) (end 124.8 88.3) (width 0.125) (layer "F.Cu") (net 60) (tstamp 3dc753c9-46cf-49b3-a050-dc8c90e0debd)) - (segment (start 124.8 88.3) (end 124.8 88.7) (width 0.125) (layer "F.Cu") (net 60) (tstamp 3ddeaedb-1db1-4be3-949b-b75a174d871c)) - (segment (start 125.36 88.7) (end 124.8 88.7) (width 0.125) (layer "F.Cu") (net 60) (tstamp 6999b78b-92a3-4ae7-9ec5-2d355712bed6)) - (segment (start 124.9 89.7) (end 125.35 89.7) (width 0.125) (layer "F.Cu") (net 60) (tstamp 97619bd4-67ad-4dcb-a0f3-72290e48b3d9)) - (segment (start 124.8 88.7) (end 124.8 89.6) (width 0.125) (layer "F.Cu") (net 60) (tstamp b490894c-e361-449a-9352-76aef06e01b7)) - (segment (start 124.55 88.05) (end 124.6 88.1) (width 0.125) (layer "F.Cu") (net 60) (tstamp fda165ff-e576-4fb5-90fc-b8b64f200549)) - (segment (start 117.4 88) (end 117.8 88) (width 0.125) (layer "F.Cu") (net 63) (tstamp 4b8f23bc-3221-466e-8944-5461659b33a2)) - (segment (start 116.65 88.35) (end 117.05 88.35) (width 0.125) (layer "F.Cu") (net 63) (tstamp 55dd9d88-5ed3-4281-9bde-b1ee8b58eb0f)) - (segment (start 117.05 88.35) (end 117.4 88) (width 0.125) (layer "F.Cu") (net 63) (tstamp d5f6f77e-f29b-4293-bd65-fce7209ba770)) - (segment (start 112.95 88.85) (end 114.15 88.85) (width 0.125) (layer "F.Cu") (net 64) (tstamp 42c76e53-2037-41ca-9fd9-b38ec19afc84)) - (segment (start 112.9 88.9) (end 112.95 88.85) (width 0.125) (layer "F.Cu") (net 64) (tstamp ff7ad385-ca49-4adc-bb89-8fa42506c5b2)) - (segment (start 118.413864 99.475223) (end 118.413864 99.763864) (width 0.125) (layer "F.Cu") (net 65) (tstamp 54f83d66-d17d-4991-ae54-0b7e54a802b4)) - (segment (start 118.413864 99.763864) (end 118.7 100.05) (width 0.125) (layer "F.Cu") (net 65) (tstamp 6df6b11d-ad92-420c-803d-b7655c958175)) - (via (at 118.7 100.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 65) (tstamp 6a77f622-2949-4253-8004-fd5788cd995e)) - (segment (start 118.95 100.05) (end 119.5 99.5) (width 0.125) (layer "B.Cu") (net 65) (tstamp 27868e65-2191-470f-b342-b7a325c6f602)) - (segment (start 118.7 100.05) (end 118.95 100.05) (width 0.125) (layer "B.Cu") (net 65) (tstamp c77bd007-299a-42e6-81bb-3b9c6e4b466e)) - (segment (start 120.5 100.05) (end 120.5 99.881982) (width 0.125) (layer "F.Cu") (net 66) (tstamp 17c5d0c1-7393-4145-9709-58594ecf1c72)) - (segment (start 119.739689 99.12167) (end 119.739689 99.121671) (width 0.125) (layer "F.Cu") (net 66) (tstamp 88eaf8d9-c084-419e-b7a9-c1bcfcab3ebf)) - (segment (start 120.5 99.881982) (end 120.184009 99.565991) (width 0.125) (layer "F.Cu") (net 66) (tstamp a8dae3a6-f54a-4dc2-827b-70f2d4a70924)) - (segment (start 119.739689 99.121671) (end 120.184009 99.565991) (width 0.125) (layer "F.Cu") (net 66) (tstamp e8b3a258-02c1-4f90-bfd1-0f6d8b488495)) - (via (at 120.5 100.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 66) (tstamp dcfdd891-33da-442e-869a-52c3a81454ed)) - (segment (start 120.5 100.05) (end 120.95 100.05) (width 0.125) (layer "B.Cu") (net 66) (tstamp 6d3d2ae6-9646-48d5-b98b-7e72d132768b)) - (segment (start 120.95 100.05) (end 121.5 99.5) (width 0.125) (layer "B.Cu") (net 66) (tstamp b6bc67ed-ef2b-42b2-838d-b6005f650ea9)) - (segment (start 123.968267 98.4005) (end 122.214563 96.646796) (width 0.125) (layer "F.Cu") (net 67) (tstamp 395df0a5-800c-4cca-a0f1-3ad76d2e76ca)) - (segment (start 124 98.4005) (end 123.968267 98.4005) (width 0.125) (layer "F.Cu") (net 67) (tstamp b93d027f-21f6-4fc9-ad3f-317eded6973b)) - (via (at 124 98.4005) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 67) (tstamp 6fe27867-4126-4105-a5f6-57faa228ace5)) - (segment (start 124 99) (end 124 98.4005) (width 0.125) (layer "B.Cu") (net 67) (tstamp 92dd94e3-78c8-48ff-b19c-d06508bd16a2)) - (segment (start 123.5 99.5) (end 124 99) (width 0.125) (layer "B.Cu") (net 67) (tstamp cfc3c4b9-d9f2-4ea5-a62d-f9cbfbb39b22)) - (segment (start 122.568116 96.293243) (end 122.568116 96.315233) (width 0.125) (layer "F.Cu") (net 68) (tstamp 561f0f92-2f38-4311-bbdf-743431f68ade)) - (segment (start 122.568116 96.315233) (end 124.649683 98.3968) (width 0.125) (layer "F.Cu") (net 68) (tstamp cb438843-ed0d-411e-bfc5-3c174b13b68c)) - (segment (start 124.649683 98.3968) (end 124.7968 98.3968) (width 0.125) (layer "F.Cu") (net 68) (tstamp ee9d6224-5e30-47d6-b0c2-9df6218d6d54)) - (via (at 124.7968 98.3968) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 68) (tstamp c13dbdfc-ac76-4a8a-aec2-e610949b77df)) - (segment (start 124.7968 98.3968) (end 124.7968 98.7968) (width 0.125) (layer "B.Cu") (net 68) (tstamp 262d8846-ef71-4f5c-a06e-e08b3a9cf86d)) - (segment (start 124.7968 98.7968) (end 125.5 99.5) (width 0.125) (layer "B.Cu") (net 68) (tstamp d8f70972-ac7d-459c-822e-d4884f1a149b)) - (segment (start 123.8375 89.95) (end 123.8375 91.059117) (width 0.125) (layer "F.Cu") (net 69) (tstamp 0b8b4750-7729-44b5-8e0a-30b9e9fd9f34)) - (segment (start 122.334228 92.665772) (end 121.687781 92.665772) (width 0.125) (layer "F.Cu") (net 69) (tstamp 0d9f8516-569c-4853-9151-e26e0b59ceb4)) - (segment (start 123.737989 91.262011) (end 122.334228 92.665772) (width 0.125) (layer "F.Cu") (net 69) (tstamp 5789807f-8206-4167-8265-a48b49816abb)) - (segment (start 121.687781 92.665772) (end 121.507456 92.846097) (width 0.125) (layer "F.Cu") (net 69) (tstamp 97d95ebe-f81c-4b0f-a8d5-32fb88fdcdc8)) - (segment (start 123.8375 91.059117) (end 123.737989 91.158628) (width 0.125) (layer "F.Cu") (net 69) (tstamp c783ab4c-6f9b-478c-bda9-be5294b6d6a7)) - (segment (start 123.737989 91.158628) (end 123.737989 91.262011) (width 0.125) (layer "F.Cu") (net 69) (tstamp ff3964e6-1b45-4d3e-8ec0-44ec8995dd1d)) - (segment (start 114.408116 90.8) (end 114.408616 90.8005) (width 0.125) (layer "F.Cu") (net 70) (tstamp 35caa6c2-0680-486a-9358-d280ae27954a)) - (segment (start 112.985 90.85) (end 113.035 90.8) (width 0.125) (layer "F.Cu") (net 70) (tstamp 3880bca1-2213-46a9-9fab-eddc72b1621f)) - (segment (start 114.6005 90.8005) (end 114.867157 91.067157) (width 0.125) (layer "F.Cu") (net 70) (tstamp 42296f40-1aa2-41cf-b1ff-279b8b6da678)) - (segment (start 113.035 90.8) (end 114.408116 90.8) (width 0.125) (layer "F.Cu") (net 70) (tstamp 875c381c-b4f9-4613-8730-0b47de6c57ec)) - (segment (start 114.408616 90.8005) (end 114.6005 90.8005) (width 0.125) (layer "F.Cu") (net 70) (tstamp cd6e6579-078e-418f-906b-86d4eda123ff)) - (segment (start 126.9 89.9) (end 126.95 89.95) (width 0.125) (layer "F.Cu") (net 72) (tstamp 5fb0469f-4fd2-4020-9f1f-cab5213bb9be)) - (segment (start 126.95 89.95) (end 126.95 91.2) (width 0.125) (layer "F.Cu") (net 72) (tstamp 6d6bbcfc-0474-4aa9-b999-9cdd1586bfba)) - (segment (start 126.7 89.7) (end 126.9 89.9) (width 0.125) (layer "F.Cu") (net 72) (tstamp 9fffffcd-9152-45b3-8f4b-0530429d2937)) - (segment (start 126.15 89.7) (end 126.7 89.7) (width 0.125) (layer "F.Cu") (net 72) (tstamp e56669f2-dcb6-44d9-8f58-42928de58f26)) - - (zone (net 72) (net_name "/Application FPGA/TOUCH_PAD") (layer "F.Cu") (tstamp 5ddebeb3-483c-4e8c-8dda-465b9ab6238f) (hatch edge 0.508) - (connect_pads yes (clearance 0.254)) - (min_thickness 0.254) (filled_areas_thickness no) - (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 125.3 97.8) - (xy 127.5 97.8) - (xy 127.5 90.2) - (xy 125.3 90.2) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 127.387621 90.220002) - (xy 127.434114 90.273658) - (xy 127.4455 90.326) - (xy 127.4455 97.674) - (xy 127.425498 97.742121) - (xy 127.371842 97.788614) - (xy 127.3195 97.8) - (xy 125.426 97.8) - (xy 125.357879 97.779998) - (xy 125.311386 97.726342) - (xy 125.3 97.674) - (xy 125.3 90.326) - (xy 125.320002 90.257879) - (xy 125.373658 90.211386) - (xy 125.426 90.2) - (xy 127.3195 90.2) - ) - ) - ) - (zone (net 2) (net_name "+5V") (layer "In2.Cu") (tstamp cc81c893-846e-4f11-a6d1-cb4718edd993) (hatch edge 0.508) - (connect_pads (clearance 0.254)) - (min_thickness 0.254) (filled_areas_thickness no) - (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 107.95 100.75) - (xy 100 100.75) - (xy 100 87.25) - (xy 107.95 87.25) - ) - ) - (filled_polygon - (layer "In2.Cu") - (pts - (xy 107.892121 87.520502) - (xy 107.938614 87.574158) - (xy 107.95 87.6265) - (xy 107.95 89.222025) - (xy 107.944076 89.259425) - (xy 107.940281 89.266874) - (xy 107.93873 89.276668) - (xy 107.936528 89.29057) - (xy 107.931914 89.30979) - (xy 107.9245 89.332607) - (xy 107.9245 93.068562) - (xy 107.904498 93.136683) - (xy 107.850842 93.183176) - (xy 107.780568 93.19328) - (xy 107.715988 93.163786) - (xy 107.709405 93.157657) - (xy 107.65322 93.101472) - (xy 107.533126 93.040281) - (xy 107.523337 93.038731) - (xy 107.523335 93.03873) - (xy 107.409793 93.020747) - (xy 107.4 93.019196) - (xy 107.390207 93.020747) - (xy 107.276665 93.03873) - (xy 107.276663 93.038731) - (xy 107.266874 93.040281) - (xy 107.14678 93.101472) - (xy 107.051472 93.19678) - (xy 106.990281 93.316874) - (xy 106.969196 93.45) - (xy 106.970747 93.459793) - (xy 106.981704 93.528972) - (xy 106.972604 93.599383) - (xy 106.94635 93.637778) - (xy 106.340166 94.243962) - (xy 106.339628 94.244442) - (xy 106.329957 94.249086) - (xy 106.321096 94.260166) - (xy 106.321095 94.260167) - (xy 106.314013 94.269023) - (xy 106.30912 94.27449) - (xy 106.307078 94.27705) - (xy 106.302076 94.282052) - (xy 106.298312 94.288041) - (xy 106.295921 94.291038) - (xy 106.292047 94.29649) - (xy 106.276387 94.316072) - (xy 106.273902 94.326879) - (xy 106.268002 94.336266) - (xy 106.266408 94.350364) - (xy 106.265187 94.361162) - (xy 106.264012 94.368037) - (xy 106.263598 94.371688) - (xy 106.262 94.378637) - (xy 106.262 94.385771) - (xy 106.261607 94.389235) - (xy 106.261202 94.396411) - (xy 106.258366 94.421495) - (xy 106.261952 94.431763) - (xy 106.262 94.432625) - (xy 106.262 98.319938) - (xy 106.241998 98.388059) - (xy 106.225095 98.409033) - (xy 104.678214 99.955914) - (xy 104.615902 99.98994) - (xy 104.589119 99.992819) - (xy 102.922143 99.992819) - (xy 102.854022 99.972817) - (xy 102.820207 99.94088) - (xy 102.81698 99.936438) - (xy 102.812476 99.927599) - (xy 102.717168 99.832291) - (xy 102.597074 99.7711) - (xy 102.587285 99.76955) - (xy 102.587283 99.769549) - (xy 102.473741 99.751566) - (xy 102.463948 99.750015) - (xy 102.454155 99.751566) - (xy 102.340613 99.769549) - (xy 102.340611 99.76955) - (xy 102.330822 99.7711) - (xy 102.210728 99.832291) - (xy 102.11542 99.927599) - (xy 102.054229 100.047693) - (xy 102.052679 100.057482) - (xy 102.052678 100.057484) - (xy 102.042535 100.121528) - (xy 102.033144 100.180819) - (xy 102.054229 100.313945) - (xy 102.058731 100.32278) - (xy 102.061116 100.330121) - (xy 102.063144 100.401089) - (xy 102.026481 100.461887) - (xy 101.962769 100.493212) - (xy 101.932394 100.494744) - (xy 101.788086 100.484535) - (xy 101.770281 100.481988) - (xy 101.571855 100.438951) - (xy 101.554584 100.43389) - (xy 101.364317 100.363025) - (xy 101.347944 100.355555) - (xy 101.328459 100.344923) - (xy 101.169713 100.258305) - (xy 101.154581 100.248585) - (xy 100.992017 100.126931) - (xy 100.978415 100.115146) - (xy 100.834854 99.971585) - (xy 100.823069 99.957983) - (xy 100.800331 99.927599) - (xy 100.701415 99.795419) - (xy 100.691695 99.780287) - (xy 100.594445 99.602056) - (xy 100.586975 99.585683) - (xy 100.51611 99.395416) - (xy 100.511049 99.378146) - (xy 100.484111 99.253947) - (xy 100.468012 99.179719) - (xy 100.465464 99.161909) - (xy 100.45321 98.988682) - (xy 100.45384 98.973797) - (xy 100.453588 98.973794) - (xy 100.453706 98.964814) - (xy 100.455094 98.955947) - (xy 100.453904 98.94678) - (xy 100.45155 98.928664) - (xy 100.4505 98.912433) - (xy 100.4505 98) - (xy 101.969196 98) - (xy 101.970747 98.009793) - (xy 101.976956 98.048992) - (xy 101.990281 98.133126) - (xy 102.051472 98.25322) - (xy 102.14678 98.348528) - (xy 102.266874 98.409719) - (xy 102.276663 98.411269) - (xy 102.276665 98.41127) - (xy 102.390207 98.429253) - (xy 102.4 98.430804) - (xy 102.409793 98.429253) - (xy 102.523335 98.41127) - (xy 102.523337 98.411269) - (xy 102.533126 98.409719) - (xy 102.65322 98.348528) - (xy 102.748528 98.25322) - (xy 102.809719 98.133126) - (xy 102.823045 98.048992) - (xy 102.829253 98.009793) - (xy 102.830804 98) - (xy 102.825317 97.965358) - (xy 102.81127 97.876665) - (xy 102.811269 97.876663) - (xy 102.809719 97.866874) - (xy 102.748528 97.74678) - (xy 102.746858 97.74511) - (xy 102.72441 97.682194) - (xy 102.740489 97.613043) - (xy 102.743697 97.608051) - (xy 102.748528 97.60322) - (xy 102.809719 97.483126) - (xy 102.81352 97.459131) - (xy 102.829253 97.359793) - (xy 102.830804 97.35) - (xy 102.822185 97.295581) - (xy 102.81127 97.226665) - (xy 102.811269 97.226663) - (xy 102.809719 97.216874) - (xy 102.748528 97.09678) - (xy 102.65322 97.001472) - (xy 102.533126 96.940281) - (xy 102.523337 96.938731) - (xy 102.523335 96.93873) - (xy 102.409793 96.920747) - (xy 102.4 96.919196) - (xy 102.390207 96.920747) - (xy 102.276665 96.93873) - (xy 102.276663 96.938731) - (xy 102.266874 96.940281) - (xy 102.14678 97.001472) - (xy 102.051472 97.09678) - (xy 101.990281 97.216874) - (xy 101.988731 97.226663) - (xy 101.98873 97.226665) - (xy 101.977815 97.295581) - (xy 101.969196 97.35) - (xy 101.970747 97.359793) - (xy 101.986481 97.459131) - (xy 101.990281 97.483126) - (xy 102.051472 97.60322) - (xy 102.053142 97.60489) - (xy 102.07559 97.667806) - (xy 102.059511 97.736957) - (xy 102.056303 97.741949) - (xy 102.051472 97.74678) - (xy 101.990281 97.866874) - (xy 101.988731 97.876663) - (xy 101.98873 97.876665) - (xy 101.974683 97.965358) - (xy 101.969196 98) - (xy 100.4505 98) - (xy 100.4505 97.116055) - (xy 100.451839 97.104353) - (xy 100.451319 97.104312) - (xy 100.452058 97.094921) - (xy 100.454185 97.085745) - (xy 100.450815 97.03815) - (xy 100.4505 97.029252) - (xy 100.4505 97.01615) - (xy 100.448886 97.005414) - (xy 100.447801 96.995582) - (xy 100.445282 96.960013) - (xy 100.444617 96.950616) - (xy 100.441217 96.941829) - (xy 100.439355 96.933498) - (xy 100.436849 96.925351) - (xy 100.435449 96.916038) - (xy 100.415933 96.875396) - (xy 100.412006 96.866321) - (xy 100.399136 96.833055) - (xy 100.395739 96.824274) - (xy 100.389909 96.816879) - (xy 100.385682 96.809468) - (xy 100.380885 96.802409) - (xy 100.376809 96.793921) - (xy 100.370418 96.787007) - (xy 100.3462 96.760807) - (xy 100.339779 96.753288) - (xy 100.317705 96.725288) - (xy 100.317702 96.725285) - (xy 100.311872 96.71789) - (xy 100.304126 96.712537) - (xy 100.297902 96.706692) - (xy 100.291248 96.701361) - (xy 100.284854 96.694444) - (xy 100.245862 96.671796) - (xy 100.237509 96.666495) - (xy 100.208178 96.646223) - (xy 100.208177 96.646222) - (xy 100.200431 96.640869) - (xy 100.191452 96.638029) - (xy 100.183781 96.634271) - (xy 100.175854 96.631132) - (xy 100.167713 96.626404) - (xy 100.158546 96.624279) - (xy 100.158543 96.624278) - (xy 100.123793 96.616224) - (xy 100.11425 96.613613) - (xy 100.088006 96.605313) - (xy 100.029087 96.565701) - (xy 100.000938 96.500523) - (xy 100 96.485178) - (xy 100 94.9032) - (xy 101.915996 94.9032) - (xy 101.937081 95.036326) - (xy 101.998272 95.15642) - (xy 102.09358 95.251728) - (xy 102.213674 95.312919) - (xy 102.223463 95.314469) - (xy 102.223465 95.31447) - (xy 102.337007 95.332453) - (xy 102.3468 95.334004) - (xy 102.356593 95.332453) - (xy 102.470135 95.31447) - (xy 102.470137 95.314469) - (xy 102.479926 95.312919) - (xy 102.60002 95.251728) - (xy 102.695328 95.15642) - (xy 102.756519 95.036326) - (xy 102.777604 94.9032) - (xy 102.772347 94.87001) - (xy 102.781446 94.799599) - (xy 102.807701 94.761204) - (xy 103.359186 94.209719) - (xy 103.36397 94.205178) - (xy 103.392767 94.179249) - (xy 103.401013 94.160727) - (xy 103.410441 94.143364) - (xy 103.421484 94.12636) - (xy 103.423556 94.113279) - (xy 103.425338 94.108636) - (xy 103.426372 94.10377) - (xy 103.431758 94.091674) - (xy 103.431758 94.071408) - (xy 103.433309 94.051697) - (xy 103.434409 94.044753) - (xy 103.43648 94.031677) - (xy 103.433053 94.018888) - (xy 103.43236 94.00566) - (xy 103.432834 94.005635) - (xy 103.431758 93.997465) - (xy 103.431758 92.35) - (xy 105.212946 92.35) - (xy 105.234031 92.483126) - (xy 105.295222 92.60322) - (xy 105.39053 92.698528) - (xy 105.510624 92.759719) - (xy 105.520413 92.761269) - (xy 105.520415 92.76127) - (xy 105.633957 92.779253) - (xy 105.64375 92.780804) - (xy 105.653543 92.779253) - (xy 105.767085 92.76127) - (xy 105.767087 92.761269) - (xy 105.776876 92.759719) - (xy 105.89697 92.698528) - (xy 105.992278 92.60322) - (xy 106.053469 92.483126) - (xy 106.074554 92.35) - (xy 106.053469 92.216874) - (xy 105.992278 92.09678) - (xy 105.89697 92.001472) - (xy 105.776876 91.940281) - (xy 105.767087 91.938731) - (xy 105.767085 91.93873) - (xy 105.653543 91.920747) - (xy 105.64375 91.919196) - (xy 105.633957 91.920747) - (xy 105.520415 91.93873) - (xy 105.520413 91.938731) - (xy 105.510624 91.940281) - (xy 105.39053 92.001472) - (xy 105.295222 92.09678) - (xy 105.234031 92.216874) - (xy 105.212946 92.35) - (xy 103.431758 92.35) - (xy 103.431758 92.06578) - (xy 103.45176 91.997659) - (xy 103.468663 91.976685) - (xy 103.554786 91.890562) - (xy 103.615977 91.770468) - (xy 103.637062 91.637342) - (xy 103.627862 91.579253) - (xy 103.617528 91.514007) - (xy 103.617527 91.514005) - (xy 103.615977 91.504216) - (xy 103.554786 91.384122) - (xy 103.459478 91.288814) - (xy 103.339384 91.227623) - (xy 103.329595 91.226073) - (xy 103.329593 91.226072) - (xy 103.216051 91.208089) - (xy 103.206258 91.206538) - (xy 103.196465 91.208089) - (xy 103.082923 91.226072) - (xy 103.082921 91.226073) - (xy 103.073132 91.227623) - (xy 102.953038 91.288814) - (xy 102.85773 91.384122) - (xy 102.796539 91.504216) - (xy 102.794989 91.514005) - (xy 102.794988 91.514007) - (xy 102.784654 91.579253) - (xy 102.775454 91.637342) - (xy 102.796539 91.770468) - (xy 102.85773 91.890562) - (xy 102.943853 91.976685) - (xy 102.977879 92.038997) - (xy 102.980758 92.06578) - (xy 102.980758 93.898145) - (xy 102.960756 93.966266) - (xy 102.943854 93.98724) - (xy 102.488796 94.442299) - (xy 102.426483 94.476324) - (xy 102.37999 94.477653) - (xy 102.3468 94.472396) - (xy 102.337007 94.473947) - (xy 102.223465 94.49193) - (xy 102.223463 94.491931) - (xy 102.213674 94.493481) - (xy 102.09358 94.554672) - (xy 101.998272 94.64998) - (xy 101.937081 94.770074) - (xy 101.935531 94.779863) - (xy 101.93553 94.779865) - (xy 101.931296 94.806601) - (xy 101.915996 94.9032) - (xy 100 94.9032) - (xy 100 91.516342) - (xy 100.020002 91.448221) - (xy 100.073658 91.401728) - (xy 100.093603 91.395026) - (xy 100.099384 91.394617) - (xy 100.108168 91.391218) - (xy 100.116498 91.389356) - (xy 100.124648 91.386849) - (xy 100.133962 91.385449) - (xy 100.174604 91.365933) - (xy 100.183679 91.362006) - (xy 100.216945 91.349136) - (xy 100.216944 91.349136) - (xy 100.225726 91.345739) - (xy 100.233121 91.339909) - (xy 100.240532 91.335682) - (xy 100.247591 91.330885) - (xy 100.256079 91.326809) - (xy 100.262993 91.320418) - (xy 100.289193 91.2962) - (xy 100.296712 91.289779) - (xy 100.324712 91.267705) - (xy 100.324715 91.267702) - (xy 100.33211 91.261872) - (xy 100.337463 91.254126) - (xy 100.343308 91.247902) - (xy 100.348639 91.241248) - (xy 100.355556 91.234854) - (xy 100.378204 91.195862) - (xy 100.383505 91.187509) - (xy 100.403777 91.158178) - (xy 100.403778 91.158177) - (xy 100.409131 91.150431) - (xy 100.409267 91.15) - (xy 101.769196 91.15) - (xy 101.770747 91.159793) - (xy 101.787839 91.267705) - (xy 101.790281 91.283126) - (xy 101.851472 91.40322) - (xy 101.94678 91.498528) - (xy 102.066874 91.559719) - (xy 102.076663 91.561269) - (xy 102.076665 91.56127) - (xy 102.190207 91.579253) - (xy 102.2 91.580804) - (xy 102.209793 91.579253) - (xy 102.323335 91.56127) - (xy 102.323337 91.561269) - (xy 102.333126 91.559719) - (xy 102.45322 91.498528) - (xy 102.548528 91.40322) - (xy 102.609719 91.283126) - (xy 102.612162 91.267705) - (xy 102.629253 91.159793) - (xy 102.630804 91.15) - (xy 102.61797 91.06897) - (xy 102.61127 91.026665) - (xy 102.611269 91.026663) - (xy 102.609719 91.016874) - (xy 102.548528 90.89678) - (xy 102.546858 90.89511) - (xy 102.52441 90.832194) - (xy 102.540489 90.763043) - (xy 102.543697 90.758051) - (xy 102.548528 90.75322) - (xy 102.583463 90.684657) - (xy 102.632212 90.633042) - (xy 102.701127 90.615976) - (xy 102.715437 90.617411) - (xy 102.8 90.630804) - (xy 102.809793 90.629253) - (xy 102.923335 90.61127) - (xy 102.923337 90.611269) - (xy 102.933126 90.609719) - (xy 103.05322 90.548528) - (xy 103.148528 90.45322) - (xy 103.209719 90.333126) - (xy 103.230804 90.2) - (xy 103.222405 90.146972) - (xy 103.21127 90.076665) - (xy 103.211269 90.076663) - (xy 103.209719 90.066874) - (xy 103.183463 90.015343) - (xy 103.167508 89.984028) - (xy 103.154404 89.914251) - (xy 103.181105 89.848467) - (xy 103.222573 89.814559) - (xy 103.231911 89.809801) - (xy 103.231913 89.8098) - (xy 103.240746 89.805299) - (xy 103.336054 89.709991) - (xy 103.397245 89.589897) - (xy 103.403085 89.553028) - (xy 103.416779 89.466564) - (xy 103.41833 89.456771) - (xy 103.416369 89.444388) - (xy 103.398796 89.333436) - (xy 103.398795 89.333434) - (xy 103.397245 89.323645) - (xy 103.336054 89.203551) - (xy 103.332503 89.2) - (xy 105.162946 89.2) - (xy 105.164497 89.209793) - (xy 105.178842 89.300362) - (xy 105.184031 89.333126) - (xy 105.245222 89.45322) - (xy 105.34053 89.548528) - (xy 105.460624 89.609719) - (xy 105.470413 89.611269) - (xy 105.470415 89.61127) - (xy 105.583957 89.629253) - (xy 105.59375 89.630804) - (xy 105.603543 89.629253) - (xy 105.717085 89.61127) - (xy 105.717087 89.611269) - (xy 105.726876 89.609719) - (xy 105.84697 89.548528) - (xy 105.942278 89.45322) - (xy 106.003469 89.333126) - (xy 106.008659 89.300362) - (xy 106.023003 89.209793) - (xy 106.024554 89.2) - (xy 106.010021 89.108243) - (xy 106.00502 89.076665) - (xy 106.005019 89.076663) - (xy 106.003469 89.066874) - (xy 105.942278 88.94678) - (xy 105.84697 88.851472) - (xy 105.726876 88.790281) - (xy 105.717087 88.788731) - (xy 105.717085 88.78873) - (xy 105.603543 88.770747) - (xy 105.59375 88.769196) - (xy 105.583957 88.770747) - (xy 105.470415 88.78873) - (xy 105.470413 88.788731) - (xy 105.460624 88.790281) - (xy 105.34053 88.851472) - (xy 105.245222 88.94678) - (xy 105.184031 89.066874) - (xy 105.182481 89.076663) - (xy 105.18248 89.076665) - (xy 105.177479 89.108243) - (xy 105.162946 89.2) - (xy 103.332503 89.2) - (xy 103.240746 89.108243) - (xy 103.120652 89.047052) - (xy 103.110863 89.045502) - (xy 103.110861 89.045501) - (xy 102.997319 89.027518) - (xy 102.987526 89.025967) - (xy 102.977733 89.027518) - (xy 102.864191 89.045501) - (xy 102.864189 89.045502) - (xy 102.8544 89.047052) - (xy 102.734306 89.108243) - (xy 102.638998 89.203551) - (xy 102.577807 89.323645) - (xy 102.576257 89.333434) - (xy 102.576256 89.333436) - (xy 102.558683 89.444388) - (xy 102.556722 89.456771) - (xy 102.558273 89.466564) - (xy 102.571968 89.553028) - (xy 102.577807 89.589897) - (xy 102.582308 89.59873) - (xy 102.582309 89.598733) - (xy 102.620018 89.672743) - (xy 102.633122 89.74252) - (xy 102.606421 89.808304) - (xy 102.564953 89.842212) - (xy 102.555615 89.84697) - (xy 102.555613 89.846971) - (xy 102.54678 89.851472) - (xy 102.451472 89.94678) - (xy 102.446972 89.955611) - (xy 102.446971 89.955613) - (xy 102.416537 90.015343) - (xy 102.367788 90.066958) - (xy 102.298873 90.084024) - (xy 102.284563 90.082589) - (xy 102.2 90.069196) - (xy 102.190207 90.070747) - (xy 102.076665 90.08873) - (xy 102.076663 90.088731) - (xy 102.066874 90.090281) - (xy 101.94678 90.151472) - (xy 101.851472 90.24678) - (xy 101.790281 90.366874) - (xy 101.769196 90.5) - (xy 101.770747 90.509793) - (xy 101.787565 90.615976) - (xy 101.790281 90.633126) - (xy 101.851472 90.75322) - (xy 101.853142 90.75489) - (xy 101.87559 90.817806) - (xy 101.859511 90.886957) - (xy 101.856303 90.891949) - (xy 101.851472 90.89678) - (xy 101.790281 91.016874) - (xy 101.788731 91.026663) - (xy 101.78873 91.026665) - (xy 101.78203 91.06897) - (xy 101.769196 91.15) - (xy 100.409267 91.15) - (xy 100.411971 91.141452) - (xy 100.415729 91.133781) - (xy 100.418868 91.125854) - (xy 100.423596 91.117713) - (xy 100.425721 91.108546) - (xy 100.425722 91.108543) - (xy 100.433776 91.073793) - (xy 100.436387 91.064249) - (xy 100.447815 91.028115) - (xy 100.44998 91.02127) - (xy 100.4505 91.014663) - (xy 100.4505 91.012193) - (xy 100.450531 91.011401) - (xy 100.451482 91.004325) - (xy 100.451319 91.004312) - (xy 100.452058 90.994921) - (xy 100.454185 90.985745) - (xy 100.450815 90.93815) - (xy 100.4505 90.929252) - (xy 100.4505 89.098376) - (xy 100.452246 89.077472) - (xy 100.45419 89.065917) - (xy 100.454997 89.06112) - (xy 100.455133 89.05) - (xy 100.453958 89.041795) - (xy 100.453007 89.014949) - (xy 100.465629 88.838474) - (xy 100.468188 88.82068) - (xy 100.511352 88.622259) - (xy 100.516416 88.60501) - (xy 100.587376 88.414756) - (xy 100.594845 88.398402) - (xy 100.692159 88.220186) - (xy 100.701878 88.205063) - (xy 100.730078 88.167393) - (xy 100.823568 88.042504) - (xy 100.835341 88.028918) - (xy 100.978918 87.885341) - (xy 100.992504 87.873568) - (xy 101.155063 87.751878) - (xy 101.170183 87.742161) - (xy 101.348405 87.644843) - (xy 101.364752 87.637378) - (xy 101.481497 87.593834) - (xy 101.55501 87.566416) - (xy 101.572259 87.561352) - (xy 101.77068 87.518188) - (xy 101.788474 87.515629) - (xy 101.961696 87.50324) - (xy 101.97659 87.503858) - (xy 101.976593 87.503608) - (xy 101.985569 87.503718) - (xy 101.99444 87.505099) - (xy 102.003342 87.503935) - (xy 102.003345 87.503935) - (xy 102.021473 87.501564) - (xy 102.03781 87.5005) - (xy 107.824 87.5005) - ) - ) - ) - (zone (net 3) (net_name "+3V3") (layer "In2.Cu") (tstamp e1b55576-0f65-41a8-9bdc-e761dc2dbc8d) (hatch edge 0.508) - (connect_pads (clearance 0.254)) - (min_thickness 0.254) (filled_areas_thickness no) - (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.5 89.7) - (xy 124.9 89.7) - (xy 124.9 98.35) - (xy 127.5 98.35) - (xy 127.5 100.75) - (xy 108.3 100.75) - (xy 108.3 87.25) - (xy 127.5 87.25) - ) - ) - (filled_polygon - (layer "In2.Cu") - (pts - (xy 120.116827 87.520502) - (xy 120.16332 87.574158) - (xy 120.173424 87.644432) - (xy 120.152658 87.695594) - (xy 120.151472 87.69678) - (xy 120.090281 87.816874) - (xy 120.088731 87.826663) - (xy 120.08873 87.826665) - (xy 120.079437 87.885341) - (xy 120.069196 87.95) - (xy 120.070747 87.959793) - (xy 120.087597 88.066178) - (xy 120.090281 88.083126) - (xy 120.151472 88.20322) - (xy 120.24678 88.298528) - (xy 120.366874 88.359719) - (xy 120.376663 88.361269) - (xy 120.376665 88.36127) - (xy 120.490207 88.379253) - (xy 120.5 88.380804) - (xy 120.509793 88.379253) - (xy 120.623335 88.36127) - (xy 120.623337 88.361269) - (xy 120.633126 88.359719) - (xy 120.75322 88.298528) - (xy 120.848528 88.20322) - (xy 120.909719 88.083126) - (xy 120.912404 88.066178) - (xy 120.929253 87.959793) - (xy 120.930804 87.95) - (xy 120.920563 87.885341) - (xy 120.91127 87.826665) - (xy 120.911269 87.826663) - (xy 120.909719 87.816874) - (xy 120.848528 87.69678) - (xy 120.847721 87.695973) - (xy 120.825499 87.633693) - (xy 120.84158 87.564542) - (xy 120.892494 87.515061) - (xy 120.951294 87.5005) - (xy 125.655152 87.5005) - (xy 125.674536 87.502) - (xy 125.685567 87.503718) - (xy 125.685571 87.503718) - (xy 125.69444 87.505099) - (xy 125.706517 87.50352) - (xy 125.731837 87.502777) - (xy 125.859194 87.511886) - (xy 125.911526 87.515629) - (xy 125.92932 87.518188) - (xy 126.127741 87.561352) - (xy 126.14499 87.566416) - (xy 126.17015 87.5758) - (xy 126.335248 87.637378) - (xy 126.351595 87.644843) - (xy 126.529817 87.742161) - (xy 126.544934 87.751876) - (xy 126.644841 87.826665) - (xy 126.707496 87.873568) - (xy 126.721082 87.885341) - (xy 126.864659 88.028918) - (xy 126.876432 88.042504) - (xy 126.99712 88.203724) - (xy 126.998122 88.205063) - (xy 127.007839 88.220183) - (xy 127.104822 88.397793) - (xy 127.105155 88.398402) - (xy 127.112622 88.414752) - (xy 127.114327 88.419323) - (xy 127.156914 88.533504) - (xy 127.161978 88.60432) - (xy 127.127953 88.666632) - (xy 127.065641 88.700657) - (xy 126.999922 88.697369) - (xy 126.991959 88.694781) - (xy 126.983126 88.690281) - (xy 126.973337 88.688731) - (xy 126.973335 88.68873) - (xy 126.859793 88.670747) - (xy 126.85 88.669196) - (xy 126.840207 88.670747) - (xy 126.726665 88.68873) - (xy 126.726663 88.688731) - (xy 126.716874 88.690281) - (xy 126.59678 88.751472) - (xy 126.501472 88.84678) - (xy 126.440281 88.966874) - (xy 126.438731 88.976663) - (xy 126.43873 88.976665) - (xy 126.422845 89.076961) - (xy 126.419196 89.1) - (xy 126.420747 89.109793) - (xy 126.426636 89.146972) - (xy 126.440281 89.233126) - (xy 126.501472 89.35322) - (xy 126.59678 89.448528) - (xy 126.619865 89.460291) - (xy 126.622696 89.461733) - (xy 126.674311 89.510482) - (xy 126.691377 89.579397) - (xy 126.668476 89.646598) - (xy 126.612878 89.69075) - (xy 126.565493 89.7) - (xy 124.9 89.7) - (xy 124.9 91.520647) - (xy 124.879998 91.588768) - (xy 124.826342 91.635261) - (xy 124.756068 91.645365) - (xy 124.716799 91.632915) - (xy 124.677328 91.612803) - (xy 124.625714 91.564056) - (xy 124.608648 91.495141) - (xy 124.610083 91.480826) - (xy 124.61127 91.473335) - (xy 124.630804 91.35) - (xy 124.628215 91.333656) - (xy 124.61127 91.226665) - (xy 124.611269 91.226663) - (xy 124.609719 91.216874) - (xy 124.548528 91.09678) - (xy 124.45322 91.001472) - (xy 124.333126 90.940281) - (xy 124.323337 90.938731) - (xy 124.323335 90.93873) - (xy 124.209793 90.920747) - (xy 124.2 90.919196) - (xy 124.190207 90.920747) - (xy 124.076665 90.93873) - (xy 124.076663 90.938731) - (xy 124.066874 90.940281) - (xy 123.94678 91.001472) - (xy 123.851472 91.09678) - (xy 123.790281 91.216874) - (xy 123.788731 91.226663) - (xy 123.78873 91.226665) - (xy 123.771785 91.333656) - (xy 123.769196 91.35) - (xy 123.790281 91.483126) - (xy 123.851472 91.60322) - (xy 123.94678 91.698528) - (xy 123.955613 91.703029) - (xy 123.955615 91.70303) - (xy 124.02267 91.737196) - (xy 124.074286 91.785944) - (xy 124.091352 91.854859) - (xy 124.089917 91.869169) - (xy 124.069196 92) - (xy 124.070747 92.009793) - (xy 124.087555 92.115913) - (xy 124.090281 92.133126) - (xy 124.151472 92.25322) - (xy 124.24678 92.348528) - (xy 124.366874 92.409719) - (xy 124.376663 92.411269) - (xy 124.376665 92.41127) - (xy 124.490207 92.429253) - (xy 124.5 92.430804) - (xy 124.509793 92.429253) - (xy 124.623335 92.41127) - (xy 124.623337 92.411269) - (xy 124.633126 92.409719) - (xy 124.716798 92.367086) - (xy 124.786573 92.353982) - (xy 124.852358 92.380682) - (xy 124.893265 92.438709) - (xy 124.9 92.479353) - (xy 124.9 97.842036) - (xy 124.879998 97.910157) - (xy 124.826342 97.95665) - (xy 124.793711 97.966485) - (xy 124.673465 97.98553) - (xy 124.673463 97.985531) - (xy 124.663674 97.987081) - (xy 124.54358 98.048272) - (xy 124.485645 98.106207) - (xy 124.423333 98.140233) - (xy 124.352518 98.135168) - (xy 124.307455 98.106207) - (xy 124.25322 98.051972) - (xy 124.133126 97.990781) - (xy 124.123337 97.989231) - (xy 124.123335 97.98923) - (xy 124.009793 97.971247) - (xy 124 97.969696) - (xy 123.990207 97.971247) - (xy 123.876665 97.98923) - (xy 123.876663 97.989231) - (xy 123.866874 97.990781) - (xy 123.74678 98.051972) - (xy 123.651472 98.14728) - (xy 123.590281 98.267374) - (xy 123.569196 98.4005) - (xy 123.590281 98.533626) - (xy 123.651472 98.65372) - (xy 123.74678 98.749028) - (xy 123.866874 98.810219) - (xy 123.876663 98.811769) - (xy 123.876665 98.81177) - (xy 123.990207 98.829753) - (xy 124 98.831304) - (xy 124.009793 98.829753) - (xy 124.123335 98.81177) - (xy 124.123337 98.811769) - (xy 124.133126 98.810219) - (xy 124.25322 98.749028) - (xy 124.311155 98.691093) - (xy 124.373467 98.657067) - (xy 124.444282 98.662132) - (xy 124.489345 98.691093) - (xy 124.54358 98.745328) - (xy 124.663674 98.806519) - (xy 124.673463 98.808069) - (xy 124.673465 98.80807) - (xy 124.787007 98.826053) - (xy 124.7968 98.827604) - (xy 124.806593 98.826053) - (xy 124.920135 98.80807) - (xy 124.920137 98.808069) - (xy 124.929926 98.806519) - (xy 125.05002 98.745328) - (xy 125.145328 98.65002) - (xy 125.206519 98.529926) - (xy 125.218182 98.456289) - (xy 125.248595 98.392136) - (xy 125.308863 98.354609) - (xy 125.342631 98.35) - (xy 127.1235 98.35) - (xy 127.191621 98.370002) - (xy 127.238114 98.423658) - (xy 127.2495 98.476) - (xy 127.2495 98.905152) - (xy 127.248 98.924536) - (xy 127.246282 98.935567) - (xy 127.246282 98.935571) - (xy 127.244901 98.94444) - (xy 127.24648 98.956517) - (xy 127.247223 98.981839) - (xy 127.234371 99.161526) - (xy 127.231812 99.17932) - (xy 127.188648 99.377741) - (xy 127.183584 99.39499) - (xy 127.128935 99.541513) - (xy 127.112624 99.585244) - (xy 127.105157 99.601595) - (xy 127.01381 99.768883) - (xy 127.007841 99.779814) - (xy 126.998124 99.794934) - (xy 126.912025 99.909949) - (xy 126.876432 99.957496) - (xy 126.864659 99.971082) - (xy 126.721082 100.114659) - (xy 126.707495 100.126432) - (xy 126.55317 100.241959) - (xy 126.544937 100.248122) - (xy 126.529817 100.257839) - (xy 126.351595 100.355157) - (xy 126.335248 100.362622) - (xy 126.272371 100.386074) - (xy 126.14499 100.433584) - (xy 126.127741 100.438648) - (xy 125.92932 100.481812) - (xy 125.911526 100.484371) - (xy 125.738304 100.49676) - (xy 125.72341 100.496142) - (xy 125.723407 100.496392) - (xy 125.714431 100.496282) - (xy 125.70556 100.494901) - (xy 125.696658 100.496065) - (xy 125.696655 100.496065) - (xy 125.678527 100.498436) - (xy 125.66219 100.4995) - (xy 120.951294 100.4995) - (xy 120.883173 100.479498) - (xy 120.83668 100.425842) - (xy 120.826576 100.355568) - (xy 120.847342 100.304406) - (xy 120.848528 100.30322) - (xy 120.909719 100.183126) - (xy 120.911401 100.17251) - (xy 120.929253 100.059793) - (xy 120.930804 100.05) - (xy 120.909719 99.916874) - (xy 120.848528 99.79678) - (xy 120.75322 99.701472) - (xy 120.633126 99.640281) - (xy 120.623337 99.638731) - (xy 120.623335 99.63873) - (xy 120.509793 99.620747) - (xy 120.5 99.619196) - (xy 120.490207 99.620747) - (xy 120.376665 99.63873) - (xy 120.376663 99.638731) - (xy 120.366874 99.640281) - (xy 120.24678 99.701472) - (xy 120.151472 99.79678) - (xy 120.090281 99.916874) - (xy 120.069196 100.05) - (xy 120.070747 100.059793) - (xy 120.0886 100.17251) - (xy 120.090281 100.183126) - (xy 120.151472 100.30322) - (xy 120.152279 100.304027) - (xy 120.174501 100.366307) - (xy 120.15842 100.435458) - (xy 120.107506 100.484939) - (xy 120.048706 100.4995) - (xy 119.151294 100.4995) - (xy 119.083173 100.479498) - (xy 119.03668 100.425842) - (xy 119.026576 100.355568) - (xy 119.047342 100.304406) - (xy 119.048528 100.30322) - (xy 119.109719 100.183126) - (xy 119.111401 100.17251) - (xy 119.129253 100.059793) - (xy 119.130804 100.05) - (xy 119.109719 99.916874) - (xy 119.048528 99.79678) - (xy 118.95322 99.701472) - (xy 118.833126 99.640281) - (xy 118.823337 99.638731) - (xy 118.823335 99.63873) - (xy 118.709793 99.620747) - (xy 118.7 99.619196) - (xy 118.690207 99.620747) - (xy 118.576665 99.63873) - (xy 118.576663 99.638731) - (xy 118.566874 99.640281) - (xy 118.44678 99.701472) - (xy 118.351472 99.79678) - (xy 118.290281 99.916874) - (xy 118.269196 100.05) - (xy 118.270747 100.059793) - (xy 118.2886 100.17251) - (xy 118.290281 100.183126) - (xy 118.351472 100.30322) - (xy 118.352279 100.304027) - (xy 118.374501 100.366307) - (xy 118.35842 100.435458) - (xy 118.307506 100.484939) - (xy 118.248706 100.4995) - (xy 115.4487 100.4995) - (xy 115.380579 100.479498) - (xy 115.334086 100.425842) - (xy 115.323982 100.355568) - (xy 115.353476 100.290988) - (xy 115.379562 100.270574) - (xy 115.378757 100.269467) - (xy 115.386785 100.263634) - (xy 115.395617 100.259134) - (xy 115.490925 100.163826) - (xy 115.552116 100.043732) - (xy 115.554205 100.030546) - (xy 115.57165 99.920399) - (xy 115.573201 99.910606) - (xy 115.564491 99.855612) - (xy 115.553667 99.787271) - (xy 115.553666 99.787269) - (xy 115.552116 99.77748) - (xy 115.490925 99.657386) - (xy 115.437364 99.603825) - (xy 115.403338 99.541513) - (xy 115.408403 99.470698) - (xy 115.437363 99.425635) - (xy 116.384469 98.478529) - (xy 116.44678 98.444505) - (xy 116.517595 98.449569) - (xy 116.562658 98.47853) - (xy 116.963648 98.87952) - (xy 116.997674 98.941832) - (xy 117.000553 98.968615) - (xy 117.000553 98.97297) - (xy 116.980551 99.041091) - (xy 116.948614 99.074906) - (xy 116.944172 99.078133) - (xy 116.935333 99.082637) - (xy 116.840025 99.177945) - (xy 116.778834 99.298039) - (xy 116.777284 99.307828) - (xy 116.777283 99.30783) - (xy 116.7625 99.401166) - (xy 116.757749 99.431165) - (xy 116.7593 99.440958) - (xy 116.775589 99.543801) - (xy 116.778834 99.564291) - (xy 116.840025 99.684385) - (xy 116.935333 99.779693) - (xy 117.055427 99.840884) - (xy 117.065216 99.842434) - (xy 117.065218 99.842435) - (xy 117.17876 99.860418) - (xy 117.188553 99.861969) - (xy 117.198346 99.860418) - (xy 117.311888 99.842435) - (xy 117.31189 99.842434) - (xy 117.321679 99.840884) - (xy 117.441773 99.779693) - (xy 117.537081 99.684385) - (xy 117.598272 99.564291) - (xy 117.601518 99.543801) - (xy 117.617806 99.440958) - (xy 117.619357 99.431165) - (xy 117.614606 99.401166) - (xy 117.599823 99.30783) - (xy 117.599822 99.307828) - (xy 117.598272 99.298039) - (xy 117.537081 99.177945) - (xy 117.441773 99.082637) - (xy 117.432934 99.078133) - (xy 117.428492 99.074906) - (xy 117.385138 99.018684) - (xy 117.376553 98.97297) - (xy 117.376553 98.871225) - (xy 117.376594 98.870506) - (xy 117.380149 98.860382) - (xy 117.377326 98.835015) - (xy 117.37692 98.827689) - (xy 117.376553 98.82443) - (xy 117.376553 98.817357) - (xy 117.37498 98.81046) - (xy 117.374548 98.806628) - (xy 117.373434 98.800043) - (xy 117.372232 98.789239) - (xy 117.372231 98.789237) - (xy 117.370662 98.775136) - (xy 117.364778 98.765738) - (xy 117.362312 98.754928) - (xy 117.346693 98.735327) - (xy 117.342665 98.729638) - (xy 117.34038 98.726769) - (xy 117.336595 98.720723) - (xy 117.33155 98.715678) - (xy 117.329378 98.71295) - (xy 117.324596 98.707597) - (xy 117.317701 98.698944) - (xy 117.308859 98.687848) - (xy 117.299065 98.683124) - (xy 117.298416 98.682544) - (xy 116.874905 98.259033) - (xy 116.840879 98.196721) - (xy 116.838 98.169938) - (xy 116.838 97.58266) - (xy 116.83804 97.581951) - (xy 116.841595 97.571829) - (xy 116.838773 97.546469) - (xy 116.838366 97.539128) - (xy 116.838 97.535878) - (xy 116.838 97.528804) - (xy 116.836426 97.521906) - (xy 116.835995 97.518076) - (xy 116.834879 97.511478) - (xy 116.834242 97.505751) - (xy 116.832109 97.486583) - (xy 116.826225 97.477185) - (xy 116.823759 97.466375) - (xy 116.80814 97.446774) - (xy 116.804112 97.441085) - (xy 116.801827 97.438216) - (xy 116.798042 97.43217) - (xy 116.792997 97.427125) - (xy 116.790825 97.424397) - (xy 116.786043 97.419044) - (xy 116.779148 97.410391) - (xy 116.770306 97.399295) - (xy 116.760512 97.394571) - (xy 116.759863 97.393991) - (xy 116.465872 97.1) - (xy 118.419196 97.1) - (xy 118.420747 97.109793) - (xy 118.433895 97.192804) - (xy 118.440281 97.233126) - (xy 118.501472 97.35322) - (xy 118.59678 97.448528) - (xy 118.716874 97.509719) - (xy 118.726663 97.511269) - (xy 118.726665 97.51127) - (xy 118.840207 97.529253) - (xy 118.85 97.530804) - (xy 118.859793 97.529253) - (xy 118.973335 97.51127) - (xy 118.973337 97.511269) - (xy 118.983126 97.509719) - (xy 119.10322 97.448528) - (xy 119.198528 97.35322) - (xy 119.259719 97.233126) - (xy 119.266106 97.192804) - (xy 119.279253 97.109793) - (xy 119.280804 97.1) - (xy 119.267376 97.01522) - (xy 119.26127 96.976665) - (xy 119.261269 96.976663) - (xy 119.259719 96.966874) - (xy 119.198528 96.84678) - (xy 119.10322 96.751472) - (xy 118.983126 96.690281) - (xy 118.973337 96.688731) - (xy 118.973335 96.68873) - (xy 118.859793 96.670747) - (xy 118.85 96.669196) - (xy 118.840207 96.670747) - (xy 118.726665 96.68873) - (xy 118.726663 96.688731) - (xy 118.716874 96.690281) - (xy 118.59678 96.751472) - (xy 118.501472 96.84678) - (xy 118.440281 96.966874) - (xy 118.438731 96.976663) - (xy 118.43873 96.976665) - (xy 118.432624 97.01522) - (xy 118.419196 97.1) - (xy 116.465872 97.1) - (xy 115.92175 96.555878) - (xy 115.92127 96.55534) - (xy 115.916626 96.545669) - (xy 115.896689 96.529725) - (xy 115.891222 96.524832) - (xy 115.888662 96.52279) - (xy 115.88366 96.517788) - (xy 115.877671 96.514024) - (xy 115.874674 96.511633) - (xy 115.869222 96.507759) - (xy 115.84964 96.492099) - (xy 115.838833 96.489614) - (xy 115.829446 96.483714) - (xy 115.804551 96.480899) - (xy 115.797675 96.479724) - (xy 115.794024 96.47931) - (xy 115.787075 96.477712) - (xy 115.779941 96.477712) - (xy 115.776477 96.477319) - (xy 115.769301 96.476914) - (xy 115.758318 96.475672) - (xy 115.758317 96.475672) - (xy 115.744217 96.474078) - (xy 115.733949 96.477664) - (xy 115.733087 96.477712) - (xy 114.76965 96.477712) - (xy 114.701529 96.45771) - (xy 114.680555 96.440807) - (xy 114.65322 96.413472) - (xy 114.533126 96.352281) - (xy 114.523337 96.350731) - (xy 114.523335 96.35073) - (xy 114.409793 96.332747) - (xy 114.4 96.331196) - (xy 114.390207 96.332747) - (xy 114.276665 96.35073) - (xy 114.276663 96.350731) - (xy 114.266874 96.352281) - (xy 114.14678 96.413472) - (xy 114.051472 96.50878) - (xy 113.990281 96.628874) - (xy 113.988731 96.638663) - (xy 113.98873 96.638665) - (xy 113.983649 96.670747) - (xy 113.969196 96.762) - (xy 113.970747 96.771793) - (xy 113.984023 96.855612) - (xy 113.990281 96.895126) - (xy 114.051472 97.01522) - (xy 114.14678 97.110528) - (xy 114.266874 97.171719) - (xy 114.276663 97.173269) - (xy 114.276665 97.17327) - (xy 114.390207 97.191253) - (xy 114.4 97.192804) - (xy 114.409793 97.191253) - (xy 114.409794 97.191253) - (xy 114.448934 97.185054) - (xy 114.519345 97.194154) - (xy 114.573659 97.239876) - (xy 114.588477 97.270566) - (xy 114.590261 97.276056) - (xy 114.591812 97.285849) - (xy 114.653003 97.405943) - (xy 114.748311 97.501251) - (xy 114.868405 97.562442) - (xy 114.878194 97.563992) - (xy 114.878196 97.563993) - (xy 114.991738 97.581976) - (xy 115.001531 97.583527) - (xy 115.011324 97.581976) - (xy 115.124866 97.563993) - (xy 115.124868 97.563992) - (xy 115.134657 97.562442) - (xy 115.254751 97.501251) - (xy 115.350059 97.405943) - (xy 115.354563 97.397104) - (xy 115.35779 97.392662) - (xy 115.414012 97.349308) - (xy 115.459726 97.340723) - (xy 115.616748 97.340723) - (xy 115.684869 97.360725) - (xy 115.731362 97.414381) - (xy 115.741466 97.484655) - (xy 115.711972 97.549235) - (xy 115.680238 97.57407) - (xy 115.680102 97.574114) - (xy 115.672078 97.579944) - (xy 115.672076 97.579945) - (xy 115.660694 97.588215) - (xy 115.643839 97.598545) - (xy 115.622463 97.609436) - (xy 114.894304 98.337595) - (xy 114.831992 98.371621) - (xy 114.805209 98.3745) - (xy 114.587632 98.3745) - (xy 114.519511 98.354498) - (xy 114.473018 98.300842) - (xy 114.462914 98.230568) - (xy 114.475365 98.191297) - (xy 114.485218 98.171959) - (xy 114.489719 98.163126) - (xy 114.510804 98.03) - (xy 114.503159 97.981731) - (xy 114.49127 97.906665) - (xy 114.491269 97.906663) - (xy 114.489719 97.896874) - (xy 114.428528 97.77678) - (xy 114.33322 97.681472) - (xy 114.213126 97.620281) - (xy 114.203337 97.618731) - (xy 114.203335 97.61873) - (xy 114.089793 97.600747) - (xy 114.08 97.599196) - (xy 114.070207 97.600747) - (xy 113.956665 97.61873) - (xy 113.956663 97.618731) - (xy 113.946874 97.620281) - (xy 113.82678 97.681472) - (xy 113.731472 97.77678) - (xy 113.726972 97.785612) - (xy 113.685583 97.866843) - (xy 113.670281 97.896874) - (xy 113.668729 97.90667) - (xy 113.66843 97.907592) - (xy 113.628357 97.966199) - (xy 113.562961 97.993836) - (xy 113.493004 97.981731) - (xy 113.459501 97.957753) - (xy 113.278709 97.776961) - (xy 113.257326 97.766066) - (xy 113.24048 97.755742) - (xy 113.229093 97.747469) - (xy 113.22107 97.74164) - (xy 113.211639 97.738576) - (xy 113.211636 97.738574) - (xy 113.198252 97.734225) - (xy 113.179991 97.726661) - (xy 113.167453 97.720273) - (xy 113.167452 97.720273) - (xy 113.158615 97.71577) - (xy 113.134918 97.712017) - (xy 113.115699 97.707403) - (xy 113.092882 97.699989) - (xy 110.157118 97.699989) - (xy 110.134301 97.707403) - (xy 110.115082 97.712017) - (xy 110.091385 97.71577) - (xy 110.082548 97.720273) - (xy 110.082547 97.720273) - (xy 110.070009 97.726661) - (xy 110.051748 97.734225) - (xy 110.038362 97.738575) - (xy 110.038361 97.738576) - (xy 110.02893 97.74164) - (xy 110.02091 97.747467) - (xy 110.020908 97.747468) - (xy 110.009523 97.75574) - (xy 109.99267 97.766068) - (xy 109.971291 97.776961) - (xy 109.889095 97.859157) - (xy 109.826783 97.893183) - (xy 109.755968 97.888118) - (xy 109.710905 97.859157) - (xy 108.812405 96.960657) - (xy 108.778379 96.898345) - (xy 108.7755 96.871562) - (xy 108.7755 96.1) - (xy 117.469196 96.1) - (xy 117.490281 96.233126) - (xy 117.551472 96.35322) - (xy 117.64678 96.448528) - (xy 117.766874 96.509719) - (xy 117.776663 96.511269) - (xy 117.776665 96.51127) - (xy 117.890207 96.529253) - (xy 117.9 96.530804) - (xy 117.909793 96.529253) - (xy 118.023335 96.51127) - (xy 118.023337 96.511269) - (xy 118.033126 96.509719) - (xy 118.15322 96.448528) - (xy 118.248528 96.35322) - (xy 118.309719 96.233126) - (xy 118.330804 96.1) - (xy 119.419196 96.1) - (xy 119.440281 96.233126) - (xy 119.501472 96.35322) - (xy 119.59678 96.448528) - (xy 119.716874 96.509719) - (xy 119.726663 96.511269) - (xy 119.726665 96.51127) - (xy 119.840207 96.529253) - (xy 119.85 96.530804) - (xy 119.859793 96.529253) - (xy 119.973335 96.51127) - (xy 119.973337 96.511269) - (xy 119.983126 96.509719) - (xy 120.10322 96.448528) - (xy 120.198528 96.35322) - (xy 120.259719 96.233126) - (xy 120.280804 96.1) - (xy 120.259719 95.966874) - (xy 120.198528 95.84678) - (xy 120.10322 95.751472) - (xy 119.983126 95.690281) - (xy 119.973337 95.688731) - (xy 119.973335 95.68873) - (xy 119.859793 95.670747) - (xy 119.85 95.669196) - (xy 119.840207 95.670747) - (xy 119.726665 95.68873) - (xy 119.726663 95.688731) - (xy 119.716874 95.690281) - (xy 119.59678 95.751472) - (xy 119.501472 95.84678) - (xy 119.440281 95.966874) - (xy 119.419196 96.1) - (xy 118.330804 96.1) - (xy 118.309719 95.966874) - (xy 118.248528 95.84678) - (xy 118.15322 95.751472) - (xy 118.033126 95.690281) - (xy 118.023337 95.688731) - (xy 118.023335 95.68873) - (xy 117.909793 95.670747) - (xy 117.9 95.669196) - (xy 117.890207 95.670747) - (xy 117.776665 95.68873) - (xy 117.776663 95.688731) - (xy 117.766874 95.690281) - (xy 117.64678 95.751472) - (xy 117.551472 95.84678) - (xy 117.490281 95.966874) - (xy 117.469196 96.1) - (xy 108.7755 96.1) - (xy 108.7755 95.1) - (xy 116.469196 95.1) - (xy 116.490281 95.233126) - (xy 116.551472 95.35322) - (xy 116.64678 95.448528) - (xy 116.766874 95.509719) - (xy 116.776663 95.511269) - (xy 116.776665 95.51127) - (xy 116.890207 95.529253) - (xy 116.9 95.530804) - (xy 116.909793 95.529253) - (xy 117.023335 95.51127) - (xy 117.023337 95.511269) - (xy 117.033126 95.509719) - (xy 117.15322 95.448528) - (xy 117.248528 95.35322) - (xy 117.309719 95.233126) - (xy 117.330804 95.1) - (xy 118.469196 95.1) - (xy 118.490281 95.233126) - (xy 118.551472 95.35322) - (xy 118.64678 95.448528) - (xy 118.766874 95.509719) - (xy 118.776663 95.511269) - (xy 118.776665 95.51127) - (xy 118.890207 95.529253) - (xy 118.9 95.530804) - (xy 118.909793 95.529253) - (xy 119.023335 95.51127) - (xy 119.023337 95.511269) - (xy 119.033126 95.509719) - (xy 119.15322 95.448528) - (xy 119.248528 95.35322) - (xy 119.309719 95.233126) - (xy 119.330804 95.1) - (xy 120.469196 95.1) - (xy 120.490281 95.233126) - (xy 120.551472 95.35322) - (xy 120.64678 95.448528) - (xy 120.766874 95.509719) - (xy 120.776663 95.511269) - (xy 120.776665 95.51127) - (xy 120.890207 95.529253) - (xy 120.9 95.530804) - (xy 120.909793 95.529253) - (xy 121.023335 95.51127) - (xy 121.023337 95.511269) - (xy 121.033126 95.509719) - (xy 121.15322 95.448528) - (xy 121.248528 95.35322) - (xy 121.309719 95.233126) - (xy 121.330804 95.1) - (xy 121.309719 94.966874) - (xy 121.248528 94.84678) - (xy 121.15322 94.751472) - (xy 121.033126 94.690281) - (xy 121.023337 94.688731) - (xy 121.023335 94.68873) - (xy 120.909793 94.670747) - (xy 120.9 94.669196) - (xy 120.890207 94.670747) - (xy 120.776665 94.68873) - (xy 120.776663 94.688731) - (xy 120.766874 94.690281) - (xy 120.64678 94.751472) - (xy 120.551472 94.84678) - (xy 120.490281 94.966874) - (xy 120.469196 95.1) - (xy 119.330804 95.1) - (xy 119.309719 94.966874) - (xy 119.248528 94.84678) - (xy 119.15322 94.751472) - (xy 119.033126 94.690281) - (xy 119.023337 94.688731) - (xy 119.023335 94.68873) - (xy 118.909793 94.670747) - (xy 118.9 94.669196) - (xy 118.890207 94.670747) - (xy 118.776665 94.68873) - (xy 118.776663 94.688731) - (xy 118.766874 94.690281) - (xy 118.64678 94.751472) - (xy 118.551472 94.84678) - (xy 118.490281 94.966874) - (xy 118.469196 95.1) - (xy 117.330804 95.1) - (xy 117.309719 94.966874) - (xy 117.248528 94.84678) - (xy 117.15322 94.751472) - (xy 117.033126 94.690281) - (xy 117.023337 94.688731) - (xy 117.023335 94.68873) - (xy 116.909793 94.670747) - (xy 116.9 94.669196) - (xy 116.890207 94.670747) - (xy 116.776665 94.68873) - (xy 116.776663 94.688731) - (xy 116.766874 94.690281) - (xy 116.64678 94.751472) - (xy 116.551472 94.84678) - (xy 116.490281 94.966874) - (xy 116.469196 95.1) - (xy 108.7755 95.1) - (xy 108.7755 94.1) - (xy 117.469196 94.1) - (xy 117.490281 94.233126) - (xy 117.551472 94.35322) - (xy 117.64678 94.448528) - (xy 117.766874 94.509719) - (xy 117.776663 94.511269) - (xy 117.776665 94.51127) - (xy 117.890207 94.529253) - (xy 117.9 94.530804) - (xy 117.909793 94.529253) - (xy 118.023335 94.51127) - (xy 118.023337 94.511269) - (xy 118.033126 94.509719) - (xy 118.15322 94.448528) - (xy 118.248528 94.35322) - (xy 118.309719 94.233126) - (xy 118.330804 94.1) - (xy 119.419196 94.1) - (xy 119.440281 94.233126) - (xy 119.501472 94.35322) - (xy 119.59678 94.448528) - (xy 119.716874 94.509719) - (xy 119.726663 94.511269) - (xy 119.726665 94.51127) - (xy 119.840207 94.529253) - (xy 119.85 94.530804) - (xy 119.859793 94.529253) - (xy 119.973335 94.51127) - (xy 119.973337 94.511269) - (xy 119.983126 94.509719) - (xy 120.10322 94.448528) - (xy 120.198528 94.35322) - (xy 120.259719 94.233126) - (xy 120.280804 94.1) - (xy 120.279253 94.090207) - (xy 120.26127 93.976665) - (xy 120.261269 93.976663) - (xy 120.259719 93.966874) - (xy 120.198528 93.84678) - (xy 120.10322 93.751472) - (xy 119.983126 93.690281) - (xy 119.973337 93.688731) - (xy 119.973335 93.68873) - (xy 119.859793 93.670747) - (xy 119.85 93.669196) - (xy 119.840207 93.670747) - (xy 119.726665 93.68873) - (xy 119.726663 93.688731) - (xy 119.716874 93.690281) - (xy 119.59678 93.751472) - (xy 119.501472 93.84678) - (xy 119.440281 93.966874) - (xy 119.438731 93.976663) - (xy 119.43873 93.976665) - (xy 119.420747 94.090207) - (xy 119.419196 94.1) - (xy 118.330804 94.1) - (xy 118.329253 94.090207) - (xy 118.31127 93.976665) - (xy 118.311269 93.976663) - (xy 118.309719 93.966874) - (xy 118.248528 93.84678) - (xy 118.15322 93.751472) - (xy 118.033126 93.690281) - (xy 118.023337 93.688731) - (xy 118.023335 93.68873) - (xy 117.909793 93.670747) - (xy 117.9 93.669196) - (xy 117.890207 93.670747) - (xy 117.776665 93.68873) - (xy 117.776663 93.688731) - (xy 117.766874 93.690281) - (xy 117.64678 93.751472) - (xy 117.551472 93.84678) - (xy 117.490281 93.966874) - (xy 117.488731 93.976663) - (xy 117.48873 93.976665) - (xy 117.470747 94.090207) - (xy 117.469196 94.1) - (xy 108.7755 94.1) - (xy 108.7755 92.45743) - (xy 109.068488 92.45743) - (xy 109.089573 92.590556) - (xy 109.150764 92.71065) - (xy 109.246072 92.805958) - (xy 109.366166 92.867149) - (xy 109.375955 92.868699) - (xy 109.375957 92.8687) - (xy 109.489499 92.886683) - (xy 109.499292 92.888234) - (xy 109.509085 92.886683) - (xy 109.622627 92.8687) - (xy 109.622629 92.868699) - (xy 109.632418 92.867149) - (xy 109.752512 92.805958) - (xy 109.84782 92.71065) - (xy 109.909011 92.590556) - (xy 109.930096 92.45743) - (xy 109.922539 92.409719) - (xy 109.910562 92.334095) - (xy 109.910561 92.334093) - (xy 109.909011 92.324304) - (xy 109.84782 92.20421) - (xy 109.752512 92.108902) - (xy 109.632418 92.047711) - (xy 109.622629 92.046161) - (xy 109.622627 92.04616) - (xy 109.509085 92.028177) - (xy 109.499292 92.026626) - (xy 109.489499 92.028177) - (xy 109.375957 92.04616) - (xy 109.375955 92.046161) - (xy 109.366166 92.047711) - (xy 109.246072 92.108902) - (xy 109.150764 92.20421) - (xy 109.089573 92.324304) - (xy 109.088023 92.334093) - (xy 109.088022 92.334095) - (xy 109.076045 92.409719) - (xy 109.068488 92.45743) - (xy 108.7755 92.45743) - (xy 108.7755 90.35) - (xy 110.019196 90.35) - (xy 110.020747 90.359793) - (xy 110.036574 90.459719) - (xy 110.040281 90.483126) - (xy 110.101472 90.60322) - (xy 110.19678 90.698528) - (xy 110.316874 90.759719) - (xy 110.326663 90.761269) - (xy 110.326665 90.76127) - (xy 110.440207 90.779253) - (xy 110.45 90.780804) - (xy 110.459793 90.779253) - (xy 110.573335 90.76127) - (xy 110.573337 90.761269) - (xy 110.583126 90.759719) - (xy 110.70322 90.698528) - (xy 110.764139 90.637609) - (xy 110.826451 90.603583) - (xy 110.897266 90.608648) - (xy 110.954102 90.651195) - (xy 110.978913 90.717715) - (xy 110.977683 90.746415) - (xy 110.969196 90.8) - (xy 110.970747 90.809793) - (xy 110.988075 90.919196) - (xy 110.990281 90.933126) - (xy 111.051472 91.05322) - (xy 111.134157 91.135905) - (xy 111.168183 91.198217) - (xy 111.163118 91.269032) - (xy 111.134157 91.314095) - (xy 111.101472 91.34678) - (xy 111.040281 91.466874) - (xy 111.019196 91.6) - (xy 111.020747 91.609793) - (xy 111.0387 91.723142) - (xy 111.040281 91.733126) - (xy 111.101472 91.85322) - (xy 111.19678 91.948528) - (xy 111.316874 92.009719) - (xy 111.326663 92.011269) - (xy 111.326665 92.01127) - (xy 111.440207 92.029253) - (xy 111.45 92.030804) - (xy 111.459793 92.029253) - (xy 111.573335 92.01127) - (xy 111.573337 92.011269) - (xy 111.583126 92.009719) - (xy 111.70322 91.948528) - (xy 111.735905 91.915843) - (xy 111.798217 91.881817) - (xy 111.869032 91.886882) - (xy 111.914095 91.915843) - (xy 111.94678 91.948528) - (xy 112.066874 92.009719) - (xy 112.076663 92.011269) - (xy 112.076665 92.01127) - (xy 112.190207 92.029253) - (xy 112.2 92.030804) - (xy 112.216587 92.028177) - (xy 112.323333 92.01127) - (xy 112.333126 92.009719) - (xy 112.337101 92.007693) - (xy 112.405042 92.005752) - (xy 112.46584 92.042415) - (xy 112.497166 92.106127) - (xy 112.499011 92.127613) - (xy 112.499011 93.165303) - (xy 112.498838 93.171897) - (xy 112.496811 93.210575) - (xy 112.501558 93.222941) - (xy 112.504074 93.229496) - (xy 112.50969 93.248452) - (xy 112.513904 93.268279) - (xy 112.521687 93.278992) - (xy 112.523712 93.28354) - (xy 112.526419 93.287709) - (xy 112.531165 93.300071) - (xy 112.545497 93.314403) - (xy 112.558338 93.329438) - (xy 112.562466 93.33512) - (xy 112.562468 93.335122) - (xy 112.570251 93.345834) - (xy 112.581718 93.352455) - (xy 112.591561 93.361317) - (xy 112.591244 93.361669) - (xy 112.597777 93.366683) - (xy 112.639098 93.408003) - (xy 112.673124 93.470315) - (xy 112.674453 93.51681) - (xy 112.669196 93.55) - (xy 112.670747 93.559793) - (xy 112.688075 93.669196) - (xy 112.690281 93.683126) - (xy 112.751472 93.80322) - (xy 112.84678 93.898528) - (xy 112.966874 93.959719) - (xy 112.976663 93.961269) - (xy 112.976665 93.96127) - (xy 113.090207 93.979253) - (xy 113.1 93.980804) - (xy 113.109793 93.979253) - (xy 113.223335 93.96127) - (xy 113.223337 93.961269) - (xy 113.233126 93.959719) - (xy 113.35322 93.898528) - (xy 113.448528 93.80322) - (xy 113.509719 93.683126) - (xy 113.511926 93.669196) - (xy 113.529253 93.559793) - (xy 113.530804 93.55) - (xy 113.518183 93.470315) - (xy 113.51127 93.426665) - (xy 113.511269 93.426663) - (xy 113.509719 93.416874) - (xy 113.448528 93.29678) - (xy 113.35322 93.201472) - (xy 113.233126 93.140281) - (xy 113.223337 93.138731) - (xy 113.223335 93.13873) - (xy 113.109793 93.120747) - (xy 113.1 93.119196) - (xy 113.090208 93.120747) - (xy 113.080289 93.120747) - (xy 113.080289 93.117877) - (xy 113.025322 93.110779) - (xy 113.012515 93.1) - (xy 118.469196 93.1) - (xy 118.470747 93.109793) - (xy 118.485268 93.201472) - (xy 118.490281 93.233126) - (xy 118.551472 93.35322) - (xy 118.64678 93.448528) - (xy 118.766874 93.509719) - (xy 118.776663 93.511269) - (xy 118.776665 93.51127) - (xy 118.890207 93.529253) - (xy 118.9 93.530804) - (xy 118.909793 93.529253) - (xy 119.023335 93.51127) - (xy 119.023337 93.511269) - (xy 119.033126 93.509719) - (xy 119.15322 93.448528) - (xy 119.248528 93.35322) - (xy 119.309719 93.233126) - (xy 119.314733 93.201472) - (xy 119.329253 93.109793) - (xy 119.330804 93.1) - (xy 119.329253 93.090207) - (xy 119.31127 92.976665) - (xy 119.311269 92.976663) - (xy 119.309719 92.966874) - (xy 119.248528 92.84678) - (xy 119.15322 92.751472) - (xy 119.033126 92.690281) - (xy 119.023337 92.688731) - (xy 119.023335 92.68873) - (xy 118.909793 92.670747) - (xy 118.9 92.669196) - (xy 118.890207 92.670747) - (xy 118.776665 92.68873) - (xy 118.776663 92.688731) - (xy 118.766874 92.690281) - (xy 118.64678 92.751472) - (xy 118.551472 92.84678) - (xy 118.490281 92.966874) - (xy 118.488731 92.976663) - (xy 118.48873 92.976665) - (xy 118.470747 93.090207) - (xy 118.469196 93.1) - (xy 113.012515 93.1) - (xy 112.971003 93.065063) - (xy 112.950011 92.995425) - (xy 112.950011 92.277295) - (xy 112.970013 92.209174) - (xy 113.023669 92.162681) - (xy 113.093943 92.152577) - (xy 113.110341 92.156391) - (xy 113.116874 92.159719) - (xy 113.126667 92.16127) - (xy 113.12667 92.161271) - (xy 113.240207 92.179253) - (xy 113.25 92.180804) - (xy 113.259793 92.179253) - (xy 113.373335 92.16127) - (xy 113.373337 92.161269) - (xy 113.383126 92.159719) - (xy 113.50322 92.098528) - (xy 113.598528 92.00322) - (xy 113.659719 91.883126) - (xy 113.665855 91.844388) - (xy 113.675202 91.78537) - (xy 113.705614 91.721217) - (xy 113.765883 91.68369) - (xy 113.77994 91.680632) - (xy 113.823334 91.673759) - (xy 113.823335 91.673759) - (xy 113.833126 91.672208) - (xy 113.95322 91.611017) - (xy 114.048528 91.515709) - (xy 114.109719 91.395615) - (xy 114.121098 91.323774) - (xy 114.129253 91.272282) - (xy 114.130804 91.262489) - (xy 114.12513 91.226665) - (xy 114.11127 91.139154) - (xy 114.111269 91.139152) - (xy 114.109719 91.129363) - (xy 114.048528 91.009269) - (xy 113.95322 90.913961) - (xy 113.833126 90.85277) - (xy 113.823337 90.85122) - (xy 113.823335 90.851219) - (xy 113.709793 90.833236) - (xy 113.7 90.831685) - (xy 113.690207 90.833236) - (xy 113.576665 90.851219) - (xy 113.576663 90.85122) - (xy 113.566874 90.85277) - (xy 113.44678 90.913961) - (xy 113.351472 91.009269) - (xy 113.290281 91.129363) - (xy 113.288731 91.139152) - (xy 113.28873 91.139154) - (xy 113.274798 91.227119) - (xy 113.244386 91.291272) - (xy 113.184117 91.328799) - (xy 113.17006 91.331857) - (xy 113.126666 91.33873) - (xy 113.126665 91.33873) - (xy 113.116874 91.340281) - (xy 113.108043 91.344781) - (xy 113.108039 91.344782) - (xy 113.103196 91.34725) - (xy 113.033419 91.360355) - (xy 112.967635 91.333656) - (xy 112.935915 91.292723) - (xy 112.935118 91.288971) - (xy 112.927335 91.278259) - (xy 112.925314 91.273718) - (xy 112.922602 91.269542) - (xy 112.917856 91.257179) - (xy 112.903528 91.242851) - (xy 112.890687 91.227817) - (xy 112.886554 91.222128) - (xy 112.886553 91.222127) - (xy 112.878771 91.211416) - (xy 112.867305 91.204796) - (xy 112.85746 91.195932) - (xy 112.857778 91.195579) - (xy 112.851239 91.190562) - (xy 112.307749 90.647072) - (xy 112.303208 90.642288) - (xy 112.298995 90.637609) - (xy 112.277279 90.613491) - (xy 112.258757 90.605245) - (xy 112.241394 90.595817) - (xy 112.22439 90.584774) - (xy 112.211309 90.582702) - (xy 112.206666 90.58092) - (xy 112.2018 90.579886) - (xy 112.189704 90.5745) - (xy 112.169438 90.5745) - (xy 112.149729 90.572949) - (xy 112.129707 90.569778) - (xy 112.116918 90.573205) - (xy 112.10369 90.573898) - (xy 112.103665 90.573424) - (xy 112.095495 90.5745) - (xy 111.828438 90.5745) - (xy 111.760317 90.554498) - (xy 111.739343 90.537595) - (xy 111.65322 90.451472) - (xy 111.625341 90.437267) - (xy 111.573726 90.388519) - (xy 111.55666 90.319604) - (xy 111.579561 90.252402) - (xy 111.625341 90.212733) - (xy 111.644388 90.203028) - (xy 111.644387 90.203028) - (xy 111.65322 90.198528) - (xy 111.748528 90.10322) - (xy 111.809719 89.983126) - (xy 111.812908 89.962995) - (xy 111.829253 89.859793) - (xy 111.830804 89.85) - (xy 111.828461 89.835208) - (xy 111.81127 89.726665) - (xy 111.811269 89.726663) - (xy 111.809719 89.716874) - (xy 111.748528 89.59678) - (xy 111.65322 89.501472) - (xy 111.533126 89.440281) - (xy 111.523337 89.438731) - (xy 111.523335 89.43873) - (xy 111.409793 89.420747) - (xy 111.4 89.419196) - (xy 111.390207 89.420747) - (xy 111.276665 89.43873) - (xy 111.276663 89.438731) - (xy 111.266874 89.440281) - (xy 111.14678 89.501472) - (xy 111.051472 89.59678) - (xy 110.990281 89.716874) - (xy 110.988731 89.726663) - (xy 110.98873 89.726665) - (xy 110.971539 89.835208) - (xy 110.969196 89.85) - (xy 110.970747 89.859793) - (xy 110.970747 89.859794) - (xy 110.987092 89.962995) - (xy 110.977992 90.033406) - (xy 110.93227 90.08772) - (xy 110.864442 90.108692) - (xy 110.796042 90.089665) - (xy 110.773548 90.0718) - (xy 110.70322 90.001472) - (xy 110.583126 89.940281) - (xy 110.573337 89.938731) - (xy 110.573335 89.93873) - (xy 110.459793 89.920747) - (xy 110.45 89.919196) - (xy 110.440207 89.920747) - (xy 110.326665 89.93873) - (xy 110.326663 89.938731) - (xy 110.316874 89.940281) - (xy 110.19678 90.001472) - (xy 110.101472 90.09678) - (xy 110.040281 90.216874) - (xy 110.038731 90.226663) - (xy 110.03873 90.226665) - (xy 110.024723 90.315104) - (xy 110.019196 90.35) - (xy 108.7755 90.35) - (xy 108.7755 89.628438) - (xy 108.795502 89.560317) - (xy 108.812405 89.539343) - (xy 109.789343 88.562405) - (xy 109.851655 88.528379) - (xy 109.878438 88.5255) - (xy 111.471562 88.5255) - (xy 111.539683 88.545502) - (xy 111.560657 88.562405) - (xy 112.772269 89.774017) - (xy 112.793643 89.784907) - (xy 112.810495 89.795233) - (xy 112.829909 89.809339) - (xy 112.839338 89.812403) - (xy 112.839341 89.812404) - (xy 112.852728 89.816754) - (xy 112.870992 89.824319) - (xy 112.892363 89.835208) - (xy 112.902155 89.836759) - (xy 112.902158 89.83676) - (xy 112.916058 89.838962) - (xy 112.935277 89.843576) - (xy 112.948662 89.847925) - (xy 112.948669 89.847926) - (xy 112.958096 89.850989) - (xy 114.497051 89.850989) - (xy 114.565172 89.870991) - (xy 114.586146 89.887894) - (xy 115.187595 90.489343) - (xy 115.221621 90.551655) - (xy 115.2245 90.578438) - (xy 115.2245 90.917393) - (xy 115.231914 90.94021) - (xy 115.236528 90.959429) - (xy 115.240281 90.983126) - (xy 115.244784 90.991963) - (xy 115.244784 90.991964) - (xy 115.251172 91.004502) - (xy 115.258736 91.022763) - (xy 115.263085 91.036147) - (xy 115.263087 91.03615) - (xy 115.266151 91.045581) - (xy 115.27198 91.053604) - (xy 115.280253 91.064991) - (xy 115.290577 91.081837) - (xy 115.301472 91.10322) - (xy 115.657962 91.45971) - (xy 115.691988 91.522022) - (xy 115.686923 91.592837) - (xy 115.644376 91.649673) - (xy 115.626069 91.661072) - (xy 115.555615 91.69697) - (xy 115.555613 91.696971) - (xy 115.54678 91.701472) - (xy 115.451472 91.79678) - (xy 115.390281 91.916874) - (xy 115.388731 91.926663) - (xy 115.38873 91.926665) - (xy 115.384555 91.953028) - (xy 115.369196 92.05) - (xy 115.370747 92.059793) - (xy 115.38682 92.161271) - (xy 115.390281 92.183126) - (xy 115.451472 92.30322) - (xy 115.54678 92.398528) - (xy 115.666874 92.459719) - (xy 115.676663 92.461269) - (xy 115.676665 92.46127) - (xy 115.790207 92.479253) - (xy 115.8 92.480804) - (xy 115.809793 92.479253) - (xy 115.923335 92.46127) - (xy 115.923337 92.461269) - (xy 115.933126 92.459719) - (xy 116.05322 92.398528) - (xy 116.148528 92.30322) - (xy 116.209719 92.183126) - (xy 116.213181 92.161271) - (xy 116.229253 92.059793) - (xy 116.230804 92.05) - (xy 116.215445 91.953028) - (xy 116.21127 91.926665) - (xy 116.211269 91.926663) - (xy 116.209719 91.916874) - (xy 116.180079 91.858702) - (xy 116.166975 91.788927) - (xy 116.193675 91.723142) - (xy 116.251702 91.682235) - (xy 116.292346 91.6755) - (xy 120.121562 91.6755) - (xy 120.189683 91.695502) - (xy 120.210657 91.712405) - (xy 121.911 93.412748) - (xy 121.932374 93.423638) - (xy 121.949226 93.433964) - (xy 121.96864 93.44807) - (xy 121.978069 93.451134) - (xy 121.978072 93.451135) - (xy 121.991459 93.455485) - (xy 122.009723 93.46305) - (xy 122.031094 93.473939) - (xy 122.040886 93.47549) - (xy 122.040889 93.475491) - (xy 122.054789 93.477693) - (xy 122.074008 93.482307) - (xy 122.087393 93.486656) - (xy 122.0874 93.486657) - (xy 122.096827 93.48972) - (xy 122.856832 93.48972) - (xy 122.876542 93.491271) - (xy 122.900238 93.495024) - (xy 122.923934 93.491271) - (xy 122.933726 93.48972) - (xy 123.023573 93.47549) - (xy 123.023575 93.475489) - (xy 123.033364 93.473939) - (xy 123.153458 93.412748) - (xy 123.248766 93.31744) - (xy 123.309957 93.197346) - (xy 123.319912 93.134496) - (xy 123.329491 93.074013) - (xy 123.331042 93.06422) - (xy 123.309957 92.931094) - (xy 123.248766 92.811) - (xy 123.153458 92.715692) - (xy 123.033364 92.654501) - (xy 123.023575 92.652951) - (xy 123.023573 92.65295) - (xy 122.933726 92.63872) - (xy 122.910031 92.634967) - (xy 122.900238 92.633416) - (xy 122.876543 92.637169) - (xy 122.856832 92.63872) - (xy 122.392658 92.63872) - (xy 122.324537 92.618718) - (xy 122.303563 92.601815) - (xy 121.055242 91.353494) - (xy 121.021216 91.291182) - (xy 121.026281 91.220367) - (xy 121.068828 91.163531) - (xy 121.087135 91.152132) - (xy 121.140763 91.124808) - (xy 121.140767 91.124805) - (xy 121.149597 91.120306) - (xy 121.244905 91.024998) - (xy 121.306096 90.904904) - (xy 121.313966 90.855218) - (xy 121.32563 90.781571) - (xy 121.327181 90.771778) - (xy 121.316292 90.703028) - (xy 121.307647 90.648443) - (xy 121.307646 90.648441) - (xy 121.306096 90.638652) - (xy 121.244905 90.518558) - (xy 121.149597 90.42325) - (xy 121.029503 90.362059) - (xy 121.019714 90.360509) - (xy 121.019712 90.360508) - (xy 120.90617 90.342525) - (xy 120.896377 90.340974) - (xy 120.886584 90.342525) - (xy 120.773042 90.360508) - (xy 120.77304 90.360509) - (xy 120.763251 90.362059) - (xy 120.643157 90.42325) - (xy 120.547849 90.518558) - (xy 120.546575 90.517284) - (xy 120.499711 90.553418) - (xy 120.454004 90.562) - (xy 118.54127 90.562) - (xy 118.473149 90.541998) - (xy 118.426656 90.488342) - (xy 118.416552 90.418068) - (xy 118.446046 90.353488) - (xy 118.505772 90.315104) - (xy 118.52156 90.311551) - (xy 118.523334 90.31127) - (xy 118.523335 90.31127) - (xy 118.533126 90.309719) - (xy 118.65322 90.248528) - (xy 118.748528 90.15322) - (xy 118.809719 90.033126) - (xy 118.811926 90.019196) - (xy 118.829253 89.909793) - (xy 118.830804 89.9) - (xy 118.826919 89.875471) - (xy 118.81127 89.776665) - (xy 118.811269 89.776663) - (xy 118.809719 89.766874) - (xy 118.748528 89.64678) - (xy 118.65322 89.551472) - (xy 118.552201 89.5) - (xy 122.269196 89.5) - (xy 122.270747 89.509793) - (xy 122.285539 89.603184) - (xy 122.290281 89.633126) - (xy 122.351472 89.75322) - (xy 122.44678 89.848528) - (xy 122.455613 89.853029) - (xy 122.455615 89.85303) - (xy 122.499658 89.875471) - (xy 122.551274 89.924219) - (xy 122.56834 89.993134) - (xy 122.54544 90.060335) - (xy 122.512192 90.089146) - (xy 122.51364 90.091139) - (xy 122.505612 90.096972) - (xy 122.49678 90.101472) - (xy 122.401472 90.19678) - (xy 122.340281 90.316874) - (xy 122.338731 90.326663) - (xy 122.33873 90.326665) - (xy 122.324145 90.41875) - (xy 122.319196 90.45) - (xy 122.320747 90.459793) - (xy 122.335297 90.551655) - (xy 122.340281 90.583126) - (xy 122.401472 90.70322) - (xy 122.49678 90.798528) - (xy 122.616874 90.859719) - (xy 122.626663 90.861269) - (xy 122.626665 90.86127) - (xy 122.740207 90.879253) - (xy 122.75 90.880804) - (xy 122.759793 90.879253) - (xy 122.873335 90.86127) - (xy 122.873337 90.861269) - (xy 122.883126 90.859719) - (xy 123.00322 90.798528) - (xy 123.098528 90.70322) - (xy 123.159719 90.583126) - (xy 123.164704 90.551655) - (xy 123.179253 90.459793) - (xy 123.180804 90.45) - (xy 123.175855 90.41875) - (xy 123.16127 90.326665) - (xy 123.161269 90.326663) - (xy 123.159719 90.316874) - (xy 123.098528 90.19678) - (xy 123.00322 90.101472) - (xy 122.994387 90.096971) - (xy 122.994385 90.09697) - (xy 122.950342 90.074529) - (xy 122.898726 90.025781) - (xy 122.88166 89.956866) - (xy 122.90456 89.889665) - (xy 122.937808 89.860854) - (xy 122.93636 89.858861) - (xy 122.944388 89.853028) - (xy 122.95322 89.848528) - (xy 123.048528 89.75322) - (xy 123.109719 89.633126) - (xy 123.114462 89.603184) - (xy 123.129253 89.509793) - (xy 123.130804 89.5) - (xy 123.124743 89.461733) - (xy 123.11127 89.376665) - (xy 123.111269 89.376663) - (xy 123.109719 89.366874) - (xy 123.048528 89.24678) - (xy 122.95322 89.151472) - (xy 122.833126 89.090281) - (xy 122.823337 89.088731) - (xy 122.823335 89.08873) - (xy 122.709793 89.070747) - (xy 122.7 89.069196) - (xy 122.690207 89.070747) - (xy 122.576665 89.08873) - (xy 122.576663 89.088731) - (xy 122.566874 89.090281) - (xy 122.44678 89.151472) - (xy 122.351472 89.24678) - (xy 122.290281 89.366874) - (xy 122.288731 89.376663) - (xy 122.28873 89.376665) - (xy 122.275257 89.461733) - (xy 122.269196 89.5) - (xy 118.552201 89.5) - (xy 118.533126 89.490281) - (xy 118.523337 89.488731) - (xy 118.523335 89.48873) - (xy 118.409793 89.470747) - (xy 118.4 89.469196) - (xy 118.390207 89.470747) - (xy 118.368622 89.474166) - (xy 118.321028 89.481704) - (xy 118.250617 89.472604) - (xy 118.212222 89.44635) - (xy 117.84276 89.076888) - (xy 117.808734 89.014576) - (xy 117.813799 88.943761) - (xy 117.856346 88.886925) - (xy 117.922866 88.862114) - (xy 117.989057 88.875526) - (xy 118.050242 88.906701) - (xy 118.060031 88.908251) - (xy 118.060033 88.908252) - (xy 118.173575 88.926235) - (xy 118.183368 88.927786) - (xy 118.193161 88.926235) - (xy 118.306703 88.908252) - (xy 118.306705 88.908251) - (xy 118.316494 88.906701) - (xy 118.436588 88.84551) - (xy 118.531896 88.750202) - (xy 118.593087 88.630108) - (xy 118.597172 88.60432) - (xy 118.612621 88.506775) - (xy 118.614172 88.496982) - (xy 118.596911 88.388) - (xy 118.594638 88.373647) - (xy 118.594637 88.373645) - (xy 118.593087 88.363856) - (xy 118.531896 88.243762) - (xy 118.436588 88.148454) - (xy 118.316494 88.087263) - (xy 118.306705 88.085713) - (xy 118.306703 88.085712) - (xy 118.193161 88.067729) - (xy 118.183368 88.066178) - (xy 118.173575 88.067729) - (xy 118.060033 88.085712) - (xy 118.060031 88.085713) - (xy 118.050242 88.087263) - (xy 117.930148 88.148454) - (xy 117.83484 88.243762) - (xy 117.773649 88.363856) - (xy 117.772099 88.373645) - (xy 117.772098 88.373647) - (xy 117.769825 88.388) - (xy 117.752564 88.496982) - (xy 117.754115 88.506775) - (xy 117.769565 88.60432) - (xy 117.773649 88.630108) - (xy 117.804309 88.690281) - (xy 117.804824 88.691292) - (xy 117.817928 88.761069) - (xy 117.791228 88.826853) - (xy 117.733201 88.86776) - (xy 117.662269 88.870801) - (xy 117.603462 88.83759) - (xy 117.013841 88.247969) - (xy 117.013361 88.247431) - (xy 117.008717 88.23776) - (xy 116.98878 88.221816) - (xy 116.983313 88.216923) - (xy 116.980753 88.214881) - (xy 116.975751 88.209879) - (xy 116.969762 88.206115) - (xy 116.966765 88.203724) - (xy 116.961313 88.19985) - (xy 116.941731 88.18419) - (xy 116.930924 88.181705) - (xy 116.921537 88.175805) - (xy 116.896642 88.17299) - (xy 116.889766 88.171815) - (xy 116.886115 88.171401) - (xy 116.879166 88.169803) - (xy 116.872032 88.169803) - (xy 116.868568 88.16941) - (xy 116.861392 88.169005) - (xy 116.850409 88.167763) - (xy 116.850408 88.167763) - (xy 116.836308 88.166169) - (xy 116.82604 88.169755) - (xy 116.825178 88.169803) - (xy 116.771664 88.169803) - (xy 116.703543 88.149801) - (xy 116.65705 88.096145) - (xy 116.646946 88.025871) - (xy 116.67644 87.961291) - (xy 116.682569 87.954708) - (xy 116.693821 87.943456) - (xy 116.755012 87.823362) - (xy 116.767112 87.746969) - (xy 116.774546 87.700029) - (xy 116.776097 87.690236) - (xy 116.769124 87.64621) - (xy 116.778223 87.5758) - (xy 116.823945 87.521486) - (xy 116.893573 87.5005) - (xy 120.048706 87.5005) - ) - ) - ) - (zone (net 1) (net_name "GND") (layers "In1.Cu" "B.Cu") (tstamp 00000000-0000-0000-0000-000061704cfc) (hatch edge 0.508) - (connect_pads (clearance 0.254)) - (min_thickness 0.254) (filled_areas_thickness no) - (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.5 89.7) - (xy 124.9 89.7) - (xy 124.9 98.35) - (xy 127.5 98.35) - (xy 127.5 100.75) - (xy 100 100.75) - (xy 100 87.25) - (xy 127.5 87.25) - ) - ) - (filled_polygon - (layer "In1.Cu") - (pts - (xy 102.511683 87.520502) - (xy 102.558176 87.574158) - (xy 102.56828 87.644432) - (xy 102.538786 87.709012) - (xy 102.532657 87.715595) - (xy 102.451472 87.79678) - (xy 102.390281 87.916874) - (xy 102.388731 87.926663) - (xy 102.38873 87.926665) - (xy 102.383761 87.958041) - (xy 102.369196 88.05) - (xy 102.370747 88.059793) - (xy 102.38479 88.148454) - (xy 102.390281 88.183126) - (xy 102.451472 88.30322) - (xy 102.54678 88.398528) - (xy 102.666874 88.459719) - (xy 102.676663 88.461269) - (xy 102.676665 88.46127) - (xy 102.790207 88.479253) - (xy 102.8 88.480804) - (xy 102.809793 88.479253) - (xy 102.923335 88.46127) - (xy 102.923337 88.461269) - (xy 102.933126 88.459719) - (xy 103.05322 88.398528) - (xy 103.148528 88.30322) - (xy 103.201121 88.2) - (xy 105.112946 88.2) - (xy 105.114497 88.209793) - (xy 105.127896 88.294388) - (xy 105.134031 88.333126) - (xy 105.195222 88.45322) - (xy 105.29053 88.548528) - (xy 105.410624 88.609719) - (xy 105.420413 88.611269) - (xy 105.420415 88.61127) - (xy 105.533957 88.629253) - (xy 105.54375 88.630804) - (xy 105.553543 88.629253) - (xy 105.667085 88.61127) - (xy 105.667087 88.611269) - (xy 105.676876 88.609719) - (xy 105.79697 88.548528) - (xy 105.892278 88.45322) - (xy 105.953469 88.333126) - (xy 105.959605 88.294388) - (xy 105.973003 88.209793) - (xy 105.974554 88.2) - (xy 105.96206 88.121114) - (xy 105.95502 88.076665) - (xy 105.955019 88.076663) - (xy 105.953469 88.066874) - (xy 105.892278 87.94678) - (xy 105.79697 87.851472) - (xy 105.676876 87.790281) - (xy 105.667087 87.788731) - (xy 105.667085 87.78873) - (xy 105.553543 87.770747) - (xy 105.54375 87.769196) - (xy 105.533957 87.770747) - (xy 105.420415 87.78873) - (xy 105.420413 87.788731) - (xy 105.410624 87.790281) - (xy 105.29053 87.851472) - (xy 105.195222 87.94678) - (xy 105.134031 88.066874) - (xy 105.132481 88.076663) - (xy 105.13248 88.076665) - (xy 105.12544 88.121114) - (xy 105.112946 88.2) - (xy 103.201121 88.2) - (xy 103.209719 88.183126) - (xy 103.215211 88.148454) - (xy 103.229253 88.059793) - (xy 103.230804 88.05) - (xy 103.216239 87.958041) - (xy 103.21127 87.926665) - (xy 103.211269 87.926663) - (xy 103.209719 87.916874) - (xy 103.148528 87.79678) - (xy 103.067343 87.715595) - (xy 103.033317 87.653283) - (xy 103.038382 87.582468) - (xy 103.080929 87.525632) - (xy 103.147449 87.500821) - (xy 103.156438 87.5005) - (xy 109.464512 87.5005) - (xy 109.532633 87.520502) - (xy 109.579126 87.574158) - (xy 109.58923 87.644432) - (xy 109.559736 87.709012) - (xy 109.521715 87.738767) - (xy 109.49678 87.751472) - (xy 109.401472 87.84678) - (xy 109.340281 87.966874) - (xy 109.338731 87.976663) - (xy 109.33873 87.976665) - (xy 109.328783 88.039472) - (xy 109.319196 88.1) - (xy 109.320747 88.109793) - (xy 109.338232 88.220186) - (xy 109.340281 88.233126) - (xy 109.401472 88.35322) - (xy 109.49678 88.448528) - (xy 109.616874 88.509719) - (xy 109.626663 88.511269) - (xy 109.626665 88.51127) - (xy 109.740207 88.529253) - (xy 109.75 88.530804) - (xy 109.759793 88.529253) - (xy 109.873335 88.51127) - (xy 109.873337 88.511269) - (xy 109.883126 88.509719) - (xy 110.00322 88.448528) - (xy 110.063748 88.388) - (xy 113.069196 88.388) - (xy 113.070747 88.397793) - (xy 113.088279 88.508483) - (xy 113.090281 88.521126) - (xy 113.151472 88.64122) - (xy 113.24678 88.736528) - (xy 113.366874 88.797719) - (xy 113.376663 88.799269) - (xy 113.376665 88.79927) - (xy 113.490207 88.817253) - (xy 113.5 88.818804) - (xy 113.509793 88.817253) - (xy 113.623335 88.79927) - (xy 113.623337 88.799269) - (xy 113.633126 88.797719) - (xy 113.75322 88.736528) - (xy 113.848528 88.64122) - (xy 113.909719 88.521126) - (xy 113.911722 88.508483) - (xy 113.913544 88.496982) - (xy 117.752564 88.496982) - (xy 117.754115 88.506775) - (xy 117.77042 88.609719) - (xy 117.773649 88.630108) - (xy 117.83484 88.750202) - (xy 117.930148 88.84551) - (xy 118.050242 88.906701) - (xy 118.060031 88.908251) - (xy 118.060033 88.908252) - (xy 118.173575 88.926235) - (xy 118.183368 88.927786) - (xy 118.193161 88.926235) - (xy 118.306703 88.908252) - (xy 118.306705 88.908251) - (xy 118.316494 88.906701) - (xy 118.436588 88.84551) - (xy 118.531896 88.750202) - (xy 118.593087 88.630108) - (xy 118.596317 88.609719) - (xy 118.612621 88.506775) - (xy 118.614172 88.496982) - (xy 118.607241 88.45322) - (xy 118.594638 88.373647) - (xy 118.594637 88.373645) - (xy 118.593087 88.363856) - (xy 118.531896 88.243762) - (xy 118.436588 88.148454) - (xy 118.316494 88.087263) - (xy 118.306705 88.085713) - (xy 118.306703 88.085712) - (xy 118.193161 88.067729) - (xy 118.183368 88.066178) - (xy 118.173575 88.067729) - (xy 118.060033 88.085712) - (xy 118.060031 88.085713) - (xy 118.050242 88.087263) - (xy 117.930148 88.148454) - (xy 117.83484 88.243762) - (xy 117.773649 88.363856) - (xy 117.772099 88.373645) - (xy 117.772098 88.373647) - (xy 117.759495 88.45322) - (xy 117.752564 88.496982) - (xy 113.913544 88.496982) - (xy 113.929253 88.397793) - (xy 113.930804 88.388) - (xy 113.925581 88.355023) - (xy 113.91127 88.264665) - (xy 113.911269 88.264663) - (xy 113.909719 88.254874) - (xy 113.848528 88.13478) - (xy 113.75322 88.039472) - (xy 113.633126 87.978281) - (xy 113.623337 87.976731) - (xy 113.623335 87.97673) - (xy 113.509793 87.958747) - (xy 113.5 87.957196) - (xy 113.490207 87.958747) - (xy 113.376665 87.97673) - (xy 113.376663 87.976731) - (xy 113.366874 87.978281) - (xy 113.24678 88.039472) - (xy 113.151472 88.13478) - (xy 113.090281 88.254874) - (xy 113.088731 88.264663) - (xy 113.08873 88.264665) - (xy 113.074419 88.355023) - (xy 113.069196 88.388) - (xy 110.063748 88.388) - (xy 110.098528 88.35322) - (xy 110.159719 88.233126) - (xy 110.161769 88.220186) - (xy 110.179253 88.109793) - (xy 110.180804 88.1) - (xy 110.171217 88.039472) - (xy 110.16127 87.976665) - (xy 110.161269 87.976663) - (xy 110.159719 87.966874) - (xy 110.098528 87.84678) - (xy 110.00322 87.751472) - (xy 109.978285 87.738767) - (xy 109.92667 87.690019) - (xy 109.909604 87.621104) - (xy 109.932505 87.553902) - (xy 109.988102 87.50975) - (xy 110.035488 87.5005) - (xy 114.107103 87.5005) - (xy 114.175224 87.520502) - (xy 114.221717 87.574158) - (xy 114.231821 87.644432) - (xy 114.219369 87.683704) - (xy 114.201424 87.718922) - (xy 114.201423 87.718926) - (xy 114.196923 87.727757) - (xy 114.195373 87.737546) - (xy 114.195372 87.737548) - (xy 114.192056 87.758483) - (xy 114.175838 87.860883) - (xy 114.177389 87.870676) - (xy 114.190842 87.955612) - (xy 114.196923 87.994009) - (xy 114.258114 88.114103) - (xy 114.353422 88.209411) - (xy 114.473516 88.270602) - (xy 114.483305 88.272152) - (xy 114.483307 88.272153) - (xy 114.596849 88.290136) - (xy 114.606642 88.291687) - (xy 114.616435 88.290136) - (xy 114.729977 88.272153) - (xy 114.729979 88.272152) - (xy 114.739768 88.270602) - (xy 114.859862 88.209411) - (xy 114.95517 88.114103) - (xy 115.016361 87.994009) - (xy 115.022443 87.955612) - (xy 115.035895 87.870676) - (xy 115.037446 87.860883) - (xy 115.021228 87.758483) - (xy 115.017912 87.737548) - (xy 115.017911 87.737546) - (xy 115.016361 87.727757) - (xy 115.011861 87.718926) - (xy 115.01186 87.718922) - (xy 114.993915 87.683704) - (xy 114.98081 87.613927) - (xy 115.00751 87.548142) - (xy 115.065537 87.507235) - (xy 115.106181 87.5005) - (xy 125.655152 87.5005) - (xy 125.674536 87.502) - (xy 125.685567 87.503718) - (xy 125.685571 87.503718) - (xy 125.69444 87.505099) - (xy 125.706517 87.50352) - (xy 125.731837 87.502777) - (xy 125.865751 87.512355) - (xy 125.911526 87.515629) - (xy 125.92932 87.518188) - (xy 126.127741 87.561352) - (xy 126.14499 87.566416) - (xy 126.188028 87.582468) - (xy 126.335248 87.637378) - (xy 126.351595 87.644843) - (xy 126.529817 87.742161) - (xy 126.544934 87.751876) - (xy 126.596237 87.790281) - (xy 126.707496 87.873568) - (xy 126.721082 87.885341) - (xy 126.864659 88.028918) - (xy 126.876432 88.042504) - (xy 126.996129 88.2024) - (xy 126.998122 88.205063) - (xy 127.007839 88.220183) - (xy 127.099475 88.388) - (xy 127.105155 88.398402) - (xy 127.112622 88.414752) - (xy 127.143292 88.496982) - (xy 127.183584 88.60501) - (xy 127.188648 88.622259) - (xy 127.231812 88.82068) - (xy 127.234371 88.838474) - (xy 127.24676 89.011696) - (xy 127.246142 89.02659) - (xy 127.246392 89.026593) - (xy 127.246282 89.035569) - (xy 127.244901 89.04444) - (xy 127.246065 89.053342) - (xy 127.246065 89.053345) - (xy 127.248436 89.071473) - (xy 127.2495 89.08781) - (xy 127.2495 89.574) - (xy 127.229498 89.642121) - (xy 127.175842 89.688614) - (xy 127.1235 89.7) - (xy 124.9 89.7) - (xy 124.9 97.842036) - (xy 124.879998 97.910157) - (xy 124.826342 97.95665) - (xy 124.793711 97.966485) - (xy 124.673465 97.98553) - (xy 124.673463 97.985531) - (xy 124.663674 97.987081) - (xy 124.54358 98.048272) - (xy 124.485645 98.106207) - (xy 124.423333 98.140233) - (xy 124.352518 98.135168) - (xy 124.307455 98.106207) - (xy 124.25322 98.051972) - (xy 124.133126 97.990781) - (xy 124.123337 97.989231) - (xy 124.123335 97.98923) - (xy 124.009793 97.971247) - (xy 124 97.969696) - (xy 123.990207 97.971247) - (xy 123.876665 97.98923) - (xy 123.876663 97.989231) - (xy 123.866874 97.990781) - (xy 123.74678 98.051972) - (xy 123.651472 98.14728) - (xy 123.590281 98.267374) - (xy 123.588731 98.277163) - (xy 123.58873 98.277165) - (xy 123.574394 98.367683) - (xy 123.569196 98.4005) - (xy 123.570747 98.410293) - (xy 123.58228 98.483107) - (xy 123.590281 98.533626) - (xy 123.651472 98.65372) - (xy 123.74678 98.749028) - (xy 123.866874 98.810219) - (xy 123.876663 98.811769) - (xy 123.876665 98.81177) - (xy 123.990207 98.829753) - (xy 124 98.831304) - (xy 124.009793 98.829753) - (xy 124.123335 98.81177) - (xy 124.123337 98.811769) - (xy 124.133126 98.810219) - (xy 124.25322 98.749028) - (xy 124.311155 98.691093) - (xy 124.373467 98.657067) - (xy 124.444282 98.662132) - (xy 124.489345 98.691093) - (xy 124.54358 98.745328) - (xy 124.663674 98.806519) - (xy 124.673463 98.808069) - (xy 124.673465 98.80807) - (xy 124.787007 98.826053) - (xy 124.7968 98.827604) - (xy 124.806593 98.826053) - (xy 124.920135 98.80807) - (xy 124.920137 98.808069) - (xy 124.929926 98.806519) - (xy 125.05002 98.745328) - (xy 125.145328 98.65002) - (xy 125.206519 98.529926) - (xy 125.213935 98.483107) - (xy 125.218182 98.456289) - (xy 125.248595 98.392136) - (xy 125.308863 98.354609) - (xy 125.342631 98.35) - (xy 126.311265 98.35) - (xy 126.379386 98.370002) - (xy 126.425879 98.423658) - (xy 126.435714 98.49571) - (xy 126.419196 98.6) - (xy 126.420747 98.609793) - (xy 126.436574 98.709719) - (xy 126.440281 98.733126) - (xy 126.501472 98.85322) - (xy 126.59678 98.948528) - (xy 126.716874 99.009719) - (xy 126.726663 99.011269) - (xy 126.726665 99.01127) - (xy 126.840207 99.029253) - (xy 126.85 99.030804) - (xy 126.859793 99.029253) - (xy 126.973335 99.01127) - (xy 126.973337 99.011269) - (xy 126.983126 99.009719) - (xy 126.991957 99.005219) - (xy 126.991961 99.005218) - (xy 127.056341 98.972414) - (xy 127.126118 98.959309) - (xy 127.191903 98.986009) - (xy 127.23281 99.044036) - (xy 127.239224 99.093668) - (xy 127.234371 99.161525) - (xy 127.231812 99.17932) - (xy 127.188648 99.377741) - (xy 127.183584 99.39499) - (xy 127.122943 99.557578) - (xy 127.112624 99.585244) - (xy 127.105157 99.601595) - (xy 127.013939 99.768647) - (xy 127.007841 99.779814) - (xy 126.998124 99.794934) - (xy 126.912246 99.909654) - (xy 126.876432 99.957496) - (xy 126.864659 99.971082) - (xy 126.721082 100.114659) - (xy 126.707495 100.126432) - (xy 126.55317 100.241959) - (xy 126.544937 100.248122) - (xy 126.529817 100.257839) - (xy 126.351595 100.355157) - (xy 126.335248 100.362622) - (xy 126.272371 100.386074) - (xy 126.14499 100.433584) - (xy 126.127741 100.438648) - (xy 125.92932 100.481812) - (xy 125.911526 100.484371) - (xy 125.738304 100.49676) - (xy 125.72341 100.496142) - (xy 125.723407 100.496392) - (xy 125.714431 100.496282) - (xy 125.70556 100.494901) - (xy 125.696658 100.496065) - (xy 125.696655 100.496065) - (xy 125.678527 100.498436) - (xy 125.66219 100.4995) - (xy 120.951294 100.4995) - (xy 120.883173 100.479498) - (xy 120.83668 100.425842) - (xy 120.826576 100.355568) - (xy 120.847342 100.304406) - (xy 120.848528 100.30322) - (xy 120.909719 100.183126) - (xy 120.911401 100.17251) - (xy 120.929253 100.059793) - (xy 120.930804 100.05) - (xy 120.926197 100.020915) - (xy 120.91127 99.926665) - (xy 120.911269 99.926663) - (xy 120.909719 99.916874) - (xy 120.848528 99.79678) - (xy 120.75322 99.701472) - (xy 120.633126 99.640281) - (xy 120.623337 99.638731) - (xy 120.623335 99.63873) - (xy 120.509793 99.620747) - (xy 120.5 99.619196) - (xy 120.490207 99.620747) - (xy 120.376665 99.63873) - (xy 120.376663 99.638731) - (xy 120.366874 99.640281) - (xy 120.24678 99.701472) - (xy 120.151472 99.79678) - (xy 120.090281 99.916874) - (xy 120.088731 99.926663) - (xy 120.08873 99.926665) - (xy 120.073803 100.020915) - (xy 120.069196 100.05) - (xy 120.070747 100.059793) - (xy 120.0886 100.17251) - (xy 120.090281 100.183126) - (xy 120.151472 100.30322) - (xy 120.152279 100.304027) - (xy 120.174501 100.366307) - (xy 120.15842 100.435458) - (xy 120.107506 100.484939) - (xy 120.048706 100.4995) - (xy 119.151294 100.4995) - (xy 119.083173 100.479498) - (xy 119.03668 100.425842) - (xy 119.026576 100.355568) - (xy 119.047342 100.304406) - (xy 119.048528 100.30322) - (xy 119.109719 100.183126) - (xy 119.111401 100.17251) - (xy 119.129253 100.059793) - (xy 119.130804 100.05) - (xy 119.126197 100.020915) - (xy 119.11127 99.926665) - (xy 119.111269 99.926663) - (xy 119.109719 99.916874) - (xy 119.048528 99.79678) - (xy 118.95322 99.701472) - (xy 118.833126 99.640281) - (xy 118.823337 99.638731) - (xy 118.823335 99.63873) - (xy 118.709793 99.620747) - (xy 118.7 99.619196) - (xy 118.690207 99.620747) - (xy 118.576665 99.63873) - (xy 118.576663 99.638731) - (xy 118.566874 99.640281) - (xy 118.44678 99.701472) - (xy 118.351472 99.79678) - (xy 118.290281 99.916874) - (xy 118.288731 99.926663) - (xy 118.28873 99.926665) - (xy 118.273803 100.020915) - (xy 118.269196 100.05) - (xy 118.270747 100.059793) - (xy 118.2886 100.17251) - (xy 118.290281 100.183126) - (xy 118.351472 100.30322) - (xy 118.352279 100.304027) - (xy 118.374501 100.366307) - (xy 118.35842 100.435458) - (xy 118.307506 100.484939) - (xy 118.248706 100.4995) - (xy 117.122027 100.4995) - (xy 117.053906 100.479498) - (xy 117.007413 100.425842) - (xy 116.997309 100.355568) - (xy 117.009761 100.316296) - (xy 117.015601 100.304835) - (xy 117.015602 100.304831) - (xy 117.020102 100.296) - (xy 117.024305 100.269467) - (xy 117.039636 100.172667) - (xy 117.041187 100.162874) - (xy 117.035415 100.126432) - (xy 117.021653 100.039538) - (xy 117.021652 100.039535) - (xy 117.020102 100.029748) - (xy 117.015601 100.020914) - (xy 117.01531 100.020019) - (xy 117.013281 99.949052) - (xy 117.049942 99.888253) - (xy 117.113654 99.856927) - (xy 117.154851 99.856632) - (xy 117.178757 99.860418) - (xy 117.17876 99.860418) - (xy 117.188553 99.861969) - (xy 117.198346 99.860418) - (xy 117.311888 99.842435) - (xy 117.31189 99.842434) - (xy 117.321679 99.840884) - (xy 117.441773 99.779693) - (xy 117.537081 99.684385) - (xy 117.598272 99.564291) - (xy 117.602531 99.537404) - (xy 117.617806 99.440958) - (xy 117.619357 99.431165) - (xy 117.613695 99.395416) - (xy 117.599823 99.30783) - (xy 117.599822 99.307828) - (xy 117.598272 99.298039) - (xy 117.537081 99.177945) - (xy 117.441773 99.082637) - (xy 117.321679 99.021446) - (xy 117.31189 99.019896) - (xy 117.311888 99.019895) - (xy 117.198346 99.001912) - (xy 117.188553 99.000361) - (xy 117.17876 99.001912) - (xy 117.065218 99.019895) - (xy 117.065216 99.019896) - (xy 117.055427 99.021446) - (xy 116.935333 99.082637) - (xy 116.840025 99.177945) - (xy 116.778834 99.298039) - (xy 116.777284 99.307828) - (xy 116.777283 99.30783) - (xy 116.763411 99.395416) - (xy 116.757749 99.431165) - (xy 116.7593 99.440958) - (xy 116.774576 99.537404) - (xy 116.778834 99.564291) - (xy 116.783335 99.573124) - (xy 116.783626 99.57402) - (xy 116.785655 99.644987) - (xy 116.748994 99.705786) - (xy 116.685282 99.737112) - (xy 116.644085 99.737407) - (xy 116.620179 99.733621) - (xy 116.620176 99.733621) - (xy 116.610383 99.73207) - (xy 116.60059 99.733621) - (xy 116.487048 99.751604) - (xy 116.487046 99.751605) - (xy 116.477257 99.753155) - (xy 116.357163 99.814346) - (xy 116.261855 99.909654) - (xy 116.200664 100.029748) - (xy 116.199114 100.039537) - (xy 116.199113 100.039539) - (xy 116.185351 100.126432) - (xy 116.179579 100.162874) - (xy 116.18113 100.172667) - (xy 116.196462 100.269467) - (xy 116.200664 100.296) - (xy 116.205164 100.304831) - (xy 116.205165 100.304835) - (xy 116.211005 100.316296) - (xy 116.22411 100.386073) - (xy 116.19741 100.451858) - (xy 116.139383 100.492765) - (xy 116.098739 100.4995) - (xy 115.4487 100.4995) - (xy 115.380579 100.479498) - (xy 115.334086 100.425842) - (xy 115.323982 100.355568) - (xy 115.353476 100.290988) - (xy 115.379562 100.270574) - (xy 115.378757 100.269467) - (xy 115.386785 100.263634) - (xy 115.395617 100.259134) - (xy 115.490925 100.163826) - (xy 115.552116 100.043732) - (xy 115.565775 99.957496) - (xy 115.57165 99.920399) - (xy 115.573201 99.910606) - (xy 115.564699 99.856927) - (xy 115.553667 99.787271) - (xy 115.553666 99.787269) - (xy 115.552116 99.77748) - (xy 115.490925 99.657386) - (xy 115.395617 99.562078) - (xy 115.275523 99.500887) - (xy 115.265734 99.499337) - (xy 115.265732 99.499336) - (xy 115.15219 99.481353) - (xy 115.142397 99.479802) - (xy 115.132604 99.481353) - (xy 115.019062 99.499336) - (xy 115.01906 99.499337) - (xy 115.009271 99.500887) - (xy 114.889177 99.562078) - (xy 114.793869 99.657386) - (xy 114.732678 99.77748) - (xy 114.731128 99.787269) - (xy 114.731127 99.787271) - (xy 114.720095 99.856927) - (xy 114.711593 99.910606) - (xy 114.713144 99.920399) - (xy 114.71902 99.957496) - (xy 114.732678 100.043732) - (xy 114.793869 100.163826) - (xy 114.889177 100.259134) - (xy 114.898009 100.263634) - (xy 114.906037 100.269467) - (xy 114.9044 100.27172) - (xy 114.944909 100.309976) - (xy 114.961979 100.37889) - (xy 114.939081 100.446093) - (xy 114.883485 100.490248) - (xy 114.836094 100.4995) - (xy 112.879608 100.4995) - (xy 112.811487 100.479498) - (xy 112.764994 100.425842) - (xy 112.75489 100.355568) - (xy 112.767341 100.316297) - (xy 112.768271 100.314472) - (xy 112.809719 100.233126) - (xy 112.830804 100.1) - (xy 112.822754 100.049175) - (xy 112.81127 99.976665) - (xy 112.811269 99.976663) - (xy 112.809719 99.966874) - (xy 112.748528 99.84678) - (xy 112.65322 99.751472) - (xy 112.533126 99.690281) - (xy 112.523337 99.688731) - (xy 112.523335 99.68873) - (xy 112.409793 99.670747) - (xy 112.4 99.669196) - (xy 112.390207 99.670747) - (xy 112.276665 99.68873) - (xy 112.276663 99.688731) - (xy 112.266874 99.690281) - (xy 112.14678 99.751472) - (xy 112.051472 99.84678) - (xy 111.990281 99.966874) - (xy 111.988731 99.976663) - (xy 111.98873 99.976665) - (xy 111.977246 100.049175) - (xy 111.969196 100.1) - (xy 111.990281 100.233126) - (xy 112.031729 100.314472) - (xy 112.032659 100.316297) - (xy 112.045763 100.386074) - (xy 112.019063 100.451858) - (xy 111.961036 100.492765) - (xy 111.920392 100.4995) - (xy 111.035466 100.4995) - (xy 110.967345 100.479498) - (xy 110.920852 100.425842) - (xy 110.910748 100.355568) - (xy 110.923199 100.316298) - (xy 110.924129 100.314472) - (xy 110.924129 100.314471) - (xy 110.928631 100.305636) - (xy 110.937668 100.248582) - (xy 110.948165 100.182303) - (xy 110.949716 100.17251) - (xy 110.941563 100.121034) - (xy 110.930182 100.049175) - (xy 110.930181 100.049173) - (xy 110.928631 100.039384) - (xy 110.86744 99.91929) - (xy 110.772132 99.823982) - (xy 110.652038 99.762791) - (xy 110.642249 99.761241) - (xy 110.642247 99.76124) - (xy 110.528705 99.743257) - (xy 110.518912 99.741706) - (xy 110.509119 99.743257) - (xy 110.395577 99.76124) - (xy 110.395575 99.761241) - (xy 110.385786 99.762791) - (xy 110.265692 99.823982) - (xy 110.170384 99.91929) - (xy 110.109193 100.039384) - (xy 110.107643 100.049173) - (xy 110.107642 100.049175) - (xy 110.096261 100.121034) - (xy 110.088108 100.17251) - (xy 110.089659 100.182303) - (xy 110.100157 100.248582) - (xy 110.109193 100.305636) - (xy 110.113695 100.314471) - (xy 110.113695 100.314472) - (xy 110.114625 100.316298) - (xy 110.115087 100.318756) - (xy 110.116759 100.323903) - (xy 110.116094 100.324119) - (xy 110.127729 100.386074) - (xy 110.101029 100.451859) - (xy 110.043001 100.492765) - (xy 110.002358 100.4995) - (xy 102.984736 100.4995) - (xy 102.916615 100.479498) - (xy 102.870122 100.425842) - (xy 102.860018 100.355568) - (xy 102.867298 100.332601) - (xy 102.866101 100.332212) - (xy 102.869167 100.322778) - (xy 102.873667 100.313945) - (xy 102.88402 100.248582) - (xy 102.893201 100.190612) - (xy 102.894752 100.180819) - (xy 102.885283 100.121034) - (xy 102.875218 100.057484) - (xy 102.875217 100.057482) - (xy 102.873667 100.047693) - (xy 102.812476 99.927599) - (xy 102.717168 99.832291) - (xy 102.597074 99.7711) - (xy 102.587285 99.76955) - (xy 102.587283 99.769549) - (xy 102.473741 99.751566) - (xy 102.463948 99.750015) - (xy 102.454155 99.751566) - (xy 102.340613 99.769549) - (xy 102.340611 99.76955) - (xy 102.330822 99.7711) - (xy 102.210728 99.832291) - (xy 102.11542 99.927599) - (xy 102.054229 100.047693) - (xy 102.052679 100.057482) - (xy 102.052678 100.057484) - (xy 102.042613 100.121034) - (xy 102.033144 100.180819) - (xy 102.054229 100.313945) - (xy 102.058731 100.32278) - (xy 102.061116 100.330121) - (xy 102.063144 100.401089) - (xy 102.026481 100.461887) - (xy 101.962769 100.493212) - (xy 101.932394 100.494744) - (xy 101.788086 100.484535) - (xy 101.770281 100.481988) - (xy 101.571855 100.438951) - (xy 101.554584 100.43389) - (xy 101.364317 100.363025) - (xy 101.347944 100.355555) - (xy 101.289935 100.323903) - (xy 101.169713 100.258305) - (xy 101.154581 100.248585) - (xy 100.992017 100.126931) - (xy 100.978415 100.115146) - (xy 100.834854 99.971585) - (xy 100.823069 99.957983) - (xy 100.799632 99.926665) - (xy 100.701415 99.795419) - (xy 100.691695 99.780287) - (xy 100.594445 99.602056) - (xy 100.586975 99.585683) - (xy 100.586812 99.585244) - (xy 100.548117 99.481353) - (xy 100.51611 99.395416) - (xy 100.511049 99.378146) - (xy 100.495798 99.30783) - (xy 100.468012 99.179719) - (xy 100.465464 99.161909) - (xy 100.45321 98.988682) - (xy 100.45384 98.973797) - (xy 100.453588 98.973794) - (xy 100.453706 98.964814) - (xy 100.455094 98.955947) - (xy 100.4536 98.94444) - (xy 100.45155 98.928664) - (xy 100.4505 98.912433) - (xy 100.4505 98.894377) - (xy 110.276827 98.894377) - (xy 110.278378 98.90417) - (xy 110.289505 98.974421) - (xy 110.297912 99.027503) - (xy 110.359103 99.147597) - (xy 110.454411 99.242905) - (xy 110.574505 99.304096) - (xy 110.584294 99.305646) - (xy 110.584296 99.305647) - (xy 110.697838 99.32363) - (xy 110.707631 99.325181) - (xy 110.717424 99.32363) - (xy 110.830966 99.305647) - (xy 110.830968 99.305646) - (xy 110.840757 99.304096) - (xy 110.960851 99.242905) - (xy 111.056159 99.147597) - (xy 111.11735 99.027503) - (xy 111.125758 98.974421) - (xy 111.136884 98.90417) - (xy 111.138435 98.894377) - (xy 111.133027 98.860231) - (xy 111.118901 98.771042) - (xy 111.1189 98.77104) - (xy 111.11735 98.761251) - (xy 111.056159 98.641157) - (xy 110.960851 98.545849) - (xy 110.840757 98.484658) - (xy 110.830968 98.483108) - (xy 110.830966 98.483107) - (xy 110.717424 98.465124) - (xy 110.707631 98.463573) - (xy 110.697838 98.465124) - (xy 110.584296 98.483107) - (xy 110.584294 98.483108) - (xy 110.574505 98.484658) - (xy 110.454411 98.545849) - (xy 110.359103 98.641157) - (xy 110.297912 98.761251) - (xy 110.296362 98.77104) - (xy 110.296361 98.771042) - (xy 110.282235 98.860231) - (xy 110.276827 98.894377) - (xy 100.4505 98.894377) - (xy 100.4505 98) - (xy 103.069196 98) - (xy 103.070747 98.009793) - (xy 103.077428 98.051972) - (xy 103.090281 98.133126) - (xy 103.151472 98.25322) - (xy 103.24678 98.348528) - (xy 103.366874 98.409719) - (xy 103.376663 98.411269) - (xy 103.376665 98.41127) - (xy 103.490207 98.429253) - (xy 103.5 98.430804) - (xy 103.509793 98.429253) - (xy 103.623335 98.41127) - (xy 103.623337 98.411269) - (xy 103.633126 98.409719) - (xy 103.75322 98.348528) - (xy 103.848528 98.25322) - (xy 103.909719 98.133126) - (xy 103.922573 98.051972) - (xy 103.929253 98.009793) - (xy 103.930804 98) - (xy 103.923938 97.95665) - (xy 103.91127 97.876665) - (xy 103.911269 97.876663) - (xy 103.909719 97.866874) - (xy 103.848528 97.74678) - (xy 103.75322 97.651472) - (xy 103.65581 97.601839) - (xy 106.825888 97.601839) - (xy 106.846973 97.734965) - (xy 106.908164 97.855059) - (xy 106.938941 97.885836) - (xy 106.972967 97.948148) - (xy 106.967902 98.018963) - (xy 106.954705 98.043547) - (xy 106.951472 98.04678) - (xy 106.890281 98.166874) - (xy 106.888731 98.176663) - (xy 106.88873 98.176665) - (xy 106.878175 98.243309) - (xy 106.869196 98.3) - (xy 106.870747 98.309793) - (xy 106.886665 98.410293) - (xy 106.890281 98.433126) - (xy 106.951472 98.55322) - (xy 107.04678 98.648528) - (xy 107.166874 98.709719) - (xy 107.176663 98.711269) - (xy 107.176665 98.71127) - (xy 107.290207 98.729253) - (xy 107.3 98.730804) - (xy 107.309793 98.729253) - (xy 107.423335 98.71127) - (xy 107.423337 98.711269) - (xy 107.433126 98.709719) - (xy 107.55322 98.648528) - (xy 107.648528 98.55322) - (xy 107.709719 98.433126) - (xy 107.713336 98.410293) - (xy 107.729253 98.309793) - (xy 107.730804 98.3) - (xy 107.721825 98.243309) - (xy 107.71127 98.176665) - (xy 107.711269 98.176663) - (xy 107.709719 98.166874) - (xy 107.648528 98.04678) - (xy 107.617751 98.016003) - (xy 107.586058 97.957964) - (xy 115.444879 97.957964) - (xy 115.44643 97.967757) - (xy 115.459056 98.047472) - (xy 115.465964 98.09109) - (xy 115.527155 98.211184) - (xy 115.622463 98.306492) - (xy 115.742557 98.367683) - (xy 115.752346 98.369233) - (xy 115.752348 98.369234) - (xy 115.86589 98.387217) - (xy 115.875683 98.388768) - (xy 115.885476 98.387217) - (xy 115.999018 98.369234) - (xy 115.99902 98.369233) - (xy 116.008809 98.367683) - (xy 116.128903 98.306492) - (xy 116.224211 98.211184) - (xy 116.285402 98.09109) - (xy 116.292311 98.047472) - (xy 116.304936 97.967757) - (xy 116.306487 97.957964) - (xy 116.304932 97.948148) - (xy 116.286953 97.834629) - (xy 116.286952 97.834627) - (xy 116.285402 97.824838) - (xy 116.224211 97.704744) - (xy 116.128903 97.609436) - (xy 116.008809 97.548245) - (xy 115.99902 97.546695) - (xy 115.999018 97.546694) - (xy 115.885476 97.528711) - (xy 115.875683 97.52716) - (xy 115.86589 97.528711) - (xy 115.752348 97.546694) - (xy 115.752346 97.546695) - (xy 115.742557 97.548245) - (xy 115.622463 97.609436) - (xy 115.527155 97.704744) - (xy 115.465964 97.824838) - (xy 115.464414 97.834627) - (xy 115.464413 97.834629) - (xy 115.446434 97.948148) - (xy 115.444879 97.957964) - (xy 107.586058 97.957964) - (xy 107.583725 97.953691) - (xy 107.58879 97.882876) - (xy 107.601987 97.858292) - (xy 107.60522 97.855059) - (xy 107.666411 97.734965) - (xy 107.687496 97.601839) - (xy 107.680543 97.557941) - (xy 107.667962 97.478504) - (xy 107.667961 97.478502) - (xy 107.666411 97.468713) - (xy 107.60522 97.348619) - (xy 107.509912 97.253311) - (xy 107.389818 97.19212) - (xy 107.380029 97.19057) - (xy 107.380027 97.190569) - (xy 107.266485 97.172586) - (xy 107.256692 97.171035) - (xy 107.246899 97.172586) - (xy 107.133357 97.190569) - (xy 107.133355 97.19057) - (xy 107.123566 97.19212) - (xy 107.003472 97.253311) - (xy 106.908164 97.348619) - (xy 106.846973 97.468713) - (xy 106.845423 97.478502) - (xy 106.845422 97.478504) - (xy 106.832841 97.557941) - (xy 106.825888 97.601839) - (xy 103.65581 97.601839) - (xy 103.633126 97.590281) - (xy 103.623337 97.588731) - (xy 103.623335 97.58873) - (xy 103.509793 97.570747) - (xy 103.5 97.569196) - (xy 103.490207 97.570747) - (xy 103.376665 97.58873) - (xy 103.376663 97.588731) - (xy 103.366874 97.590281) - (xy 103.24678 97.651472) - (xy 103.151472 97.74678) - (xy 103.090281 97.866874) - (xy 103.088731 97.876663) - (xy 103.08873 97.876665) - (xy 103.076062 97.95665) - (xy 103.069196 98) - (xy 100.4505 98) - (xy 100.4505 97.116055) - (xy 100.451839 97.104353) - (xy 100.451319 97.104312) - (xy 100.452058 97.094921) - (xy 100.454185 97.085745) - (xy 100.452348 97.059793) - (xy 100.451654 97.05) - (xy 108.919196 97.05) - (xy 108.920747 97.059793) - (xy 108.938612 97.172586) - (xy 108.940281 97.183126) - (xy 109.001472 97.30322) - (xy 109.09678 97.398528) - (xy 109.216874 97.459719) - (xy 109.226663 97.461269) - (xy 109.226665 97.46127) - (xy 109.340207 97.479253) - (xy 109.35 97.480804) - (xy 109.359793 97.479253) - (xy 109.473335 97.46127) - (xy 109.473337 97.461269) - (xy 109.483126 97.459719) - (xy 109.60322 97.398528) - (xy 109.698528 97.30322) - (xy 109.759719 97.183126) - (xy 109.761389 97.172586) - (xy 109.779253 97.059793) - (xy 109.780804 97.05) - (xy 109.775443 97.01615) - (xy 109.76127 96.926665) - (xy 109.761269 96.926663) - (xy 109.759719 96.916874) - (xy 109.698528 96.79678) - (xy 109.663748 96.762) - (xy 113.969196 96.762) - (xy 113.970747 96.771793) - (xy 113.988248 96.882287) - (xy 113.990281 96.895126) - (xy 114.051472 97.01522) - (xy 114.14678 97.110528) - (xy 114.266874 97.171719) - (xy 114.276663 97.173269) - (xy 114.276665 97.17327) - (xy 114.390207 97.191253) - (xy 114.4 97.192804) - (xy 114.409793 97.191253) - (xy 114.409794 97.191253) - (xy 114.448934 97.185054) - (xy 114.519345 97.194154) - (xy 114.573659 97.239876) - (xy 114.588477 97.270566) - (xy 114.590261 97.276056) - (xy 114.591812 97.285849) - (xy 114.653003 97.405943) - (xy 114.748311 97.501251) - (xy 114.868405 97.562442) - (xy 114.878194 97.563992) - (xy 114.878196 97.563993) - (xy 114.991738 97.581976) - (xy 115.001531 97.583527) - (xy 115.011324 97.581976) - (xy 115.124866 97.563993) - (xy 115.124868 97.563992) - (xy 115.134657 97.562442) - (xy 115.254751 97.501251) - (xy 115.350059 97.405943) - (xy 115.41125 97.285849) - (xy 115.412854 97.275726) - (xy 115.430784 97.162516) - (xy 115.432335 97.152723) - (xy 115.424674 97.104353) - (xy 115.412801 97.029388) - (xy 115.4128 97.029386) - (xy 115.41125 97.019597) - (xy 115.350059 96.899503) - (xy 115.254751 96.804195) - (xy 115.134657 96.743004) - (xy 115.124868 96.741454) - (xy 115.124866 96.741453) - (xy 115.011324 96.72347) - (xy 115.001531 96.721919) - (xy 114.991738 96.72347) - (xy 114.991737 96.72347) - (xy 114.952597 96.729669) - (xy 114.882186 96.720569) - (xy 114.827872 96.674847) - (xy 114.813054 96.644157) - (xy 114.81127 96.638667) - (xy 114.809719 96.628874) - (xy 114.748528 96.50878) - (xy 114.65322 96.413472) - (xy 114.533126 96.352281) - (xy 114.523337 96.350731) - (xy 114.523335 96.35073) - (xy 114.409793 96.332747) - (xy 114.4 96.331196) - (xy 114.390207 96.332747) - (xy 114.276665 96.35073) - (xy 114.276663 96.350731) - (xy 114.266874 96.352281) - (xy 114.14678 96.413472) - (xy 114.051472 96.50878) - (xy 113.990281 96.628874) - (xy 113.988731 96.638663) - (xy 113.98873 96.638665) - (xy 113.974317 96.729669) - (xy 113.969196 96.762) - (xy 109.663748 96.762) - (xy 109.658915 96.757167) - (xy 109.624889 96.694855) - (xy 109.629954 96.62404) - (xy 109.672501 96.567204) - (xy 109.690804 96.555807) - (xy 109.80322 96.498528) - (xy 109.898528 96.40322) - (xy 109.959719 96.283126) - (xy 109.980804 96.15) - (xy 109.968925 96.075) - (xy 109.96127 96.026665) - (xy 109.961269 96.026663) - (xy 109.959719 96.016874) - (xy 109.898528 95.89678) - (xy 109.80322 95.801472) - (xy 109.78823 95.793834) - (xy 109.736615 95.745087) - (xy 109.719548 95.676173) - (xy 109.743098 95.60865) - (xy 109.748528 95.60322) - (xy 109.809719 95.483126) - (xy 109.830804 95.35) - (xy 109.815911 95.255968) - (xy 109.81127 95.226665) - (xy 109.811269 95.226663) - (xy 109.809719 95.216874) - (xy 109.748528 95.09678) - (xy 109.65322 95.001472) - (xy 109.533126 94.940281) - (xy 109.523337 94.938731) - (xy 109.523335 94.93873) - (xy 109.409793 94.920747) - (xy 109.4 94.919196) - (xy 109.390207 94.920747) - (xy 109.276665 94.93873) - (xy 109.276663 94.938731) - (xy 109.266874 94.940281) - (xy 109.14678 95.001472) - (xy 109.051472 95.09678) - (xy 108.990281 95.216874) - (xy 108.988731 95.226663) - (xy 108.98873 95.226665) - (xy 108.984089 95.255968) - (xy 108.969196 95.35) - (xy 108.990281 95.483126) - (xy 109.051472 95.60322) - (xy 109.14678 95.698528) - (xy 109.16177 95.706166) - (xy 109.213385 95.754913) - (xy 109.230452 95.823827) - (xy 109.206902 95.89135) - (xy 109.201472 95.89678) - (xy 109.140281 96.016874) - (xy 109.138731 96.026663) - (xy 109.13873 96.026665) - (xy 109.131075 96.075) - (xy 109.119196 96.15) - (xy 109.140281 96.283126) - (xy 109.201472 96.40322) - (xy 109.241085 96.442833) - (xy 109.275111 96.505145) - (xy 109.270046 96.57596) - (xy 109.227499 96.632796) - (xy 109.209196 96.644193) - (xy 109.09678 96.701472) - (xy 109.001472 96.79678) - (xy 108.940281 96.916874) - (xy 108.938731 96.926663) - (xy 108.93873 96.926665) - (xy 108.924557 97.01615) - (xy 108.919196 97.05) - (xy 100.451654 97.05) - (xy 100.450815 97.038151) - (xy 100.4505 97.029252) - (xy 100.4505 97.01615) - (xy 100.448886 97.005414) - (xy 100.447801 96.995582) - (xy 100.445282 96.960013) - (xy 100.444617 96.950616) - (xy 100.441217 96.941829) - (xy 100.439355 96.933498) - (xy 100.436849 96.925351) - (xy 100.435449 96.916038) - (xy 100.415933 96.875396) - (xy 100.412006 96.866321) - (xy 100.399136 96.833055) - (xy 100.395739 96.824274) - (xy 100.389909 96.816879) - (xy 100.385682 96.809468) - (xy 100.380885 96.802409) - (xy 100.376809 96.793921) - (xy 100.356355 96.771793) - (xy 100.3462 96.760807) - (xy 100.339779 96.753288) - (xy 100.317705 96.725288) - (xy 100.317702 96.725285) - (xy 100.311872 96.71789) - (xy 100.304126 96.712537) - (xy 100.297902 96.706692) - (xy 100.291248 96.701361) - (xy 100.284854 96.694444) - (xy 100.245862 96.671796) - (xy 100.237509 96.666495) - (xy 100.208178 96.646223) - (xy 100.208177 96.646222) - (xy 100.200431 96.640869) - (xy 100.191452 96.638029) - (xy 100.183781 96.634271) - (xy 100.175854 96.631132) - (xy 100.167713 96.626404) - (xy 100.158546 96.624279) - (xy 100.158543 96.624278) - (xy 100.123793 96.616224) - (xy 100.11425 96.613613) - (xy 100.088006 96.605313) - (xy 100.029087 96.565701) - (xy 100.000938 96.500523) - (xy 100 96.485178) - (xy 100 94.9032) - (xy 101.915996 94.9032) - (xy 101.917547 94.912993) - (xy 101.931561 95.001472) - (xy 101.937081 95.036326) - (xy 101.998272 95.15642) - (xy 102.055957 95.214105) - (xy 102.089983 95.276417) - (xy 102.084918 95.347232) - (xy 102.055957 95.392295) - (xy 102.001472 95.44678) - (xy 101.940281 95.566874) - (xy 101.919196 95.7) - (xy 101.920747 95.709793) - (xy 101.935268 95.801472) - (xy 101.940281 95.833126) - (xy 102.001472 95.95322) - (xy 102.034157 95.985905) - (xy 102.068183 96.048217) - (xy 102.063118 96.119032) - (xy 102.034157 96.164095) - (xy 102.001472 96.19678) - (xy 101.940281 96.316874) - (xy 101.938731 96.326663) - (xy 101.93873 96.326665) - (xy 101.937767 96.332747) - (xy 101.919196 96.45) - (xy 101.920747 96.459793) - (xy 101.936574 96.559719) - (xy 101.940281 96.583126) - (xy 102.001472 96.70322) - (xy 102.09678 96.798528) - (xy 102.216874 96.859719) - (xy 102.226663 96.861269) - (xy 102.226665 96.86127) - (xy 102.340207 96.879253) - (xy 102.35 96.880804) - (xy 102.359793 96.879253) - (xy 102.473335 96.86127) - (xy 102.473337 96.861269) - (xy 102.483126 96.859719) - (xy 102.60322 96.798528) - (xy 102.698528 96.70322) - (xy 102.759719 96.583126) - (xy 102.763427 96.559719) - (xy 102.779253 96.459793) - (xy 102.780804 96.45) - (xy 102.762233 96.332747) - (xy 102.76127 96.326665) - (xy 102.761269 96.326663) - (xy 102.759719 96.316874) - (xy 102.698528 96.19678) - (xy 102.665843 96.164095) - (xy 102.631817 96.101783) - (xy 102.636882 96.030968) - (xy 102.665843 95.985905) - (xy 102.698528 95.95322) - (xy 102.759719 95.833126) - (xy 102.764733 95.801472) - (xy 102.779253 95.709793) - (xy 102.780804 95.7) - (xy 102.759719 95.566874) - (xy 102.698528 95.44678) - (xy 102.640843 95.389095) - (xy 102.606817 95.326783) - (xy 102.611882 95.255968) - (xy 102.640843 95.210905) - (xy 102.695328 95.15642) - (xy 102.756519 95.036326) - (xy 102.76204 95.001472) - (xy 102.776053 94.912993) - (xy 102.777604 94.9032) - (xy 102.756519 94.770074) - (xy 102.695328 94.64998) - (xy 102.60002 94.554672) - (xy 102.479926 94.493481) - (xy 102.470137 94.491931) - (xy 102.470135 94.49193) - (xy 102.356593 94.473947) - (xy 102.3468 94.472396) - (xy 102.337007 94.473947) - (xy 102.223465 94.49193) - (xy 102.223463 94.491931) - (xy 102.213674 94.493481) - (xy 102.09358 94.554672) - (xy 101.998272 94.64998) - (xy 101.937081 94.770074) - (xy 101.915996 94.9032) - (xy 100 94.9032) - (xy 100 93.45) - (xy 106.969196 93.45) - (xy 106.970747 93.459793) - (xy 106.98569 93.554137) - (xy 106.990281 93.583126) - (xy 107.051472 93.70322) - (xy 107.14678 93.798528) - (xy 107.266874 93.859719) - (xy 107.276663 93.861269) - (xy 107.276665 93.86127) - (xy 107.390207 93.879253) - (xy 107.4 93.880804) - (xy 107.409793 93.879253) - (xy 107.523335 93.86127) - (xy 107.523337 93.861269) - (xy 107.533126 93.859719) - (xy 107.65322 93.798528) - (xy 107.748528 93.70322) - (xy 107.809719 93.583126) - (xy 107.814311 93.554137) - (xy 107.814966 93.55) - (xy 112.669196 93.55) - (xy 112.670747 93.559793) - (xy 112.684146 93.644388) - (xy 112.690281 93.683126) - (xy 112.751472 93.80322) - (xy 112.84678 93.898528) - (xy 112.966874 93.959719) - (xy 112.976663 93.961269) - (xy 112.976665 93.96127) - (xy 113.090207 93.979253) - (xy 113.1 93.980804) - (xy 113.109793 93.979253) - (xy 113.223335 93.96127) - (xy 113.223337 93.961269) - (xy 113.233126 93.959719) - (xy 113.35322 93.898528) - (xy 113.448528 93.80322) - (xy 113.509719 93.683126) - (xy 113.515855 93.644388) - (xy 113.529253 93.559793) - (xy 113.530804 93.55) - (xy 113.529253 93.540207) - (xy 113.51127 93.426665) - (xy 113.511269 93.426663) - (xy 113.509719 93.416874) - (xy 113.501121 93.4) - (xy 114.269196 93.4) - (xy 114.270747 93.409793) - (xy 114.284314 93.495449) - (xy 114.290281 93.533126) - (xy 114.351472 93.65322) - (xy 114.44678 93.748528) - (xy 114.566874 93.809719) - (xy 114.576663 93.811269) - (xy 114.576665 93.81127) - (xy 114.690207 93.829253) - (xy 114.7 93.830804) - (xy 114.709793 93.829253) - (xy 114.823335 93.81127) - (xy 114.823337 93.811269) - (xy 114.833126 93.809719) - (xy 114.95322 93.748528) - (xy 115.048528 93.65322) - (xy 115.109719 93.533126) - (xy 115.115687 93.495449) - (xy 115.129253 93.409793) - (xy 115.130804 93.4) - (xy 115.116239 93.308041) - (xy 115.11127 93.276665) - (xy 115.111269 93.276663) - (xy 115.109719 93.266874) - (xy 115.048528 93.14678) - (xy 114.965968 93.06422) - (xy 122.469434 93.06422) - (xy 122.470985 93.074013) - (xy 122.476445 93.108483) - (xy 122.490519 93.197346) - (xy 122.55171 93.31744) - (xy 122.647018 93.412748) - (xy 122.767112 93.473939) - (xy 122.776901 93.475489) - (xy 122.776903 93.47549) - (xy 122.890445 93.493473) - (xy 122.900238 93.495024) - (xy 122.955003 93.48635) - (xy 123.025413 93.495449) - (xy 123.079727 93.541171) - (xy 123.1007 93.608999) - (xy 123.099162 93.63051) - (xy 123.091724 93.677472) - (xy 123.093275 93.687265) - (xy 123.110242 93.794388) - (xy 123.112809 93.810598) - (xy 123.174 93.930692) - (xy 123.269308 94.026) - (xy 123.389402 94.087191) - (xy 123.399191 94.088741) - (xy 123.399193 94.088742) - (xy 123.512735 94.106725) - (xy 123.522528 94.108276) - (xy 123.532321 94.106725) - (xy 123.645863 94.088742) - (xy 123.645865 94.088741) - (xy 123.655654 94.087191) - (xy 123.775748 94.026) - (xy 123.871056 93.930692) - (xy 123.932247 93.810598) - (xy 123.934815 93.794388) - (xy 123.951781 93.687265) - (xy 123.953332 93.677472) - (xy 123.938389 93.583126) - (xy 123.933798 93.554137) - (xy 123.933797 93.554135) - (xy 123.932247 93.544346) - (xy 123.871056 93.424252) - (xy 123.775748 93.328944) - (xy 123.655654 93.267753) - (xy 123.645865 93.266203) - (xy 123.645863 93.266202) - (xy 123.532321 93.248219) - (xy 123.522528 93.246668) - (xy 123.467763 93.255342) - (xy 123.397353 93.246243) - (xy 123.343039 93.200521) - (xy 123.322066 93.132693) - (xy 123.323604 93.111182) - (xy 123.329491 93.074013) - (xy 123.331042 93.06422) - (xy 123.327963 93.044782) - (xy 123.311508 92.940885) - (xy 123.311507 92.940883) - (xy 123.309957 92.931094) - (xy 123.248766 92.811) - (xy 123.153458 92.715692) - (xy 123.033364 92.654501) - (xy 123.023575 92.652951) - (xy 123.023573 92.65295) - (xy 122.910031 92.634967) - (xy 122.900238 92.633416) - (xy 122.890445 92.634967) - (xy 122.776903 92.65295) - (xy 122.776901 92.652951) - (xy 122.767112 92.654501) - (xy 122.647018 92.715692) - (xy 122.55171 92.811) - (xy 122.490519 92.931094) - (xy 122.488969 92.940883) - (xy 122.488968 92.940885) - (xy 122.472513 93.044782) - (xy 122.469434 93.06422) - (xy 114.965968 93.06422) - (xy 114.95322 93.051472) - (xy 114.833126 92.990281) - (xy 114.823337 92.988731) - (xy 114.823335 92.98873) - (xy 114.709793 92.970747) - (xy 114.7 92.969196) - (xy 114.690207 92.970747) - (xy 114.576665 92.98873) - (xy 114.576663 92.988731) - (xy 114.566874 92.990281) - (xy 114.44678 93.051472) - (xy 114.351472 93.14678) - (xy 114.290281 93.266874) - (xy 114.288731 93.276663) - (xy 114.28873 93.276665) - (xy 114.283761 93.308041) - (xy 114.269196 93.4) - (xy 113.501121 93.4) - (xy 113.448528 93.29678) - (xy 113.35322 93.201472) - (xy 113.233126 93.140281) - (xy 113.223337 93.138731) - (xy 113.223335 93.13873) - (xy 113.109793 93.120747) - (xy 113.1 93.119196) - (xy 113.090207 93.120747) - (xy 112.976665 93.13873) - (xy 112.976663 93.138731) - (xy 112.966874 93.140281) - (xy 112.84678 93.201472) - (xy 112.751472 93.29678) - (xy 112.690281 93.416874) - (xy 112.688731 93.426663) - (xy 112.68873 93.426665) - (xy 112.670747 93.540207) - (xy 112.669196 93.55) - (xy 107.814966 93.55) - (xy 107.829253 93.459793) - (xy 107.830804 93.45) - (xy 107.824158 93.408041) - (xy 107.81127 93.326665) - (xy 107.811269 93.326663) - (xy 107.809719 93.316874) - (xy 107.748528 93.19678) - (xy 107.65322 93.101472) - (xy 107.533126 93.040281) - (xy 107.523337 93.038731) - (xy 107.523335 93.03873) - (xy 107.409793 93.020747) - (xy 107.4 93.019196) - (xy 107.390207 93.020747) - (xy 107.276665 93.03873) - (xy 107.276663 93.038731) - (xy 107.266874 93.040281) - (xy 107.14678 93.101472) - (xy 107.051472 93.19678) - (xy 106.990281 93.316874) - (xy 106.988731 93.326663) - (xy 106.98873 93.326665) - (xy 106.975842 93.408041) - (xy 106.969196 93.45) - (xy 100 93.45) - (xy 100 92.65) - (xy 101.869196 92.65) - (xy 101.870747 92.659793) - (xy 101.879601 92.715692) - (xy 101.890281 92.783126) - (xy 101.951472 92.90322) - (xy 102.04678 92.998528) - (xy 102.166874 93.059719) - (xy 102.176663 93.061269) - (xy 102.176665 93.06127) - (xy 102.290207 93.079253) - (xy 102.3 93.080804) - (xy 102.309793 93.079253) - (xy 102.423335 93.06127) - (xy 102.423337 93.061269) - (xy 102.433126 93.059719) - (xy 102.55322 92.998528) - (xy 102.648528 92.90322) - (xy 102.709719 92.783126) - (xy 102.7204 92.715692) - (xy 102.729253 92.659793) - (xy 102.730804 92.65) - (xy 102.709719 92.516874) - (xy 102.705217 92.508038) - (xy 102.687565 92.473393) - (xy 102.674461 92.403617) - (xy 102.701161 92.337832) - (xy 102.736447 92.30898) - (xy 102.73636 92.308861) - (xy 102.73845 92.307343) - (xy 102.742632 92.303923) - (xy 102.744387 92.303029) - (xy 102.744389 92.303028) - (xy 102.75322 92.298528) - (xy 102.848528 92.20322) - (xy 102.900785 92.10066) - (xy 102.949533 92.049044) - (xy 103.018448 92.031978) - (xy 103.05425 92.041389) - (xy 103.054865 92.039495) - (xy 103.064298 92.04256) - (xy 103.073132 92.047061) - (xy 103.082921 92.048611) - (xy 103.082923 92.048612) - (xy 103.196465 92.066595) - (xy 103.206258 92.068146) - (xy 103.216051 92.066595) - (xy 103.329593 92.048612) - (xy 103.329595 92.048611) - (xy 103.339384 92.047061) - (xy 103.459478 91.98587) - (xy 103.554786 91.890562) - (xy 103.615977 91.770468) - (xy 103.61768 91.759719) - (xy 103.635511 91.647135) - (xy 103.637062 91.637342) - (xy 103.631381 91.601472) - (xy 103.617528 91.514007) - (xy 103.617527 91.514005) - (xy 103.615977 91.504216) - (xy 103.554786 91.384122) - (xy 103.459478 91.288814) - (xy 103.383301 91.25) - (xy 105.162946 91.25) - (xy 105.164497 91.259793) - (xy 105.180969 91.363791) - (xy 105.184031 91.383126) - (xy 105.245222 91.50322) - (xy 105.34053 91.598528) - (xy 105.460624 91.659719) - (xy 105.470413 91.661269) - (xy 105.470415 91.66127) - (xy 105.583957 91.679253) - (xy 105.59375 91.680804) - (xy 105.603543 91.679253) - (xy 105.717085 91.66127) - (xy 105.717087 91.661269) - (xy 105.726876 91.659719) - (xy 105.84697 91.598528) - (xy 105.942278 91.50322) - (xy 106.003469 91.383126) - (xy 106.006532 91.363791) - (xy 106.008716 91.35) - (xy 109.112946 91.35) - (xy 109.114497 91.359793) - (xy 109.128503 91.448221) - (xy 109.134031 91.483126) - (xy 109.195222 91.60322) - (xy 109.29053 91.698528) - (xy 109.410624 91.759719) - (xy 109.420413 91.761269) - (xy 109.420415 91.76127) - (xy 109.533957 91.779253) - (xy 109.54375 91.780804) - (xy 109.553543 91.779253) - (xy 109.667085 91.76127) - (xy 109.667087 91.761269) - (xy 109.676876 91.759719) - (xy 109.79697 91.698528) - (xy 109.892278 91.60322) - (xy 109.953469 91.483126) - (xy 109.958998 91.448221) - (xy 109.973003 91.359793) - (xy 109.974554 91.35) - (xy 109.964863 91.288814) - (xy 109.95502 91.226665) - (xy 109.955019 91.226663) - (xy 109.953469 91.216874) - (xy 109.892278 91.09678) - (xy 109.79697 91.001472) - (xy 109.676876 90.940281) - (xy 109.667087 90.938731) - (xy 109.667085 90.93873) - (xy 109.553543 90.920747) - (xy 109.54375 90.919196) - (xy 109.533957 90.920747) - (xy 109.420415 90.93873) - (xy 109.420413 90.938731) - (xy 109.410624 90.940281) - (xy 109.29053 91.001472) - (xy 109.195222 91.09678) - (xy 109.134031 91.216874) - (xy 109.132481 91.226663) - (xy 109.13248 91.226665) - (xy 109.122637 91.288814) - (xy 109.112946 91.35) - (xy 106.008716 91.35) - (xy 106.023003 91.259793) - (xy 106.024554 91.25) - (xy 106.016798 91.201031) - (xy 106.00502 91.126665) - (xy 106.005019 91.126663) - (xy 106.003469 91.116874) - (xy 105.942278 90.99678) - (xy 105.84697 90.901472) - (xy 105.726876 90.840281) - (xy 105.717087 90.838731) - (xy 105.717085 90.83873) - (xy 105.603543 90.820747) - (xy 105.59375 90.819196) - (xy 105.583957 90.820747) - (xy 105.470415 90.83873) - (xy 105.470413 90.838731) - (xy 105.460624 90.840281) - (xy 105.34053 90.901472) - (xy 105.245222 90.99678) - (xy 105.184031 91.116874) - (xy 105.182481 91.126663) - (xy 105.18248 91.126665) - (xy 105.170702 91.201031) - (xy 105.162946 91.25) - (xy 103.383301 91.25) - (xy 103.339384 91.227623) - (xy 103.329595 91.226073) - (xy 103.329593 91.226072) - (xy 103.216051 91.208089) - (xy 103.206258 91.206538) - (xy 103.196465 91.208089) - (xy 103.082923 91.226072) - (xy 103.082921 91.226073) - (xy 103.073132 91.227623) - (xy 102.953038 91.288814) - (xy 102.85773 91.384122) - (xy 102.85323 91.392954) - (xy 102.853228 91.392957) - (xy 102.805473 91.486682) - (xy 102.756725 91.538298) - (xy 102.68781 91.555364) - (xy 102.652008 91.545953) - (xy 102.651393 91.547847) - (xy 102.641959 91.544781) - (xy 102.633126 91.540281) - (xy 102.623337 91.538731) - (xy 102.623335 91.53873) - (xy 102.509793 91.520747) - (xy 102.5 91.519196) - (xy 102.490207 91.520747) - (xy 102.376665 91.53873) - (xy 102.376663 91.538731) - (xy 102.366874 91.540281) - (xy 102.24678 91.601472) - (xy 102.151472 91.69678) - (xy 102.090281 91.816874) - (xy 102.088731 91.826663) - (xy 102.08873 91.826665) - (xy 102.070747 91.940207) - (xy 102.069196 91.95) - (xy 102.070747 91.959793) - (xy 102.084815 92.048612) - (xy 102.090281 92.083126) - (xy 102.094783 92.091961) - (xy 102.094783 92.091962) - (xy 102.112435 92.126607) - (xy 102.125539 92.196383) - (xy 102.098839 92.262168) - (xy 102.063553 92.29102) - (xy 102.06364 92.291139) - (xy 102.06155 92.292657) - (xy 102.057368 92.296077) - (xy 102.055613 92.296971) - (xy 102.055611 92.296972) - (xy 102.04678 92.301472) - (xy 101.951472 92.39678) - (xy 101.890281 92.516874) - (xy 101.869196 92.65) - (xy 100 92.65) - (xy 100 91.516342) - (xy 100.020002 91.448221) - (xy 100.073658 91.401728) - (xy 100.093603 91.395026) - (xy 100.099384 91.394617) - (xy 100.108168 91.391218) - (xy 100.116498 91.389356) - (xy 100.124648 91.386849) - (xy 100.133962 91.385449) - (xy 100.174604 91.365933) - (xy 100.183679 91.362006) - (xy 100.216945 91.349136) - (xy 100.216944 91.349136) - (xy 100.225726 91.345739) - (xy 100.233121 91.339909) - (xy 100.240532 91.335682) - (xy 100.247591 91.330885) - (xy 100.256079 91.326809) - (xy 100.283747 91.301234) - (xy 100.289193 91.2962) - (xy 100.296712 91.289779) - (xy 100.324712 91.267705) - (xy 100.324715 91.267702) - (xy 100.33211 91.261872) - (xy 100.337463 91.254126) - (xy 100.343308 91.247902) - (xy 100.348639 91.241248) - (xy 100.355556 91.234854) - (xy 100.378204 91.195862) - (xy 100.383505 91.187509) - (xy 100.403777 91.158178) - (xy 100.403778 91.158177) - (xy 100.409131 91.150431) - (xy 100.411971 91.141452) - (xy 100.415729 91.133781) - (xy 100.418868 91.125854) - (xy 100.423596 91.117713) - (xy 100.425721 91.108546) - (xy 100.425722 91.108543) - (xy 100.433776 91.073793) - (xy 100.436387 91.064249) - (xy 100.441088 91.049384) - (xy 100.44998 91.02127) - (xy 100.4505 91.014663) - (xy 100.4505 91.012193) - (xy 100.450531 91.011401) - (xy 100.451482 91.004325) - (xy 100.451319 91.004312) - (xy 100.452058 90.994921) - (xy 100.454185 90.985745) - (xy 100.45346 90.975497) - (xy 100.450815 90.938151) - (xy 100.4505 90.929252) - (xy 100.4505 90.8) - (xy 110.969196 90.8) - (xy 110.970747 90.809793) - (xy 110.988075 90.919196) - (xy 110.990281 90.933126) - (xy 111.051472 91.05322) - (xy 111.14678 91.148528) - (xy 111.266874 91.209719) - (xy 111.276663 91.211269) - (xy 111.276665 91.21127) - (xy 111.390207 91.229253) - (xy 111.4 91.230804) - (xy 111.409793 91.229253) - (xy 111.523335 91.21127) - (xy 111.523337 91.211269) - (xy 111.533126 91.209719) - (xy 111.65322 91.148528) - (xy 111.748528 91.05322) - (xy 111.809719 90.933126) - (xy 111.811926 90.919196) - (xy 111.829253 90.809793) - (xy 111.830804 90.8) - (xy 111.826334 90.771778) - (xy 120.465573 90.771778) - (xy 120.467124 90.781571) - (xy 120.482995 90.881774) - (xy 120.486658 90.904904) - (xy 120.547849 91.024998) - (xy 120.643157 91.120306) - (xy 120.763251 91.181497) - (xy 120.77304 91.183047) - (xy 120.773042 91.183048) - (xy 120.886584 91.201031) - (xy 120.896377 91.202582) - (xy 120.90617 91.201031) - (xy 121.019712 91.183048) - (xy 121.019714 91.183047) - (xy 121.029503 91.181497) - (xy 121.149597 91.120306) - (xy 121.244905 91.024998) - (xy 121.306096 90.904904) - (xy 121.30976 90.881774) - (xy 121.32563 90.781571) - (xy 121.327181 90.771778) - (xy 121.310292 90.665146) - (xy 121.307647 90.648443) - (xy 121.307646 90.648441) - (xy 121.306096 90.638652) - (xy 121.244905 90.518558) - (xy 121.176347 90.45) - (xy 122.319196 90.45) - (xy 122.320747 90.459793) - (xy 122.336574 90.559719) - (xy 122.340281 90.583126) - (xy 122.401472 90.70322) - (xy 122.49678 90.798528) - (xy 122.616874 90.859719) - (xy 122.626663 90.861269) - (xy 122.626665 90.86127) - (xy 122.740207 90.879253) - (xy 122.75 90.880804) - (xy 122.813414 90.87076) - (xy 122.883822 90.879859) - (xy 122.938137 90.925581) - (xy 122.957571 90.975497) - (xy 122.960709 90.99531) - (xy 122.960711 90.995316) - (xy 122.962262 91.005107) - (xy 123.023453 91.125201) - (xy 123.118761 91.220509) - (xy 123.238855 91.2817) - (xy 123.248644 91.28325) - (xy 123.248646 91.283251) - (xy 123.362188 91.301234) - (xy 123.371981 91.302785) - (xy 123.381774 91.301234) - (xy 123.495316 91.283251) - (xy 123.495318 91.28325) - (xy 123.505107 91.2817) - (xy 123.625201 91.220509) - (xy 123.720509 91.125201) - (xy 123.7817 91.005107) - (xy 123.78413 90.989769) - (xy 123.801234 90.881774) - (xy 123.802785 90.871981) - (xy 123.797519 90.83873) - (xy 123.783251 90.748646) - (xy 123.78325 90.748644) - (xy 123.7817 90.738855) - (xy 123.720509 90.618761) - (xy 123.625201 90.523453) - (xy 123.505107 90.462262) - (xy 123.495318 90.460712) - (xy 123.495316 90.460711) - (xy 123.381774 90.442728) - (xy 123.371981 90.441177) - (xy 123.308567 90.451221) - (xy 123.238159 90.442122) - (xy 123.183844 90.3964) - (xy 123.16441 90.346484) - (xy 123.161272 90.326671) - (xy 123.161269 90.326663) - (xy 123.159719 90.316874) - (xy 123.098528 90.19678) - (xy 123.00322 90.101472) - (xy 122.883126 90.040281) - (xy 122.873337 90.038731) - (xy 122.873335 90.03873) - (xy 122.759793 90.020747) - (xy 122.75 90.019196) - (xy 122.740207 90.020747) - (xy 122.626665 90.03873) - (xy 122.626663 90.038731) - (xy 122.616874 90.040281) - (xy 122.49678 90.101472) - (xy 122.401472 90.19678) - (xy 122.340281 90.316874) - (xy 122.338731 90.326663) - (xy 122.33873 90.326665) - (xy 122.326605 90.40322) - (xy 122.319196 90.45) - (xy 121.176347 90.45) - (xy 121.149597 90.42325) - (xy 121.029503 90.362059) - (xy 121.019714 90.360509) - (xy 121.019712 90.360508) - (xy 120.90617 90.342525) - (xy 120.896377 90.340974) - (xy 120.886584 90.342525) - (xy 120.773042 90.360508) - (xy 120.77304 90.360509) - (xy 120.763251 90.362059) - (xy 120.643157 90.42325) - (xy 120.547849 90.518558) - (xy 120.486658 90.638652) - (xy 120.485108 90.648441) - (xy 120.485107 90.648443) - (xy 120.482462 90.665146) - (xy 120.465573 90.771778) - (xy 111.826334 90.771778) - (xy 111.813622 90.691517) - (xy 111.81127 90.676665) - (xy 111.811269 90.676663) - (xy 111.809719 90.666874) - (xy 111.748528 90.54678) - (xy 111.65322 90.451472) - (xy 111.533126 90.390281) - (xy 111.523337 90.388731) - (xy 111.523335 90.38873) - (xy 111.409793 90.370747) - (xy 111.4 90.369196) - (xy 111.390207 90.370747) - (xy 111.276665 90.38873) - (xy 111.276663 90.388731) - (xy 111.266874 90.390281) - (xy 111.14678 90.451472) - (xy 111.051472 90.54678) - (xy 110.990281 90.666874) - (xy 110.988731 90.676663) - (xy 110.98873 90.676665) - (xy 110.986378 90.691517) - (xy 110.969196 90.8) - (xy 100.4505 90.8) - (xy 100.4505 90.15) - (xy 113.269196 90.15) - (xy 113.270747 90.159793) - (xy 113.285076 90.250261) - (xy 113.290281 90.283126) - (xy 113.351472 90.40322) - (xy 113.44678 90.498528) - (xy 113.566874 90.559719) - (xy 113.576663 90.561269) - (xy 113.576665 90.56127) - (xy 113.690207 90.579253) - (xy 113.7 90.580804) - (xy 113.709793 90.579253) - (xy 113.823335 90.56127) - (xy 113.823337 90.561269) - (xy 113.833126 90.559719) - (xy 113.95322 90.498528) - (xy 113.99671 90.455038) - (xy 114.059022 90.421012) - (xy 114.129837 90.426077) - (xy 114.186673 90.468624) - (xy 114.198072 90.48693) - (xy 114.209945 90.510231) - (xy 114.25146 90.591709) - (xy 114.346768 90.687017) - (xy 114.466862 90.748208) - (xy 114.476651 90.749758) - (xy 114.476653 90.749759) - (xy 114.590195 90.767742) - (xy 114.599988 90.769293) - (xy 114.609781 90.767742) - (xy 114.723323 90.749759) - (xy 114.723325 90.749758) - (xy 114.733114 90.748208) - (xy 114.853208 90.687017) - (xy 114.948516 90.591709) - (xy 115.009707 90.471615) - (xy 115.011346 90.46127) - (xy 115.029241 90.348282) - (xy 115.030792 90.338489) - (xy 115.02597 90.308041) - (xy 115.016777 90.25) - (xy 115.969196 90.25) - (xy 115.970747 90.259793) - (xy 115.988075 90.369196) - (xy 115.990281 90.383126) - (xy 116.051472 90.50322) - (xy 116.14678 90.598528) - (xy 116.266874 90.659719) - (xy 116.276663 90.661269) - (xy 116.276665 90.66127) - (xy 116.390207 90.679253) - (xy 116.4 90.680804) - (xy 116.409793 90.679253) - (xy 116.523335 90.66127) - (xy 116.523337 90.661269) - (xy 116.533126 90.659719) - (xy 116.65322 90.598528) - (xy 116.748528 90.50322) - (xy 116.809719 90.383126) - (xy 116.811926 90.369196) - (xy 116.829253 90.259793) - (xy 116.830804 90.25) - (xy 116.828129 90.233111) - (xy 116.81127 90.126665) - (xy 116.811269 90.126663) - (xy 116.809719 90.116874) - (xy 116.775645 90.05) - (xy 117.131196 90.05) - (xy 117.132747 90.059793) - (xy 117.138636 90.096972) - (xy 117.152281 90.183126) - (xy 117.213472 90.30322) - (xy 117.30878 90.398528) - (xy 117.428874 90.459719) - (xy 117.438663 90.461269) - (xy 117.438665 90.46127) - (xy 117.552207 90.479253) - (xy 117.562 90.480804) - (xy 117.571793 90.479253) - (xy 117.685335 90.46127) - (xy 117.685337 90.461269) - (xy 117.695126 90.459719) - (xy 117.81522 90.398528) - (xy 117.910528 90.30322) - (xy 117.916266 90.291959) - (xy 117.930002 90.265002) - (xy 117.97875 90.213387) - (xy 118.047665 90.196322) - (xy 118.114867 90.219223) - (xy 118.131363 90.233111) - (xy 118.14678 90.248528) - (xy 118.266874 90.309719) - (xy 118.276663 90.311269) - (xy 118.276665 90.31127) - (xy 118.390207 90.329253) - (xy 118.4 90.330804) - (xy 118.409793 90.329253) - (xy 118.523335 90.31127) - (xy 118.523337 90.311269) - (xy 118.533126 90.309719) - (xy 118.65322 90.248528) - (xy 118.748528 90.15322) - (xy 118.750508 90.149334) - (xy 118.804288 90.107865) - (xy 118.875024 90.10179) - (xy 118.937815 90.134923) - (xy 118.948335 90.147064) - (xy 118.951472 90.15322) - (xy 119.04678 90.248528) - (xy 119.166874 90.309719) - (xy 119.176663 90.311269) - (xy 119.176665 90.31127) - (xy 119.290207 90.329253) - (xy 119.3 90.330804) - (xy 119.309793 90.329253) - (xy 119.423335 90.31127) - (xy 119.423337 90.311269) - (xy 119.433126 90.309719) - (xy 119.530252 90.260231) - (xy 119.544383 90.253031) - (xy 119.544384 90.25303) - (xy 119.55322 90.248528) - (xy 119.553313 90.248435) - (xy 119.615062 90.226402) - (xy 119.684214 90.242481) - (xy 119.711353 90.263101) - (xy 119.79678 90.348528) - (xy 119.916874 90.409719) - (xy 119.926663 90.411269) - (xy 119.926665 90.41127) - (xy 120.040207 90.429253) - (xy 120.05 90.430804) - (xy 120.059793 90.429253) - (xy 120.173335 90.41127) - (xy 120.173337 90.411269) - (xy 120.183126 90.409719) - (xy 120.30322 90.348528) - (xy 120.398528 90.25322) - (xy 120.459719 90.133126) - (xy 120.464733 90.101472) - (xy 120.479253 90.009793) - (xy 120.480804 90) - (xy 120.467638 89.916874) - (xy 120.46127 89.876665) - (xy 120.461269 89.876663) - (xy 120.459719 89.866874) - (xy 120.398528 89.74678) - (xy 120.30322 89.651472) - (xy 120.183126 89.590281) - (xy 120.173337 89.588731) - (xy 120.173335 89.58873) - (xy 120.059793 89.570747) - (xy 120.05 89.569196) - (xy 120.040207 89.570747) - (xy 119.926665 89.58873) - (xy 119.926663 89.588731) - (xy 119.916874 89.590281) - (xy 119.860125 89.619196) - (xy 119.805617 89.646969) - (xy 119.805616 89.64697) - (xy 119.79678 89.651472) - (xy 119.796687 89.651565) - (xy 119.734938 89.673598) - (xy 119.665786 89.657519) - (xy 119.638647 89.636899) - (xy 119.55322 89.551472) - (xy 119.433126 89.490281) - (xy 119.423337 89.488731) - (xy 119.423335 89.48873) - (xy 119.309793 89.470747) - (xy 119.3 89.469196) - (xy 119.290207 89.470747) - (xy 119.176665 89.48873) - (xy 119.176663 89.488731) - (xy 119.166874 89.490281) - (xy 119.04678 89.551472) - (xy 118.951472 89.64678) - (xy 118.949492 89.650666) - (xy 118.895712 89.692135) - (xy 118.824976 89.69821) - (xy 118.762185 89.665077) - (xy 118.751665 89.652936) - (xy 118.748528 89.64678) - (xy 118.65322 89.551472) - (xy 118.533126 89.490281) - (xy 118.523337 89.488731) - (xy 118.523335 89.48873) - (xy 118.409793 89.470747) - (xy 118.4 89.469196) - (xy 118.390207 89.470747) - (xy 118.276665 89.48873) - (xy 118.276663 89.488731) - (xy 118.266874 89.490281) - (xy 118.14678 89.551472) - (xy 118.051472 89.64678) - (xy 118.046971 89.655613) - (xy 118.04697 89.655615) - (xy 118.031998 89.684998) - (xy 117.98325 89.736613) - (xy 117.914335 89.753678) - (xy 117.847133 89.730777) - (xy 117.830637 89.716889) - (xy 117.81522 89.701472) - (xy 117.695126 89.640281) - (xy 117.685337 89.638731) - (xy 117.685335 89.63873) - (xy 117.571793 89.620747) - (xy 117.562 89.619196) - (xy 117.552207 89.620747) - (xy 117.438665 89.63873) - (xy 117.438663 89.638731) - (xy 117.428874 89.640281) - (xy 117.30878 89.701472) - (xy 117.213472 89.79678) - (xy 117.152281 89.916874) - (xy 117.150731 89.926663) - (xy 117.15073 89.926665) - (xy 117.140705 89.989961) - (xy 117.131196 90.05) - (xy 116.775645 90.05) - (xy 116.748528 89.99678) - (xy 116.65322 89.901472) - (xy 116.533126 89.840281) - (xy 116.523337 89.838731) - (xy 116.523335 89.83873) - (xy 116.409793 89.820747) - (xy 116.4 89.819196) - (xy 116.390207 89.820747) - (xy 116.276665 89.83873) - (xy 116.276663 89.838731) - (xy 116.266874 89.840281) - (xy 116.14678 89.901472) - (xy 116.051472 89.99678) - (xy 115.990281 90.116874) - (xy 115.988731 90.126663) - (xy 115.98873 90.126665) - (xy 115.971871 90.233111) - (xy 115.969196 90.25) - (xy 115.016777 90.25) - (xy 115.011258 90.215154) - (xy 115.011257 90.215152) - (xy 115.009707 90.205363) - (xy 114.948516 90.085269) - (xy 114.853208 89.989961) - (xy 114.733114 89.92877) - (xy 114.723325 89.92722) - (xy 114.723323 89.927219) - (xy 114.609781 89.909236) - (xy 114.599988 89.907685) - (xy 114.590195 89.909236) - (xy 114.476653 89.927219) - (xy 114.476651 89.92722) - (xy 114.466862 89.92877) - (xy 114.346768 89.989961) - (xy 114.303278 90.033451) - (xy 114.240966 90.067477) - (xy 114.170151 90.062412) - (xy 114.113315 90.019865) - (xy 114.101916 90.001559) - (xy 114.067121 89.933271) - (xy 114.048528 89.89678) - (xy 113.95322 89.801472) - (xy 113.833126 89.740281) - (xy 113.823337 89.738731) - (xy 113.823335 89.73873) - (xy 113.709793 89.720747) - (xy 113.7 89.719196) - (xy 113.690207 89.720747) - (xy 113.576665 89.73873) - (xy 113.576663 89.738731) - (xy 113.566874 89.740281) - (xy 113.44678 89.801472) - (xy 113.351472 89.89678) - (xy 113.290281 90.016874) - (xy 113.288731 90.026663) - (xy 113.28873 90.026665) - (xy 113.275842 90.108041) - (xy 113.269196 90.15) - (xy 100.4505 90.15) - (xy 100.4505 89.098376) - (xy 100.452246 89.077472) - (xy 100.45419 89.065917) - (xy 100.454997 89.06112) - (xy 100.455133 89.05) - (xy 100.453958 89.041795) - (xy 100.453007 89.014949) - (xy 100.464805 88.85) - (xy 110.969196 88.85) - (xy 110.970747 88.859793) - (xy 110.98681 88.961208) - (xy 110.990281 88.983126) - (xy 111.051472 89.10322) - (xy 111.14678 89.198528) - (xy 111.266874 89.259719) - (xy 111.276663 89.261269) - (xy 111.276665 89.26127) - (xy 111.390207 89.279253) - (xy 111.4 89.280804) - (xy 111.409793 89.279253) - (xy 111.523335 89.26127) - (xy 111.523337 89.261269) - (xy 111.533126 89.259719) - (xy 111.65322 89.198528) - (xy 111.748528 89.10322) - (xy 111.753056 89.094334) - (xy 115.555436 89.094334) - (xy 115.556987 89.104127) - (xy 115.571939 89.198528) - (xy 115.576521 89.22746) - (xy 115.637712 89.347554) - (xy 115.73302 89.442862) - (xy 115.853114 89.504053) - (xy 115.862903 89.505603) - (xy 115.862905 89.505604) - (xy 115.976447 89.523587) - (xy 115.98624 89.525138) - (xy 115.996033 89.523587) - (xy 116.109575 89.505604) - (xy 116.109577 89.505603) - (xy 116.119366 89.504053) - (xy 116.23946 89.442862) - (xy 116.334768 89.347554) - (xy 116.395959 89.22746) - (xy 116.400542 89.198528) - (xy 116.415493 89.104127) - (xy 116.417044 89.094334) - (xy 116.412543 89.065917) - (xy 116.39751 88.970999) - (xy 116.397509 88.970997) - (xy 116.395959 88.961208) - (xy 116.334768 88.841114) - (xy 116.23946 88.745806) - (xy 116.119366 88.684615) - (xy 116.109577 88.683065) - (xy 116.109575 88.683064) - (xy 115.996033 88.665081) - (xy 115.98624 88.66353) - (xy 115.976447 88.665081) - (xy 115.862905 88.683064) - (xy 115.862903 88.683065) - (xy 115.853114 88.684615) - (xy 115.73302 88.745806) - (xy 115.637712 88.841114) - (xy 115.576521 88.961208) - (xy 115.574971 88.970997) - (xy 115.57497 88.970999) - (xy 115.559937 89.065917) - (xy 115.555436 89.094334) - (xy 111.753056 89.094334) - (xy 111.809719 88.983126) - (xy 111.813191 88.961208) - (xy 111.829253 88.859793) - (xy 111.830804 88.85) - (xy 111.828982 88.838499) - (xy 111.81127 88.726665) - (xy 111.811269 88.726663) - (xy 111.809719 88.716874) - (xy 111.748528 88.59678) - (xy 111.65322 88.501472) - (xy 111.533126 88.440281) - (xy 111.523337 88.438731) - (xy 111.523335 88.43873) - (xy 111.409793 88.420747) - (xy 111.4 88.419196) - (xy 111.390207 88.420747) - (xy 111.276665 88.43873) - (xy 111.276663 88.438731) - (xy 111.266874 88.440281) - (xy 111.14678 88.501472) - (xy 111.051472 88.59678) - (xy 110.990281 88.716874) - (xy 110.988731 88.726663) - (xy 110.98873 88.726665) - (xy 110.971018 88.838499) - (xy 110.969196 88.85) - (xy 100.464805 88.85) - (xy 100.465629 88.838474) - (xy 100.468188 88.82068) - (xy 100.511352 88.622259) - (xy 100.516416 88.60501) - (xy 100.556708 88.496982) - (xy 100.587378 88.414752) - (xy 100.594845 88.398402) - (xy 100.600525 88.388) - (xy 100.692161 88.220183) - (xy 100.701878 88.205063) - (xy 100.703872 88.2024) - (xy 100.823568 88.042504) - (xy 100.835341 88.028918) - (xy 100.978918 87.885341) - (xy 100.992504 87.873568) - (xy 101.103763 87.790281) - (xy 101.155066 87.751876) - (xy 101.170183 87.742161) - (xy 101.348405 87.644843) - (xy 101.364752 87.637378) - (xy 101.511972 87.582468) - (xy 101.55501 87.566416) - (xy 101.572259 87.561352) - (xy 101.77068 87.518188) - (xy 101.788474 87.515629) - (xy 101.961696 87.50324) - (xy 101.97659 87.503858) - (xy 101.976593 87.503608) - (xy 101.985569 87.503718) - (xy 101.99444 87.505099) - (xy 102.003342 87.503935) - (xy 102.003345 87.503935) - (xy 102.021473 87.501564) - (xy 102.03781 87.5005) - (xy 102.443562 87.5005) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 102.585492 87.324502) - (xy 102.631985 87.378158) - (xy 102.642089 87.448432) - (xy 102.612595 87.513012) - (xy 102.56559 87.546909) - (xy 102.527986 87.562485) - (xy 102.527984 87.562486) - (xy 102.520358 87.565645) - (xy 102.404526 87.654526) - (xy 102.315645 87.770358) - (xy 102.312486 87.777984) - (xy 102.312485 87.777986) - (xy 102.287218 87.838985) - (xy 102.259772 87.905246) - (xy 102.258695 87.91343) - (xy 102.258694 87.913432) - (xy 102.243841 88.026255) - (xy 102.240715 88.05) - (xy 102.241793 88.058188) - (xy 102.248376 88.108188) - (xy 102.259772 88.194754) - (xy 102.262931 88.20238) - (xy 102.304974 88.303879) - (xy 102.315645 88.329642) - (xy 102.348158 88.372014) - (xy 102.371081 88.401887) - (xy 102.404526 88.445474) - (xy 102.411076 88.4505) - (xy 102.411079 88.450503) - (xy 102.513804 88.529327) - (xy 102.520357 88.534355) - (xy 102.655246 88.590228) - (xy 102.8 88.609285) - (xy 102.808188 88.608207) - (xy 102.936566 88.591306) - (xy 102.944754 88.590228) - (xy 103.079643 88.534355) - (xy 103.086196 88.529327) - (xy 103.188921 88.450503) - (xy 103.188924 88.4505) - (xy 103.195474 88.445474) - (xy 103.22892 88.401887) - (xy 103.251842 88.372014) - (xy 103.284355 88.329642) - (xy 103.295027 88.303879) - (xy 103.337069 88.20238) - (xy 103.338055 88.2) - (xy 104.984465 88.2) - (xy 104.985543 88.208188) - (xy 104.999333 88.312932) - (xy 105.003522 88.344754) - (xy 105.014258 88.370672) - (xy 105.048992 88.454526) - (xy 105.059395 88.479642) - (xy 105.148276 88.595474) - (xy 105.154826 88.6005) - (xy 105.154829 88.600503) - (xy 105.231435 88.659285) - (xy 105.264107 88.684355) - (xy 105.398996 88.740228) - (xy 105.54375 88.759285) - (xy 105.551938 88.758207) - (xy 105.680316 88.741306) - (xy 105.688504 88.740228) - (xy 105.823393 88.684355) - (xy 105.856065 88.659285) - (xy 105.932671 88.600503) - (xy 105.932674 88.6005) - (xy 105.939224 88.595474) - (xy 106.028105 88.479642) - (xy 106.038509 88.454526) - (xy 106.073242 88.370672) - (xy 106.083978 88.344754) - (xy 106.088168 88.312932) - (xy 106.101957 88.208188) - (xy 106.103035 88.2) - (xy 106.090701 88.106311) - (xy 106.085056 88.063432) - (xy 106.085055 88.06343) - (xy 106.083978 88.055246) - (xy 106.042556 87.955246) - (xy 106.031265 87.927986) - (xy 106.031264 87.927984) - (xy 106.028105 87.920358) - (xy 105.961189 87.833151) - (xy 105.944251 87.811077) - (xy 105.94425 87.811076) - (xy 105.939224 87.804526) - (xy 105.932674 87.7995) - (xy 105.932671 87.799497) - (xy 105.829946 87.720673) - (xy 105.829944 87.720672) - (xy 105.823393 87.715645) - (xy 105.688504 87.659772) - (xy 105.54375 87.640715) - (xy 105.535562 87.641793) - (xy 105.407182 87.658694) - (xy 105.40718 87.658695) - (xy 105.398996 87.659772) - (xy 105.360253 87.67582) - (xy 105.271736 87.712485) - (xy 105.271734 87.712486) - (xy 105.264108 87.715645) - (xy 105.148276 87.804526) - (xy 105.143253 87.811072) - (xy 105.126311 87.833151) - (xy 105.059395 87.920358) - (xy 105.056236 87.927984) - (xy 105.056235 87.927986) - (xy 105.044944 87.955246) - (xy 105.003522 88.055246) - (xy 105.002445 88.06343) - (xy 105.002444 88.063432) - (xy 104.996799 88.106311) - (xy 104.984465 88.2) - (xy 103.338055 88.2) - (xy 103.340228 88.194754) - (xy 103.351625 88.108188) - (xy 103.358207 88.058188) - (xy 103.359285 88.05) - (xy 103.356159 88.026255) - (xy 103.341306 87.913432) - (xy 103.341305 87.91343) - (xy 103.340228 87.905246) - (xy 103.312782 87.838985) - (xy 103.287515 87.777986) - (xy 103.287514 87.777984) - (xy 103.284355 87.770358) - (xy 103.229986 87.699503) - (xy 103.200501 87.661077) - (xy 103.2005 87.661076) - (xy 103.195474 87.654526) - (xy 103.188924 87.6495) - (xy 103.188921 87.649497) - (xy 103.086196 87.570673) - (xy 103.086194 87.570672) - (xy 103.079643 87.565645) - (xy 103.03441 87.546909) - (xy 102.979129 87.502361) - (xy 102.956708 87.434998) - (xy 102.974266 87.366206) - (xy 103.026228 87.317828) - (xy 103.082628 87.3045) - (xy 109.621842 87.3045) - (xy 109.689963 87.324502) - (xy 109.736456 87.378158) - (xy 109.74656 87.448432) - (xy 109.717066 87.513012) - (xy 109.65734 87.551396) - (xy 109.638288 87.555422) - (xy 109.613432 87.558694) - (xy 109.61343 87.558695) - (xy 109.605246 87.559772) - (xy 109.55743 87.579578) - (xy 109.477986 87.612485) - (xy 109.477984 87.612486) - (xy 109.470358 87.615645) - (xy 109.354526 87.704526) - (xy 109.265645 87.820358) - (xy 109.262486 87.827984) - (xy 109.262485 87.827986) - (xy 109.251176 87.855288) - (xy 109.209772 87.955246) - (xy 109.208695 87.96343) - (xy 109.208694 87.963432) - (xy 109.196607 88.055246) - (xy 109.190715 88.1) - (xy 109.209772 88.244754) - (xy 109.228351 88.289607) - (xy 109.26248 88.372) - (xy 109.265645 88.379642) - (xy 109.272954 88.389167) - (xy 109.342378 88.479642) - (xy 109.354526 88.495474) - (xy 109.361076 88.5005) - (xy 109.361079 88.500503) - (xy 109.463804 88.579327) - (xy 109.470357 88.584355) - (xy 109.605246 88.640228) - (xy 109.613434 88.641306) - (xy 109.677623 88.649757) - (xy 109.75 88.659285) - (xy 109.758188 88.658207) - (xy 109.886566 88.641306) - (xy 109.894754 88.640228) - (xy 110.029643 88.584355) - (xy 110.036196 88.579327) - (xy 110.138921 88.500503) - (xy 110.138924 88.5005) - (xy 110.145474 88.495474) - (xy 110.157623 88.479642) - (xy 110.227046 88.389167) - (xy 110.234355 88.379642) - (xy 110.237521 88.372) - (xy 110.271649 88.289607) - (xy 110.290228 88.244754) - (xy 110.309285 88.1) - (xy 110.303393 88.055246) - (xy 110.291306 87.963432) - (xy 110.291305 87.96343) - (xy 110.290228 87.955246) - (xy 110.248824 87.855288) - (xy 110.237515 87.827986) - (xy 110.237514 87.827984) - (xy 110.234355 87.820358) - (xy 110.154006 87.715645) - (xy 110.150501 87.711077) - (xy 110.1505 87.711076) - (xy 110.145474 87.704526) - (xy 110.138924 87.6995) - (xy 110.138921 87.699497) - (xy 110.036196 87.620673) - (xy 110.036194 87.620672) - (xy 110.029643 87.615645) - (xy 109.894754 87.559772) - (xy 109.861712 87.555422) - (xy 109.796785 87.5267) - (xy 109.757693 87.467435) - (xy 109.756848 87.396443) - (xy 109.794518 87.336264) - (xy 109.858743 87.306005) - (xy 109.878158 87.3045) - (xy 112.891781 87.3045) - (xy 112.959902 87.324502) - (xy 113.006395 87.378158) - (xy 113.016499 87.448432) - (xy 112.987005 87.513012) - (xy 112.967347 87.531325) - (xy 112.889596 87.589596) - (xy 112.877282 87.606026) - (xy 112.808311 87.698054) - (xy 112.808309 87.698057) - (xy 112.802929 87.705236) - (xy 112.797142 87.720673) - (xy 112.763252 87.811077) - (xy 112.752202 87.840552) - (xy 112.7455 87.902244) - (xy 112.7455 89.097756) - (xy 112.752202 89.159448) - (xy 112.802929 89.294764) - (xy 112.808309 89.301943) - (xy 112.808311 89.301946) - (xy 112.872107 89.387068) - (xy 112.889596 89.410404) - (xy 112.896776 89.415785) - (xy 112.998054 89.491689) - (xy 112.998057 89.491691) - (xy 113.005236 89.497071) - (xy 113.090963 89.529208) - (xy 113.133157 89.545026) - (xy 113.133159 89.545026) - (xy 113.140552 89.547798) - (xy 113.148402 89.548651) - (xy 113.148403 89.548651) - (xy 113.198847 89.554131) - (xy 113.202244 89.5545) - (xy 113.20566 89.5545) - (xy 113.209058 89.554684) - (xy 113.208965 89.556396) - (xy 113.270629 89.574502) - (xy 113.317122 89.628158) - (xy 113.327226 89.698432) - (xy 113.302471 89.757204) - (xy 113.292378 89.770358) - (xy 113.215645 89.870358) - (xy 113.212486 89.877984) - (xy 113.212485 89.877986) - (xy 113.189309 89.933937) - (xy 113.159772 90.005246) - (xy 113.158695 90.01343) - (xy 113.158694 90.013432) - (xy 113.15388 90.05) - (xy 113.140715 90.15) - (xy 113.141793 90.158188) - (xy 113.155583 90.262932) - (xy 113.159772 90.294754) - (xy 113.169488 90.31821) - (xy 113.205845 90.405982) - (xy 113.215645 90.429642) - (xy 113.257628 90.484355) - (xy 113.292378 90.529642) - (xy 113.304526 90.545474) - (xy 113.311076 90.5505) - (xy 113.311079 90.550503) - (xy 113.407751 90.624682) - (xy 113.420357 90.634355) - (xy 113.555246 90.690228) - (xy 113.7 90.709285) - (xy 113.708188 90.708207) - (xy 113.836566 90.691306) - (xy 113.844754 90.690228) - (xy 113.884632 90.67371) - (xy 113.972015 90.637515) - (xy 113.972017 90.637514) - (xy 113.979643 90.634355) - (xy 113.986168 90.629348) - (xy 114.055148 90.612614) - (xy 114.12224 90.635834) - (xy 114.149115 90.661767) - (xy 114.199483 90.727408) - (xy 114.199487 90.727412) - (xy 114.204514 90.733963) - (xy 114.211064 90.738989) - (xy 114.211067 90.738992) - (xy 114.313792 90.817816) - (xy 114.320345 90.822844) - (xy 114.455234 90.878717) - (xy 114.599988 90.897774) - (xy 114.608176 90.896696) - (xy 114.736554 90.879795) - (xy 114.744742 90.878717) - (xy 114.879631 90.822844) - (xy 114.886184 90.817816) - (xy 114.988909 90.738992) - (xy 114.988912 90.738989) - (xy 114.995462 90.733963) - (xy 115.006483 90.719601) - (xy 115.061715 90.64762) - (xy 115.084343 90.618131) - (xy 115.088008 90.609285) - (xy 115.137057 90.490869) - (xy 115.140216 90.483243) - (xy 115.144593 90.45) - (xy 115.158195 90.346677) - (xy 115.159273 90.338489) - (xy 115.151342 90.278248) - (xy 115.141294 90.201921) - (xy 115.141293 90.201919) - (xy 115.140216 90.193735) - (xy 115.103562 90.105246) - (xy 115.087503 90.066475) - (xy 115.087502 90.066473) - (xy 115.084343 90.058847) - (xy 114.995462 89.943015) - (xy 114.988912 89.937989) - (xy 114.988909 89.937986) - (xy 114.886184 89.859162) - (xy 114.886182 89.859161) - (xy 114.879631 89.854134) - (xy 114.744742 89.798261) - (xy 114.599988 89.779204) - (xy 114.5918 89.780282) - (xy 114.46342 89.797183) - (xy 114.463418 89.797184) - (xy 114.455234 89.798261) - (xy 114.320346 89.854134) - (xy 114.313822 89.85914) - (xy 114.24484 89.875875) - (xy 114.177748 89.852655) - (xy 114.150873 89.826722) - (xy 114.100505 89.761081) - (xy 114.1005 89.761076) - (xy 114.095474 89.754526) - (xy 114.088924 89.7495) - (xy 114.088921 89.749497) - (xy 114.00779 89.687243) - (xy 113.965923 89.629905) - (xy 113.961701 89.559034) - (xy 113.996466 89.497131) - (xy 114.008929 89.486455) - (xy 114.066978 89.44295) - (xy 114.110404 89.410404) - (xy 114.127893 89.387068) - (xy 114.191689 89.301946) - (xy 114.191691 89.301943) - (xy 114.197071 89.294764) - (xy 114.247798 89.159448) - (xy 114.2545 89.097756) - (xy 114.2545 88.503781) - (xy 114.274502 88.43566) - (xy 114.328158 88.389167) - (xy 114.398432 88.379063) - (xy 114.428717 88.387372) - (xy 114.454255 88.39795) - (xy 114.45426 88.397951) - (xy 114.461888 88.401111) - (xy 114.606642 88.420168) - (xy 114.61483 88.41909) - (xy 114.6195 88.41909) - (xy 114.687621 88.439092) - (xy 114.734114 88.492748) - (xy 114.7455 88.54509) - (xy 114.7455 89.097756) - (xy 114.752202 89.159448) - (xy 114.802929 89.294764) - (xy 114.808309 89.301943) - (xy 114.808311 89.301946) - (xy 114.872107 89.387068) - (xy 114.889596 89.410404) - (xy 114.896776 89.415785) - (xy 114.998054 89.491689) - (xy 114.998057 89.491691) - (xy 115.005236 89.497071) - (xy 115.090963 89.529208) - (xy 115.133157 89.545026) - (xy 115.133159 89.545026) - (xy 115.140552 89.547798) - (xy 115.148402 89.548651) - (xy 115.148403 89.548651) - (xy 115.198847 89.554131) - (xy 115.202244 89.5545) - (xy 115.633095 89.5545) - (xy 115.696096 89.571381) - (xy 115.700043 89.57366) - (xy 115.706597 89.578689) - (xy 115.841486 89.634562) - (xy 115.849674 89.63564) - (xy 115.927963 89.645947) - (xy 115.99289 89.67467) - (xy 116.031981 89.733935) - (xy 116.032826 89.804926) - (xy 116.004021 89.854138) - (xy 116.004526 89.854526) - (xy 115.999503 89.861072) - (xy 115.980168 89.88627) - (xy 115.915645 89.970358) - (xy 115.912486 89.977984) - (xy 115.912485 89.977986) - (xy 115.903367 90) - (xy 115.859772 90.105246) - (xy 115.858695 90.11343) - (xy 115.858694 90.113432) - (xy 115.8512 90.170358) - (xy 115.840715 90.25) - (xy 115.842417 90.262931) - (xy 115.858475 90.384899) - (xy 115.859772 90.394754) - (xy 115.862931 90.40238) - (xy 115.899585 90.490869) - (xy 115.915645 90.529642) - (xy 116.004526 90.645474) - (xy 116.011076 90.6505) - (xy 116.011079 90.650503) - (xy 116.113804 90.729327) - (xy 116.120357 90.734355) - (xy 116.255246 90.790228) - (xy 116.4 90.809285) - (xy 116.408188 90.808207) - (xy 116.408333 90.808188) - (xy 116.544754 90.790228) - (xy 116.679643 90.734355) - (xy 116.686196 90.729327) - (xy 116.788921 90.650503) - (xy 116.788924 90.6505) - (xy 116.795474 90.645474) - (xy 116.884355 90.529642) - (xy 116.900416 90.490869) - (xy 116.922401 90.437792) - (xy 116.966949 90.382511) - (xy 117.034313 90.36009) - (xy 117.103104 90.377648) - (xy 117.138772 90.409306) - (xy 117.160631 90.437792) - (xy 117.166526 90.445474) - (xy 117.173076 90.4505) - (xy 117.173079 90.450503) - (xy 117.275804 90.529327) - (xy 117.282357 90.534355) - (xy 117.417246 90.590228) - (xy 117.562 90.609285) - (xy 117.570188 90.608207) - (xy 117.698566 90.591306) - (xy 117.706754 90.590228) - (xy 117.841643 90.534355) - (xy 117.848196 90.529327) - (xy 117.950921 90.450503) - (xy 117.950924 90.4505) - (xy 117.957474 90.445474) - (xy 117.973488 90.424604) - (xy 118.030826 90.382737) - (xy 118.101697 90.378515) - (xy 118.121669 90.384899) - (xy 118.247616 90.437068) - (xy 118.247619 90.437069) - (xy 118.255246 90.440228) - (xy 118.4 90.459285) - (xy 118.408188 90.458207) - (xy 118.408333 90.458188) - (xy 118.544754 90.440228) - (xy 118.679643 90.384355) - (xy 118.690136 90.376304) - (xy 118.773296 90.312492) - (xy 118.839516 90.286891) - (xy 118.909065 90.301156) - (xy 118.926704 90.312492) - (xy 119.009865 90.376304) - (xy 119.020357 90.384355) - (xy 119.155246 90.440228) - (xy 119.3 90.459285) - (xy 119.308188 90.458207) - (xy 119.308333 90.458188) - (xy 119.444754 90.440228) - (xy 119.551655 90.395948) - (xy 119.622245 90.388359) - (xy 119.676577 90.412395) - (xy 119.708732 90.437068) - (xy 119.770357 90.484355) - (xy 119.905246 90.540228) - (xy 120.05 90.559285) - (xy 120.058188 90.558207) - (xy 120.186561 90.541307) - (xy 120.186564 90.541306) - (xy 120.194754 90.540228) - (xy 120.195402 90.539959) - (xy 120.262877 90.541566) - (xy 120.321673 90.58136) - (xy 120.349621 90.646624) - (xy 120.349434 90.678029) - (xy 120.348244 90.687068) - (xy 120.337092 90.771778) - (xy 120.33998 90.793717) - (xy 120.353538 90.896696) - (xy 120.356149 90.916532) - (xy 120.359308 90.924158) - (xy 120.403562 91.030995) - (xy 120.412022 91.05142) - (xy 120.500903 91.167252) - (xy 120.507453 91.172278) - (xy 120.507456 91.172281) - (xy 120.606681 91.248419) - (xy 120.616734 91.256133) - (xy 120.751623 91.312006) - (xy 120.896377 91.331063) - (xy 120.904565 91.329985) - (xy 121.032943 91.313084) - (xy 121.041131 91.312006) - (xy 121.17602 91.256133) - (xy 121.186073 91.248419) - (xy 121.285298 91.172281) - (xy 121.285301 91.172278) - (xy 121.291851 91.167252) - (xy 121.380732 91.05142) - (xy 121.389193 91.030995) - (xy 121.433446 90.924158) - (xy 121.436605 90.916532) - (xy 121.439217 90.896696) - (xy 121.452774 90.793717) - (xy 121.455662 90.771778) - (xy 121.450861 90.735314) - (xy 121.4618 90.665167) - (xy 121.486688 90.629773) - (xy 121.710479 90.405982) - (xy 121.71857 90.398567) - (xy 121.746582 90.375062) - (xy 121.764859 90.343406) - (xy 121.770759 90.334145) - (xy 121.78541 90.313222) - (xy 121.785412 90.313218) - (xy 121.791732 90.304192) - (xy 121.794584 90.293548) - (xy 121.7959 90.290727) - (xy 121.796968 90.287792) - (xy 121.802478 90.278248) - (xy 121.806157 90.257386) - (xy 121.808826 90.242246) - (xy 121.811205 90.231516) - (xy 121.817813 90.206853) - (xy 121.820665 90.196209) - (xy 121.817479 90.159792) - (xy 121.817 90.148811) - (xy 121.817 89.651039) - (xy 121.837002 89.582918) - (xy 121.890658 89.536425) - (xy 121.898771 89.533057) - (xy 121.945217 89.515645) - (xy 121.994764 89.497071) - (xy 122.001943 89.491691) - (xy 122.001946 89.491689) - (xy 122.103224 89.415785) - (xy 122.110404 89.410404) - (xy 122.127893 89.387068) - (xy 122.191689 89.301946) - (xy 122.191691 89.301943) - (xy 122.197071 89.294764) - (xy 122.247798 89.159448) - (xy 122.2545 89.097756) - (xy 122.2545 87.902244) - (xy 122.247798 87.840552) - (xy 122.236749 87.811077) - (xy 122.202858 87.720673) - (xy 122.197071 87.705236) - (xy 122.191691 87.698057) - (xy 122.191689 87.698054) - (xy 122.122718 87.606026) - (xy 122.110404 87.589596) - (xy 122.032654 87.531326) - (xy 121.990139 87.474467) - (xy 121.985113 87.403649) - (xy 122.019173 87.341355) - (xy 122.081504 87.307365) - (xy 122.108219 87.3045) - (xy 122.891781 87.3045) - (xy 122.959902 87.324502) - (xy 123.006395 87.378158) - (xy 123.016499 87.448432) - (xy 122.987005 87.513012) - (xy 122.967347 87.531325) - (xy 122.889596 87.589596) - (xy 122.877282 87.606026) - (xy 122.808311 87.698054) - (xy 122.808309 87.698057) - (xy 122.802929 87.705236) - (xy 122.797142 87.720673) - (xy 122.763252 87.811077) - (xy 122.752202 87.840552) - (xy 122.7455 87.902244) - (xy 122.7455 89.097756) - (xy 122.752202 89.159448) - (xy 122.802929 89.294764) - (xy 122.808309 89.301943) - (xy 122.808311 89.301946) - (xy 122.872107 89.387068) - (xy 122.889596 89.410404) - (xy 122.896776 89.415785) - (xy 122.996063 89.490196) - (xy 123.005236 89.497071) - (xy 123.013643 89.500223) - (xy 123.019865 89.503629) - (xy 123.07001 89.553888) - (xy 123.085024 89.623279) - (xy 123.060138 89.689771) - (xy 123.04845 89.703244) - (xy 122.892005 89.859689) - (xy 122.829693 89.893715) - (xy 122.786466 89.895516) - (xy 122.75 89.890715) - (xy 122.741812 89.891793) - (xy 122.613432 89.908694) - (xy 122.61343 89.908695) - (xy 122.605246 89.909772) - (xy 122.55743 89.929578) - (xy 122.477986 89.962485) - (xy 122.477984 89.962486) - (xy 122.470358 89.965645) - (xy 122.354526 90.054526) - (xy 122.265645 90.170358) - (xy 122.262486 90.177984) - (xy 122.262485 90.177986) - (xy 122.254937 90.196209) - (xy 122.209772 90.305246) - (xy 122.208695 90.31343) - (xy 122.208694 90.313432) - (xy 122.19883 90.388359) - (xy 122.190715 90.45) - (xy 122.191937 90.459285) - (xy 122.208592 90.585788) - (xy 122.209772 90.594754) - (xy 122.226175 90.634355) - (xy 122.257213 90.709285) - (xy 122.265645 90.729642) - (xy 122.354526 90.845474) - (xy 122.361076 90.8505) - (xy 122.361079 90.850503) - (xy 122.457068 90.924158) - (xy 122.470357 90.934355) - (xy 122.605246 90.990228) - (xy 122.75 91.009285) - (xy 122.749914 91.009935) - (xy 122.812151 91.028209) - (xy 122.860439 91.085989) - (xy 122.884465 91.143992) - (xy 122.887626 91.151623) - (xy 122.916247 91.188923) - (xy 122.953015 91.236839) - (xy 122.976507 91.267455) - (xy 122.983057 91.272481) - (xy 122.98306 91.272484) - (xy 123.085578 91.351149) - (xy 123.092338 91.356336) - (xy 123.227227 91.412209) - (xy 123.371981 91.431266) - (xy 123.380169 91.430188) - (xy 123.385988 91.429422) - (xy 123.516735 91.412209) - (xy 123.651624 91.356336) - (xy 123.658384 91.351149) - (xy 123.760902 91.272484) - (xy 123.760905 91.272481) - (xy 123.767455 91.267455) - (xy 123.790948 91.236839) - (xy 123.827715 91.188923) - (xy 123.856336 91.151623) - (xy 123.86385 91.133484) - (xy 123.89872 91.049301) - (xy 123.912209 91.016735) - (xy 123.917311 90.977986) - (xy 123.930188 90.880169) - (xy 123.931266 90.871981) - (xy 123.92179 90.8) - (xy 123.913287 90.735413) - (xy 123.913286 90.735411) - (xy 123.912209 90.727227) - (xy 123.880429 90.650503) - (xy 123.859496 90.599967) - (xy 123.859495 90.599965) - (xy 123.856336 90.592339) - (xy 123.779448 90.492136) - (xy 123.772482 90.483058) - (xy 123.772481 90.483057) - (xy 123.767455 90.476507) - (xy 123.760905 90.471481) - (xy 123.760902 90.471478) - (xy 123.658177 90.392654) - (xy 123.658175 90.392653) - (xy 123.651624 90.387626) - (xy 123.549402 90.345284) - (xy 123.494122 90.300735) - (xy 123.471701 90.233372) - (xy 123.48926 90.16458) - (xy 123.508526 90.13978) - (xy 123.710479 89.937827) - (xy 123.71857 89.930412) - (xy 123.746582 89.906907) - (xy 123.764859 89.875251) - (xy 123.770759 89.86599) - (xy 123.78541 89.845067) - (xy 123.785412 89.845063) - (xy 123.791732 89.836037) - (xy 123.794584 89.825393) - (xy 123.795898 89.822576) - (xy 123.796967 89.81964) - (xy 123.802478 89.810093) - (xy 123.804755 89.797183) - (xy 123.808827 89.774087) - (xy 123.811206 89.763359) - (xy 123.817813 89.7387) - (xy 123.817813 89.738699) - (xy 123.820665 89.728055) - (xy 123.819451 89.714171) - (xy 123.817479 89.691638) - (xy 123.817 89.680657) - (xy 123.817 89.651039) - (xy 123.837002 89.582918) - (xy 123.890658 89.536425) - (xy 123.898771 89.533057) - (xy 123.945217 89.515645) - (xy 123.994764 89.497071) - (xy 124.001943 89.491691) - (xy 124.001946 89.491689) - (xy 124.103224 89.415785) - (xy 124.110404 89.410404) - (xy 124.127893 89.387068) - (xy 124.191689 89.301946) - (xy 124.191691 89.301943) - (xy 124.197071 89.294764) - (xy 124.247798 89.159448) - (xy 124.248652 89.151588) - (xy 124.250343 89.144476) - (xy 124.285561 89.08283) - (xy 124.348516 89.05001) - (xy 124.419221 89.056436) - (xy 124.475228 89.100068) - (xy 124.498253 89.16062) - (xy 124.502257 89.199206) - (xy 124.505149 89.2126) - (xy 124.556588 89.366784) - (xy 124.562761 89.379962) - (xy 124.648063 89.517807) - (xy 124.657099 89.529208) - (xy 124.771831 89.643741) - (xy 124.783236 89.652748) - (xy 124.840116 89.687809) - (xy 124.887609 89.740581) - (xy 124.9 89.795069) - (xy 124.9 97.71343) - (xy 124.879998 97.781551) - (xy 124.826342 97.828044) - (xy 124.790449 97.838351) - (xy 124.720044 97.84762) - (xy 124.660232 97.855494) - (xy 124.66023 97.855495) - (xy 124.652046 97.856572) - (xy 124.635487 97.863431) - (xy 124.524786 97.909285) - (xy 124.524784 97.909286) - (xy 124.517158 97.912445) - (xy 124.503795 97.922699) - (xy 124.472693 97.946564) - (xy 124.406472 97.972164) - (xy 124.336924 97.957899) - (xy 124.319286 97.946564) - (xy 124.286196 97.921173) - (xy 124.286194 97.921172) - (xy 124.279643 97.916145) - (xy 124.144754 97.860272) - (xy 124.11665 97.856572) - (xy 124.008188 97.842293) - (xy 124 97.841215) - (xy 123.991812 97.842293) - (xy 123.863432 97.859194) - (xy 123.86343 97.859195) - (xy 123.855246 97.860272) - (xy 123.837053 97.867808) - (xy 123.727986 97.912985) - (xy 123.727984 97.912986) - (xy 123.720358 97.916145) - (xy 123.604526 98.005026) - (xy 123.515645 98.120858) - (xy 123.512486 98.128484) - (xy 123.512485 98.128486) - (xy 123.502588 98.15238) - (xy 123.459772 98.255746) - (xy 123.458694 98.263932) - (xy 123.458694 98.263933) - (xy 123.449214 98.335945) - (xy 123.420492 98.400873) - (xy 123.361227 98.439965) - (xy 123.324292 98.4455) - (xy 123.202244 98.4455) - (xy 123.198848 98.445869) - (xy 123.198847 98.445869) - (xy 123.148403 98.451349) - (xy 123.148402 98.451349) - (xy 123.140552 98.452202) - (xy 123.133159 98.454974) - (xy 123.133157 98.454974) - (xy 123.094954 98.469296) - (xy 123.005236 98.502929) - (xy 122.998057 98.508309) - (xy 122.998054 98.508311) - (xy 122.937564 98.553646) - (xy 122.889596 98.589596) - (xy 122.884215 98.596776) - (xy 122.808311 98.698054) - (xy 122.808309 98.698057) - (xy 122.802929 98.705236) - (xy 122.752202 98.840552) - (xy 122.7455 98.902244) - (xy 122.7455 100.097756) - (xy 122.752202 100.159448) - (xy 122.754974 100.166841) - (xy 122.754974 100.166843) - (xy 122.760889 100.18262) - (xy 122.802929 100.294764) - (xy 122.808309 100.301943) - (xy 122.808311 100.301946) - (xy 122.826019 100.325573) - (xy 122.889596 100.410404) - (xy 122.914089 100.42876) - (xy 122.967346 100.468674) - (xy 123.009861 100.525533) - (xy 123.014887 100.596351) - (xy 122.980827 100.658645) - (xy 122.918496 100.692635) - (xy 122.891781 100.6955) - (xy 122.108219 100.6955) - (xy 122.040098 100.675498) - (xy 121.993605 100.621842) - (xy 121.983501 100.551568) - (xy 122.012995 100.486988) - (xy 122.032654 100.468674) - (xy 122.085912 100.42876) - (xy 122.110404 100.410404) - (xy 122.173981 100.325573) - (xy 122.191689 100.301946) - (xy 122.191691 100.301943) - (xy 122.197071 100.294764) - (xy 122.239111 100.18262) - (xy 122.245026 100.166843) - (xy 122.245026 100.166841) - (xy 122.247798 100.159448) - (xy 122.2545 100.097756) - (xy 122.2545 98.902244) - (xy 122.247798 98.840552) - (xy 122.197071 98.705236) - (xy 122.191691 98.698057) - (xy 122.191689 98.698054) - (xy 122.115785 98.596776) - (xy 122.110404 98.589596) - (xy 122.062436 98.553646) - (xy 122.001946 98.508311) - (xy 122.001943 98.508309) - (xy 121.994764 98.502929) - (xy 121.905046 98.469296) - (xy 121.866843 98.454974) - (xy 121.866841 98.454974) - (xy 121.859448 98.452202) - (xy 121.851598 98.451349) - (xy 121.851597 98.451349) - (xy 121.801153 98.445869) - (xy 121.801152 98.445869) - (xy 121.797756 98.4455) - (xy 121.202244 98.4455) - (xy 121.198848 98.445869) - (xy 121.198847 98.445869) - (xy 121.148403 98.451349) - (xy 121.148402 98.451349) - (xy 121.140552 98.452202) - (xy 121.133159 98.454974) - (xy 121.133157 98.454974) - (xy 121.094954 98.469296) - (xy 121.005236 98.502929) - (xy 120.998057 98.508309) - (xy 120.998054 98.508311) - (xy 120.937564 98.553646) - (xy 120.889596 98.589596) - (xy 120.884215 98.596776) - (xy 120.808311 98.698054) - (xy 120.808309 98.698057) - (xy 120.802929 98.705236) - (xy 120.752202 98.840552) - (xy 120.7455 98.902244) - (xy 120.7455 99.37936) - (xy 120.725498 99.447481) - (xy 120.671842 99.493974) - (xy 120.603054 99.504282) - (xy 120.508188 99.491793) - (xy 120.5 99.490715) - (xy 120.491812 99.491793) - (xy 120.396946 99.504282) - (xy 120.326797 99.493342) - (xy 120.273699 99.446214) - (xy 120.2545 99.37936) - (xy 120.2545 98.902244) - (xy 120.247798 98.840552) - (xy 120.197071 98.705236) - (xy 120.191691 98.698057) - (xy 120.191689 98.698054) - (xy 120.115785 98.596776) - (xy 120.110404 98.589596) - (xy 120.062436 98.553646) - (xy 120.001946 98.508311) - (xy 120.001943 98.508309) - (xy 119.994764 98.502929) - (xy 119.905046 98.469296) - (xy 119.866843 98.454974) - (xy 119.866841 98.454974) - (xy 119.859448 98.452202) - (xy 119.851598 98.451349) - (xy 119.851597 98.451349) - (xy 119.801153 98.445869) - (xy 119.801152 98.445869) - (xy 119.797756 98.4455) - (xy 119.202244 98.4455) - (xy 119.198848 98.445869) - (xy 119.198847 98.445869) - (xy 119.148403 98.451349) - (xy 119.148402 98.451349) - (xy 119.140552 98.452202) - (xy 119.133159 98.454974) - (xy 119.133157 98.454974) - (xy 119.094954 98.469296) - (xy 119.005236 98.502929) - (xy 118.998057 98.508309) - (xy 118.998054 98.508311) - (xy 118.937564 98.553646) - (xy 118.889596 98.589596) - (xy 118.884215 98.596776) - (xy 118.808311 98.698054) - (xy 118.808309 98.698057) - (xy 118.802929 98.705236) - (xy 118.752202 98.840552) - (xy 118.7455 98.902244) - (xy 118.7455 99.374226) - (xy 118.725498 99.442347) - (xy 118.671842 99.48884) - (xy 118.635947 99.499148) - (xy 118.604737 99.503256) - (xy 118.563432 99.508694) - (xy 118.56343 99.508695) - (xy 118.555246 99.509772) - (xy 118.428718 99.562182) - (xy 118.358129 99.569771) - (xy 118.294642 99.537992) - (xy 118.258414 99.476934) - (xy 118.2545 99.445773) - (xy 118.2545 98.902244) - (xy 118.247798 98.840552) - (xy 118.197071 98.705236) - (xy 118.191691 98.698057) - (xy 118.191689 98.698054) - (xy 118.115785 98.596776) - (xy 118.110404 98.589596) - (xy 118.062436 98.553646) - (xy 118.001946 98.508311) - (xy 118.001943 98.508309) - (xy 117.994764 98.502929) - (xy 117.905046 98.469296) - (xy 117.866843 98.454974) - (xy 117.866841 98.454974) - (xy 117.859448 98.452202) - (xy 117.851598 98.451349) - (xy 117.851597 98.451349) - (xy 117.801153 98.445869) - (xy 117.801152 98.445869) - (xy 117.797756 98.4455) - (xy 117.202244 98.4455) - (xy 117.198848 98.445869) - (xy 117.198847 98.445869) - (xy 117.148403 98.451349) - (xy 117.148402 98.451349) - (xy 117.140552 98.452202) - (xy 117.133159 98.454974) - (xy 117.133157 98.454974) - (xy 117.094954 98.469296) - (xy 117.005236 98.502929) - (xy 116.998057 98.508309) - (xy 116.998054 98.508311) - (xy 116.937564 98.553646) - (xy 116.889596 98.589596) - (xy 116.884215 98.596776) - (xy 116.808311 98.698054) - (xy 116.808309 98.698057) - (xy 116.802929 98.705236) - (xy 116.752202 98.840552) - (xy 116.7455 98.902244) - (xy 116.7455 99.054925) - (xy 116.725498 99.123046) - (xy 116.719463 99.131628) - (xy 116.709227 99.144968) - (xy 116.709225 99.144972) - (xy 116.704198 99.151523) - (xy 116.701039 99.159149) - (xy 116.701038 99.159151) - (xy 116.684776 99.198411) - (xy 116.648325 99.286411) - (xy 116.629268 99.431165) - (xy 116.630346 99.439353) - (xy 116.630346 99.439355) - (xy 116.634813 99.473287) - (xy 116.623873 99.543435) - (xy 116.576745 99.596534) - (xy 116.526338 99.614654) - (xy 116.501927 99.617867) - (xy 116.473815 99.621568) - (xy 116.473813 99.621569) - (xy 116.465629 99.622646) - (xy 116.458001 99.625806) - (xy 116.457996 99.625807) - (xy 116.428719 99.637934) - (xy 116.358129 99.645524) - (xy 116.294642 99.613745) - (xy 116.258414 99.552688) - (xy 116.2545 99.521526) - (xy 116.2545 98.902244) - (xy 116.247798 98.840552) - (xy 116.197071 98.705236) - (xy 116.191691 98.698057) - (xy 116.191689 98.698054) - (xy 116.157844 98.652895) - (xy 116.13144 98.617664) - (xy 116.106592 98.551159) - (xy 116.121645 98.481776) - (xy 116.155562 98.442138) - (xy 116.264604 98.358467) - (xy 116.264607 98.358464) - (xy 116.271157 98.353438) - (xy 116.277089 98.345708) - (xy 116.333636 98.272014) - (xy 116.360038 98.237606) - (xy 116.373483 98.205149) - (xy 116.410556 98.115645) - (xy 116.415911 98.102718) - (xy 116.427911 98.011572) - (xy 116.43389 97.966152) - (xy 116.434968 97.957964) - (xy 116.429637 97.917472) - (xy 116.416989 97.821396) - (xy 116.416988 97.821394) - (xy 116.415911 97.81321) - (xy 116.374737 97.713807) - (xy 116.363198 97.68595) - (xy 116.363197 97.685948) - (xy 116.360038 97.678322) - (xy 116.299558 97.599503) - (xy 116.276184 97.569041) - (xy 116.276183 97.56904) - (xy 116.271157 97.56249) - (xy 116.264607 97.557464) - (xy 116.264604 97.557461) - (xy 116.161879 97.478637) - (xy 116.161877 97.478636) - (xy 116.155326 97.473609) - (xy 116.020437 97.417736) - (xy 115.875683 97.398679) - (xy 115.867495 97.399757) - (xy 115.739115 97.416658) - (xy 115.739113 97.416659) - (xy 115.730929 97.417736) - (xy 115.7233 97.420896) - (xy 115.715728 97.424032) - (xy 115.645138 97.431621) - (xy 115.581651 97.399841) - (xy 115.545424 97.338783) - (xy 115.542588 97.291177) - (xy 115.548559 97.245829) - (xy 115.560816 97.152723) - (xy 115.548679 97.060533) - (xy 115.542837 97.016155) - (xy 115.542836 97.016153) - (xy 115.541759 97.007969) - (xy 115.499834 96.906754) - (xy 115.489046 96.880709) - (xy 115.489045 96.880707) - (xy 115.485886 96.873081) - (xy 115.407064 96.770358) - (xy 115.402032 96.7638) - (xy 115.402031 96.763799) - (xy 115.397005 96.757249) - (xy 115.390455 96.752223) - (xy 115.390452 96.75222) - (xy 115.287727 96.673396) - (xy 115.287725 96.673395) - (xy 115.281174 96.668368) - (xy 115.146285 96.612495) - (xy 115.069013 96.602322) - (xy 115.001531 96.593438) - (xy 115.001896 96.590667) - (xy 114.946882 96.574514) - (xy 114.898594 96.516734) - (xy 114.887515 96.489988) - (xy 114.884355 96.482358) - (xy 114.795474 96.366526) - (xy 114.788924 96.3615) - (xy 114.788921 96.361497) - (xy 114.686196 96.282673) - (xy 114.686194 96.282672) - (xy 114.679643 96.277645) - (xy 114.544754 96.221772) - (xy 114.4 96.202715) - (xy 114.391812 96.203793) - (xy 114.263432 96.220694) - (xy 114.26343 96.220695) - (xy 114.255246 96.221772) - (xy 114.20743 96.241578) - (xy 114.127986 96.274485) - (xy 114.127984 96.274486) - (xy 114.120358 96.277645) - (xy 114.004526 96.366526) - (xy 113.915645 96.482358) - (xy 113.912486 96.489984) - (xy 113.912485 96.489986) - (xy 113.908738 96.499033) - (xy 113.859772 96.617246) - (xy 113.858695 96.62543) - (xy 113.858694 96.625432) - (xy 113.845979 96.722014) - (xy 113.840715 96.762) - (xy 113.841793 96.770188) - (xy 113.85857 96.89762) - (xy 113.859772 96.906754) - (xy 113.862931 96.91438) - (xy 113.9036 97.012562) - (xy 113.915645 97.041642) - (xy 113.928341 97.058188) - (xy 113.998255 97.149301) - (xy 114.004526 97.157474) - (xy 114.011076 97.1625) - (xy 114.011079 97.162503) - (xy 114.113804 97.241327) - (xy 114.120357 97.246355) - (xy 114.255246 97.302228) - (xy 114.263434 97.303306) - (xy 114.4 97.321285) - (xy 114.399635 97.324056) - (xy 114.454649 97.340209) - (xy 114.502937 97.397989) - (xy 114.517176 97.432365) - (xy 114.527235 97.445474) - (xy 114.595436 97.534355) - (xy 114.606057 97.548197) - (xy 114.612607 97.553223) - (xy 114.61261 97.553226) - (xy 114.688003 97.611077) - (xy 114.721888 97.637078) - (xy 114.856777 97.692951) - (xy 115.001531 97.712008) - (xy 115.009719 97.71093) - (xy 115.138097 97.694029) - (xy 115.146285 97.692951) - (xy 115.153913 97.689791) - (xy 115.153918 97.68979) - (xy 115.161489 97.686654) - (xy 115.232079 97.679067) - (xy 115.295565 97.710848) - (xy 115.331791 97.771907) - (xy 115.334626 97.819508) - (xy 115.316398 97.957964) - (xy 115.317476 97.966152) - (xy 115.323456 98.011572) - (xy 115.335455 98.102718) - (xy 115.34081 98.115645) - (xy 115.377884 98.205149) - (xy 115.391328 98.237606) - (xy 115.396354 98.244156) - (xy 115.400484 98.251309) - (xy 115.398945 98.252197) - (xy 115.420911 98.309023) - (xy 115.406642 98.378571) - (xy 115.357038 98.429364) - (xy 115.295347 98.4455) - (xy 115.202244 98.4455) - (xy 115.198848 98.445869) - (xy 115.198847 98.445869) - (xy 115.148403 98.451349) - (xy 115.148402 98.451349) - (xy 115.140552 98.452202) - (xy 115.133159 98.454974) - (xy 115.133157 98.454974) - (xy 115.094954 98.469296) - (xy 115.005236 98.502929) - (xy 114.998057 98.508309) - (xy 114.998054 98.508311) - (xy 114.937564 98.553646) - (xy 114.889596 98.589596) - (xy 114.884215 98.596776) - (xy 114.808311 98.698054) - (xy 114.808309 98.698057) - (xy 114.802929 98.705236) - (xy 114.752202 98.840552) - (xy 114.7455 98.902244) - (xy 114.7455 99.474214) - (xy 114.725498 99.542335) - (xy 114.719463 99.550918) - (xy 114.700279 99.575919) - (xy 114.658042 99.630964) - (xy 114.602169 99.765852) - (xy 114.601092 99.774036) - (xy 114.601091 99.774038) - (xy 114.58638 99.885782) - (xy 114.583112 99.910606) - (xy 114.58419 99.918794) - (xy 114.594833 99.999634) - (xy 114.602169 100.05536) - (xy 114.658042 100.190248) - (xy 114.746923 100.30608) - (xy 114.753473 100.311106) - (xy 114.753476 100.311109) - (xy 114.777629 100.329642) - (xy 114.862754 100.394961) - (xy 114.87038 100.398119) - (xy 114.876673 100.401753) - (xy 114.888994 100.411207) - (xy 114.889596 100.410404) - (xy 114.914089 100.42876) - (xy 114.967346 100.468674) - (xy 115.009861 100.525533) - (xy 115.014887 100.596351) - (xy 114.980827 100.658645) - (xy 114.918496 100.692635) - (xy 114.891781 100.6955) - (xy 114.108219 100.6955) - (xy 114.040098 100.675498) - (xy 113.993605 100.621842) - (xy 113.983501 100.551568) - (xy 114.012995 100.486988) - (xy 114.032654 100.468674) - (xy 114.085912 100.42876) - (xy 114.110404 100.410404) - (xy 114.173981 100.325573) - (xy 114.191689 100.301946) - (xy 114.191691 100.301943) - (xy 114.197071 100.294764) - (xy 114.239111 100.18262) - (xy 114.245026 100.166843) - (xy 114.245026 100.166841) - (xy 114.247798 100.159448) - (xy 114.2545 100.097756) - (xy 114.2545 98.902244) - (xy 114.247798 98.840552) - (xy 114.197071 98.705236) - (xy 114.191691 98.698057) - (xy 114.191689 98.698054) - (xy 114.115785 98.596776) - (xy 114.110404 98.589596) - (xy 114.062436 98.553646) - (xy 114.001946 98.508311) - (xy 114.001943 98.508309) - (xy 113.994764 98.502929) - (xy 113.905046 98.469296) - (xy 113.866843 98.454974) - (xy 113.866841 98.454974) - (xy 113.859448 98.452202) - (xy 113.851598 98.451349) - (xy 113.851597 98.451349) - (xy 113.801153 98.445869) - (xy 113.801152 98.445869) - (xy 113.797756 98.4455) - (xy 113.202244 98.4455) - (xy 113.198848 98.445869) - (xy 113.198847 98.445869) - (xy 113.148403 98.451349) - (xy 113.148402 98.451349) - (xy 113.140552 98.452202) - (xy 113.133159 98.454974) - (xy 113.133157 98.454974) - (xy 113.094954 98.469296) - (xy 113.005236 98.502929) - (xy 112.998057 98.508309) - (xy 112.998054 98.508311) - (xy 112.937564 98.553646) - (xy 112.889596 98.589596) - (xy 112.884215 98.596776) - (xy 112.808311 98.698054) - (xy 112.808309 98.698057) - (xy 112.802929 98.705236) - (xy 112.752202 98.840552) - (xy 112.7455 98.902244) - (xy 112.7455 99.454351) - (xy 112.725498 99.522472) - (xy 112.671842 99.568965) - (xy 112.601568 99.579069) - (xy 112.571283 99.57076) - (xy 112.552387 99.562933) - (xy 112.552382 99.562932) - (xy 112.544754 99.559772) - (xy 112.4 99.540715) - (xy 112.391812 99.541793) - (xy 112.383554 99.541793) - (xy 112.383554 99.539029) - (xy 112.326795 99.530177) - (xy 112.273698 99.483047) - (xy 112.2545 99.416195) - (xy 112.2545 98.902244) - (xy 112.247798 98.840552) - (xy 112.197071 98.705236) - (xy 112.191691 98.698057) - (xy 112.191689 98.698054) - (xy 112.115785 98.596776) - (xy 112.110404 98.589596) - (xy 112.062436 98.553646) - (xy 112.001946 98.508311) - (xy 112.001943 98.508309) - (xy 111.994764 98.502929) - (xy 111.905046 98.469296) - (xy 111.866843 98.454974) - (xy 111.866841 98.454974) - (xy 111.859448 98.452202) - (xy 111.851598 98.451349) - (xy 111.851597 98.451349) - (xy 111.801153 98.445869) - (xy 111.801152 98.445869) - (xy 111.797756 98.4455) - (xy 111.202244 98.4455) - (xy 111.198848 98.445869) - (xy 111.198847 98.445869) - (xy 111.148403 98.451349) - (xy 111.148402 98.451349) - (xy 111.140552 98.452202) - (xy 111.133157 98.454974) - (xy 111.12547 98.456802) - (xy 111.124696 98.453546) - (xy 111.069111 98.457589) - (xy 111.019043 98.434399) - (xy 110.993827 98.41505) - (xy 110.993825 98.415049) - (xy 110.987274 98.410022) - (xy 110.852385 98.354149) - (xy 110.788269 98.345708) - (xy 110.715819 98.33617) - (xy 110.707631 98.335092) - (xy 110.699443 98.33617) - (xy 110.571063 98.353071) - (xy 110.571061 98.353072) - (xy 110.562877 98.354149) - (xy 110.552453 98.358467) - (xy 110.435617 98.406862) - (xy 110.435615 98.406863) - (xy 110.427989 98.410022) - (xy 110.312157 98.498903) - (xy 110.307134 98.505449) - (xy 110.307131 98.505452) - (xy 110.270151 98.553646) - (xy 110.212813 98.595514) - (xy 110.141942 98.599736) - (xy 110.094623 98.577769) - (xy 110.093368 98.576828) - (xy 110.062436 98.553646) - (xy 110.001946 98.508311) - (xy 110.001943 98.508309) - (xy 109.994764 98.502929) - (xy 109.905046 98.469296) - (xy 109.866843 98.454974) - (xy 109.866841 98.454974) - (xy 109.859448 98.452202) - (xy 109.851598 98.451349) - (xy 109.851597 98.451349) - (xy 109.801153 98.445869) - (xy 109.801152 98.445869) - (xy 109.797756 98.4455) - (xy 109.202244 98.4455) - (xy 109.198848 98.445869) - (xy 109.198847 98.445869) - (xy 109.148403 98.451349) - (xy 109.148402 98.451349) - (xy 109.140552 98.452202) - (xy 109.133159 98.454974) - (xy 109.133157 98.454974) - (xy 109.094954 98.469296) - (xy 109.005236 98.502929) - (xy 108.998057 98.508309) - (xy 108.998054 98.508311) - (xy 108.937564 98.553646) - (xy 108.889596 98.589596) - (xy 108.884215 98.596776) - (xy 108.808311 98.698054) - (xy 108.808309 98.698057) - (xy 108.802929 98.705236) - (xy 108.752202 98.840552) - (xy 108.7455 98.902244) - (xy 108.7455 100.097756) - (xy 108.752202 100.159448) - (xy 108.754974 100.166841) - (xy 108.754974 100.166843) - (xy 108.760889 100.18262) - (xy 108.802929 100.294764) - (xy 108.808309 100.301943) - (xy 108.808311 100.301946) - (xy 108.826019 100.325573) - (xy 108.889596 100.410404) - (xy 108.914089 100.42876) - (xy 108.967346 100.468674) - (xy 109.009861 100.525533) - (xy 109.014887 100.596351) - (xy 108.980827 100.658645) - (xy 108.918496 100.692635) - (xy 108.891781 100.6955) - (xy 108.108219 100.6955) - (xy 108.040098 100.675498) - (xy 107.993605 100.621842) - (xy 107.983501 100.551568) - (xy 108.012995 100.486988) - (xy 108.032654 100.468674) - (xy 108.085912 100.42876) - (xy 108.110404 100.410404) - (xy 108.173981 100.325573) - (xy 108.191689 100.301946) - (xy 108.191691 100.301943) - (xy 108.197071 100.294764) - (xy 108.239111 100.18262) - (xy 108.245026 100.166843) - (xy 108.245026 100.166841) - (xy 108.247798 100.159448) - (xy 108.2545 100.097756) - (xy 108.2545 98.902244) - (xy 108.247798 98.840552) - (xy 108.197071 98.705236) - (xy 108.191691 98.698057) - (xy 108.191689 98.698054) - (xy 108.115785 98.596776) - (xy 108.110404 98.589596) - (xy 108.062436 98.553646) - (xy 108.001946 98.508311) - (xy 108.001943 98.508309) - (xy 107.994764 98.502929) - (xy 107.942243 98.48324) - (xy 107.933961 98.480135) - (xy 107.877196 98.437494) - (xy 107.852496 98.370932) - (xy 107.853268 98.345708) - (xy 107.858207 98.30819) - (xy 107.858207 98.308188) - (xy 107.859285 98.3) - (xy 107.854382 98.262761) - (xy 107.841306 98.163432) - (xy 107.841305 98.16343) - (xy 107.840228 98.155246) - (xy 107.800681 98.059772) - (xy 107.787515 98.027986) - (xy 107.787514 98.027984) - (xy 107.784355 98.020358) - (xy 107.779327 98.013805) - (xy 107.779325 98.013802) - (xy 107.763157 97.992731) - (xy 107.737556 97.926511) - (xy 107.746711 97.867808) - (xy 107.793759 97.754226) - (xy 107.79376 97.754221) - (xy 107.79692 97.746593) - (xy 107.801237 97.713807) - (xy 107.814899 97.610027) - (xy 107.815977 97.601839) - (xy 107.806431 97.529327) - (xy 107.797998 97.465271) - (xy 107.797997 97.465269) - (xy 107.79692 97.457085) - (xy 107.775397 97.405124) - (xy 107.744207 97.329825) - (xy 107.744206 97.329823) - (xy 107.741047 97.322197) - (xy 107.652166 97.206365) - (xy 107.645616 97.201339) - (xy 107.645613 97.201336) - (xy 107.542888 97.122512) - (xy 107.542886 97.122511) - (xy 107.536335 97.117484) - (xy 107.401446 97.061611) - (xy 107.375446 97.058188) - (xy 107.313251 97.05) - (xy 108.790715 97.05) - (xy 108.79266 97.064771) - (xy 108.805317 97.160911) - (xy 108.809772 97.194754) - (xy 108.817293 97.212911) - (xy 108.859848 97.315646) - (xy 108.865645 97.329642) - (xy 108.901217 97.376) - (xy 108.935667 97.420896) - (xy 108.954526 97.445474) - (xy 108.961076 97.4505) - (xy 108.961079 97.450503) - (xy 109.052525 97.520672) - (xy 109.070357 97.534355) - (xy 109.205246 97.590228) - (xy 109.213434 97.591306) - (xy 109.275652 97.599497) - (xy 109.35 97.609285) - (xy 109.358188 97.608207) - (xy 109.386149 97.604526) - (xy 109.424348 97.599497) - (xy 109.486566 97.591306) - (xy 109.494754 97.590228) - (xy 109.629643 97.534355) - (xy 109.647475 97.520672) - (xy 109.738921 97.450503) - (xy 109.738924 97.4505) - (xy 109.745474 97.445474) - (xy 109.764334 97.420896) - (xy 109.798783 97.376) - (xy 109.834355 97.329642) - (xy 109.840153 97.315646) - (xy 109.882707 97.212911) - (xy 109.890228 97.194754) - (xy 109.894684 97.160911) - (xy 109.90734 97.064771) - (xy 109.909285 97.05) - (xy 109.89406 96.934355) - (xy 109.891306 96.913432) - (xy 109.891305 96.91343) - (xy 109.890228 96.905246) - (xy 109.834355 96.770358) - (xy 109.83234 96.767732) - (xy 109.816143 96.700963) - (xy 109.839364 96.633871) - (xy 109.865296 96.606997) - (xy 109.938921 96.550503) - (xy 109.938924 96.5505) - (xy 109.945474 96.545474) - (xy 110.034355 96.429642) - (xy 110.090228 96.294754) - (xy 110.091819 96.282673) - (xy 110.108207 96.158188) - (xy 110.109285 96.15) - (xy 110.099411 96.075) - (xy 110.091306 96.013432) - (xy 110.091305 96.01343) - (xy 110.090228 96.005246) - (xy 110.051437 95.911596) - (xy 110.037515 95.877986) - (xy 110.037514 95.877984) - (xy 110.034355 95.870358) - (xy 109.945474 95.754526) - (xy 109.938924 95.7495) - (xy 109.938921 95.749497) - (xy 109.936547 95.747676) - (xy 109.935053 95.74563) - (xy 109.933084 95.743661) - (xy 109.933391 95.743354) - (xy 109.89468 95.690338) - (xy 109.890458 95.619467) - (xy 109.896843 95.599495) - (xy 109.937067 95.502387) - (xy 109.937069 95.50238) - (xy 109.940228 95.494754) - (xy 109.959285 95.35) - (xy 109.940228 95.205246) - (xy 109.920422 95.15743) - (xy 109.887515 95.077986) - (xy 109.887514 95.077984) - (xy 109.884355 95.070358) - (xy 109.795474 94.954526) - (xy 109.788924 94.9495) - (xy 109.788921 94.949497) - (xy 109.686196 94.870673) - (xy 109.686194 94.870672) - (xy 109.679643 94.865645) - (xy 109.544754 94.809772) - (xy 109.4 94.790715) - (xy 109.391812 94.791793) - (xy 109.263432 94.808694) - (xy 109.26343 94.808695) - (xy 109.255246 94.809772) - (xy 109.20743 94.829578) - (xy 109.127986 94.862485) - (xy 109.127984 94.862486) - (xy 109.120358 94.865645) - (xy 109.004526 94.954526) - (xy 108.915645 95.070358) - (xy 108.912486 95.077984) - (xy 108.912485 95.077986) - (xy 108.879578 95.15743) - (xy 108.859772 95.205246) - (xy 108.840715 95.35) - (xy 108.859772 95.494754) - (xy 108.862931 95.50238) - (xy 108.903158 95.599495) - (xy 108.915645 95.629642) - (xy 109.004526 95.745474) - (xy 109.011076 95.7505) - (xy 109.011079 95.750503) - (xy 109.013453 95.752324) - (xy 109.014947 95.75437) - (xy 109.016916 95.756339) - (xy 109.016609 95.756646) - (xy 109.05532 95.809662) - (xy 109.059542 95.880533) - (xy 109.053157 95.900505) - (xy 109.012933 95.997613) - (xy 109.012932 95.997618) - (xy 109.009772 96.005246) - (xy 109.008695 96.01343) - (xy 109.008694 96.013432) - (xy 109.000589 96.075) - (xy 108.990715 96.15) - (xy 108.991793 96.158188) - (xy 109.008182 96.282673) - (xy 109.009772 96.294754) - (xy 109.065645 96.429642) - (xy 109.067659 96.432266) - (xy 109.083857 96.499033) - (xy 109.060638 96.566125) - (xy 109.034704 96.593002) - (xy 108.961076 96.649499) - (xy 108.961072 96.649503) - (xy 108.954526 96.654526) - (xy 108.865645 96.770358) - (xy 108.862486 96.777984) - (xy 108.862485 96.777986) - (xy 108.829578 96.85743) - (xy 108.809772 96.905246) - (xy 108.808695 96.91343) - (xy 108.808694 96.913432) - (xy 108.80594 96.934355) - (xy 108.790715 97.05) - (xy 107.313251 97.05) - (xy 107.26488 97.043632) - (xy 107.256692 97.042554) - (xy 107.248504 97.043632) - (xy 107.120124 97.060533) - (xy 107.120122 97.060534) - (xy 107.111938 97.061611) - (xy 107.064122 97.081417) - (xy 106.984678 97.114324) - (xy 106.984676 97.114325) - (xy 106.97705 97.117484) - (xy 106.861218 97.206365) - (xy 106.772337 97.322197) - (xy 106.769178 97.329823) - (xy 106.769177 97.329825) - (xy 106.737987 97.405124) - (xy 106.716464 97.457085) - (xy 106.715387 97.465269) - (xy 106.715386 97.465271) - (xy 106.706953 97.529327) - (xy 106.697407 97.601839) - (xy 106.698166 97.607603) - (xy 106.678483 97.674637) - (xy 106.661581 97.695611) - (xy 105.943216 98.413977) - (xy 105.880903 98.448002) - (xy 105.840513 98.450145) - (xy 105.797756 98.4455) - (xy 105.202244 98.4455) - (xy 105.198848 98.445869) - (xy 105.198847 98.445869) - (xy 105.148403 98.451349) - (xy 105.148402 98.451349) - (xy 105.140552 98.452202) - (xy 105.133159 98.454974) - (xy 105.133157 98.454974) - (xy 105.094954 98.469296) - (xy 105.005236 98.502929) - (xy 104.998057 98.508309) - (xy 104.998054 98.508311) - (xy 104.937564 98.553646) - (xy 104.889596 98.589596) - (xy 104.884215 98.596776) - (xy 104.808311 98.698054) - (xy 104.808309 98.698057) - (xy 104.802929 98.705236) - (xy 104.752202 98.840552) - (xy 104.7455 98.902244) - (xy 104.7455 100.097756) - (xy 104.752202 100.159448) - (xy 104.754974 100.166841) - (xy 104.754974 100.166843) - (xy 104.760889 100.18262) - (xy 104.802929 100.294764) - (xy 104.808309 100.301943) - (xy 104.808311 100.301946) - (xy 104.826019 100.325573) - (xy 104.889596 100.410404) - (xy 104.914089 100.42876) - (xy 104.967346 100.468674) - (xy 105.009861 100.525533) - (xy 105.014887 100.596351) - (xy 104.980827 100.658645) - (xy 104.918496 100.692635) - (xy 104.891781 100.6955) - (xy 104.108219 100.6955) - (xy 104.040098 100.675498) - (xy 103.993605 100.621842) - (xy 103.983501 100.551568) - (xy 104.012995 100.486988) - (xy 104.032654 100.468674) - (xy 104.085912 100.42876) - (xy 104.110404 100.410404) - (xy 104.173981 100.325573) - (xy 104.191689 100.301946) - (xy 104.191691 100.301943) - (xy 104.197071 100.294764) - (xy 104.239111 100.18262) - (xy 104.245026 100.166843) - (xy 104.245026 100.166841) - (xy 104.247798 100.159448) - (xy 104.2545 100.097756) - (xy 104.2545 98.902244) - (xy 104.247798 98.840552) - (xy 104.197071 98.705236) - (xy 104.191691 98.698057) - (xy 104.191689 98.698054) - (xy 104.115785 98.596776) - (xy 104.110404 98.589596) - (xy 104.062436 98.553646) - (xy 104.001951 98.508315) - (xy 104.001949 98.508314) - (xy 103.994764 98.502929) - (xy 103.992583 98.502111) - (xy 103.944801 98.454221) - (xy 103.929787 98.38483) - (xy 103.955493 98.317256) - (xy 103.968734 98.3) - (xy 103.984355 98.279642) - (xy 103.991348 98.262761) - (xy 104.037069 98.15238) - (xy 104.040228 98.144754) - (xy 104.044237 98.114307) - (xy 104.058207 98.008188) - (xy 104.059285 98) - (xy 104.049108 97.922699) - (xy 104.041306 97.863432) - (xy 104.041305 97.86343) - (xy 104.040228 97.855246) - (xy 103.995222 97.746593) - (xy 103.987515 97.727986) - (xy 103.987514 97.727984) - (xy 103.984355 97.720358) - (xy 103.895474 97.604526) - (xy 103.888924 97.5995) - (xy 103.888921 97.599497) - (xy 103.786196 97.520673) - (xy 103.786194 97.520672) - (xy 103.779643 97.515645) - (xy 103.644754 97.459772) - (xy 103.5 97.440715) - (xy 103.491812 97.441793) - (xy 103.363432 97.458694) - (xy 103.36343 97.458695) - (xy 103.355246 97.459772) - (xy 103.340503 97.465879) - (xy 103.227986 97.512485) - (xy 103.227984 97.512486) - (xy 103.220358 97.515645) - (xy 103.165862 97.557461) - (xy 103.12316 97.590228) - (xy 103.104526 97.604526) - (xy 103.015645 97.720358) - (xy 103.012486 97.727984) - (xy 103.012485 97.727986) - (xy 103.004778 97.746593) - (xy 102.959772 97.855246) - (xy 102.958695 97.86343) - (xy 102.958694 97.863432) - (xy 102.950892 97.922699) - (xy 102.940715 98) - (xy 102.941793 98.008188) - (xy 102.955764 98.114307) - (xy 102.959772 98.144754) - (xy 102.962931 98.15238) - (xy 103.008653 98.262761) - (xy 103.015645 98.279642) - (xy 103.031266 98.3) - (xy 103.044507 98.317256) - (xy 103.070107 98.383477) - (xy 103.055842 98.453026) - (xy 103.007377 98.502126) - (xy 103.005236 98.502929) - (xy 102.998051 98.508314) - (xy 102.998049 98.508315) - (xy 102.937564 98.553646) - (xy 102.889596 98.589596) - (xy 102.884215 98.596776) - (xy 102.808311 98.698054) - (xy 102.808309 98.698057) - (xy 102.802929 98.705236) - (xy 102.752202 98.840552) - (xy 102.751348 98.848412) - (xy 102.749657 98.855524) - (xy 102.714439 98.91717) - (xy 102.651484 98.94999) - (xy 102.580779 98.943564) - (xy 102.524772 98.899932) - (xy 102.501747 98.83938) - (xy 102.497743 98.800794) - (xy 102.494851 98.7874) - (xy 102.443412 98.633216) - (xy 102.437239 98.620038) - (xy 102.351937 98.482193) - (xy 102.342901 98.470792) - (xy 102.228171 98.356261) - (xy 102.21676 98.347249) - (xy 102.078757 98.262184) - (xy 102.065576 98.256037) - (xy 101.91129 98.204862) - (xy 101.897914 98.201995) - (xy 101.803562 98.192328) - (xy 101.797145 98.192) - (xy 101.772115 98.192) - (xy 101.756876 98.196475) - (xy 101.755671 98.197865) - (xy 101.754 98.205548) - (xy 101.754 99.628) - (xy 101.733998 99.696121) - (xy 101.680342 99.742614) - (xy 101.628 99.754) - (xy 101.372 99.754) - (xy 101.303879 99.733998) - (xy 101.257386 99.680342) - (xy 101.246 99.628) - (xy 101.246 98.210116) - (xy 101.241525 98.194877) - (xy 101.240135 98.193672) - (xy 101.232452 98.192001) - (xy 101.202905 98.192001) - (xy 101.196386 98.192338) - (xy 101.100794 98.202257) - (xy 101.0874 98.205149) - (xy 100.933216 98.256588) - (xy 100.920038 98.262761) - (xy 100.782193 98.348063) - (xy 100.770792 98.357099) - (xy 100.656261 98.471829) - (xy 100.647249 98.48324) - (xy 100.562184 98.621243) - (xy 100.556037 98.634424) - (xy 100.504862 98.78871) - (xy 100.503701 98.794127) - (xy 100.469864 98.856541) - (xy 100.407654 98.890754) - (xy 100.336824 98.885903) - (xy 100.27986 98.843528) - (xy 100.254849 98.777083) - (xy 100.2545 98.767715) - (xy 100.2545 97.534) - (xy 100.274502 97.465879) - (xy 100.328158 97.419386) - (xy 100.3805 97.408) - (xy 100.881885 97.408) - (xy 100.897124 97.403525) - (xy 100.898329 97.402135) - (xy 100.9 97.394452) - (xy 100.9 97.376) - (xy 100.920002 97.307879) - (xy 100.973658 97.261386) - (xy 101.026 97.25) - (xy 101.074 97.25) - (xy 101.142121 97.270002) - (xy 101.188614 97.323658) - (xy 101.2 97.376) - (xy 101.2 97.389885) - (xy 101.204475 97.405124) - (xy 101.205865 97.406329) - (xy 101.213548 97.408) - (xy 101.759092 97.408) - (xy 101.7673 97.407462) - (xy 101.869005 97.394072) - (xy 101.884825 97.389833) - (xy 102.011386 97.33741) - (xy 102.025569 97.329221) - (xy 102.134248 97.245829) - (xy 102.145828 97.23425) - (xy 102.229223 97.125566) - (xy 102.237409 97.111387) - (xy 102.24793 97.085988) - (xy 102.292479 97.030708) - (xy 102.350431 97.012562) - (xy 102.35 97.009285) - (xy 102.494754 96.990228) - (xy 102.629643 96.934355) - (xy 102.655675 96.91438) - (xy 102.738921 96.850503) - (xy 102.738924 96.8505) - (xy 102.745474 96.845474) - (xy 102.834355 96.729642) - (xy 102.846235 96.700963) - (xy 102.887069 96.60238) - (xy 102.890228 96.594754) - (xy 102.9005 96.516734) - (xy 102.908207 96.458188) - (xy 102.909285 96.45) - (xy 102.899158 96.373077) - (xy 102.891306 96.313432) - (xy 102.891305 96.31343) - (xy 102.890228 96.305246) - (xy 102.834355 96.170358) - (xy 102.82004 96.151702) - (xy 102.79444 96.085485) - (xy 102.808704 96.015936) - (xy 102.820036 95.998303) - (xy 102.834355 95.979642) - (xy 102.890228 95.844754) - (xy 102.89332 95.821273) - (xy 102.908207 95.708188) - (xy 102.909285 95.7) - (xy 102.898683 95.619467) - (xy 102.891306 95.563432) - (xy 102.891305 95.56343) - (xy 102.890228 95.555246) - (xy 102.834355 95.420358) - (xy 102.800486 95.376219) - (xy 102.774886 95.309999) - (xy 102.789151 95.24045) - (xy 102.800486 95.222812) - (xy 102.826126 95.189397) - (xy 102.826129 95.189393) - (xy 102.831155 95.182842) - (xy 102.850396 95.136392) - (xy 102.883869 95.05558) - (xy 102.887028 95.047954) - (xy 102.898466 94.961077) - (xy 102.905007 94.911388) - (xy 102.906085 94.9032) - (xy 102.887028 94.758446) - (xy 102.831155 94.623558) - (xy 102.742274 94.507726) - (xy 102.735724 94.5027) - (xy 102.735721 94.502697) - (xy 102.632996 94.423873) - (xy 102.632994 94.423872) - (xy 102.626443 94.418845) - (xy 102.491554 94.362972) - (xy 102.3468 94.343915) - (xy 102.202046 94.362972) - (xy 102.201767 94.360855) - (xy 102.142123 94.359429) - (xy 102.083331 94.319629) - (xy 102.05539 94.254362) - (xy 102.0545 94.239416) - (xy 102.0545 94.136392) - (xy 102.048182 94.088404) - (xy 102.031789 94.053248) - (xy 102.021128 93.983058) - (xy 102.031788 93.946754) - (xy 102.048182 93.911596) - (xy 102.0545 93.863608) - (xy 102.0545 93.636392) - (xy 102.048182 93.588404) - (xy 102.031789 93.553248) - (xy 102.021128 93.483058) - (xy 102.030835 93.45) - (xy 106.840715 93.45) - (xy 106.841793 93.458188) - (xy 106.854109 93.551735) - (xy 106.859772 93.594754) - (xy 106.869556 93.618375) - (xy 106.904353 93.70238) - (xy 106.915645 93.729642) - (xy 106.920672 93.736193) - (xy 106.992378 93.829642) - (xy 107.004526 93.845474) - (xy 107.011076 93.8505) - (xy 107.011079 93.850503) - (xy 107.090697 93.911596) - (xy 107.120357 93.934355) - (xy 107.255246 93.990228) - (xy 107.4 94.009285) - (xy 107.408188 94.008207) - (xy 107.536566 93.991306) - (xy 107.544754 93.990228) - (xy 107.679643 93.934355) - (xy 107.709303 93.911596) - (xy 107.788921 93.850503) - (xy 107.788924 93.8505) - (xy 107.795474 93.845474) - (xy 107.807623 93.829642) - (xy 107.879328 93.736193) - (xy 107.884355 93.729642) - (xy 107.895648 93.70238) - (xy 107.930444 93.618375) - (xy 107.940228 93.594754) - (xy 107.945892 93.551735) - (xy 107.94612 93.55) - (xy 112.540715 93.55) - (xy 112.541793 93.558188) - (xy 112.558575 93.68566) - (xy 112.559772 93.694754) - (xy 112.615645 93.829642) - (xy 112.631652 93.850503) - (xy 112.685232 93.920329) - (xy 112.704526 93.945474) - (xy 112.711076 93.9505) - (xy 112.711079 93.950503) - (xy 112.787685 94.009285) - (xy 112.820357 94.034355) - (xy 112.955246 94.090228) - (xy 113.1 94.109285) - (xy 113.108188 94.108207) - (xy 113.236566 94.091306) - (xy 113.244754 94.090228) - (xy 113.379643 94.034355) - (xy 113.412315 94.009285) - (xy 113.488921 93.950503) - (xy 113.488924 93.9505) - (xy 113.495474 93.945474) - (xy 113.514769 93.920329) - (xy 113.568348 93.850503) - (xy 113.584355 93.829642) - (xy 113.640228 93.694754) - (xy 113.641426 93.68566) - (xy 113.658207 93.558188) - (xy 113.659285 93.55) - (xy 113.656006 93.525092) - (xy 113.641306 93.413432) - (xy 113.641305 93.41343) - (xy 113.640228 93.405246) - (xy 113.638055 93.4) - (xy 114.140715 93.4) - (xy 114.141793 93.408188) - (xy 114.148376 93.458188) - (xy 114.159772 93.544754) - (xy 114.162931 93.55238) - (xy 114.211355 93.669284) - (xy 114.215645 93.679642) - (xy 114.304526 93.795474) - (xy 114.311076 93.8005) - (xy 114.311079 93.800503) - (xy 114.387947 93.859486) - (xy 114.420357 93.884355) - (xy 114.555246 93.940228) - (xy 114.7 93.959285) - (xy 114.708188 93.958207) - (xy 114.716491 93.957114) - (xy 114.844754 93.940228) - (xy 114.979643 93.884355) - (xy 115.012053 93.859486) - (xy 115.088921 93.800503) - (xy 115.088924 93.8005) - (xy 115.095474 93.795474) - (xy 115.184355 93.679642) - (xy 115.188646 93.669284) - (xy 115.237069 93.55238) - (xy 115.240228 93.544754) - (xy 115.251625 93.458188) - (xy 115.258207 93.408188) - (xy 115.259285 93.4) - (xy 115.245807 93.29762) - (xy 115.241306 93.263432) - (xy 115.241305 93.26343) - (xy 115.240228 93.255246) - (xy 115.213184 93.189957) - (xy 115.187515 93.127986) - (xy 115.187514 93.127984) - (xy 115.184355 93.120358) - (xy 115.141279 93.06422) - (xy 122.340953 93.06422) - (xy 122.342031 93.072408) - (xy 122.353704 93.161072) - (xy 122.36001 93.208974) - (xy 122.363169 93.2166) - (xy 122.399888 93.305246) - (xy 122.415883 93.343862) - (xy 122.42091 93.350413) - (xy 122.497326 93.45) - (xy 122.504764 93.459694) - (xy 122.511314 93.46472) - (xy 122.511317 93.464723) - (xy 122.604947 93.536568) - (xy 122.620595 93.548575) - (xy 122.755484 93.604448) - (xy 122.763672 93.605526) - (xy 122.861269 93.618375) - (xy 122.926196 93.647098) - (xy 122.965287 93.706363) - (xy 122.969744 93.726851) - (xy 122.977916 93.788923) - (xy 122.9823 93.822226) - (xy 122.989216 93.838923) - (xy 123.033352 93.945474) - (xy 123.038173 93.957114) - (xy 123.127054 94.072946) - (xy 123.133604 94.077972) - (xy 123.133607 94.077975) - (xy 123.236332 94.156799) - (xy 123.242885 94.161827) - (xy 123.377774 94.2177) - (xy 123.522528 94.236757) - (xy 123.530716 94.235679) - (xy 123.659094 94.218778) - (xy 123.667282 94.2177) - (xy 123.802171 94.161827) - (xy 123.808724 94.156799) - (xy 123.911449 94.077975) - (xy 123.911452 94.077972) - (xy 123.918002 94.072946) - (xy 124.006883 93.957114) - (xy 124.011705 93.945474) - (xy 124.05584 93.838923) - (xy 124.062756 93.822226) - (xy 124.067141 93.788923) - (xy 124.079538 93.694754) - (xy 124.081813 93.677472) - (xy 124.070087 93.588404) - (xy 124.063834 93.540904) - (xy 124.063833 93.540902) - (xy 124.062756 93.532718) - (xy 124.025101 93.441812) - (xy 124.010043 93.405458) - (xy 124.010042 93.405456) - (xy 124.006883 93.39783) - (xy 123.918002 93.281998) - (xy 123.911452 93.276972) - (xy 123.911449 93.276969) - (xy 123.808724 93.198145) - (xy 123.808722 93.198144) - (xy 123.802171 93.193117) - (xy 123.667282 93.137244) - (xy 123.645338 93.134355) - (xy 123.561497 93.123317) - (xy 123.49657 93.094594) - (xy 123.457479 93.035329) - (xy 123.453022 93.014841) - (xy 123.452527 93.011077) - (xy 123.448368 92.979489) - (xy 123.441544 92.927652) - (xy 123.441543 92.92765) - (xy 123.440466 92.919466) - (xy 123.415293 92.858694) - (xy 123.387753 92.792206) - (xy 123.387752 92.792204) - (xy 123.384593 92.784578) - (xy 123.295712 92.668746) - (xy 123.289162 92.66372) - (xy 123.289159 92.663717) - (xy 123.186434 92.584893) - (xy 123.186432 92.584892) - (xy 123.179881 92.579865) - (xy 123.044992 92.523992) - (xy 122.900238 92.504935) - (xy 122.876493 92.508061) - (xy 122.76367 92.522914) - (xy 122.763668 92.522915) - (xy 122.755484 92.523992) - (xy 122.707668 92.543798) - (xy 122.628224 92.576705) - (xy 122.628222 92.576706) - (xy 122.620596 92.579865) - (xy 122.504764 92.668746) - (xy 122.415883 92.784578) - (xy 122.412724 92.792204) - (xy 122.412723 92.792206) - (xy 122.385183 92.858694) - (xy 122.36001 92.919466) - (xy 122.358933 92.92765) - (xy 122.358932 92.927652) - (xy 122.35063 92.990715) - (xy 122.340953 93.06422) - (xy 115.141279 93.06422) - (xy 115.129986 93.049503) - (xy 115.100501 93.011077) - (xy 115.1005 93.011076) - (xy 115.095474 93.004526) - (xy 115.088924 92.9995) - (xy 115.088921 92.999497) - (xy 114.986196 92.920673) - (xy 114.986194 92.920672) - (xy 114.979643 92.915645) - (xy 114.844754 92.859772) - (xy 114.7 92.840715) - (xy 114.691812 92.841793) - (xy 114.563432 92.858694) - (xy 114.56343 92.858695) - (xy 114.555246 92.859772) - (xy 114.50743 92.879578) - (xy 114.427986 92.912485) - (xy 114.427984 92.912486) - (xy 114.420358 92.915645) - (xy 114.304526 93.004526) - (xy 114.215645 93.120358) - (xy 114.212486 93.127984) - (xy 114.212485 93.127986) - (xy 114.186816 93.189957) - (xy 114.159772 93.255246) - (xy 114.158695 93.26343) - (xy 114.158694 93.263432) - (xy 114.154193 93.29762) - (xy 114.140715 93.4) - (xy 113.638055 93.4) - (xy 113.598806 93.305246) - (xy 113.587515 93.277986) - (xy 113.587514 93.277984) - (xy 113.584355 93.270358) - (xy 113.520444 93.187068) - (xy 113.500501 93.161077) - (xy 113.5005 93.161076) - (xy 113.495474 93.154526) - (xy 113.488924 93.1495) - (xy 113.488921 93.149497) - (xy 113.386196 93.070673) - (xy 113.386194 93.070672) - (xy 113.379643 93.065645) - (xy 113.244754 93.009772) - (xy 113.1 92.990715) - (xy 113.091812 92.991793) - (xy 112.963432 93.008694) - (xy 112.96343 93.008695) - (xy 112.955246 93.009772) - (xy 112.90743 93.029578) - (xy 112.827986 93.062485) - (xy 112.827984 93.062486) - (xy 112.820358 93.065645) - (xy 112.704526 93.154526) - (xy 112.699503 93.161072) - (xy 112.680168 93.18627) - (xy 112.615645 93.270358) - (xy 112.612486 93.277984) - (xy 112.612485 93.277986) - (xy 112.601194 93.305246) - (xy 112.559772 93.405246) - (xy 112.558695 93.41343) - (xy 112.558694 93.413432) - (xy 112.543994 93.525092) - (xy 112.540715 93.55) - (xy 107.94612 93.55) - (xy 107.958207 93.458188) - (xy 107.959285 93.45) - (xy 107.952971 93.40204) - (xy 107.941306 93.313432) - (xy 107.941305 93.31343) - (xy 107.940228 93.305246) - (xy 107.900479 93.209285) - (xy 107.887515 93.177986) - (xy 107.887514 93.177984) - (xy 107.884355 93.170358) - (xy 107.826219 93.094594) - (xy 107.800501 93.061077) - (xy 107.8005 93.061076) - (xy 107.795474 93.054526) - (xy 107.788924 93.0495) - (xy 107.788921 93.049497) - (xy 107.686196 92.970673) - (xy 107.686194 92.970672) - (xy 107.679643 92.965645) - (xy 107.544754 92.909772) - (xy 107.4 92.890715) - (xy 107.391812 92.891793) - (xy 107.263432 92.908694) - (xy 107.26343 92.908695) - (xy 107.255246 92.909772) - (xy 107.21208 92.927652) - (xy 107.127986 92.962485) - (xy 107.127984 92.962486) - (xy 107.120358 92.965645) - (xy 107.004526 93.054526) - (xy 106.999503 93.061072) - (xy 106.995994 93.065645) - (xy 106.915645 93.170358) - (xy 106.912486 93.177984) - (xy 106.912485 93.177986) - (xy 106.899521 93.209285) - (xy 106.859772 93.305246) - (xy 106.858695 93.31343) - (xy 106.858694 93.313432) - (xy 106.847029 93.40204) - (xy 106.840715 93.45) - (xy 102.030835 93.45) - (xy 102.031788 93.446754) - (xy 102.048182 93.411596) - (xy 102.0545 93.363608) - (xy 102.0545 93.32064) - (xy 102.074502 93.252519) - (xy 102.128158 93.206026) - (xy 102.196946 93.195718) - (xy 102.291812 93.208207) - (xy 102.3 93.209285) - (xy 102.308188 93.208207) - (xy 102.436566 93.191306) - (xy 102.444754 93.190228) - (xy 102.579643 93.134355) - (xy 102.586196 93.129327) - (xy 102.688921 93.050503) - (xy 102.688924 93.0505) - (xy 102.695474 93.045474) - (xy 102.726895 93.004526) - (xy 102.779328 92.936193) - (xy 102.784355 92.929642) - (xy 102.811708 92.863608) - (xy 102.837069 92.80238) - (xy 102.840228 92.794754) - (xy 102.859285 92.65) - (xy 102.84847 92.567849) - (xy 102.850214 92.524887) - (xy 102.851422 92.519274) - (xy 102.85405 92.511792) - (xy 102.8545 92.506596) - (xy 102.8545 92.503888) - (xy 102.854597 92.501635) - (xy 102.85474 92.501159) - (xy 102.854786 92.501161) - (xy 102.854799 92.500962) - (xy 102.856568 92.495045) - (xy 102.854597 92.444876) - (xy 102.8545 92.43993) - (xy 102.8545 92.437115) - (xy 102.874502 92.368994) - (xy 102.890188 92.34953) - (xy 102.895474 92.345474) - (xy 102.900497 92.338928) - (xy 102.900501 92.338924) - (xy 102.98344 92.230836) - (xy 103.040778 92.188969) - (xy 103.099848 92.182618) - (xy 103.206258 92.196627) - (xy 103.214446 92.195549) - (xy 103.342824 92.178648) - (xy 103.351012 92.17757) - (xy 103.485901 92.121697) - (xy 103.492454 92.116669) - (xy 103.595179 92.037845) - (xy 103.595182 92.037842) - (xy 103.601732 92.032816) - (xy 103.690613 91.916984) - (xy 103.70125 91.891306) - (xy 103.742671 91.791306) - (xy 103.746486 91.782096) - (xy 103.751308 91.745474) - (xy 103.764465 91.64553) - (xy 103.765543 91.637342) - (xy 103.746486 91.492588) - (xy 103.708518 91.400926) - (xy 103.693773 91.365328) - (xy 103.693772 91.365326) - (xy 103.690613 91.3577) - (xy 103.630475 91.279327) - (xy 103.607972 91.25) - (xy 105.034465 91.25) - (xy 105.035543 91.258188) - (xy 105.048711 91.358207) - (xy 105.053522 91.394754) - (xy 105.056681 91.40238) - (xy 105.098103 91.50238) - (xy 105.109395 91.529642) - (xy 105.114422 91.536193) - (xy 105.186128 91.629642) - (xy 105.198276 91.645474) - (xy 105.204826 91.6505) - (xy 105.204829 91.650503) - (xy 105.307554 91.729327) - (xy 105.314107 91.734355) - (xy 105.448996 91.790228) - (xy 105.59375 91.809285) - (xy 105.601938 91.808207) - (xy 105.730316 91.791306) - (xy 105.738504 91.790228) - (xy 105.873393 91.734355) - (xy 105.879946 91.729327) - (xy 105.982671 91.650503) - (xy 105.982674 91.6505) - (xy 105.989224 91.645474) - (xy 106.001373 91.629642) - (xy 106.073078 91.536193) - (xy 106.078105 91.529642) - (xy 106.089398 91.50238) - (xy 106.130819 91.40238) - (xy 106.133978 91.394754) - (xy 106.13879 91.358207) - (xy 106.13987 91.35) - (xy 108.984465 91.35) - (xy 108.985687 91.359285) - (xy 109.001987 91.483091) - (xy 109.003522 91.494754) - (xy 109.059395 91.629642) - (xy 109.148276 91.745474) - (xy 109.154826 91.7505) - (xy 109.154829 91.750503) - (xy 109.231435 91.809285) - (xy 109.264107 91.834355) - (xy 109.398996 91.890228) - (xy 109.54375 91.909285) - (xy 109.551938 91.908207) - (xy 109.680316 91.891306) - (xy 109.688504 91.890228) - (xy 109.823393 91.834355) - (xy 109.856065 91.809285) - (xy 109.932671 91.750503) - (xy 109.932674 91.7505) - (xy 109.939224 91.745474) - (xy 110.028105 91.629642) - (xy 110.083978 91.494754) - (xy 110.085514 91.483091) - (xy 110.101813 91.359285) - (xy 110.103035 91.35) - (xy 110.091573 91.262935) - (xy 110.085056 91.213432) - (xy 110.085055 91.21343) - (xy 110.083978 91.205246) - (xy 110.061766 91.151623) - (xy 110.031265 91.077986) - (xy 110.031264 91.077984) - (xy 110.028105 91.070358) - (xy 109.964194 90.987068) - (xy 109.944251 90.961077) - (xy 109.94425 90.961076) - (xy 109.939224 90.954526) - (xy 109.932674 90.9495) - (xy 109.932671 90.949497) - (xy 109.829946 90.870673) - (xy 109.829944 90.870672) - (xy 109.823393 90.865645) - (xy 109.688504 90.809772) - (xy 109.665679 90.806767) - (xy 109.614277 90.8) - (xy 110.840715 90.8) - (xy 110.843722 90.822844) - (xy 110.857061 90.924158) - (xy 110.859772 90.944754) - (xy 110.862931 90.95238) - (xy 110.895495 91.030995) - (xy 110.915645 91.079642) - (xy 110.932421 91.101505) - (xy 110.982871 91.167252) - (xy 111.004526 91.195474) - (xy 111.011076 91.2005) - (xy 111.011079 91.200503) - (xy 111.113804 91.279327) - (xy 111.120357 91.284355) - (xy 111.255246 91.340228) - (xy 111.263434 91.341306) - (xy 111.313233 91.347862) - (xy 111.4 91.359285) - (xy 111.408188 91.358207) - (xy 111.408333 91.358188) - (xy 111.486767 91.347862) - (xy 111.536566 91.341306) - (xy 111.544754 91.340228) - (xy 111.679643 91.284355) - (xy 111.686196 91.279327) - (xy 111.788921 91.200503) - (xy 111.788924 91.2005) - (xy 111.795474 91.195474) - (xy 111.81713 91.167252) - (xy 111.867579 91.101505) - (xy 111.884355 91.079642) - (xy 111.904506 91.030995) - (xy 111.937069 90.95238) - (xy 111.940228 90.944754) - (xy 111.94294 90.924158) - (xy 111.956278 90.822844) - (xy 111.959285 90.8) - (xy 111.949018 90.722014) - (xy 111.941306 90.663432) - (xy 111.941305 90.66343) - (xy 111.940228 90.655246) - (xy 111.913296 90.590228) - (xy 111.887515 90.527986) - (xy 111.887514 90.527984) - (xy 111.884355 90.520358) - (xy 111.830367 90.45) - (xy 111.800501 90.411077) - (xy 111.8005 90.411076) - (xy 111.795474 90.404526) - (xy 111.788924 90.3995) - (xy 111.788921 90.399497) - (xy 111.686196 90.320673) - (xy 111.686194 90.320672) - (xy 111.679643 90.315645) - (xy 111.544754 90.259772) - (xy 111.526631 90.257386) - (xy 111.411629 90.242246) - (xy 111.4 90.240715) - (xy 111.388371 90.242246) - (xy 111.263432 90.258694) - (xy 111.26343 90.258695) - (xy 111.255246 90.259772) - (xy 111.236843 90.267395) - (xy 111.127986 90.312485) - (xy 111.127984 90.312486) - (xy 111.120358 90.315645) - (xy 111.090587 90.338489) - (xy 111.012308 90.398555) - (xy 111.004526 90.404526) - (xy 110.915645 90.520358) - (xy 110.912486 90.527984) - (xy 110.912485 90.527986) - (xy 110.886704 90.590228) - (xy 110.859772 90.655246) - (xy 110.858695 90.66343) - (xy 110.858694 90.663432) - (xy 110.850982 90.722014) - (xy 110.840715 90.8) - (xy 109.614277 90.8) - (xy 109.54375 90.790715) - (xy 109.520947 90.793717) - (xy 109.407182 90.808694) - (xy 109.40718 90.808695) - (xy 109.398996 90.809772) - (xy 109.367438 90.822844) - (xy 109.271736 90.862485) - (xy 109.271734 90.862486) - (xy 109.264108 90.865645) - (xy 109.148276 90.954526) - (xy 109.143253 90.961072) - (xy 109.128006 90.980943) - (xy 109.059395 91.070358) - (xy 109.056236 91.077984) - (xy 109.056235 91.077986) - (xy 109.025734 91.151623) - (xy 109.003522 91.205246) - (xy 109.002445 91.21343) - (xy 109.002444 91.213432) - (xy 108.995927 91.262935) - (xy 108.984465 91.35) - (xy 106.13987 91.35) - (xy 106.151957 91.258188) - (xy 106.153035 91.25) - (xy 106.139847 91.149827) - (xy 106.135056 91.113432) - (xy 106.135055 91.11343) - (xy 106.133978 91.105246) - (xy 106.096669 91.015175) - (xy 106.081265 90.977986) - (xy 106.081264 90.977984) - (xy 106.078105 90.970358) - (xy 106.008614 90.879795) - (xy 105.994251 90.861077) - (xy 105.99425 90.861076) - (xy 105.989224 90.854526) - (xy 105.982674 90.8495) - (xy 105.982671 90.849497) - (xy 105.879946 90.770673) - (xy 105.879944 90.770672) - (xy 105.873393 90.765645) - (xy 105.738504 90.709772) - (xy 105.59375 90.690715) - (xy 105.585562 90.691793) - (xy 105.457182 90.708694) - (xy 105.45718 90.708695) - (xy 105.448996 90.709772) - (xy 105.406856 90.727227) - (xy 105.321736 90.762485) - (xy 105.321734 90.762486) - (xy 105.314108 90.765645) - (xy 105.198276 90.854526) - (xy 105.109395 90.970358) - (xy 105.106236 90.977984) - (xy 105.106235 90.977986) - (xy 105.090831 91.015175) - (xy 105.053522 91.105246) - (xy 105.052445 91.11343) - (xy 105.052444 91.113432) - (xy 105.047653 91.149827) - (xy 105.034465 91.25) - (xy 103.607972 91.25) - (xy 103.606759 91.248419) - (xy 103.606758 91.248418) - (xy 103.601732 91.241868) - (xy 103.595182 91.236842) - (xy 103.595179 91.236839) - (xy 103.492454 91.158015) - (xy 103.492452 91.158014) - (xy 103.485901 91.152987) - (xy 103.351012 91.097114) - (xy 103.206258 91.078057) - (xy 103.19807 91.079135) - (xy 103.06969 91.096036) - (xy 103.069688 91.096037) - (xy 103.061504 91.097114) - (xy 103.041872 91.105246) - (xy 102.934244 91.149827) - (xy 102.934242 91.149828) - (xy 102.926616 91.152987) - (xy 102.810784 91.241868) - (xy 102.805761 91.248414) - (xy 102.805757 91.248418) - (xy 102.722818 91.356506) - (xy 102.66548 91.398373) - (xy 102.60641 91.404724) - (xy 102.5 91.390715) - (xy 102.356712 91.409579) - (xy 102.305487 91.405762) - (xy 102.285423 91.4) - (xy 101.942857 91.4) - (xy 101.889607 91.388195) - (xy 101.820329 91.35589) - (xy 101.820328 91.35589) - (xy 101.811596 91.351818) - (xy 101.802043 91.35056) - (xy 101.792785 91.347862) - (xy 101.793366 91.345868) - (xy 101.739864 91.3222) - (xy 101.700772 91.262935) - (xy 101.699927 91.191943) - (xy 101.737597 91.131764) - (xy 101.801822 91.101505) - (xy 101.821237 91.1) - (xy 102.285042 91.1) - (xy 102.298813 91.095956) - (xy 102.300842 91.082417) - (xy 102.294072 91.030995) - (xy 102.289833 91.015175) - (xy 102.275654 90.980943) - (xy 102.268065 90.910353) - (xy 102.271829 90.895046) - (xy 102.299494 90.806767) - (xy 102.302107 90.793717) - (xy 102.304099 90.772039) - (xy 102.301178 90.757164) - (xy 102.289284 90.754) - (xy 102.226 90.754) - (xy 102.157879 90.733998) - (xy 102.111386 90.680342) - (xy 102.1 90.628) - (xy 102.1 90.372) - (xy 102.120002 90.303879) - (xy 102.173658 90.257386) - (xy 102.226 90.246) - (xy 102.287642 90.246) - (xy 102.302187 90.241729) - (xy 102.30425 90.229595) - (xy 102.302107 90.206283) - (xy 102.299494 90.193234) - (xy 102.254332 90.049121) - (xy 102.248125 90.035375) - (xy 102.170361 89.90697) - (xy 102.161048 89.895093) - (xy 102.054907 89.788952) - (xy 102.04303 89.779639) - (xy 101.914625 89.701875) - (xy 101.900879 89.695668) - (xy 101.756765 89.650506) - (xy 101.743718 89.647893) - (xy 101.682479 89.642266) - (xy 101.67669 89.642) - (xy 101.372115 89.642) - (xy 101.356876 89.646475) - (xy 101.355671 89.647865) - (xy 101.354 89.655548) - (xy 101.354 89.724) - (xy 101.333998 89.792121) - (xy 101.280342 89.838614) - (xy 101.228 89.85) - (xy 100.972 89.85) - (xy 100.903879 89.829998) - (xy 100.857386 89.776342) - (xy 100.846 89.724) - (xy 100.846 89.660115) - (xy 100.841525 89.644876) - (xy 100.840135 89.643671) - (xy 100.832452 89.642) - (xy 100.52331 89.642) - (xy 100.517521 89.642266) - (xy 100.456282 89.647893) - (xy 100.443238 89.650505) - (xy 100.41818 89.658358) - (xy 100.347195 89.659643) - (xy 100.286784 89.622346) - (xy 100.256127 89.55831) - (xy 100.2545 89.538124) - (xy 100.2545 89.087476) - (xy 100.256921 89.062894) - (xy 100.257065 89.06217) - (xy 100.259486 89.05) - (xy 100.257065 89.037828) - (xy 100.257065 89.025421) - (xy 100.257705 89.025421) - (xy 100.257029 89.014639) - (xy 100.258452 88.994754) - (xy 100.268805 88.85) - (xy 110.840715 88.85) - (xy 110.841793 88.858188) - (xy 110.858422 88.984497) - (xy 110.859772 88.994754) - (xy 110.862931 89.00238) - (xy 110.902185 89.097146) - (xy 110.915645 89.129642) - (xy 110.920672 89.136193) - (xy 110.987224 89.222925) - (xy 111.004526 89.245474) - (xy 111.011076 89.2505) - (xy 111.011079 89.250503) - (xy 111.113804 89.329327) - (xy 111.120357 89.334355) - (xy 111.255246 89.390228) - (xy 111.4 89.409285) - (xy 111.408188 89.408207) - (xy 111.536566 89.391306) - (xy 111.544754 89.390228) - (xy 111.679643 89.334355) - (xy 111.686196 89.329327) - (xy 111.788921 89.250503) - (xy 111.788924 89.2505) - (xy 111.795474 89.245474) - (xy 111.812777 89.222925) - (xy 111.879328 89.136193) - (xy 111.884355 89.129642) - (xy 111.897816 89.097146) - (xy 111.937069 89.00238) - (xy 111.940228 88.994754) - (xy 111.941579 88.984497) - (xy 111.958207 88.858188) - (xy 111.959285 88.85) - (xy 111.949625 88.776624) - (xy 111.941306 88.713432) - (xy 111.941305 88.71343) - (xy 111.940228 88.705246) - (xy 111.912817 88.639071) - (xy 111.887515 88.577986) - (xy 111.887514 88.577984) - (xy 111.884355 88.570358) - (xy 111.828052 88.496982) - (xy 111.800501 88.461077) - (xy 111.8005 88.461076) - (xy 111.795474 88.454526) - (xy 111.788924 88.4495) - (xy 111.788921 88.449497) - (xy 111.686196 88.370673) - (xy 111.686194 88.370672) - (xy 111.679643 88.365645) - (xy 111.544754 88.309772) - (xy 111.4 88.290715) - (xy 111.391812 88.291793) - (xy 111.263432 88.308694) - (xy 111.26343 88.308695) - (xy 111.255246 88.309772) - (xy 111.218741 88.324893) - (xy 111.127986 88.362485) - (xy 111.127984 88.362486) - (xy 111.120358 88.365645) - (xy 111.004526 88.454526) - (xy 110.915645 88.570358) - (xy 110.912486 88.577984) - (xy 110.912485 88.577986) - (xy 110.887183 88.639071) - (xy 110.859772 88.705246) - (xy 110.858695 88.71343) - (xy 110.858694 88.713432) - (xy 110.850375 88.776624) - (xy 110.840715 88.85) - (xy 100.268805 88.85) - (xy 100.271624 88.81058) - (xy 100.274182 88.792786) - (xy 100.32329 88.56704) - (xy 100.328355 88.549791) - (xy 100.351057 88.488923) - (xy 100.40909 88.33333) - (xy 100.416556 88.316983) - (xy 100.423712 88.303879) - (xy 100.455996 88.244754) - (xy 100.527275 88.114218) - (xy 100.536994 88.099095) - (xy 100.675441 87.914152) - (xy 100.687214 87.900566) - (xy 100.850566 87.737214) - (xy 100.864152 87.725441) - (xy 101.049095 87.586994) - (xy 101.064218 87.577275) - (xy 101.214533 87.495196) - (xy 101.266985 87.466555) - (xy 101.28333 87.45909) - (xy 101.451294 87.396443) - (xy 101.499791 87.378355) - (xy 101.51704 87.37329) - (xy 101.742786 87.324182) - (xy 101.76058 87.321624) - (xy 101.780752 87.320181) - (xy 101.964642 87.307029) - (xy 101.975421 87.307705) - (xy 101.975421 87.307065) - (xy 101.987828 87.307065) - (xy 102 87.309486) - (xy 102.012897 87.306921) - (xy 102.037476 87.3045) - (xy 102.517371 87.3045) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 106.663532 98.800504) - (xy 106.720368 98.843051) - (xy 106.745179 98.909571) - (xy 106.7455 98.91856) - (xy 106.7455 100.097756) - (xy 106.752202 100.159448) - (xy 106.754974 100.166841) - (xy 106.754974 100.166843) - (xy 106.760889 100.18262) - (xy 106.802929 100.294764) - (xy 106.808309 100.301943) - (xy 106.808311 100.301946) - (xy 106.826019 100.325573) - (xy 106.889596 100.410404) - (xy 106.914089 100.42876) - (xy 106.967346 100.468674) - (xy 107.009861 100.525533) - (xy 107.014887 100.596351) - (xy 106.980827 100.658645) - (xy 106.918496 100.692635) - (xy 106.891781 100.6955) - (xy 106.108219 100.6955) - (xy 106.040098 100.675498) - (xy 105.993605 100.621842) - (xy 105.983501 100.551568) - (xy 106.012995 100.486988) - (xy 106.032654 100.468674) - (xy 106.085912 100.42876) - (xy 106.110404 100.410404) - (xy 106.173981 100.325573) - (xy 106.191689 100.301946) - (xy 106.191691 100.301943) - (xy 106.197071 100.294764) - (xy 106.239111 100.18262) - (xy 106.245026 100.166843) - (xy 106.245026 100.166841) - (xy 106.247798 100.159448) - (xy 106.2545 100.097756) - (xy 106.2545 99.15756) - (xy 106.274502 99.089439) - (xy 106.291405 99.068465) - (xy 106.530405 98.829465) - (xy 106.592717 98.795439) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 120.959902 87.324502) - (xy 121.006395 87.378158) - (xy 121.016499 87.448432) - (xy 120.987005 87.513012) - (xy 120.967347 87.531325) - (xy 120.889596 87.589596) - (xy 120.877282 87.606026) - (xy 120.808311 87.698054) - (xy 120.808309 87.698057) - (xy 120.802929 87.705236) - (xy 120.797142 87.720673) - (xy 120.763252 87.811077) - (xy 120.752202 87.840552) - (xy 120.7455 87.902244) - (xy 120.7455 89.097756) - (xy 120.752202 89.159448) - (xy 120.802929 89.294764) - (xy 120.808309 89.301943) - (xy 120.808311 89.301946) - (xy 120.872107 89.387068) - (xy 120.889596 89.410404) - (xy 120.896776 89.415785) - (xy 120.998054 89.491689) - (xy 120.998057 89.491691) - (xy 121.005236 89.497071) - (xy 121.054783 89.515645) - (xy 121.101229 89.533057) - (xy 121.157994 89.575698) - (xy 121.182694 89.64226) - (xy 121.183 89.651039) - (xy 121.183 89.984659) - (xy 121.162998 90.05278) - (xy 121.146095 90.073754) - (xy 121.038382 90.181467) - (xy 120.97607 90.215493) - (xy 120.932843 90.217294) - (xy 120.896377 90.212493) - (xy 120.888189 90.213571) - (xy 120.759816 90.230471) - (xy 120.759813 90.230472) - (xy 120.751623 90.23155) - (xy 120.750975 90.231819) - (xy 120.6835 90.230212) - (xy 120.624704 90.190418) - (xy 120.596756 90.125154) - (xy 120.596943 90.093749) - (xy 120.608207 90.008188) - (xy 120.609285 90) - (xy 120.599063 89.922352) - (xy 120.591306 89.863432) - (xy 120.591305 89.86343) - (xy 120.590228 89.855246) - (xy 120.556611 89.774087) - (xy 120.537515 89.727986) - (xy 120.537514 89.727984) - (xy 120.534355 89.720358) - (xy 120.477663 89.646475) - (xy 120.450501 89.611077) - (xy 120.4505 89.611076) - (xy 120.445474 89.604526) - (xy 120.438924 89.5995) - (xy 120.438921 89.599497) - (xy 120.336196 89.520673) - (xy 120.336194 89.520672) - (xy 120.329643 89.515645) - (xy 120.25156 89.483302) - (xy 120.196279 89.438754) - (xy 120.173858 89.37139) - (xy 120.189258 89.306384) - (xy 120.191688 89.301946) - (xy 120.197071 89.294764) - (xy 120.213664 89.250503) - (xy 120.245026 89.166843) - (xy 120.245026 89.166841) - (xy 120.247798 89.159448) - (xy 120.2545 89.097756) - (xy 120.2545 87.902244) - (xy 120.247798 87.840552) - (xy 120.236749 87.811077) - (xy 120.202858 87.720673) - (xy 120.197071 87.705236) - (xy 120.191691 87.698057) - (xy 120.191689 87.698054) - (xy 120.122718 87.606026) - (xy 120.110404 87.589596) - (xy 120.032654 87.531326) - (xy 119.990139 87.474467) - (xy 119.985113 87.403649) - (xy 120.019173 87.341355) - (xy 120.081504 87.307365) - (xy 120.108219 87.3045) - (xy 120.891781 87.3045) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 126.648955 87.590451) - (xy 126.676329 87.606026) - (xy 126.835848 87.725441) - (xy 126.849434 87.737214) - (xy 127.012786 87.900566) - (xy 127.024559 87.914152) - (xy 127.163006 88.099095) - (xy 127.172725 88.114218) - (xy 127.244004 88.244754) - (xy 127.276289 88.303879) - (xy 127.283444 88.316983) - (xy 127.29091 88.33333) - (xy 127.348943 88.488923) - (xy 127.371645 88.549791) - (xy 127.37671 88.56704) - (xy 127.425818 88.792786) - (xy 127.428376 88.81058) - (xy 127.441549 88.994754) - (xy 127.442971 89.014639) - (xy 127.442295 89.025421) - (xy 127.442935 89.025421) - (xy 127.442935 89.037828) - (xy 127.440514 89.05) - (xy 127.442935 89.06217) - (xy 127.443079 89.062894) - (xy 127.4455 89.087476) - (xy 127.4455 89.574) - (xy 127.425498 89.642121) - (xy 127.371842 89.688614) - (xy 127.3195 89.7) - (xy 126.465482 89.7) - (xy 126.397361 89.679998) - (xy 126.350868 89.626342) - (xy 126.340764 89.556068) - (xy 126.358222 89.507884) - (xy 126.437816 89.378757) - (xy 126.443963 89.365576) - (xy 126.495138 89.21129) - (xy 126.498005 89.197914) - (xy 126.507672 89.103562) - (xy 126.508 89.097146) - (xy 126.508 88.772115) - (xy 126.503525 88.756876) - (xy 126.502135 88.755671) - (xy 126.494452 88.754) - (xy 125.372 88.754) - (xy 125.303879 88.733998) - (xy 125.257386 88.680342) - (xy 125.246 88.628) - (xy 125.246 88.372) - (xy 125.266002 88.303879) - (xy 125.319658 88.257386) - (xy 125.372 88.246) - (xy 126.489884 88.246) - (xy 126.505123 88.241525) - (xy 126.506328 88.240135) - (xy 126.507999 88.232452) - (xy 126.507999 87.902905) - (xy 126.507662 87.896386) - (xy 126.497743 87.800794) - (xy 126.494851 87.7874) - (xy 126.481296 87.74677) - (xy 126.478712 87.67582) - (xy 126.514896 87.614736) - (xy 126.57836 87.582912) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 116.959902 87.324502) - (xy 117.006395 87.378158) - (xy 117.016499 87.448432) - (xy 116.987005 87.513012) - (xy 116.967347 87.531325) - (xy 116.889596 87.589596) - (xy 116.877282 87.606026) - (xy 116.808311 87.698054) - (xy 116.808309 87.698057) - (xy 116.802929 87.705236) - (xy 116.797142 87.720673) - (xy 116.763252 87.811077) - (xy 116.752202 87.840552) - (xy 116.7455 87.902244) - (xy 116.7455 88.844924) - (xy 116.725498 88.913045) - (xy 116.671842 88.959538) - (xy 116.601568 88.969642) - (xy 116.536988 88.940148) - (xy 116.503091 88.893143) - (xy 116.473755 88.82232) - (xy 116.473754 88.822318) - (xy 116.470595 88.814692) - (xy 116.408676 88.733998) - (xy 116.386741 88.705411) - (xy 116.38674 88.70541) - (xy 116.381714 88.69886) - (xy 116.375164 88.693834) - (xy 116.375161 88.693831) - (xy 116.303796 88.639071) - (xy 116.261929 88.581733) - (xy 116.2545 88.539109) - (xy 116.2545 87.902244) - (xy 116.247798 87.840552) - (xy 116.236749 87.811077) - (xy 116.202858 87.720673) - (xy 116.197071 87.705236) - (xy 116.191691 87.698057) - (xy 116.191689 87.698054) - (xy 116.122718 87.606026) - (xy 116.110404 87.589596) - (xy 116.032654 87.531326) - (xy 115.990139 87.474467) - (xy 115.985113 87.403649) - (xy 116.019173 87.341355) - (xy 116.081504 87.307365) - (xy 116.108219 87.3045) - (xy 116.891781 87.3045) - ) - ) - ) - (zone (net 0) (net_name "") (layer "B.Cu") (tstamp 25f7abea-d715-41b4-852d-a763065c7f0f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.1 97.25) - (xy 100 97.25) - (xy 100 96) - (xy 102.1 96) - ) - ) - ) - (zone (net 0) (net_name "") (layer "B.Cu") (tstamp d71f4e49-02f0-499c-9d65-d77d9bd8897a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.1 91.1) - (xy 100 91.1) - (xy 100 89.85) - (xy 102.1 89.85) - ) - ) - ) - (group "group-boardStackUp" (id e82afd7a-801a-4e3e-8de5-eae8d5f80978) - (members - 00f08a0b-82b9-45e5-8519-9f3c6377cd02 - 011a5828-4c3c-4dde-9bdb-284a3f3c4a43 - 0243fc01-c89d-427f-ada0-c7b78b375c4b - 025baa4e-9c0e-4171-ba18-c81707277562 - 03273d97-5274-435d-8d30-f6cf1379d2ec - 0915a960-c1d1-4819-9c53-aeb8cd5149bf - 0b71d1a0-f7f1-4898-a4ea-edf5332f8ca7 - 0c45290b-d76f-4c88-a4f6-10a6b4367d24 - 120c613d-4c12-4293-ae3a-6a512771985f - 1336502c-11bd-4ec2-9aca-20ce8fd7c351 - 163963d5-9627-43e4-ac5c-e10ad7299143 - 1995a1af-4656-4a47-a563-d0a3f10ab4cf - 1a253373-7aaa-4800-82a0-f05224ca4a7a - 1b6d0560-1178-425c-aa39-65ccb9b9adf6 - 1bcfdeb5-4398-4ba9-8d2b-1afb409aafd2 - 1e5a4a4f-7ec1-4d5e-aab0-77eebafcd5cd - 26fb18d1-6ffa-4a4a-b050-bfff5417256a - 2ab4e285-80ef-4098-93e3-671fb896f742 - 2c1ead4c-ba2b-4a8a-bb34-69dfd6a07338 - 3259f80d-9863-4549-b902-9b908fd99360 - 34cf0ce0-4224-4cff-b8da-dac4a1c9b668 - 36815cf6-0422-444c-a3e8-ed66ef92f617 - 369de6e0-38f9-4c75-93ed-d58163562fde - 37104389-0ffa-4ff9-884c-f7e490c8571a - 37be8254-7e2c-4f4c-a147-46fa446006a2 - 3c0146c9-302b-4005-9f50-7766581fb71a - 3def0672-3d83-48f7-bcb3-c4be8da902d5 - 3e6b83fc-7519-4ddb-953c-bb9f626bfed6 - 3fd645e4-1c4f-4c07-afcb-59e3215127ca - 43d2d4b8-f1d7-4f2a-aa85-7cb4bf6c251c - 44a15e1c-f254-4648-a79e-78dd546b3ad3 - 528fa016-8dda-47a4-ac5a-14ef00dc9116 - 5362a7bb-6a5c-4582-8a84-dd179357b30c - 53b141a8-4fb6-4e1f-b3eb-8e36e10c5cc1 - 53b9d0a9-bdca-4a98-a62c-67ea855d8049 - 53dc5eaa-73e3-43ab-9e31-a54cd5adc72f - 5467a1d8-1da8-4db6-8370-a392f817657d - 571912b7-93f1-48e7-9716-795cf2eaaab5 - 5c19c8eb-a9eb-4833-b94e-16d408a4c614 - 5f3ac091-d5f3-4e8d-bed3-d7d84d73e753 - 606bed62-2645-43b2-8746-701feb5d482c - 60fcc63f-51e7-4ba1-b8e2-7f58e866098a - 63530c34-e56d-412b-a20c-0f5801e0b75c - 63d855ac-697e-4eed-8221-860e4b1819e2 - 6d2ec6c5-646f-4865-962c-fb5a5edbf1c2 - 6e4fd549-4e22-4263-aa63-fbb79f10ecb8 - 72e8fcce-5083-40f6-a91f-3bfabc7c7549 - 73237229-68da-4bfc-80d6-f3f33e277d06 - 7338b5a9-3a85-4450-86b4-5007c87a58ff - 73a44f0b-73f5-401a-a4f9-19586eb00839 - 74936d8a-1d36-412e-8d34-dbf39e66d962 - 74a9d92f-93b8-42e6-97b6-ac630c5378b8 - 7505eede-a417-42c3-88a2-1fe21ee21a2a - 79977da0-fdcb-4922-8297-b08770982ade - 7b7e0923-b508-4aa1-91a7-05a7557a88ee - 7bf62f93-87a1-4db1-8ca9-79ce9596c2b8 - 7f27dd6e-61a8-4bb4-ac85-149b149d66f3 - 8356d232-ef50-40f0-a742-8beed5a9bc27 - 837176e9-8fab-41d2-86dd-da3b1b3dd39f - 84164d3c-90bc-45b0-ac63-7f7a93843cb3 - 862b97e2-70d6-4aea-9357-60983bc901d8 - 884b30ea-af8f-4f82-a557-df4823436067 - 888c76fa-7b17-4835-83d9-86e7676bd4ef - 8c1aa883-be0a-4c66-94de-9db387d409d3 - 8d9e19c9-1c38-4d1f-a346-c1ec50453cc1 - 8f9bfdb5-2a57-4831-bd00-f02c2bbb920e - 925356e8-9fe3-4fca-8329-eba967a76629 - 9428c84f-f95c-4fa2-a59d-586cb3c5d4fd - 96916265-4653-41c3-9a80-f6775aa2b630 - 9fdf8bbc-e3ca-4283-a65c-7015973dbcab - a0b9f050-1be7-488f-85b2-08f372f83ded - a104f8b7-5461-444e-b965-b1e6732ac99f - a24c495d-6be2-4999-9a23-d78f9efcd58e - a3211a09-e8bb-45b4-9fce-27397cf3f049 - a5d01954-50f2-4ef4-ac22-4fad9b9b2741 - a91b2e0e-b141-4814-b267-2fdc9c6a6658 - aa63055c-baeb-45aa-a784-3ad93305f13b - ae877162-4ceb-4c8a-bbfe-7112f9e7e7ea - b0c06db7-a576-4fd8-83c7-c014cc52b2d6 - b2fb7a1b-c9ba-4acd-a02e-25484040900c - b362ed42-4b28-4023-8338-57fce2c46bcc - b559f405-4de0-4485-9eb1-aa1ba6266fb3 - bab9a1de-c8d3-471f-9075-142844f4fafd - bb5d112d-8806-45ee-9ac3-33210f67d54f - c5ca144b-4a8c-4b43-8d11-73bfc7ce35b4 - c6746a20-a2a7-491d-8bf4-6734530b9889 - c7d84f6e-a707-4ffd-8ab8-e4d824111c03 - c82525cb-40e6-49c8-b5ba-a548b20e026a - c909aa0c-2fd9-4d9c-a4ea-3fb1adec5ed8 - c9994eea-4a76-4588-a706-ad2e04aff285 - c9d7f80c-93d3-40b6-82bc-9669a79c7f05 - cb6ca4a6-d548-496b-82da-ad3ca44106a9 - cc3838d6-9c6c-4d91-aba1-bd29599115d5 - cfa7d3f6-0cc9-4375-a0ac-d721b57ce3a3 - d02abb4a-6862-4e43-bda0-9136ef818539 - d0f188d9-dfb1-44a8-ad95-8dc6e323156b - d4b6492f-ea43-4aae-99e0-bfb2aa20b67f - d63c2d67-a8b0-4064-9c5d-a28bd9200b4c - d9191217-fb4c-4445-8d6b-28ba96ed5884 - d9389f84-cc8b-46ce-9bf9-2f7fd6b103c4 - d98ae824-3371-435f-8ca0-a21a12804f20 - d9afab37-6d16-489e-a6df-20a54d2ee9f9 - d9c9a498-33d2-4069-be67-c993eabe1d55 - da88cf57-0975-4f67-b828-34f4f4c6151f - dd81f792-3a25-482c-b21e-05ec2d4eb5d6 - ddaaab04-fca3-4052-9a26-35c7845fd694 - e130aa5f-12f3-4c64-8445-319d961fa089 - e174db42-2133-4bde-8bf0-5dfc27789f4d - e462b99b-dc16-4632-9277-f42cc1c75e32 - e762fafd-aba3-4f95-8923-69fc7014c1b7 - e7d18ef0-3fda-41de-bee8-09bcd775905e - e835f670-a4e4-411b-93b0-aa3907eaf197 - e85705c7-e2a6-4d53-a85c-6c783418e0d2 - eb84e2f0-c873-4eb9-b0db-dd71bfafb64c - f0ad4449-626d-4aef-bbd4-02eba1183b71 - f626dfdc-a42e-49fe-92eb-181cb51736dc - f8978d6f-bc80-4d45-99fe-9eda6ceed8ec - fa74e58b-1d1f-4c19-a9e0-9a5b12093d6c - fa98a317-14ca-498d-8226-47acdff0c9f6 - fba6e488-9940-4c72-a3c3-f2539158fdfc - fbb57290-3adc-4d24-918c-497402e97c67 - ) - ) -) +(kicad_pcb (version 20221018) (generator pcbnew) + + (general + (thickness 0.8) + ) + + (paper "A4") + (layers + (0 "F.Cu" signal) + (1 "In1.Cu" signal) + (2 "In2.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + ) + + (setup + (stackup + (layer "F.SilkS" (type "Top Silk Screen") (color "White")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (color "Black") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 0.121) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "In1.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 2" (type "prepreg") (thickness 0.433) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "In2.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 3" (type "core") (thickness 0.121) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (color "Black") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen") (color "White")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0) + (aux_axis_origin 100 100.95) + (pcbplotparams + (layerselection 0x00012fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros false) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 6) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "gerbers/") + ) + ) + + (net 0 "") + (net 1 "GND") + (net 2 "+5V") + (net 3 "+3V3") + (net 4 "+2V5") + (net 5 "+1V2") + (net 6 "Net-(D3-Pad2)") + (net 7 "Net-(D3-Pad4)") + (net 8 "Net-(D3-Pad3)") + (net 9 "/USB to Serial converter/INT_USB_IN_D+") + (net 10 "APP_CDONE") + (net 11 "APP_CRESET") + (net 12 "APP_ICE_SCK") + (net 13 "Net-(R1-Pad2)") + (net 14 "VCC_OK") + (net 15 "unconnected-(U6-Pad48)") + (net 16 "unconnected-(U6-Pad13)") + (net 17 "APP_LED_RED") + (net 18 "APP_LED_GREEN") + (net 19 "APP_LED_BLUE") + (net 20 "SPI_VCC_OK") + (net 21 "/USB to Serial converter/INT_USB_IN_D-") + (net 22 "INT_USB_D+") + (net 23 "INT_USB_D-") + (net 24 "/Application FPGA/APP_+1.2_PLL") + (net 25 "INTERFACE_TX") + (net 26 "unconnected-(P1-PadA2)") + (net 27 "unconnected-(P1-PadA3)") + (net 28 "Net-(P1-PadA5)") + (net 29 "unconnected-(P1-PadA8)") + (net 30 "unconnected-(P1-PadA10)") + (net 31 "unconnected-(P1-PadA11)") + (net 32 "unconnected-(P1-PadB2)") + (net 33 "unconnected-(P1-PadB3)") + (net 34 "unconnected-(P1-PadB5)") + (net 35 "unconnected-(P1-PadB8)") + (net 36 "unconnected-(P1-PadB10)") + (net 37 "unconnected-(P1-PadB11)") + (net 38 "unconnected-(U1-Pad4)") + (net 39 "unconnected-(U6-Pad32)") + (net 40 "unconnected-(U6-Pad12)") + (net 41 "unconnected-(U6-Pad11)") + (net 42 "unconnected-(U6-Pad23)") + (net 43 "unconnected-(U6-Pad20)") + (net 44 "unconnected-(U6-Pad19)") + (net 45 "unconnected-(U6-Pad18)") + (net 46 "unconnected-(U6-Pad3)") + (net 47 "APP_ICE_SS") + (net 48 "APP_ICE_MOSI") + (net 49 "APP_ICE_MISO") + (net 50 "unconnected-(U6-Pad35)") + (net 51 "unconnected-(U6-Pad9)") + (net 52 "unconnected-(U6-Pad10)") + (net 53 "unconnected-(U6-Pad34)") + (net 54 "unconnected-(U6-Pad42)") + (net 55 "unconnected-(U6-Pad43)") + (net 56 "unconnected-(U6-Pad44)") + (net 57 "INTERFACE_RX") + (net 58 "INTERFACE_RTS") + (net 59 "INTERFACE_CTS") + (net 60 "Net-(C27-Pad1)") + (net 61 "unconnected-(U6-Pad2)") + (net 62 "unconnected-(U6-Pad4)") + (net 63 "/Application FPGA/APP_FLASH_WP") + (net 64 "/Application FPGA/APP_FLASH_HOLD") + (net 65 "APP_GPIO1") + (net 66 "APP_GPIO2") + (net 67 "APP_GPIO3") + (net 68 "APP_GPIO4") + (net 69 "TOUCH_EVENT") + (net 70 "Net-(D1-Pad1)") + (net 71 "unconnected-(U8-Pad9)") + (net 72 "/Application FPGA/TOUCH_PAD") + (net 73 "unconnected-(U6-Pad21)") + (net 74 "unconnected-(U6-Pad31)") + (net 75 "unconnected-(U6-Pad37)") + (net 76 "unconnected-(U6-Pad47)") + (net 77 "unconnected-(U3-Pad5)") + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000615528b5) + (at 111.45 92.65 90) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "usb_to_serial.kicad_sch") + (property "Sheetname" "USB to Serial converter") + (path "/00000000-0000-0000-0000-00006161400b/76a5b2c4-6b71-4a39-a2e8-5088b310a11a") + (attr smd) + (fp_text reference "C7" (at 0 -1.16 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c30b2551-d83e-4939-9ad4-fc2d3aec668a) + ) + (fp_text value "0.10uF" (at 0 1.16 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8fe8e813-db67-47e7-8af7-5adf6bcc7113) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 46ab02a3-4df6-41de-a52b-a2230372c3a0) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f30edba2-1ada-46ab-9a22-4ba8b1fa67ed)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bebb0a42-5ebb-4487-a793-cf32f187b716)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 34474462-06d4-461d-a326-b9475b033985)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3b136cd7-cf47-4df1-9dd2-60c70260bb66)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 87d7ea06-9235-4ae4-97d9-1bb0badd21d6)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d957688c-0e45-4a1c-b99c-c716bde13a95)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e5a7326-9357-4a9f-a822-fba8b1db8846)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6ac1e3ce-acd1-40ee-a4ee-31c6df1a32f0)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 43877fa7-1ed9-4f07-942f-958667100312)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 283d5dce-3b06-421f-ab66-bc6189e7c66c)) + (pad "1" smd roundrect (at -0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 044efde3-0dd4-451b-adce-22ec37ff1562)) + (pad "2" smd roundrect (at 0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp dc822088-6745-4d4e-b627-c6f86bff9e16)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00006155291b) + (at 112.35 92.65 90) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "usb_to_serial.kicad_sch") + (property "Sheetname" "USB to Serial converter") + (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-0000617f1504") + (attr smd) + (fp_text reference "C13" (at 0 -1.16 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7bd1ecfb-8649-4802-ba62-ff2505bc454b) + ) + (fp_text value "0.10uF" (at 0 1.16 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c305c471-7bd6-4525-be8b-314965cc529b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 4be1b8ce-1faa-4242-9a3f-e886b23e4929) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d14ffd50-1f1b-4d5e-b3f5-da398725f23f)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 69fff44f-696d-4161-ad85-20f799017645)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78c80159-2a70-47a2-85da-f00132752ff8)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 717f9f7c-0cb1-4eef-830b-901adc42ff15)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 101ff91e-9d6a-4360-833e-dd192a90f727)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 22ef8ee7-aca5-48a8-98fa-38f2e38def0d)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3e1908f9-7951-46fc-8c04-771be08db527)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5baad0bb-63bc-4553-a17c-43463857b240)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dcc23804-4aee-4fe8-9147-ba6fb87d336c)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c402b1a0-4407-4d30-9951-a9f90b3010e8)) + (pad "1" smd roundrect (at -0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 0181f367-c738-484d-92b3-e86e6bbf0037)) + (pad "2" smd roundrect (at 0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 9e99723c-ee9e-4265-a02c-101748220629)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00006155294e) + (at 123.5 92.3 45) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f14fe") + (attr smd) + (fp_text reference "C16" (at 0 -1.16 45) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 95671eba-3c8e-4508-9f6e-c857e83852dc) + ) + (fp_text value "0.10uF" (at 0 1.16 45) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f0229260-7073-4331-86da-3abb3c463bc4) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 1f81fb30-547c-4531-b864-6a336df2030c) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51763110-c6d5-49ed-b764-2ecb920e1669)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee2670c6-6a19-46d2-a19b-ee9462d036be)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9705e673-c133-44a1-9545-3cae60a68b1d)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0902ec98-06ea-473e-81dc-53fce82a3ddb)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bef036b9-b0d2-4a8d-879b-bb5657efa5c7)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4caad4f3-553f-4baf-8f8a-0ee425c5d858)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0a089a07-2629-4bd0-ac0b-e2476ae7a5f5)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8dca95d8-a4be-4db4-8701-aacf75e253b3)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0645d232-1a5a-4c65-8967-b3fe6878c662)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6f3502c1-8139-4b33-99d9-ae2025b2b368)) + (pad "1" smd roundrect (at -0.39 0 45) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+1V2") (pintype "passive") (tstamp 5fc10dcf-d7e6-4117-86a7-01915576dc11)) + (pad "2" smd roundrect (at 0.39 0 45) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 86a4d53d-2071-4289-911b-8507f770ea93)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00006155295f) + (at 114.8 98.2 -135) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1500") + (attr smd) + (fp_text reference "C17" (at 0 -1.16 45) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f92e3d31-32cc-44f1-b2cd-a2c7e3c1984e) + ) + (fp_text value "0.10uF" (at 0 1.16 45) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cd9db847-971a-4a9f-b63c-034440cf4565) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 0b165f16-8dca-4695-a664-411b18175113) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 764e6d26-2f55-44bf-8175-88da9448610e)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b10221b-b8b2-48b9-959b-8013b2ca1f60)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ee0b1132-31f9-4781-8558-891ba984816d)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 09d908b5-61fb-4249-a7ce-d30d5e3f6e47)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d97606fb-8b99-4aa9-a068-75381749a25c)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e986cbf-de11-4790-a7f1-9f8b41f2328d)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 380d2df3-6eac-43bf-9cac-ab2405031d94)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 78722572-add5-430e-9f2c-d9ecadf292a7)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 368c4cf7-7939-458d-b82f-2083d0b4c156)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1658eeb7-4224-42ce-95cb-38bbba42f074)) + (pad "1" smd roundrect (at -0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "/Application FPGA/APP_+1.2_PLL") (pintype "passive") (tstamp 42b9320f-2691-4dd7-8958-85a2e4f4ca4b)) + (pad "2" smd roundrect (at 0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 1645cefb-d02d-46aa-b3be-f8e3b94b307a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552970) + (at 113.8 92.75 135) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1503") + (attr smd) + (fp_text reference "C18" (at 0 -1.16 135) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 91245f34-c0ac-4a94-a5e2-673583624024) + ) + (fp_text value "0.10uF" (at 0 1.16 135) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 14b7d920-4343-47e0-9651-b255393f36a4) + ) + (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 5438f6dd-de21-4bad-9810-f51ee6c1f833) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 42481e17-c82d-451e-b528-21c542a5e4f0)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b58df5f3-92dc-4070-8b6d-7e752c98bce5)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e91b7856-0682-4fd0-96c1-d6565a8697bb)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bb60ebb-cdf0-46c7-bec0-0c57b6b5c96d)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6b36b158-41fc-47be-8d5e-5b12bc423c22)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb80dd55-3ea5-4682-ac71-8146f7928902)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0531e38-0811-4336-9e5a-fd03c837aa8a)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1bfb139c-9124-4f94-b93d-78625462a194)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 377cf5f9-be2c-4084-9725-71d0840b9d2b)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 43911a6b-a25a-493c-bc28-fc21d2fe7484)) + (pad "1" smd roundrect (at -0.39 0 135) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+2V5") (pintype "passive") (tstamp b1b05265-ebbe-46d0-8f26-861dba6f0f2d)) + (pad "2" smd roundrect (at 0.39 0 135) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 2860347f-3e9f-4263-9d85-0681df9e9c63)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552981) + (at 124.2 93 45) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615530a8") + (attr smd) + (fp_text reference "C19" (at 0 -1.16 45) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ef996755-edcc-43b8-b2ad-00cf3c9ab040) + ) + (fp_text value "0.10uF" (at 0 1.16 45) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5f684fde-122e-4d79-bc3d-24f2a52bfcc7) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 3f25ab0c-0c7f-4026-bace-0fcadf4616be) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b9f136ed-c222-4126-8e7c-2e0b8593d543)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f2bd3cc-0ebf-4311-9fc7-27367e50b744)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f010910f-27bd-4c35-a297-b984676fb7da)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8897472b-65ba-4ef0-a68b-040dc3a4f59b)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d4903b5a-443b-481f-88d9-1ed64bc413e2)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 102039b7-d700-496a-9813-9d0c8e92273b)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fac0bbcf-ac01-422f-bb86-87201bec75cb)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20e75239-e95c-4a34-95b4-855ec49d49f4)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d55187c9-a467-4bc6-bf4d-6f4bfff9dfc2)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e6918ee4-2918-4564-8c32-527a9ec50ec1)) + (pad "1" smd roundrect (at -0.39 0 45) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 3eef536b-5a02-4702-86a7-53ea2ae9ed36)) + (pad "2" smd roundrect (at 0.39 0 45) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 12af064e-84dc-498a-99a6-77c8056c6f6d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552992) + (at 115.5 98.9 -135) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1506") + (attr smd) + (fp_text reference "C20" (at 0 -1.16 45) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f1d2d6f1-7ac1-43c5-874e-157d796e29ce) + ) + (fp_text value "0.10uF" (at 0 1.16 45) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6a89d0e5-11e5-42ec-b66f-771e26ccb8b4) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 9d7d814b-c5bd-4b64-90ff-5fc263abfed7) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a6c49b21-2ef8-416d-9a08-c9d0fd5e0bf0)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75463f23-75fe-437c-88c9-686dddc59dbe)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp adf7d60c-c1ea-4acb-977f-3e8faa5635f9)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 02824958-bdee-464f-b8ed-e7ced7ada6ab)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d338515-d03d-40d7-9ff5-e83de776774c)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ba4570f-e4b1-409a-82d7-f14fc3a500d0)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d4c07cd-e3cf-40ae-80d8-8ed356b62810)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6b8531ab-5288-4a48-b969-16f13293b3bc)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 62f23f4d-b970-428d-a877-4992c0b0b79d)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 34abf0c1-6e36-4abe-867b-53caa94e819f)) + (pad "1" smd roundrect (at -0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+1V2") (pintype "passive") (tstamp 33954724-d5e5-46ae-8aee-b45ef1ee7a88)) + (pad "2" smd roundrect (at 0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 16185abe-89cc-4b4d-8d66-6bb9585c931f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000615529a3) + (at 116.2 99.6 -135) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615530a2") + (attr smd) + (fp_text reference "C21" (at 0 -1.16 45) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 81780b19-5227-4e19-a964-3466705c43f7) + ) + (fp_text value "0.10uF" (at 0 1.16 45) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 19a5c97b-d7a3-4c96-8be5-05a0fbaba0c4) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 08777c0b-96f5-45e2-a033-33ca91a966d7) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80f52858-35bb-45ed-b02a-80c187a25783)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec9071f1-f0d4-4888-9e74-23a0f6e2d0cc)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a1963cb-82f0-410c-82d7-029ffe4304a8)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5714be30-4bd3-4d20-b42a-61455434e627)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5655e37c-e015-4ee1-b801-120b75e70c91)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da969fdd-033a-40c4-873b-2f2291aa4478)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 52f6e4e4-99f0-4175-b3ea-c5441c27d27c)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e55dec6-402b-4b2e-8c27-a9e679c47ff8)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50a481ea-5772-4e7d-99ee-258720049e25)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 17a9806e-ffc1-4e4e-98e0-06f7c81a17e9)) + (pad "1" smd roundrect (at -0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp b3666649-47cf-43ce-a0e7-27c13564c759)) + (pad "2" smd roundrect (at 0.39 0 225) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp c8572859-acd6-4512-b28c-db4f2e2ac41c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000615529d7) + (at 112.5 90.85 180) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (property "Manufacturer" "Foshan NationStar") + (property "Manufacturer Part Number" "NCD0402B1") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (property "Supplier" "LCSC") + (property "Supplier Part Number" "C130724") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000618029cb") + (attr smd) + (fp_text reference "D1" (at 2.2 0) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d1eb6e98-7158-4a94-a118-b1e0b7d61df6) + ) + (fp_text value "0402 indicator LED, blue" (at 0 1.17) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp baa70a4e-f765-4127-996c-f426a0c25287) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 9ca1ced0-06db-45b5-badc-17ac876319e2) + ) + (fp_circle (center -1.09 0) (end -1.04 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.SilkS") (tstamp e83ad8bd-fedd-458c-ad5a-69871f9a7481)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4977ccd3-c093-4a84-a940-2b7f24261da0)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b649784e-b6fa-4d0a-97a8-ce6df9c20fb3)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b3f0e766-0f9e-49fb-af91-d6eb54220d05)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00cbc649-24f1-4303-ba33-cd561c64548c)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cdf61c1b-36f6-4ccf-bfad-b45849626bec)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6f12bc5d-a1b0-450a-b930-2f91c95f0163)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2a8ce4b9-53c3-49cb-81be-2350aa294e0f)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0c19a27-db79-4d23-9cfe-1bb349e8658a)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bcc4c718-4e93-4e65-9235-d828065c16f2)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a84b840d-f1ef-41a9-8b8d-b882e660d55a)) + (pad "1" smd roundrect (at -0.485 0 180) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "Net-(D1-Pad1)") (pinfunction "K") (pintype "passive") (tstamp 2f45e3dd-0214-489f-9929-6ef21f030dff)) + (pad "2" smd roundrect (at 0.485 0 180) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+2V5") (pinfunction "A") (pintype "passive") (tstamp a2974944-e845-4fc6-82e8-0b4e329ca6b8)) + (model "${KICAD6_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:0402rgb-1010" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000615529f3) + (at 126 98.95 90) + (property "Manufacturer" "Foshan NationStar") + (property "Manufacturer Part Number" "FC-B1010RGBT-HG") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "Supplier" "LCSC") + (property "Supplier Part Number" "C158099") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615e01fa") + (attr smd) + (fp_text reference "D3" (at 0.01524 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp bd397544-ff56-4d51-b3c9-1b9f1f5e47be) + ) + (fp_text value "LED_ARGB" (at 0.0635 -1.54178 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f9f308d7-b844-4d61-97b2-be32493c4dd7) + ) + (fp_poly + (pts + (xy -0.6096 -0.60198) + (xy -0.29972 -0.60198) + (xy -0.29972 -0.25146) + (xy -0.6096 -0.25146) + (xy -0.6096 -0.45212) + ) + + (stroke (width 0.01) (type solid)) (fill solid) (layer "F.Paste") (tstamp 432159f1-6ae4-4ef4-a946-9e666d388f88)) + (fp_poly + (pts + (xy -0.60198 0.24892) + (xy -0.2921 0.24892) + (xy -0.2921 0.59944) + (xy -0.60198 0.59944) + (xy -0.60198 0.39878) + ) + + (stroke (width 0.01) (type solid)) (fill solid) (layer "F.Paste") (tstamp 39f40aaa-21ba-483e-bd0b-4ebb5d156b94)) + (fp_poly + (pts + (xy 0.28956 -0.60198) + (xy 0.59944 -0.60198) + (xy 0.59944 -0.25146) + (xy 0.28956 -0.25146) + (xy 0.28956 -0.45212) + ) + + (stroke (width 0.01) (type solid)) (fill solid) (layer "F.Paste") (tstamp adb76a2a-bf68-4549-b705-c85f6ebc67ff)) + (fp_poly + (pts + (xy 0.2921 0.25146) + (xy 0.60198 0.25146) + (xy 0.60198 0.60198) + (xy 0.2921 0.60198) + (xy 0.2921 0.40132) + ) + + (stroke (width 0.01) (type solid)) (fill solid) (layer "F.Paste") (tstamp e4c46226-7b35-4875-ac0e-124e67d7599d)) + (fp_line (start 0.6477 0.4191) (end 0.6477 0.65278) + (stroke (width 0.05) (type solid)) (layer "F.SilkS") (tstamp f1588d22-76aa-429a-a1f8-983ff509ccce)) + (fp_line (start 0.6477 0.65278) (end 0.44704 0.65278) + (stroke (width 0.05) (type solid)) (layer "F.SilkS") (tstamp 0b15f4f9-1505-417c-9138-2fb4322440c7)) + (fp_line (start -0.8 -0.8) (end 0.8 -0.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 86af766d-6b15-4b5b-88af-d0249ebdfc4e)) + (fp_line (start -0.8 0.8) (end -0.8 -0.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cc72aed2-4aae-4bd8-a39d-953a894a4e46)) + (fp_line (start -0.8 0.8) (end 0.8 0.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2bdb6275-447c-48c2-a84b-aa998dd2916b)) + (fp_line (start 0.8 0.8) (end 0.8 -0.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ea2c0fc1-2833-4b4e-b574-ad2fc842bfc0)) + (fp_line (start -0.6 -0.6) (end 0.6 -0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 893925c2-1ab8-47db-9b31-6f7c1392d4b2)) + (fp_line (start -0.6 0.6) (end -0.6 -0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84afeae7-d3ce-4bad-bd47-1af44e760c0d)) + (fp_line (start 0.3 0.6) (end -0.6 0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f776380-fcbf-4da6-96c0-055517925d40)) + (fp_line (start 0.3 0.6) (end 0.6 0.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5409cc31-4322-4031-ade5-4014b3199ad7)) + (fp_line (start 0.6 -0.6) (end 0.6 0.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3034e429-f9f9-44a2-8240-03a24b63e1fb)) + (pad "1" smd rect (at 0.38 0.38 90) (size 0.45 0.45) (layers "F.Cu" "F.Mask") + (net 3 "+3V3") (pinfunction "A") (pintype "passive") (tstamp b7a8bb85-3cbd-412a-a236-de199b98a95e)) + (pad "2" smd rect (at -0.38 0.38 90) (size 0.45 0.45) (layers "F.Cu" "F.Mask") + (net 6 "Net-(D3-Pad2)") (pinfunction "RK") (pintype "passive") (tstamp e30bacad-bc87-4d97-871f-8cd10b44fad9)) + (pad "3" smd rect (at -0.38 -0.38 90) (size 0.45 0.45) (layers "F.Cu" "F.Mask") + (net 8 "Net-(D3-Pad3)") (pinfunction "GK") (pintype "passive") (tstamp ecae4260-91c4-40c9-afb8-0400b711958b)) + (pad "4" smd rect (at 0.38 -0.38 90) (size 0.45 0.45) (layers "F.Cu" "F.Mask") + (net 7 "Net-(D3-Pad4)") (pinfunction "BK") (pintype "passive") (tstamp 10462b04-fbbf-48c0-9377-7042f2677f34)) + (model "${KIPRJMOD}/../mta1-library/mta1.pretty/3d_models/1010LED-FC-B1010RGBT-HG v3.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552aac) + (at 103.55 88.7 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006121b215") + (attr smd) + (fp_text reference "R1" (at 0 -1.17 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 85541556-9fec-4b06-93ea-83a6b4c1ab48) + ) + (fp_text value "10k" (at 0 1.17 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 460e763b-39db-4181-a1bc-5b34916847ce) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 6ea910d7-680f-49e3-8e12-a7ee6c6eef7b) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 693e80cf-70d8-4b93-9540-ac5d51279e7d)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 07c7ebc7-dec6-4e30-8fe2-020635fc098d)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d4ebc5a1-634e-4e24-91d0-73f369444d7c)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 370c363b-f4a9-4030-b517-5a52cd35f43e)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 11df8db3-2108-4e30-b5e1-7ab68f03ab32)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e28481bb-1375-4651-9478-448db4f33dcd)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e38d1d7d-451f-4e18-b366-237933a2af00)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a6513309-a01b-4821-bb6c-eca3fbd0ec17)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3061572e-38b0-48ac-9678-6b0f5ee24d8a)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c69cf5c8-a8e9-4db6-bb3a-624ae130a264)) + (pad "1" smd roundrect (at -0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp 276c84fb-2a4a-4b89-98cb-a3a302b0c5b0)) + (pad "2" smd roundrect (at 0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "Net-(R1-Pad2)") (pintype "passive") (tstamp 2f866294-ed59-4edb-a038-8922fe21a220)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552abd) + (at 103.55 92.65 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006123854c") + (attr smd) + (fp_text reference "R2" (at 0 -1.17 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2a2e595d-ffb3-4066-b108-36c387a8ade3) + ) + (fp_text value "10k" (at 0 1.17 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dac94632-6305-4ac2-900b-c34ee32944ab) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp bf392c7b-737c-4cbe-bb86-1a2bb0765818) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 034f22e5-c95b-484f-a201-42183ca8eb39)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e90589dc-3de8-4b42-a39a-6c3a182e90a7)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32cec07c-033a-4dbd-9616-d391d29c4c52)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b96043e1-e491-4fb3-9711-c00e3e48e2e1)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46598995-69a5-46cc-84ed-6035b2023d85)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dcefd506-b8ad-4db1-82c9-62e095be2d0d)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 33b82b5b-640d-4430-be2b-cc918d4c9dd6)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c714c2a-0b1b-4214-b6af-18ad2bb9d064)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 15e3730c-632c-4bd8-8acb-eabd46ebc20f)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1f442549-bab1-467a-b556-267db8d9444e)) + (pad "1" smd roundrect (at -0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp 095e1ba3-2974-4f8f-a24c-c096c7121cf9)) + (pad "2" smd roundrect (at 0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "VCC_OK") (pintype "passive") (tstamp f07d0ccf-31d7-45b6-9241-6513028d66c7)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552bab) + (at 121.6 99.6 -45) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615e0200") + (attr smd) + (fp_text reference "R16" (at 0 -1.17 135) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1eb16441-c29c-41eb-9474-8ac1b59b17fe) + ) + (fp_text value "1k" (at 0 1.17 135) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a33dac0a-21a9-4575-bf19-fe91b8890328) + ) + (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 5a0a44be-b42e-41e4-8377-60c8cb74ccae) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp feb2bb9b-3312-462e-91c5-bc6b6e63f2b9)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c2e3562d-89a4-4952-95bb-3f1f60f58b2f)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca44be6d-a505-4c7e-892f-77b306f7b644)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 064e4403-8091-45dd-95c4-fba3e0a0eb7e)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 77d11f26-a74e-4354-b171-6a1be940ed54)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2f8d64f-308f-464d-bee7-f612f3ea3476)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c5b21375-8ac4-4378-a2ca-4de1a98394f7)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0b3bc7b1-a762-4791-8865-8335b6256fd6)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ee11374-d8fe-48f0-be7e-9834eb9fd851)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a737643b-ca3d-4c26-b476-8ec8120d6178)) + (pad "1" smd roundrect (at -0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "APP_LED_RED") (pintype "passive") (tstamp c0f50edd-aaa2-47a3-ab41-50d9f4e07a83)) + (pad "2" smd roundrect (at 0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 6 "Net-(D3-Pad2)") (pintype "passive") (tstamp 3309f1ac-1262-4a77-bbb3-ef1ef20b02a5)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552bbc) + (at 122.3 98.9 -45) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f150b") + (attr smd) + (fp_text reference "R17" (at 0 -1.17 135) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1064022f-7ded-49fe-83bf-2afe3f641c0f) + ) + (fp_text value "1k" (at 0 1.17 135) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b42225cc-9a18-4d90-80f5-7deea5ae0248) + ) + (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 9d20650d-8c1a-4814-97fe-c9526953db91) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3d6cf19-a7b1-4c0f-aff4-8c2e01109f44)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ff6d67a-ae07-4df1-8d40-a1959d7b1b86)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 90f2c5ac-d7b5-47bc-8f31-797397d14ce6)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e66f6591-8777-49a0-800b-e6bdd1565c2c)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 12540c03-0146-4564-a352-86662ffefa66)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 23379294-528e-4cff-80e1-bc9bacdce05b)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d2d32c8f-9cbd-476a-a6d5-f93fcd37d4b1)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp efa60911-e8ca-4382-9e55-e373ecba9fe2)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee961f3c-e6d6-4740-bdd7-32c85c3ee57b)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f91e1597-aea4-43e4-828c-80349c0ccec9)) + (pad "1" smd roundrect (at -0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "APP_LED_GREEN") (pintype "passive") (tstamp 456d3c1f-6e73-4a78-a8a6-bde19e84a3d6)) + (pad "2" smd roundrect (at 0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "Net-(D3-Pad3)") (pintype "passive") (tstamp 1c47f60d-ba5b-40ff-ae1a-57c56e79d05b)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552bcd) + (at 123 98.2 -45) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f150c") + (attr smd) + (fp_text reference "R18" (at 0 -1.17 135) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0c71bda8-11ba-4984-8534-6d842572be73) + ) + (fp_text value "1k" (at 0 1.17 135) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7c31be7d-5f0c-4a2f-887c-398ec706d020) + ) + (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 45d6ca36-34ad-4049-a6e3-f78c9835bc7a) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae7abede-f5ae-426b-af4f-404a88f1176d)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7584c897-8ae7-405a-a23b-0a18ed7fa21a)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 102d3f81-18e3-4925-b3b9-b05779640c26)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 55f71824-993f-4635-95ce-cf24f6c6cd14)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c2ca64bf-d1c0-4880-bc82-ccdcb3431e36)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d6f1fce-44bc-45ae-b327-556421ea603e)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b6a5b4ea-5758-4277-b090-7b7b148fc0f3)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d8c1a070-d8d0-4eba-80bd-400972266c26)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1cbc8570-6077-4a0c-8f74-7bf0dc51b0ae)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc2b8a73-ea8c-4144-8435-8af83f6b49e4)) + (pad "1" smd roundrect (at -0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 19 "APP_LED_BLUE") (pintype "passive") (tstamp d7e1fb39-a384-4fdb-b1a8-33f80f17ec2f)) + (pad "2" smd roundrect (at 0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "Net-(D3-Pad4)") (pintype "passive") (tstamp 4b8799aa-9a1f-4878-86b9-52691b137193)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552bde) + (at 122.7 91.65 -135) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138f043") + (attr smd) + (fp_text reference "R19" (at 0 -1.17 45) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7d329339-c275-43da-88e5-137ba7fc8af7) + ) + (fp_text value "10k" (at 0 1.17 45) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e48434e5-f36b-4c0c-a93b-612707921a88) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp b7f72fd1-a120-4061-8768-cdf0233f123b) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0a36433-ee07-4eed-be02-830401b43bf9)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51685e16-c56a-4107-90b9-fc766dfc16ef)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp efdfffb5-7fd6-4f6e-a2c3-327b8a83b21d)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e685139-305a-4a3e-bae4-8d11e7e546f6)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 436cd454-872c-4e9e-93fb-943bf7378f47)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2d3961c-eec5-4e74-8c6e-4a45feaef9f0)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 67a92bfc-d287-42b8-9a92-107baa759573)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c301b4e-57e6-41dd-ab58-0287f95da95c)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a6a5df31-23d6-46ee-a4bb-0b2d041b5df1)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a6cad993-2422-4364-8096-2078a759cb6f)) + (pad "1" smd roundrect (at -0.4 0 225) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 27560558-6cf6-471f-8039-3c01076d601e)) + (pad "2" smd roundrect (at 0.4 0 225) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "APP_CDONE") (pintype "passive") (tstamp 8add40c0-f33c-4af1-988e-dd687153a1d0)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23-6" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552d25) + (at 105 95.6 180) + (descr "SOT, 6 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AB), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "Manufacturer" "ST") + (property "Manufacturer Part Number" "USBLC6-2SC6") + (property "Sheetfile" "usb_to_serial.kicad_sch") + (property "Sheetname" "USB to Serial converter") + (property "Supplier" "Digikey") + (property "Supplier Part Number" "497-5235-1-ND") + (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-00006179d455") + (attr smd) + (fp_text reference "U5" (at 0 -2.9) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c91d752e-abf3-4d66-84d5-8d0d40c7b7fa) + ) + (fp_text value "USBLC6-2SC6" (at 0 2.9) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 665f292b-7034-4406-810a-4c154af82c27) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 415b93a8-f2d0-42cc-8f0a-77ff9a0a765d) + ) + (fp_line (start 0 -1.56) (end -1.8 -1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84fb99cd-cd4d-45fa-95d5-fc922374f67b)) + (fp_line (start 0 -1.56) (end 0.8 -1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab31a468-e09c-465e-b0b4-37609a1c727e)) + (fp_line (start 0 1.56) (end -0.8 1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp da6f6b87-df1d-4781-a872-eecf7bf4c3e4)) + (fp_line (start 0 1.56) (end 0.8 1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp afddb59b-8aa3-42d3-aa90-fe3ced6ceb1e)) + (fp_line (start -2.05 -1.7) (end -2.05 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5681d2b-0499-45c5-831e-5e410cc5e54e)) + (fp_line (start -2.05 1.7) (end 2.05 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7dfe7602-471c-4188-a229-9f6d8c682255)) + (fp_line (start 2.05 -1.7) (end -2.05 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 88416e41-d4a0-49f0-86ac-24be5d35ca27)) + (fp_line (start 2.05 1.7) (end 2.05 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5dd68530-a721-4211-891d-c601d6d26953)) + (fp_line (start -0.8 -1.05) (end -0.4 -1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1dba1ca4-0289-40b8-aa12-61483902b062)) + (fp_line (start -0.8 1.45) (end -0.8 -1.05) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65a807e3-8829-4514-b345-941e991cdeda)) + (fp_line (start -0.4 -1.45) (end 0.8 -1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f1a80c30-51b9-4c20-9b0e-12caf36f06b2)) + (fp_line (start 0.8 -1.45) (end 0.8 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c445254e-cfb9-4fd0-b401-6ee0db942ed8)) + (fp_line (start 0.8 1.45) (end -0.8 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e49e4ce6-9064-4dba-a282-1261bcf246b0)) + (pad "1" smd roundrect (at -1.1375 -0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 22 "INT_USB_D+") (pinfunction "I/O1") (pintype "passive") (tstamp 2d0be82c-db87-4414-9a93-820f027cd050)) + (pad "2" smd roundrect (at -1.1375 0 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp 96e3c16f-bdb4-400b-9cc2-a12b47292224)) + (pad "3" smd roundrect (at -1.1375 0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "INT_USB_D-") (pinfunction "I/O2") (pintype "passive") (tstamp 78a7aa48-e728-415c-84af-997480c5f1e6)) + (pad "4" smd roundrect (at 1.1375 0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "/USB to Serial converter/INT_USB_IN_D-") (pinfunction "I/O2") (pintype "passive") (tstamp c919be7b-6e8b-4482-a776-d69274b39cfb)) + (pad "5" smd roundrect (at 1.1375 0 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp 1be42dd1-483e-4780-b59a-51b4b4eaf271)) + (pad "6" smd roundrect (at 1.1375 -0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "/USB to Serial converter/INT_USB_IN_D+") (pinfunction "I/O1") (pintype "passive") (tstamp e3e733fc-6003-48f4-bfa2-84704a886f5a)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061552d80) + (at 118.9 95.1 -135) + (descr "QFN, 48 Pin (http://www.st.com/resource/en/datasheet/stm32f042k6.pdf#page=94), generated with kicad-footprint-generator ipc_noLead_generator.py") + (tags "QFN NoLead") + (property "Manufacturer" "Lattice") + (property "Manufacturer Part Number" "ICE40UP5K-SG48ITR") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "Supplier" "Digikey") + (property "Supplier Part Number" "220-2145-2-ND") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006131a243") + (attr smd) + (fp_text reference "U6" (at 0 -4.82 45) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 27660951-bc32-4ea5-ba42-0ef07076d244) + ) + (fp_text value "ICE40UP5K-SG48ITR" (at 0 4.82 45) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 75e6177c-2ad8-4c4b-ba29-e45f39777b0b) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a4d0d1c4-424a-44ed-b5dd-d6d747777f26) + ) + (fp_line (start -3.61 3.61) (end -3.61 3.135) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 728abb51-2c26-4065-a51e-9694737f95f5)) + (fp_line (start -3.135 -3.61) (end -3.61 -3.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5d046470-7d63-43b1-b168-861c6a866e5b)) + (fp_line (start -3.135 3.61) (end -3.61 3.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bd8131ea-18a2-4854-b35d-1cfc3e50f026)) + (fp_line (start 3.135 -3.61) (end 3.61 -3.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6a49bf78-50d8-4ce3-be0c-b3437e252e24)) + (fp_line (start 3.135 3.61) (end 3.61 3.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9226f8e1-4305-4d2d-b135-b03fdcbdb0e6)) + (fp_line (start 3.61 -3.61) (end 3.61 -3.135) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5f7728cc-0e1b-4748-97d8-c5a933a71336)) + (fp_line (start 3.61 3.61) (end 3.61 3.135) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 68de64af-ca33-4de5-9cb4-55ad55b1514f)) + (fp_line (start -4.12 -4.12) (end -4.12 4.12) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e4c1434-a1c2-4501-82ab-ac5a13f0db2c)) + (fp_line (start -4.12 4.12) (end 4.12 4.12) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5d2a3ffa-2583-482d-91cf-dec98bd79704)) + (fp_line (start 4.12 -4.12) (end -4.12 -4.12) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 90655309-69a7-499d-927b-6d45d2b63f5f)) + (fp_line (start 4.12 4.12) (end 4.12 -4.12) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7f46307-b9cc-4fa4-8a59-d19bc7b6c852)) + (fp_line (start -3.5 -2.5) (end -2.5 -3.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b50c6138-4967-43b7-b501-342b8543747d)) + (fp_line (start -3.5 3.5) (end -3.5 -2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f46e51c7-f709-4157-a23c-bd0607d33a28)) + (fp_line (start -2.5 -3.5) (end 3.5 -3.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7cd5cafa-6ec4-4d07-9995-0dce57d7f457)) + (fp_line (start 3.5 -3.5) (end 3.5 3.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89a689c4-a5f5-4ac2-8349-b5adbc5d802c)) + (fp_line (start 3.5 3.5) (end -3.5 3.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b82fcd3f-61a2-404f-9095-16a50ccf5e1c)) + (pad "" smd roundrect (at -2.1 -2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp bc172167-06d4-488e-be31-e24fc9dc5b76)) + (pad "" smd roundrect (at -2.1 -0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp c28c32aa-63a3-4a7e-b668-625e9314bb28)) + (pad "" smd roundrect (at -2.1 0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp d8e267f6-e0a6-4488-b12d-9c2a3cb78ade)) + (pad "" smd roundrect (at -2.1 2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp e1328f87-8fbf-4852-aec5-a6e4d77e7324)) + (pad "" smd roundrect (at -0.7 -2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp d26fceaa-0fe2-4477-ba8b-6c0484ac0614)) + (pad "" smd roundrect (at -0.7 -0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 3ec66170-9959-466e-944a-d113aaf3aa02)) + (pad "" smd roundrect (at -0.7 0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 55c8dae7-9cdc-47a5-85a2-a761ed9956ee)) + (pad "" smd roundrect (at -0.7 2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 10e7a807-7c86-4523-b36e-91d40777f67d)) + (pad "" smd roundrect (at 0.7 -2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 9a11df6e-48d6-498e-a68a-4227347ab056)) + (pad "" smd roundrect (at 0.7 -0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 044e0592-9a87-405f-a460-50c34fd41a1a)) + (pad "" smd roundrect (at 0.7 0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 4cbdd53a-f470-4de9-a079-ba839de76ff0)) + (pad "" smd roundrect (at 0.7 2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 454afd75-470f-4a3d-b3aa-7bd2a00c3f2e)) + (pad "" smd roundrect (at 2.1 -2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp c0ecc375-5e6e-4bb5-ac23-be21ce6ab07a)) + (pad "" smd roundrect (at 2.1 -0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 73db61a2-e66f-41aa-ac6a-a8adaa1b31ad)) + (pad "" smd roundrect (at 2.1 0.7 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp d85f7eea-61c5-46a5-922a-5bc97e055ad9)) + (pad "" smd roundrect (at 2.1 2.1 225) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.2212389381) (tstamp 09047c60-b432-4721-9588-b4f0e2d1ccb7)) + (pad "1" smd roundrect (at -3.4375 -2.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCCIO_2") (pintype "power_in") (tstamp a833e73f-1331-4db1-8937-521827ba81f1)) + (pad "2" smd roundrect (at -3.4375 -2.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "unconnected-(U6-Pad2)") (pinfunction "IOB_6a") (pintype "bidirectional+no_connect") (tstamp a1f3e297-bc5b-4f23-a403-ce66d26fa47e)) + (pad "3" smd roundrect (at -3.4375 -1.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "unconnected-(U6-Pad3)") (pinfunction "IOB_9b") (pintype "bidirectional+no_connect") (tstamp f5ba1845-f061-4173-b716-81a9efeafc97)) + (pad "4" smd roundrect (at -3.4375 -1.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "unconnected-(U6-Pad4)") (pinfunction "IOB_8a") (pintype "bidirectional+no_connect") (tstamp 01e73b77-7c00-4bbe-a3e3-e01fc8c5247a)) + (pad "5" smd roundrect (at -3.4375 -0.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+1V2") (pinfunction "VCC") (pintype "power_in") (tstamp f1f14068-8ff9-4859-9782-aa94889da600)) + (pad "6" smd roundrect (at -3.4375 -0.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "TOUCH_EVENT") (pinfunction "IOB_13b") (pintype "bidirectional") (tstamp 20148975-352b-4236-99e3-455ca3d81d13)) + (pad "7" smd roundrect (at -3.4375 0.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "APP_CDONE") (pinfunction "CDONE") (pintype "open_collector") (tstamp 9c113afa-3288-4f73-8ed0-d53d7dda48d0)) + (pad "8" smd roundrect (at -3.4375 0.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "APP_CRESET") (pinfunction "~{CRESET}") (pintype "input") (tstamp c350a917-34d8-4d60-b737-ba6a7da4e795)) + (pad "9" smd roundrect (at -3.4375 1.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "unconnected-(U6-Pad9)") (pinfunction "IOB_16a") (pintype "bidirectional+no_connect") (tstamp 5fca5dad-a903-4b19-8599-b66c361ccc4a)) + (pad "10" smd roundrect (at -3.4375 1.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "unconnected-(U6-Pad10)") (pinfunction "IOB_18a") (pintype "bidirectional+no_connect") (tstamp 4db0adee-f630-4c4f-9877-a6ecef447df0)) + (pad "11" smd roundrect (at -3.4375 2.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "unconnected-(U6-Pad11)") (pinfunction "IOB_20a") (pintype "bidirectional+no_connect") (tstamp 4cd6df93-b7ca-4690-b707-7e7ec743c796)) + (pad "12" smd roundrect (at -3.4375 2.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "unconnected-(U6-Pad12)") (pinfunction "IOB_22b") (pintype "bidirectional+no_connect") (tstamp 50ec4ed9-dbcd-400a-89d9-8fb934ed75fe)) + (pad "13" smd roundrect (at -2.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 16 "unconnected-(U6-Pad13)") (pinfunction "IOB_24a") (pintype "bidirectional+no_connect") (tstamp 4c4c6277-2583-44d5-b7be-52af2c696adb)) + (pad "14" smd roundrect (at -2.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "APP_ICE_MISO") (pinfunction "IOB_32a_SPI_SO") (pintype "bidirectional") (tstamp 1391ea56-3745-4f2b-9273-875dbadb140f)) + (pad "15" smd roundrect (at -1.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "APP_ICE_SCK") (pinfunction "IOB_34a_SPI_SCK") (pintype "bidirectional") (tstamp 699ec0fb-dab5-450e-9218-375606df4b70)) + (pad "16" smd roundrect (at -1.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "APP_ICE_SS") (pinfunction "IOB_35b_SPI_SS") (pintype "bidirectional") (tstamp 10ce5094-b039-42a7-8f37-336f4f8ddc76)) + (pad "17" smd roundrect (at -0.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "APP_ICE_MOSI") (pinfunction "IOB_33b_SPI_SI") (pintype "bidirectional") (tstamp 2b7ee9eb-447b-4ffb-81ed-929687f2872d)) + (pad "18" smd roundrect (at -0.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "unconnected-(U6-Pad18)") (pinfunction "IOB_31b") (pintype "bidirectional+no_connect") (tstamp fa496d03-a442-44f6-89d6-26c26b7dea11)) + (pad "19" smd roundrect (at 0.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "unconnected-(U6-Pad19)") (pinfunction "IOB_29b") (pintype "bidirectional+no_connect") (tstamp a2ec0701-b2d2-42d7-85c6-e39a9dc91aae)) + (pad "20" smd roundrect (at 0.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "unconnected-(U6-Pad20)") (pinfunction "IOB_25b_G3") (pintype "bidirectional+no_connect") (tstamp f881af86-6c87-4d46-bd66-180afd5e301f)) + (pad "21" smd roundrect (at 1.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "unconnected-(U6-Pad21)") (pinfunction "IOB_23b") (pintype "bidirectional+no_connect") (tstamp 9792ce7a-cd58-4448-8c89-ece78d6130a2)) + (pad "22" smd roundrect (at 1.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "SPI_VCCIO1") (pintype "power_in") (tstamp c7813a95-4e31-4ee9-9efc-676685c323ba)) + (pad "23" smd roundrect (at 2.25 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "unconnected-(U6-Pad23)") (pinfunction "IOT_37a") (pintype "bidirectional+no_connect") (tstamp a2a12409-b785-435c-954b-6ba8bcf0896f)) + (pad "24" smd roundrect (at 2.75 3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+2V5") (pinfunction "VPP_2V5") (pintype "power_in") (tstamp 4192206a-8ef6-4964-93e2-f7a5a3e0a3bc)) + (pad "25" smd roundrect (at 3.4375 2.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 25 "INTERFACE_TX") (pinfunction "IOT_36b") (pintype "bidirectional") (tstamp 7108d50a-c5c8-4ae3-95b6-20d08942a2bc)) + (pad "26" smd roundrect (at 3.4375 2.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "INTERFACE_RX") (pinfunction "IOT_39a") (pintype "bidirectional") (tstamp 0d3e5b8c-68e8-4a5d-9e19-25b56b9ee13c)) + (pad "27" smd roundrect (at 3.4375 1.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "INTERFACE_CTS") (pinfunction "IOT_38b") (pintype "bidirectional") (tstamp c605a393-48ef-4973-a726-d78312820672)) + (pad "28" smd roundrect (at 3.4375 1.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "INTERFACE_RTS") (pinfunction "IOT_41a") (pintype "bidirectional") (tstamp a84d2e26-d040-4e52-8602-718a5a67a98b)) + (pad "29" smd roundrect (at 3.4375 0.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "/Application FPGA/APP_+1.2_PLL") (pinfunction "VCCPLL") (pintype "power_in") (tstamp 84671a89-39c3-4314-8dfd-14d85468e6aa)) + (pad "30" smd roundrect (at 3.4375 0.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+1V2") (pinfunction "VCC") (pintype "power_in") (tstamp 665b9257-b3e7-4386-a57f-c25633692529)) + (pad "31" smd roundrect (at 3.4375 -0.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "unconnected-(U6-Pad31)") (pinfunction "IOT_42b") (pintype "bidirectional+no_connect") (tstamp df9d489a-af65-46e2-a768-9bd96c30ee4a)) + (pad "32" smd roundrect (at 3.4375 -0.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "unconnected-(U6-Pad32)") (pinfunction "IOT_43a") (pintype "bidirectional+no_connect") (tstamp 8c0cb532-1927-4285-b61a-2ee422d00156)) + (pad "33" smd roundrect (at 3.4375 -1.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCCIO_0") (pintype "power_in") (tstamp 6a957a57-8e4d-459e-8046-5aaacfad32ee)) + (pad "34" smd roundrect (at 3.4375 -1.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "unconnected-(U6-Pad34)") (pinfunction "IOT_44b") (pintype "bidirectional+no_connect") (tstamp f64fe2cb-1401-4ba7-8212-0e1cb29ad247)) + (pad "35" smd roundrect (at 3.4375 -2.25 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "unconnected-(U6-Pad35)") (pinfunction "IOT_46b_G0") (pintype "bidirectional+no_connect") (tstamp da6b2638-8725-49d5-8b3b-157cb264d00e)) + (pad "36" smd roundrect (at 3.4375 -2.75 225) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "APP_GPIO1") (pinfunction "IOT_48b") (pintype "bidirectional") (tstamp 014e2a62-999b-4fd1-9d59-df5fc252f1b8)) + (pad "37" smd roundrect (at 2.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "unconnected-(U6-Pad37)") (pinfunction "IOT_45a_G1") (pintype "bidirectional+no_connect") (tstamp f2d67aca-5d42-47f6-9413-835c24d7472c)) + (pad "38" smd roundrect (at 2.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "APP_GPIO2") (pinfunction "IOT_50b") (pintype "bidirectional") (tstamp a1be5326-1273-4e11-842b-dc1892140acd)) + (pad "39" smd roundrect (at 1.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "APP_LED_RED") (pinfunction "RGB0") (pintype "open_collector") (tstamp 55388d05-1113-450b-9418-d20942410bd4)) + (pad "40" smd roundrect (at 1.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "APP_LED_GREEN") (pinfunction "RGB1") (pintype "open_collector") (tstamp 75437f45-6a80-4fbc-b7e6-79ff93c644a2)) + (pad "41" smd roundrect (at 0.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 19 "APP_LED_BLUE") (pinfunction "RGB2") (pintype "open_collector") (tstamp 9acef439-79c2-4e40-87a1-f5961c93dc67)) + (pad "42" smd roundrect (at 0.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "unconnected-(U6-Pad42)") (pinfunction "IOT_51a") (pintype "bidirectional+no_connect") (tstamp 06e2b7b0-e281-415d-aba7-77c6e799e573)) + (pad "43" smd roundrect (at -0.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "unconnected-(U6-Pad43)") (pinfunction "IOT_49a") (pintype "bidirectional+no_connect") (tstamp bdd165cc-b503-46be-89fe-e196e5f41dc5)) + (pad "44" smd roundrect (at -0.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "unconnected-(U6-Pad44)") (pinfunction "IOB_3b_G6") (pintype "bidirectional+no_connect") (tstamp 15ee890d-f99a-48c2-aa9a-ca199c3f2de0)) + (pad "45" smd roundrect (at -1.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "APP_GPIO3") (pinfunction "IOB_5b") (pintype "bidirectional") (tstamp 478c9ff3-6806-473d-bbdf-d6c1c1cb1c3f)) + (pad "46" smd roundrect (at -1.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "APP_GPIO4") (pinfunction "IOB_0a") (pintype "bidirectional") (tstamp ad5677cf-5a5d-4050-889a-d55fb779909b)) + (pad "47" smd roundrect (at -2.25 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "unconnected-(U6-Pad47)") (pinfunction "IOB_2a") (pintype "bidirectional+no_connect") (tstamp f385410e-7db6-4c07-a4c2-9e2049404091)) + (pad "48" smd roundrect (at -2.75 -3.4375 225) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "unconnected-(U6-Pad48)") (pinfunction "IOB_4a") (pintype "bidirectional+no_connect") (tstamp 7b2b2703-a532-4db1-a933-d07ffa052ec1)) + (pad "49" smd rect (at 0 0 225) (size 5.6 5.6) (layers "F.Cu" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0bb5cd34-d493-45c4-917f-8c4bd795b455)) + (model "${KICAD6_3DMODEL_DIR}/Package_DFN_QFN.3dshapes/QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1608X09L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000615ccfb2) + (at 104.94375 92.2 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "10V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006174ea42") + (attr smd) + (fp_text reference "C6" (at 0 -1.43 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4372b44a-6a1a-48c4-a545-84d22863eb44) + ) + (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 67e317d7-75c3-4f6e-9cfa-dc94088d3c8c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp ec3de4ef-d63c-462e-86b6-af8ddde029cc) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 423ecdfe-aade-46b4-bc86-71bc197ca1d6)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8eeaa4ac-ef35-4208-b1a2-5e796eabafe8)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce76c198-05fd-4483-b5e9-127ba18861ad)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0cf513c-f3f8-4a2b-9c3f-66cbe64d99a9)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8ea61f67-754c-45f6-8ab5-3d7452e958c2)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3d08b1b7-b890-4225-bcd7-b4b126342aef)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aeb6d1d2-2572-4405-8e7a-499a20d1ac6f)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c0eed23-907b-4176-8082-b7d821b78fc3)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 46300ee3-676c-47d7-910b-2c853e360ee5)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a30c0233-b127-4ea2-99b3-37ca42adf85b)) + (pad "1" smd roundrect (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp d7ee3cba-4adb-4fb9-91e5-a162a47a7de2)) + (pad "2" smd roundrect (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 935da6fa-edbc-4f0a-a60d-d9f48039cbac)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1608X09L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000615cd1d6) + (at 113.05 98.8 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "10V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617217f5") + (attr smd) + (fp_text reference "C25" (at 0 -1.43 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 33cf1533-e184-41b3-824e-0faa19aea0bb) + ) + (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 61770533-e5e2-472a-846e-db7b460f964b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp d554b27c-0a63-4840-94f2-56f4d934091d) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b08cf87-7f52-4a65-b630-4d1426bcb28e)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a254eb5-96b9-43b4-ae97-96b0792a11e6)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 901848fe-3ba5-4821-aaea-5a3455dcf306)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ee8b0ebe-f20f-4736-8c0e-6dbb21f76d46)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f807b7f-9bdd-490b-9c27-f1b566f2c11f)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 173c28cc-e9f0-43bb-96da-f7ddb3bb0e94)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8c6d5da5-0436-4332-b547-e8a89cac668a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 98f555da-b427-4c46-9a21-aeceb49ca111)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 136fda56-51e3-44bc-bc5a-91c383f2db61)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dd77c751-1cd9-458f-a68c-abe093c1cc3e)) + (pad "1" smd roundrect (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "/Application FPGA/APP_+1.2_PLL") (pintype "passive") (tstamp 231a3e67-7015-479f-a012-8bf45bee3f99)) + (pad "2" smd roundrect (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 206dc652-6aab-4da5-ae55-70e54b145fda)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:Ferritbead_0603_1608Metric" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000615cd24e) + (at 111.5 98.8 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Manufacturer" "Murata") + (property "Manufacturer Part Number" "BLM18KG300TN1D") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "Supplier" "Digikey") + (property "Supplier Part Number" "490-5447-1-ND") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617217ef") + (attr smd) + (fp_text reference "FB3" (at 0 -1.43 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6a22bec3-c411-4404-8202-e3d0b2844d1b) + ) + (fp_text value "BLM18KG300TN1D" (at -0.04 1.77 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0a80616c-6898-4cc5-a3f0-bc547bb20ee9) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 8e5444ba-2d73-4d47-ac5f-5841fc64e86f) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp df99a70a-d1ec-42b6-94c4-cc7c5cc73952)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4fc6dd57-4ba0-4d2b-9511-e2f6e596e150)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6793554e-091b-488f-8cd9-8afa8b0d7632)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 331e5b90-fbb6-47af-9cf0-c071f04185a7)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05c615cc-c093-470b-9a65-c28087c1eb84)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ee85285-a08c-4f48-8b2e-9c2558f0aca0)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 91980450-0e25-4227-a67f-615cbd17073d)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aafe03fd-85d8-4214-aa6e-898f89e27145)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dd352097-e92e-43b5-84bd-7486eabec57f)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a8ff3145-56ae-4f76-bbe4-cf7ae1099221)) + (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "/Application FPGA/APP_+1.2_PLL") (pintype "passive") (tstamp a524e57c-15d1-4fff-9e9a-7d1d647774d7)) + (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+1V2") (pintype "passive") (tstamp 3c6c68bc-d962-48e6-9a19-8fb7a28c5123)) + (model "${KIPRJMOD}/../mta1-library/mta1.pretty/3d_models/Ferriit bead-0603.step" + (offset (xyz 0 -0.25 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000615cd5f5) + (at 103 99 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (path "/00000000-0000-0000-0000-0000611a4eb9/c4c2a4ce-d12c-46e7-abc7-438cb069e22d") + (attr smd) + (fp_text reference "R22" (at 0 -1.17 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f14d2d64-daa8-4d75-b960-41576a329586) + ) + (fp_text value "10k" (at 0 1.17 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 84099be8-f41f-4927-b61c-c870a7c5044a) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp c2585497-9ee2-4b0f-b2c5-39dab5fc5be3) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bd17a0e4-9160-4c33-8a24-1d9ecbe8d9a8)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d32b0e17-6f71-415a-839d-71273f79b7a9)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca1f831c-9d03-48c5-964f-b8237afeddb5)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0966df63-a093-4100-a178-3a20b4b6a919)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c9dc8b5-0181-4a4b-acf2-1599d3fd5970)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f5e5755e-cc67-4122-94e4-9aa31871be9c)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 04d0d371-40cc-4791-93d2-cc66367f159a)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5a309e7b-b1c6-4923-9739-6bf49c52ff1b)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d471afc-4cbc-43b8-b3f1-69973ef25115)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4029d8e-a1fa-483f-ad4d-115a466b190e)) + (pad "1" smd roundrect (at -0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp 895e9604-841c-4793-bfc5-24d2129b3f0a)) + (pad "2" smd roundrect (at 0.4 0 270) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 20 "SPI_VCC_OK") (pintype "passive") (tstamp 3452aa4d-62dc-4fbf-a46a-b490759974f8)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000616cc614) + (at 103.55 90.65 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,1%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "usb_to_serial.kicad_sch") + (property "Sheetname" "USB to Serial converter") + (path "/00000000-0000-0000-0000-00006161400b/9858cd2c-db03-45a0-8bc5-55a6c8037bff") + (attr smd) + (fp_text reference "R29" (at 0 -1.17 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ddf20534-244f-4713-b8b9-eeb72f48babc) + ) + (fp_text value "5.1k" (at 0 1.17 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 789fedc2-a640-42b9-a4c0-0b6f70847b45) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp ed20b2e0-154f-489b-9552-026af72c4582) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56e74d20-c368-4c8d-940c-0c9e46ad53d5)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 719a1dea-ebbe-4e6d-a219-03b7332906aa)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a14cec84-88cc-41da-86ad-e3fa2affd4c6)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f8115bb8-9774-4744-b780-f07359d2434d)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e258f79-a518-4b07-89e8-a30e20f125a7)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4f958d36-95b6-4faf-a407-6ffdc897b0c5)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 722fc1b9-93ef-449c-aaf4-5c06bff7da7e)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9aa95828-ba00-4d4a-bb8c-b7227aaec5c2)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 058582f1-bce7-4bb9-80d8-1d5a7cf3f012)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b62c4f3c-e51b-4929-9e2f-2669ce3e8cac)) + (pad "1" smd roundrect (at -0.4 0 90) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "Net-(P1-PadA5)") (pintype "passive") (tstamp 12e30119-2e42-495d-b236-028c41feb019)) + (pad "2" smd roundrect (at 0.4 0 90) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp b0a33c63-bdbc-4c98-9f51-4ec34b22f8d4)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000616eca10) + (at 115.9 90.65 -45) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138caff") + (attr smd) + (fp_text reference "R20" (at 0 -1.17 135) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 970305e8-b4e6-4e6a-a486-7cb7c2e3a8c3) + ) + (fp_text value "10k" (at 0 1.17 135) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7b3afea4-199a-4ac2-9fa0-2f0d77c9c7ed) + ) + (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 61d129a6-6c04-45a0-8515-79a6863634f8) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 645690ed-f3e2-40aa-bb2d-03a9e6e5fc9e)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2854fbe0-c46c-4725-ada0-bb57c54f10b3)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7cd2808-d757-4484-aaaf-2f7645da6f82)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 613aa6f7-1f69-4e5b-87b8-eab787ed3ecf)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05515a0c-e795-42c4-9d72-7ae51e80ba01)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6b5f4820-4c07-4d91-bc21-53c55b6e6d80)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80d45b09-091f-4470-b5e3-130f820153d4)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6caa95d9-6d8b-4356-b71d-0985a5eb4f41)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e8853b6a-4c62-4e2e-8a7c-38e95f5dd85a)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e732f0d9-890e-43c4-bb3d-0a49fd9ae255)) + (pad "1" smd roundrect (at -0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 1df03625-223b-45e9-b862-97638f0e51c7)) + (pad "2" smd roundrect (at 0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "APP_CRESET") (pintype "passive") (tstamp 88032e48-a06a-42da-a13d-1d5d8724d9ec)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1608X09L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000616f0071) + (at 104.84375 88.9 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "10V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612188a6") + (attr smd) + (fp_text reference "C1" (at 0 -1.43 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c61515c2-e9f7-4675-a709-1d26b2846f7b) + ) + (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 784d8e67-c323-4774-9abf-365ee0c7e3c5) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 436901ac-af2c-4b15-bcbb-4c89bf38cb33) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e871bcc6-fe74-4c48-8d51-542b957e0d7e)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8297dd45-cb15-4211-a71b-8496aa13e3e4)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 428c4882-4fa2-4105-96dc-a3e7f24d9294)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ab2a6ee9-e7c6-4447-8d77-86b756a4f9f2)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8cef47cc-8fbc-43e8-aff5-46856bd38367)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9223820b-4426-453a-9fba-a1128c4f3437)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f256d4a5-b750-4ccf-bc0f-f5b71f73f02f)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2a8b7231-c98b-4bd1-a3d1-f9242d0de487)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ff5cfac1-10ef-4445-a9f9-4ba7faebd430)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1149f3c7-830c-4034-9f0c-970c22ed0993)) + (pad "1" smd roundrect (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp 0fdfb0ca-fb2e-49d4-924b-642c245af407)) + (pad "2" smd roundrect (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5af6c398-bbec-47cb-b75c-b3a813666494)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1608X09L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000616f00a1) + (at 110.45 88.9 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "10V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061222da3") + (attr smd) + (fp_text reference "C5" (at 0 -1.43 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e48e343a-7569-4b7c-84db-c0dd2ebf6525) + ) + (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bbb9ee83-0991-4d36-8531-3df2bfc0b089) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 2a709b4f-47c1-45c1-95e7-ad9b1b5eab15) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4491f528-e70c-4b14-af63-da2583152959)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 343b9080-f59a-4342-b723-b57cea0b0696)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c46619fc-eab9-4606-b541-1ac9e08da778)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f8004671-2cc2-4341-a861-8c0094c1b830)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac7e2fb0-08ff-4981-bf42-f6f10923af3f)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 313faf26-5c4e-48b3-8374-e7316b07e5d5)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 97b41c3f-fa68-4bf0-bbe9-00ce8b902aaa)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a5073a51-9397-441f-8f5b-2613c7b7d343)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5d45760e-9f6e-4e01-b81e-bc8c0662f72b)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d4f684f8-8e75-4070-b3ec-3ea03cfa8ef5)) + (pad "1" smd roundrect (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+1V2") (pintype "passive") (tstamp eee308b2-ab1e-488c-9407-e9206c9d7d2f)) + (pad "2" smd roundrect (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 589493fa-bb8e-4839-8545-fb6544fdb312)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23-5" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000616f00ea) + (at 107.64375 89) + (descr "SOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "Manufacturer" "Micrel Inc.") + (property "Manufacturer Part Number" "MIC5258-1.2YM5") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (property "Supplier" "Digikey") + (property "Supplier Part Number" "2156-MIC5258-1.2YM5-ND") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006125d556") + (attr smd) + (fp_text reference "U2" (at 0 -2.9) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 751dac96-c540-4ada-9d76-4481de5e1e39) + ) + (fp_text value "MIC5258-1.2YM5" (at 0 2.9) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8a41c979-125c-4e1f-ad46-474915a2e4b5) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 0a2cba25-2364-42ee-8cee-ba91bc2ac5a5) + ) + (fp_line (start 0 -1.56) (end -1.8 -1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 252babf9-18c8-4188-b133-530d1c309a42)) + (fp_line (start 0 -1.56) (end 0.8 -1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5da0398e-268c-4f3d-b1a2-7e6ed0a5acaf)) + (fp_line (start 0 1.56) (end -0.8 1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 43f30d59-714f-4bad-98a3-5b688f75bff9)) + (fp_line (start 0 1.56) (end 0.8 1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 43a44da6-8a26-4f6b-bfab-90bd54eff706)) + (fp_line (start -2.05 -1.7) (end -2.05 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00c966db-fee4-4b2d-b584-cd1ebad79435)) + (fp_line (start -2.05 1.7) (end 2.05 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f1773781-16d5-4c3a-898c-493ca32988e8)) + (fp_line (start 2.05 -1.7) (end -2.05 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06814e55-4a61-4250-8da2-de90c516f698)) + (fp_line (start 2.05 1.7) (end 2.05 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0aa9bcb9-91fe-4062-9ff9-0477c09f9275)) + (fp_line (start -0.8 -1.05) (end -0.4 -1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b7870267-892e-4b07-8071-92051f424120)) + (fp_line (start -0.8 1.45) (end -0.8 -1.05) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ff342e18-cd7c-431d-8d74-d97ac911c325)) + (fp_line (start -0.4 -1.45) (end 0.8 -1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c1cb263c-0460-4987-9103-0a5ab18e9c00)) + (fp_line (start 0.8 -1.45) (end 0.8 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc1ba9e6-9183-4de0-a9b8-5dc09c50e0a8)) + (fp_line (start 0.8 1.45) (end -0.8 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 735e073a-9d59-4aae-be7b-46ce40346cb3)) + (pad "1" smd roundrect (at -1.1375 -0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "Vin") (pintype "power_in") (tstamp b45f4c62-e6e0-4f77-8d21-2b7de93d27fa)) + (pad "2" smd roundrect (at -1.1375 0) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3f95a877-1516-4fc6-b665-635927af7e9c)) + (pad "3" smd roundrect (at -1.1375 0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "Net-(R1-Pad2)") (pinfunction "EN") (pintype "input") (tstamp 48f4dc19-f52b-40a7-9b0d-d05f9f4658bd)) + (pad "4" smd roundrect (at 1.1375 0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "VCC_OK") (pinfunction "PG") (pintype "open_collector") (tstamp d4e17e1a-ff55-4a33-8073-52cce4ce5364)) + (pad "5" smd roundrect (at 1.1375 -0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+1V2") (pinfunction "Vout") (pintype "power_out") (tstamp 9bcc10fc-4602-4260-b28d-e4a54de2082f)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1608X09L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000616f01e1) + (at 110 98.8 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "10V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612750eb") + (attr smd) + (fp_text reference "C4" (at 0 -1.43 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4094d5f1-d5e7-4d7c-b501-3cb84e58848b) + ) + (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fe75b482-309d-4557-bef4-6b42792bfe6e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 60186678-197d-493f-a5e2-314c01f3cde9) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f926b8ae-fb11-4c7c-91af-abc489e055de)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c923e849-78c5-4a33-b62a-7779562f8d36)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f1cbc4b-75a4-4d27-ac0c-6767e9642c95)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dac29faf-cddc-4b37-ac77-9b216ff1c46f)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 418dceb1-310d-4587-be8f-86433db4ff5f)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7b4f25e-9991-4695-897f-d29298d9dbc3)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f22c5d05-87cc-43ef-a27c-b2d5df821fcf)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b8aa663d-bb8b-493a-a895-79edd7eba999)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 83c868cc-41b1-4e10-ac08-4d4dfbe64a47)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 31a691eb-5a3f-4a88-af24-c08065fcede2)) + (pad "1" smd roundrect (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+2V5") (pintype "passive") (tstamp 59eb002c-763a-4d4c-8aba-b4f785ee0ba2)) + (pad "2" smd roundrect (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 06575e57-5987-465f-a076-4b119e33ab69)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1608X09L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000616f0211) + (at 104.45 98.8 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "10V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126b7fb") + (attr smd) + (fp_text reference "C2" (at 0 -1.43 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 93adbae8-0296-44c9-a712-23f73e32920b) + ) + (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 17b7e584-5283-4fb5-beb9-0179f8f6aa40) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp ebdaddc9-117f-4414-8ce6-1375df0d4830) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0e9fea0d-c241-45fa-b191-c1e10ecb37de)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7be216a6-4c2e-4534-af51-4975e70eb037)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fd26503c-04c5-4204-9a72-efed88030030)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 31a34782-3a42-49e2-96a5-3eb844929bf2)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ba0392ba-aeb2-41ba-94fb-b8d4b9449fed)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 341f3ff7-1bb7-49e5-b47b-f93e48888c94)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a2982f89-f32e-4cc6-a42c-ec5bb990b791)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e9085c7-dd3c-4371-af8e-e42caf900b89)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 74a67d57-c96a-4677-87c1-e0f4342012cf)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2c82750-f109-4e1c-adfb-cbe3f9383799)) + (pad "1" smd roundrect (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp 60ee22c3-b03d-4b12-b046-cb69e2316f13)) + (pad "2" smd roundrect (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 16c1ae55-38e1-4857-b2ce-4ba460561976)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23-5" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000616f0245) + (at 107.25 98.95) + (descr "SOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "Manufacturer" "Microchip") + (property "Manufacturer Part Number" "MCP1824T-2502EOT") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (property "Supplier" "Digikey") + (property "Supplier Part Number" "MCP1824T-2502E/OTCT-ND") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126a5e3") + (attr smd) + (fp_text reference "U1" (at 0 -2.4) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2c15a0c1-1282-4ba7-a329-2404d70b6561) + ) + (fp_text value "MCP1824T-2502EOT" (at 0 2.4) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ff308809-0d5e-42af-a27a-2394bdfcc9a9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 2efd8e34-a42e-4f39-96f0-3c725aad81ee) + ) + (fp_line (start 0 -1.56) (end -1.8 -1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f136c6b1-3c3a-4dd7-9b7f-5d169f701575)) + (fp_line (start 0 -1.56) (end 0.8 -1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f1da47ad-2044-441f-a9dc-f2135bd22a13)) + (fp_line (start 0 1.56) (end -0.8 1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84361d2e-5c81-4cb9-8de2-72b05e3ed6f0)) + (fp_line (start 0 1.56) (end 0.8 1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 687e7af1-9fa2-44ec-b6c9-34aa856b3e66)) + (fp_line (start -2.05 -1.7) (end -2.05 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 657562bf-2220-49c1-9a02-113fb4a1552e)) + (fp_line (start -2.05 1.7) (end 2.05 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 70c17a86-db03-4fb7-a95a-53a81ba076e4)) + (fp_line (start 2.05 -1.7) (end -2.05 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 122617fb-0568-4a45-a0e8-9468d9ac1f4c)) + (fp_line (start 2.05 1.7) (end 2.05 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a61d4b07-497e-4038-b25b-f79b916f4673)) + (fp_line (start -0.8 -1.05) (end -0.4 -1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2bfac1bb-061a-407f-8206-e2837096dbcf)) + (fp_line (start -0.8 1.45) (end -0.8 -1.05) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 159d1076-e438-45bf-8f10-dd41d7537a11)) + (fp_line (start -0.4 -1.45) (end 0.8 -1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a4334f23-4778-40f4-aca1-ee3fa55beff3)) + (fp_line (start 0.8 -1.45) (end 0.8 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df350f11-94d4-4472-8f35-e1ab45eccec9)) + (fp_line (start 0.8 1.45) (end -0.8 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3e3cc380-3395-4a10-a961-04bc926f4a80)) + (pad "1" smd roundrect (at -1.1375 -0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "Vin") (pintype "power_in") (tstamp cbd4bd49-777e-4bbf-b319-69aad9dca7b4)) + (pad "2" smd roundrect (at -1.1375 0) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e32e9cdf-a4d5-4d1f-8e32-058b38785a2b)) + (pad "3" smd roundrect (at -1.1375 0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 20 "SPI_VCC_OK") (pinfunction "/SHDN") (pintype "input") (tstamp 5e14bf52-f0d5-4b7f-9fce-9263f1bddfc7)) + (pad "4" smd roundrect (at 1.1375 0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 38 "unconnected-(U1-Pad4)") (pinfunction "PWRGD") (pintype "open_collector+no_connect") (tstamp 4f1ca3cc-81eb-4e3b-a704-79df92f36fb7)) + (pad "5" smd roundrect (at 1.1375 -0.95) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+2V5") (pinfunction "Vout") (pintype "power_out") (tstamp bd8ef83d-7d80-4d43-84c8-10cb5d7d52ec)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1608X09L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000616f4071) + (at 110.24375 92.2 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "10V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006124bc7c") + (attr smd) + (fp_text reference "C3" (at 0 -1.43 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5900fd6b-0f18-4c26-b84d-fc7a1798ee4c) + ) + (fp_text value "10uF" (at 0 1.43 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e85d03df-eb94-4a35-9afe-956fe237c84b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 19df5920-f49f-4613-a2c5-e366e0b50352) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad6f05e6-71db-46a9-b396-41c621e8ad5c)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9578f2c5-1f4b-4bdd-ac70-c9f1fff9a5c6)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 44ed9791-dbcf-4bd3-bff4-8aa7f17c49fc)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 61db6725-feb4-4de4-ae72-27d013bf1021)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4eef8224-ad78-4798-a324-4eda7e0086f1)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0f6423b8-6907-4300-9a5a-e5d78a535cd2)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16eea417-7a02-4461-9502-9b3f1e10a6fb)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 54ee5055-edab-4378-a0b2-d4ff602e66a5)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b7d09718-60fb-4972-9802-e7f8b1c637bb)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 59024a74-1432-4be7-ac04-f1d538d020ba)) + (pad "1" smd roundrect (at -0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp b53435cf-4df1-4302-9a07-be93e2e0cbf1)) + (pad "2" smd roundrect (at 0.65 0 270) (size 0.8 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp ea156770-db6a-4139-8ea5-f5021d1debb3)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00006170219b) + (at 115.15 91.35 -45) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000618005cf") + (attr smd) + (fp_text reference "R3" (at 0 -1.17 135) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 59d6507d-8c01-4b37-beee-b798f6eef3f6) + ) + (fp_text value "1k" (at 0 1.17 135) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e0c526b5-6bf3-41c8-8aef-41dad4a0bcee) + ) + (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 39ea8e29-0ec6-4fa5-8583-d656ac94d88e) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9d48ef7-7bb5-4826-8f65-227520cea761)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30f60303-4d1b-44ac-a44f-9331ad73f01b)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 48a4c2e4-1922-459a-acee-d0f104436ea7)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ca92095-93df-4b57-ba91-110eb6639318)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 740de89c-78b8-46da-882f-20e237df02c3)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a17ee603-4dd3-4b46-b8a8-a06042a48883)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16cf029d-3776-4b57-ad7b-0716ddf86090)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5031c116-3e1b-4aaa-a0a5-b525d19cc383)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7ade8a9-14ef-43a4-bb49-5343a8775d2d)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cbab619a-33df-4c60-a0af-b2cc70586503)) + (pad "1" smd roundrect (at -0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "Net-(D1-Pad1)") (pintype "passive") (tstamp b8bb4a94-4aef-4d3f-bdd0-866eb8c04d91)) + (pad "2" smd roundrect (at 0.4 0 315) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 71f75b8a-f946-454f-9568-10f2e6db55af)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061704a10) + (at 114.5 92.05 135) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f14fd") + (attr smd) + (fp_text reference "C22" (at 0 -1.16 135) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 37a7bd5b-0a9b-43ef-8bd1-ec1f26e880ec) + ) + (fp_text value "0.10uF" (at 0 1.16 135) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 26468200-853e-4a3d-8644-334dd47c1c5a) + ) + (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp ca8938af-6fab-4052-a3e3-5f75bf515783) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 876681eb-30ff-46c3-b0b7-0b6a7582ce65)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 374a4495-5940-4579-8136-0d75c7822125)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9b3c501b-dad9-4982-8d5b-c9a69e461fde)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 900b897c-7c36-468c-88ac-21dfa356ec16)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d73526f-de88-4651-a918-b92901742a32)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cda28a1f-4e2e-4e37-881f-06cc982c3a7a)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ae83c378-a041-4724-a78d-ecaa63c7d735)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 49a25c1b-7f2b-45d8-8a7c-b3669ae49298)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c85f50b8-8333-412a-940a-b25e6bc9faf2)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 74693fdf-dae1-48b6-a99f-c18ecf6d1176)) + (pad "1" smd roundrect (at -0.39 0 135) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp b0f526e8-453b-42d9-8a7b-e0d5738df104)) + (pad "2" smd roundrect (at 0.39 0 135) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 501e033f-e754-47bf-b15d-a3ca56d6d873)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:NCP752BSN33T1G" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000061716b1b) + (at 107.59375 92.2) + (property "Manufacturer" "onsemi") + (property "Manufacturer Part Number" "NCP752BSN33T1G") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (property "Supplier" "Digikey") + (property "Supplier Part Number" "NCP752BSN33T1GOSCT-ND") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000616b8c22") + (attr smd) + (fp_text reference "U9" (at 0 -3.81) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f8b3423e-42b2-4ab8-b25d-6187aea7928a) + ) + (fp_text value "NCP752BSN33T1G" (at 0 3.81) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 28aea811-d6db-47e6-b6d1-72573d539714) + ) + (fp_text user "${REFERENCE}" (at 0 0 90 unlocked) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 52a5d663-d8db-4109-9e24-629257f00944) + ) + (fp_line (start -0.9 1.61) (end 0.9 1.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d6963276-5364-4b48-83b6-10b614944bca)) + (fp_line (start 0.9 -1.61) (end -1.55 -1.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1372615-da45-4e4c-94ab-0ea74b5537c4)) + (fp_line (start -1.9 -1.5) (end 1.9 -1.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2fbc4ca-d1ef-49e3-9949-4133eb20e235)) + (fp_line (start -1.9 1.5) (end -1.9 -1.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 575ee32d-768c-4702-9bb1-45e9ebffa5c2)) + (fp_line (start 1.9 -1.5) (end 1.9 1.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f6e093dd-325a-4340-9476-973514f54e5a)) + (fp_line (start 1.9 1.5) (end -1.9 1.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7daf587e-9ef4-4b18-9249-f900279b0106)) + (fp_line (start -0.825 1.575) (end -0.825 -1.2) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6145e379-8456-4320-850c-4455f3e256a8)) + (fp_line (start -0.5 -1.575) (end -0.825 -1.2) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e57526fc-c7a2-4a63-bd49-3084ef4d3108)) + (fp_line (start -0.5 -1.575) (end 0.825 -1.575) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f207c869-be0e-4a36-8329-d829da31c0ba)) + (fp_line (start 0.825 -1.575) (end 0.825 1.575) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3b6143ac-0fbb-43d5-96a5-f52454b4169d)) + (fp_line (start 0.825 1.575) (end -0.825 1.575) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9f8b0cfe-463a-46f7-ac6c-317add47502e)) + (pad "1" smd rect (at -1.2 -0.95 270) (size 0.7 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "+5V") (pinfunction "IN") (pintype "power_in") (tstamp fb64643f-6e7b-466d-b8ce-93db80260012)) + (pad "2" smd rect (at -1.2 0 270) (size 0.7 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 39613626-c374-4236-a007-70576bb3fbd0)) + (pad "3" smd rect (at -1.2 0.95 270) (size 0.7 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 14 "VCC_OK") (pinfunction "EN") (pintype "input") (tstamp 4dd3b93b-e478-4589-821e-d13e9641b04d)) + (pad "4" smd rect (at 1.2 0.95 270) (size 0.7 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 20 "SPI_VCC_OK") (pinfunction "PG") (pintype "open_collector") (tstamp 30caf96a-e89a-4746-84df-8350451b0658)) + (pad "5" smd rect (at 1.2 -0.95 270) (size 0.7 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "OUT") (pintype "power_out") (tstamp 43a6bc29-fbed-452b-85cd-652ece270db5)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/TSOT-23-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 118957eb-2587-4c27-8fed-0c1543353b12) + (at 118.2 87.95 180) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/1dc40799-6ae3-4c4a-b25a-16b0a8f8d3c0") + (attr smd) + (fp_text reference "R25" (at 0 -1.17) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 22875afd-7853-431b-9d45-014f42cfc828) + ) + (fp_text value "10k" (at 0 1.17) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 328f4151-f68c-4500-a17f-b006c86f0577) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 8a567ea5-e417-4b30-908b-4f6721108f28) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ba779a3-f50a-4792-8338-542724c68e08)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67db2022-0134-4d12-aadf-d7f321f8b9c8)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d902ce07-08f7-4441-a558-a679bf923414)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 62eff6be-8430-491c-aae8-dbe93d881f2b)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c3626f0-ab6d-4767-b1ce-708a7d42c704)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp abdfbcae-267a-48e5-8881-1d257d280a3b)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93e35ddf-cc48-4d0a-9447-de7b44211c0b)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fef1624b-ed24-45b4-8ed3-7cbccffd0ec7)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1aec70fd-034e-458c-8fb4-4507cb936896)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7aa71125-5909-4ae4-8517-a0e461459a45)) + (pad "1" smd roundrect (at -0.4 0 180) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp b1d844ac-9f7e-4f6c-bc48-2015e4360cd0)) + (pad "2" smd roundrect (at 0.4 0 180) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/Application FPGA/APP_FLASH_WP") (pintype "passive") (tstamp 04eda8be-f0e9-4627-9101-babaf4aaff0b)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 38d817ec-d640-4c2b-a408-a203843a7ee1) + (at 112.5 89.85 180) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/17dff2a5-14b1-4b13-b0b5-dee2988a7fcc") + (attr smd) + (fp_text reference "C23" (at 0 -1.16) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 61d75500-ecfa-479d-8d7b-479077748536) + ) + (fp_text value "0.10uF" (at 0 1.16) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 76cf05ac-6e91-44fc-b623-70958ad05da9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp fdb0be64-26f1-46e1-b3ed-2d40cbe44242) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ecff7d55-679f-4039-b37d-6567b759b0e4)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77c5d489-4b7c-4393-bb7f-06a271949dad)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f039d574-930c-4f93-b74f-deac24841f31)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cedfabe6-2eee-40f3-a1ca-63848a34a136)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 995d0861-7583-4f30-b0bc-b604617fec72)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8085cd1d-f282-4ecc-bad6-9ea0ef89e818)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bae55f23-e946-44de-816d-06a03b4cb140)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71bb36b7-6b15-4143-b770-70899c8718b2)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f13c025e-65ed-46ee-87e4-4aacca19a735)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 01ba656f-6b52-42c1-97f8-6ccc4a0c7084)) + (pad "1" smd roundrect (at -0.39 0 180) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 4f119f77-6e14-4a57-a06c-576087e612cf)) + (pad "2" smd roundrect (at 0.39 0 180) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 445b3dbf-45ba-4ef0-b5ed-6b2c83f94be9)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:MSOP-10_3x3mm_P0.5mm" (layer "F.Cu") + (tstamp 4a367ce3-8651-4767-ac74-4fb0121bdec6) + (at 110.2 95.5 180) + (descr "10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.5") + (property "Manufacturer" "WCH") + (property "Manufacturer Part Number" "CH552E") + (property "Sheetfile" "usb_to_serial.kicad_sch") + (property "Sheetname" "USB to Serial converter") + (property "Supplier" "LCSC") + (property "Supplier Part Number" "C967938") + (path "/00000000-0000-0000-0000-00006161400b/b87ef039-9982-4e55-98fc-83a90017fbb0") + (attr smd) + (fp_text reference "U3" (at 0 -2.6) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9dd6841f-9084-4b05-baed-4772209c7d3b) + ) + (fp_text value "CH552E" (at 0 2.6) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0da988ff-6050-4f80-9778-32278b7b4a0a) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp f1735599-2e91-4026-80ac-26abc79c5725) + ) + (fp_line (start -1.675 -1.675) (end -1.675 -1.45) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 498656c8-ec1f-4b74-aee4-b65b5ef65da3)) + (fp_line (start -1.675 -1.675) (end 1.675 -1.675) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c94dccb6-97c1-47ce-be4c-1407a440c6d4)) + (fp_line (start -1.675 -1.45) (end -2.9 -1.45) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b8fb23a3-7bc7-4aa5-9a09-31da67c22a3a)) + (fp_line (start -1.675 1.675) (end -1.675 1.375) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 905adf9a-32f8-4a6f-a270-46f0515a3a5d)) + (fp_line (start -1.675 1.675) (end 1.675 1.675) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3fde9030-6d4b-46cf-94bc-1e2f098b7b7d)) + (fp_line (start 1.675 -1.675) (end 1.675 -1.375) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2214bef0-5b28-4184-be73-f63c07aee4b8)) + (fp_line (start 1.675 1.675) (end 1.675 1.375) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e93fedf4-2386-4d73-b28a-1d7d9e9c0354)) + (fp_line (start -3.15 -1.85) (end -3.15 1.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 333a7df6-d1a0-42c3-933c-0d0816f49556)) + (fp_line (start -3.15 -1.85) (end 3.15 -1.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d14aeb1b-f48e-4320-aaad-0f6e15c74e8c)) + (fp_line (start -3.15 1.85) (end 3.15 1.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1ca9dc5-bfc0-4361-98e5-df9413b1ab14)) + (fp_line (start 3.15 -1.85) (end 3.15 1.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 576eeca5-54a5-4e06-9635-f69783a77397)) + (fp_line (start -1.5 -0.5) (end -0.5 -1.5) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 67ba1d9c-23ae-4fa9-9936-7e221356a28a)) + (fp_line (start -1.5 1.5) (end -1.5 -0.5) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0cdc8847-ca3f-4cc8-adcc-03e185a2e70a)) + (fp_line (start -0.5 -1.5) (end 1.5 -1.5) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6052af97-b759-4b9a-9973-82afb7dc3cdf)) + (fp_line (start 1.5 -1.5) (end 1.5 1.5) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 348b78e5-056b-4b58-8e53-077d42106d20)) + (fp_line (start 1.5 1.5) (end -1.5 1.5) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 41d3b11a-e8d0-40e6-90fa-dcead7c7d389)) + (pad "1" smd rect (at -2.2 -1 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 58 "INTERFACE_RTS") (pinfunction "RTS(P1.4)") (pintype "output") (tstamp 7055480d-0c3c-484c-83b4-d10d64b3841e)) + (pad "2" smd rect (at -2.2 -0.5 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 59 "INTERFACE_CTS") (pinfunction "CTS(P1.5)") (pintype "input") (tstamp 279b61df-03fe-4016-b63c-f25f5700a1c9)) + (pad "3" smd rect (at -2.2 0 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 57 "INTERFACE_RX") (pinfunction "RX(PP1.6)") (pintype "input") (tstamp 34d4798c-2ce9-4d65-9bf5-11da1c3b6b4d)) + (pad "4" smd rect (at -2.2 0.5 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 25 "INTERFACE_TX") (pinfunction "TX(P1.7)") (pintype "output") (tstamp 6ec6f00c-6c4f-4517-97a0-bf1099a1d38b)) + (pad "5" smd rect (at -2.2 1 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 77 "unconnected-(U3-Pad5)") (pinfunction "RST") (pintype "bidirectional+no_connect") (tstamp d64a641e-e1d0-4df5-bec8-53a1149729d2)) + (pad "6" smd rect (at 2.2 1 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 22 "INT_USB_D+") (pinfunction "UDP(P3.6)") (pintype "bidirectional") (tstamp 52c4d81e-40a6-487f-9ba6-dbb69c94aa72)) + (pad "7" smd rect (at 2.2 0.5 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 23 "INT_USB_D-") (pinfunction "UDM(P3.7)") (pintype "bidirectional") (tstamp 07866225-a358-47ac-bea3-a3b050126093)) + (pad "8" smd rect (at 2.2 0 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8446bf23-fb7a-49d3-b20e-fa5f2afe7b14)) + (pad "9" smd rect (at 2.2 -0.5 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp fdc4d35b-fe49-4485-8630-6f753b57f9a9)) + (pad "10" smd rect (at 2.2 -1 180) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "V33") (pintype "passive") (tstamp a4837762-1bf7-4ada-9fe3-27b267b71709)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/MSOP-10_3x3mm_P0.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp 528c71a9-efd9-407e-a2a9-8803b3cae6aa) + (at 120.05 88.85 90) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/8474ba4a-e004-4036-b038-4ff6552cee7d") + (attr smd) + (fp_text reference "C26" (at 0 -1.16 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 204c3f1d-615e-4e36-8b5a-fcd5d9000047) + ) + (fp_text value "0.10uF" (at 0 1.16 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fb07a312-6482-4248-92e2-bee918e006dc) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp d48066ae-56c2-4793-abf5-a0f612659ca7) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 50cdd59c-0982-410b-862e-238b59f72ad4)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9417fe70-122c-45cd-a43d-3d86cd98744e)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3438899f-622e-4a2b-aea7-314773839d25)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9fe83833-7688-4b4c-ae48-aa97c7c2effb)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp faf7a239-d5ad-4568-bf7a-cd6c903d83c9)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f00a2700-d737-4ef3-880b-c62e233bffd0)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ff96bd45-4a24-4957-9a47-e7ade01a20ca)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b67fe4ed-33ba-4f1e-b9f7-af088debecae)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cba3798a-ffcc-4d91-bf61-18b4a2dca5b0)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7934b4e4-da96-4a20-b74a-59666e050ff6)) + (pad "1" smd roundrect (at -0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 9c7acb4d-ad59-44b3-b451-a70dfcadf92e)) + (pad "2" smd roundrect (at 0.39 0 90) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp f6f077ec-f1b0-45ee-9d68-10938066de7a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 6642fc52-a8a5-46d7-baa8-3fa36d7485f9) + (at 118.2 89.05 180) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/fe6cb91d-e57b-4761-a6eb-995b9ad40281") + (attr smd) + (fp_text reference "R23" (at 0 -1.17) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1379d559-60aa-4864-9377-84339c3ecb35) + ) + (fp_text value "10k" (at 0 1.17) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4537c06a-fab8-4cb8-a2eb-55466a56cf1f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 7f7b127a-a763-461a-a124-a58a8cc1b3f1) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c93149f3-f457-42df-b275-d84044eeaea9)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3b52af2-4628-453f-9c94-f8a5ec294592)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06cb99de-98af-4682-9909-21f8fdc0ea5e)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01821652-e0e0-41d8-b3c6-893a9418eeca)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8b2bba89-427a-4e39-ae45-afcdfd1b24f8)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1546f94f-970b-4b7b-b757-e308a178aaf4)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 752207c6-7411-46d9-825b-a50ce88fe595)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8b839ffd-5ad1-409e-b23f-a7e3a49ae6a5)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3eb6a4bc-a1d3-4365-ba28-2edcbe3eae21)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb6d814f-2ff4-4273-b6d2-618afba67d03)) + (pad "1" smd roundrect (at -0.4 0 180) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp c6965c74-d1fd-4f8b-bc28-541073f28abf)) + (pad "2" smd roundrect (at 0.4 0 180) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "APP_ICE_SS") (pintype "passive") (tstamp cbb2cac8-1f77-4bdb-ade1-8c02f9cd4218)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 7f2506ea-3680-4c00-b270-4daf9cf9137c) + (at 112.5 88.9) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/7732c5e0-271e-4de5-9ee2-962192432222") + (attr smd) + (fp_text reference "R26" (at 0 -1.17) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d17d8546-c16d-4c1e-a714-e403686ecb03) + ) + (fp_text value "10k" (at 0 1.17) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6ff24462-f99f-4830-8e17-9f12c46f79f5) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 909fd5f9-94f1-43a0-a22c-a89e9b3049e5) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1e6fd7e7-c833-4926-9685-1764b2ba9710)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70a20630-5ebb-49b5-9b9c-c5f373e432f5)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8bd1a5e-0435-4ac0-a937-675f672cd860)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 889d55bd-7a4a-4138-b44c-a604f9088f1e)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2f2e771-7ce9-47a5-865c-2ac6a3685c4a)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3139bb3-a384-4a8a-a2d8-66b37728173d)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88814b7e-4a63-4e48-82f1-885e403a187b)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f95fbaf7-3652-458d-a99d-6d9cf1b518ef)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6a827161-049c-4241-940b-bd546b1e4db0)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 13f3880e-4a6d-46e9-8b9f-f647bb20cb8f)) + (pad "1" smd roundrect (at -0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp b16a67e8-4738-47a9-bbd3-4aba730511d4)) + (pad "2" smd roundrect (at 0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/Application FPGA/APP_FLASH_HOLD") (pintype "passive") (tstamp 4a4bd4ca-d5d7-4d6f-bd7c-2be71d28fa30)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23-6" (layer "F.Cu") + (tstamp 8a425e76-43cc-43f5-a37e-2f5fd65752a7) + (at 122.7 89 180) + (descr "SOT, 6 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AB), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "Manufacturer" "PinTeng") + (property "Manufacturer Part Number" "PT2043AT6") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "Supplier" "LCSC") + (property "Supplier Part Number" "C2914233") + (path "/00000000-0000-0000-0000-0000611cc101/206cf77e-9615-45a1-84e2-dd89660f9255") + (attr smd) + (fp_text reference "U10" (at 0 -2.4) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 34528f6e-20b3-46c4-a4a9-777ed1129c7c) + ) + (fp_text value "PT2043AT6" (at 0 2.4) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 556e4c41-cd6c-474f-a462-28e68160ac4e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp c39f7b5b-f461-4773-8245-46439f74d64c) + ) + (fp_line (start 0 -1.56) (end -1.8 -1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b13bfdd4-61e4-445a-89e7-6af0486836f3)) + (fp_line (start 0 -1.56) (end 0.8 -1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c84754c-b7a1-46ea-ba79-dc1717652de4)) + (fp_line (start 0 1.56) (end -0.8 1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2429851-03bc-441f-91fe-46f354b1bc05)) + (fp_line (start 0 1.56) (end 0.8 1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eb938f0f-86f4-44b8-b447-ff7b12fa25ef)) + (fp_line (start -2.05 -1.7) (end -2.05 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6dd4c5fb-3c3b-4f7c-8d3b-48f28038525b)) + (fp_line (start -2.05 1.7) (end 2.05 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a5a54676-7d65-41e9-9685-830dcad63a0d)) + (fp_line (start 2.05 -1.7) (end -2.05 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfd2b6bc-75a2-4187-872c-2427d5d3cad3)) + (fp_line (start 2.05 1.7) (end 2.05 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4e4a3fc9-aace-4265-b321-19e6757dd96b)) + (fp_line (start -0.8 -1.05) (end -0.4 -1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c7f3a378-27ae-4522-9952-15a5c748e648)) + (fp_line (start -0.8 1.45) (end -0.8 -1.05) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 41ce6b69-95fe-4d4d-be3d-692e64ca80da)) + (fp_line (start -0.4 -1.45) (end 0.8 -1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80bd1ed2-d717-467e-b0df-a5146a392af5)) + (fp_line (start 0.8 -1.45) (end 0.8 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bffbf32b-5428-4eb4-af7f-d8a65bd86b89)) + (fp_line (start 0.8 1.45) (end -0.8 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4b3c47b1-fb37-424d-8f6d-0ad7c1280a81)) + (pad "1" smd roundrect (at -1.1375 -0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "TOUCH_EVENT") (pinfunction "QC") (pintype "output") (tstamp f473c02d-e4b0-44e5-b9aa-c344477edcc1)) + (pad "2" smd roundrect (at -1.1375 0 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 10074144-499f-432b-a3af-2464146366f5)) + (pad "3" smd roundrect (at -1.1375 0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "Net-(C27-Pad1)") (pinfunction "TCH") (pintype "input") (tstamp 661abf7c-06be-4429-9a0e-747f37b91921)) + (pad "4" smd roundrect (at 1.1375 0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "AHLB") (pintype "input") (tstamp cf9ef2ad-61f0-444c-a98e-239fadddd26c)) + (pad "5" smd roundrect (at 1.1375 0 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 3ece06da-6445-483c-a674-a6adf0f3a608)) + (pad "6" smd roundrect (at 1.1375 -0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "TOG") (pintype "input") (tstamp b7186f91-b23e-4285-b628-6a2dbce59179)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:W25Q80DVUXIE" (layer "F.Cu") + (tstamp 8d578123-6e0e-4e81-b760-55e9c346165f) + (at 115.4 88.6 180) + (property "Manufacturer" "Winbond Electronics") + (property "Manufacturer Part Number" "W25Q80DVUXIE") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "Supplier" "Digikey") + (property "Supplier Part Number" "W25Q80DVUXIETR-ND") + (path "/00000000-0000-0000-0000-0000611cc101/fb1bfba7-59bf-4387-b0fd-53ae34c08235") + (attr smd) + (fp_text reference "U8" (at 0 -2.54 180 unlocked) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ad222867-dd08-4ce9-ae3d-e889f996cfee) + ) + (fp_text value "W25Q80DVUXIE" (at 0 2.3 180 unlocked) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c5e9c648-2734-4f13-929c-bc151eab25c9) + ) + (fp_text user "${REFERENCE}" (at 0 0 180 unlocked) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp db7e0e0f-4944-4b22-95a0-caa3c92dc1bf) + ) + (fp_rect (start -1.65 -0.65) (end -1.1 -0.85) + (stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp a48527d8-8187-44bc-8be0-b9f088510073)) + (fp_rect (start -1.65 -0.15) (end -1.1 -0.35) + (stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp cd4325a9-45ae-40fa-b5db-cfd30fb072b1)) + (fp_rect (start -1.65 0.35) (end -1.1 0.15) + (stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp 465b9be6-4974-4225-9ae3-9f4403ab7ed0)) + (fp_rect (start -1.65 0.85) (end -1.1 0.65) + (stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp b13557e4-33f8-443a-8a82-223e7c4ef6ae)) + (fp_rect (start 0.1 -0.6) (end -0.1 -0.1) + (stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp 077d79a7-def4-49f5-879c-2de6fe0c02fe)) + (fp_rect (start 0.1 0.1) (end -0.1 0.6) + (stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp ee0ec53b-f7f9-422b-8176-451d675e291e)) + (fp_rect (start 1.1 -0.65) (end 1.65 -0.85) + (stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp 69b9a427-955a-4496-90de-4d53627df8f4)) + (fp_rect (start 1.1 -0.15) (end 1.65 -0.35) + (stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp 72caeb89-efc5-4a58-8c49-05c48fa09d10)) + (fp_rect (start 1.1 0.35) (end 1.65 0.15) + (stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp 7bc4a832-2b9f-4acf-89d5-95a21def921a)) + (fp_rect (start 1.1 0.85) (end 1.65 0.65) + (stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp 531f3c50-e87b-4d92-9546-37e73c37ff65)) + (fp_line (start 0.7 -1.1) (end -1.225 -1.1) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 49ffb3bd-49c6-462e-8911-e172c5ced2ce)) + (fp_line (start 0.7 1.1) (end -0.7 1.1) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c68551a7-918b-4ffc-ae66-cdc73a2ba69b)) + (fp_line (start -1.8 -1.3) (end 1.8 -1.3) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 564cc769-dffb-4978-8dbc-c745c36eb934)) + (fp_line (start -1.8 1.3) (end -1.8 -1.3) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5d6011fc-8a91-431b-a7b4-223d671764d4)) + (fp_line (start 1.8 -1.3) (end 1.8 1.3) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a0a69029-3ad9-43c0-9b10-c51a60f340f9)) + (fp_line (start 1.8 1.3) (end -1.8 1.3) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 835d9496-3654-45d9-9846-bb73c5fa6514)) + (fp_line (start -1.5 -0.508) (end -1.5 1) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp feb829c7-eab4-46ec-8dd1-91216436e207)) + (fp_line (start -1.5 -0.508) (end -1.016 -1) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d7db54e0-b470-4d5e-8062-09e17cd71e0e)) + (fp_line (start 1.5 -1) (end -1.016 -1) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c8388137-b40d-46e7-a0a0-b5c28dc6d83f)) + (fp_line (start 1.5 -1) (end 1.5 1) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39c3f4e6-6bdf-4a7b-99f9-1105ff77c42e)) + (fp_line (start 1.5 1) (end -1.5 1) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 81914e92-a24f-411c-9d0a-803fdbef0da7)) + (pad "1" smd roundrect (at -1.25 -0.75 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 47 "APP_ICE_SS") (pinfunction "~{CS}") (pintype "input") (tstamp ad3b6955-a668-4adc-9770-287cfbc95fa1)) + (pad "2" smd roundrect (at -1.25 -0.25 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 48 "APP_ICE_MOSI") (pinfunction "DO(IO1)") (pintype "bidirectional") (tstamp d4dff8fd-eee9-414a-b1cb-cf1356d14561)) + (pad "3" smd roundrect (at -1.25 0.25 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/Application FPGA/APP_FLASH_WP") (pinfunction "IO2") (pintype "bidirectional") (tstamp 2a6a0b26-7b59-4c50-889a-100e9864eba9)) + (pad "4" smd roundrect (at -1.25 0.75 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ecc86c49-09d3-43a7-8914-cb5f73b9a849)) + (pad "5" smd roundrect (at 1.25 0.75 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 49 "APP_ICE_MISO") (pinfunction "DI(IO0)") (pintype "bidirectional") (tstamp 114d368a-3fa0-4913-876b-f7cd3fd985ea)) + (pad "6" smd roundrect (at 1.25 0.25 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 12 "APP_ICE_SCK") (pinfunction "CLK") (pintype "input") (tstamp 967a345e-e616-4107-8ba7-96fde1eb7d86)) + (pad "7" smd roundrect (at 1.25 -0.25 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/Application FPGA/APP_FLASH_HOLD") (pinfunction "IO3") (pintype "bidirectional") (tstamp bab76610-3996-4e17-960b-4127c765802b)) + (pad "8" smd roundrect (at 1.25 -0.75 180) (size 0.6 0.3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp a0798c31-49be-4563-961a-bcb9212b3056)) + (pad "9" smd roundrect (at 0 0) (size 0.3 1.7) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 71 "unconnected-(U8-Pad9)") (pinfunction "EXP") (pintype "no_connect") (tstamp d9a39b88-26d9-405e-87be-27236d658e19)) + (model "${KIPRJMOD}/../mta1-library/mta1.pretty/3d_models/USON_2X3.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp 9914da8d-26c7-4059-9d1c-7a29919a928b) + (at 125.75 89.7) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,1%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/6bc1edc9-8890-4578-b994-f654ccefb54e") + (attr smd) + (fp_text reference "R30" (at 0 -1.17) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 787bdee5-46bf-4ed8-bd41-02fe61f05395) + ) + (fp_text value "2k" (at 0 1.17) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 98a5f9d5-df6c-4f7f-aa1f-9fa526dcea7e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp a2e0907c-e30d-4eac-83b8-1cc5d33adbab) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 732ef4e0-d182-4c40-becc-56b1b07230a3)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c3cb0c7-fd86-407c-9cb4-25565c945a15)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fea45aaa-c00f-4aa1-a7a1-239ef6f8ce8f)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f79cacb4-df99-4d8e-ba76-e98865eb3c4c)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94fff111-4506-404b-ac73-cf7e1c60f459)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2a5b1e73-0d02-4516-9910-2adb9abd8f41)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f1282e0a-40b5-410e-8a64-5e7c4be575f0)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9f20a61a-056d-4032-a31f-51c9fe3f69e3)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2efe260c-4b4f-4dc5-a93a-1a7f135a1f5a)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88e560a2-1f09-4ec1-9971-91199c90200d)) + (pad "1" smd roundrect (at -0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "Net-(C27-Pad1)") (pintype "passive") (tstamp 43ddc1bf-85ca-4be4-af18-e84f280c487c)) + (pad "2" smd roundrect (at 0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/Application FPGA/TOUCH_PAD") (pintype "passive") (tstamp 44779a4f-680b-4951-9c1a-6ef76154a6bc)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Fiducial:Fiducial_0.75mm_Mask1.5mm" (layer "F.Cu") + (tstamp a225e9fd-6545-4110-8e72-7e9c999e5896) + (at 101.5 88.5) + (descr "Circular Fiducial, 0.75mm bare copper, 1.5mm soldermask opening (Level B)") + (tags "fiducial") + (property "Sheetfile" "tk1.kicad_sch") + (property "Sheetname" "") + (property "exclude_from_bom" "") + (path "/59501395-780b-47e4-8967-9f965674a799") + (attr smd exclude_from_bom) + (fp_text reference "FID1" (at 0 -2) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 96e9151c-3ffb-4971-98de-85cb948ccc16) + ) + (fp_text value "Fiducial" (at 0 2) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f61337de-df22-47d1-92dc-eb1819702425) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.3 0.3) (thickness 0.05))) + (tstamp d54152f9-c36d-467e-9e7d-25b7302beb08) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 62b3c9b2-7111-4fb4-8944-c22c00c06d9d)) + (fp_circle (center 0 0) (end 0.75 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 8f5f6b78-7379-40ed-b159-1ace68a64faf)) + (pad "" smd circle (at 0 0) (size 0.75 0.75) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.375) (clearance 0.375) (tstamp ce26a67b-8096-4ac9-9430-883e76869ef9)) + ) + + (footprint "Fiducial:Fiducial_0.75mm_Mask1.5mm" (layer "F.Cu") + (tstamp a9dc0c59-b820-453f-94ad-ca6fe558a198) + (at 124.25 96.75) + (descr "Circular Fiducial, 0.75mm bare copper, 1.5mm soldermask opening (Level B)") + (tags "fiducial") + (property "Sheetfile" "tk1.kicad_sch") + (property "Sheetname" "") + (property "exclude_from_bom" "") + (path "/bf741fd1-5855-4a31-8c21-db9bc5f783af") + (attr smd exclude_from_bom) + (fp_text reference "FID2" (at 0 -2) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5d65aa66-ef4c-4c39-9d61-47de6dffabb0) + ) + (fp_text value "Fiducial" (at 0 2) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 223b1bf5-aeb0-4409-ae26-7a05947090b1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.3 0.3) (thickness 0.05))) + (tstamp 62009989-8103-49af-b226-a7bac174e878) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d10ed321-fe4a-4b2e-8c4a-eae42c56c9ad)) + (fp_circle (center 0 0) (end 0.75 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 47033c75-f09c-4ea5-aeb3-c219d9891cc7)) + (pad "" smd circle (at 0 0) (size 0.75 0.75) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.375) (clearance 0.375) (tstamp 764b9621-9f48-4ed9-9326-45a78f80bc3d)) + ) + + (footprint "mta1:CAPC1005X06L" (layer "F.Cu") + (tstamp ad4329ad-13cc-4ced-b376-45d2e2b7c8f9) + (at 125.75 88.7) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "capacitor") + (property "Extended Value" "16V,X5R,20%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/391c56db-bc39-4ec7-bb45-dcbe1aaf2b1a") + (attr smd) + (fp_text reference "C27" (at 0 -1.16) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 41de8faf-9d06-43fb-a2a5-92faa4af401c) + ) + (fp_text value "1pF" (at 0 1.16) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e6a2e2f5-e16e-4b8a-a1a5-8d78c5b541a1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 9dd52158-fe2a-404d-931c-eb538c313acd) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f65e226f-0321-4248-967b-2b18e1757049)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d4620b8-288e-4a15-a384-b532c2d355d9)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3bb6937d-ccf9-470b-bef9-709e845bcea5)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4de31f0-88e4-47e7-a1a9-3f6ec8825129)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bfa25a9-9d93-4675-9fb2-7d2dd0fc09de)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df6c40da-834f-42fa-b570-545c924c8f61)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0be1a922-66bb-4594-b44d-82976ee6de8b)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2a5f5cb7-a5c8-4f5b-93e2-ef27070876a4)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5a876849-da65-4e70-8058-15d17f23a86e)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 223f0141-f044-4973-9806-ea43c603dec3)) + (pad "1" smd roundrect (at -0.39 0) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "Net-(C27-Pad1)") (pintype "passive") (tstamp e73b451c-ec6d-4ff4-b3d6-311e58932fb4)) + (pad "2" smd roundrect (at 0.39 0) (size 0.56 0.518) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 73e22a16-09e4-4aeb-a78a-b0aded8507bf)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_1206_3216Metric" (layer "F.Cu") + (tstamp aff9b94a-3155-4d61-8287-3dc8c06c9c02) + (at 126.2 94 90) + (descr "Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Extended Value" "50V,X7R,10%,1.6mm thickness") + (property "Manufacturer" "TDK Corporation") + (property "Manufacturer Part Number" "C3216X7R1H105K160AE") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "Supplier" "Digikey") + (property "Supplier Part Number" "445-8904-2-ND") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/0f8bd06f-60b1-4be8-90d9-1a7f1cd60020") + (attr smd exclude_from_bom) + (fp_text reference "C8" (at 3.7 0.2 90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 19bdfa30-cab4-49bf-bece-77c35b750ddb) + ) + (fp_text value "1uF" (at 0 1.85 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5229fa86-42b9-42e1-a08e-fc83a01995e1) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 92908810-09f0-4ef0-8215-df4d5a7b5948) + ) + (fp_line (start -0.711252 -0.91) (end 0.711252 -0.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0778bb9-f95a-47ea-9448-9e7c8db76ab0)) + (fp_line (start -0.711252 0.91) (end 0.711252 0.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 904fe3f2-3209-4ac0-94c8-3a1e252bd009)) + (fp_line (start -2.3 -1.15) (end 2.3 -1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 50d011c8-2be5-48d9-ad2c-2476b310ed47)) + (fp_line (start -2.3 1.15) (end -2.3 -1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3016c585-5d99-4f7e-ac48-db84cf89896e)) + (fp_line (start 2.3 -1.15) (end 2.3 1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d5e02e47-eca5-471e-8e74-e14c1b5faedb)) + (fp_line (start 2.3 1.15) (end -2.3 1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a70ce987-feff-40d5-be04-a02dd4572d87)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69a0d30d-9762-44f4-b7ec-b05d8d6830f2)) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c09840e-6888-4391-9961-4a124d7b0570)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d4e277e1-48c1-4fe6-a377-cd7304bd76d8)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 297e587a-73e7-4d06-bb04-f9824e47a717)) + (pad "1" smd roundrect (at -1.475 0 90) (size 1.15 1.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2173913043) + (net 72 "/Application FPGA/TOUCH_PAD") (pintype "passive") (tstamp fd6b1f79-b0c1-4445-954c-08e114e01374)) + (pad "2" smd roundrect (at 1.475 0 90) (size 1.15 1.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2173913043) + (net 72 "/Application FPGA/TOUCH_PAD") (pintype "passive") (tstamp 15d234c6-6e61-43ac-847c-97df8120e74f)) + (model "C:/Users/72417946/Documents/GitHub/tillitis-key1/hw/boards/mta1-library/mta1.pretty/3d_models/1674954-1.step" + (offset (xyz -2.5 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 90)) + ) + ) + + (footprint "mta1:U261-241N-4BS60" (layer "F.Cu") + (tstamp d0330d88-bd9d-4fa5-8b89-1b2d95749b04) + (at 101.05 94) + (property "Manufacturer" "XKB") + (property "Manufacturer Part Number" "U261-241N-4BS60") + (property "Sheetfile" "usb_to_serial.kicad_sch") + (property "Sheetname" "USB to Serial converter") + (property "Supplier" "LCSC") + (property "Supplier Part Number" "C319150") + (path "/00000000-0000-0000-0000-00006161400b/7b422c5e-fd7b-4ac9-8400-966a18c34fdc") + (attr smd) + (fp_text reference "P1" (at 0 6 unlocked) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d2b2a0fb-ef5f-4895-93c7-ef2955a86bd7) + ) + (fp_text value "USB_C_Plug" (at 0 7.5 unlocked) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 32648182-78d1-48bd-92e8-99a019338fe4) + ) + (fp_text user "${REFERENCE}" (at 0 0 90 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b1000fa4-8405-4913-bb2a-3a576510c1f7) + ) + (fp_line (start -1.95 -3.05) (end -1.95 3.05) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 6cf1f8a5-0115-4654-96fb-fd5a2b2f392e)) + (fp_line (start -1.95 -3.05) (end -1.05 -3.05) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 18fa4eac-44be-4c19-85bd-ae41ed4e9ca9)) + (fp_line (start -1.95 3.05) (end -1.05 3.05) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 33c8b5d9-f488-4aa6-bc3f-f58a56be5f10)) + (fp_line (start -1.05 -3.05) (end -1.05 -3.95) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 7406101f-106a-45d1-912f-650a7ccfd0e7)) + (fp_line (start -1.05 3.95) (end -1.05 3.05) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp bd3d50e3-7ada-4dea-9ce2-d0c01a14134a)) + (fp_line (start -1.95 -4.3) (end -1.95 4.3) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b00f55cd-2fd0-4be3-95f5-ff701f60c355)) + (fp_line (start -1.95 -4.3) (end 1 -4.3) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp ba823e13-91c7-47a9-b198-7b27ae88618f)) + (fp_line (start -1.95 4.3) (end 1 4.3) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4caa68da-1d74-422f-8bbe-3c28c9ea4b69)) + (fp_line (start 1 -4.3) (end 1 4.3) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 63644b3f-486a-46db-be27-481471e940f4)) + (fp_line (start -1.95 -4.3) (end -1.95 4.3) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7a89709d-a8cb-4a64-a3a3-ed263fad27d0)) + (fp_line (start 1 -4.3) (end -1.95 -4.3) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 069bdd9d-f380-4c58-987e-4887748031e8)) + (fp_line (start 1 -4.3) (end 1 4.3) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4cc8f330-0552-463d-8506-7fc2c95c4de2)) + (fp_line (start 1 4.3) (end -1.95 4.3) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b51e6374-6b7d-472e-92f2-9dae266de01e)) + (fp_line (start -1.9 -4.3) (end 1 -4.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e0670b1-a793-48f4-9da3-84fa0c929ebd)) + (fp_line (start -1.9 4.3) (end -1.9 -4.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0c990048-7035-4646-8b07-f79fbfddff62)) + (fp_line (start -1.9 4.3) (end 1 4.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6e8e2ce6-25e4-45c5-9bc7-02a69f9cfe4b)) + (fp_line (start 1 -4.3) (end 1 4.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b33915f1-896a-4971-bf05-1a91d75ab3fc)) + (pad "A1" smd roundrect (at 0 2.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp 3d25ea00-3597-4b84-9896-d06c9254cef7)) + (pad "A2" smd roundrect (at 0 2.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "unconnected-(P1-PadA2)") (pinfunction "TX1+") (pintype "bidirectional+no_connect") (tstamp cd676e39-8b0b-4a97-a5f7-f1a894ba4f9c)) + (pad "A3" smd roundrect (at 0 1.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "unconnected-(P1-PadA3)") (pinfunction "TX1-") (pintype "bidirectional+no_connect") (tstamp 2e49cb80-72d5-4c7c-ad59-9d99ad1c9f65)) + (pad "A4" smd roundrect (at 0 1.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp a0943e33-5217-4cb2-9cb6-2c232f98dc8e)) + (pad "A5" smd roundrect (at 0 0.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "Net-(P1-PadA5)") (pinfunction "CC") (pintype "bidirectional") (tstamp a8091706-fc03-485f-99de-85f037326f50)) + (pad "A6" smd roundrect (at 0 0.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "/USB to Serial converter/INT_USB_IN_D+") (pinfunction "D+") (pintype "bidirectional") (tstamp e25f0cb2-d101-4680-8039-e28dc3ef0e9b)) + (pad "A7" smd roundrect (at 0 -0.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "/USB to Serial converter/INT_USB_IN_D-") (pinfunction "D-") (pintype "bidirectional") (tstamp 5745a04b-39bd-4cb4-89ea-e90a2c48774a)) + (pad "A8" smd roundrect (at 0 -0.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "unconnected-(P1-PadA8)") (pinfunction "SBU1") (pintype "bidirectional+no_connect") (tstamp 600790da-009c-416b-b328-c098d09ec7e9)) + (pad "A9" smd roundrect (at 0 -1.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp d92bbe04-d739-4545-819a-e5ee4b4b49b1)) + (pad "A10" smd roundrect (at 0 -1.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "unconnected-(P1-PadA10)") (pinfunction "RX2-") (pintype "bidirectional+no_connect") (tstamp c97c8102-2bf1-4ea4-9aa9-15a404c92447)) + (pad "A11" smd roundrect (at 0 -2.25 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "unconnected-(P1-PadA11)") (pinfunction "RX2+") (pintype "bidirectional+no_connect") (tstamp 1949e7c9-6123-4a49-85e5-c886919fc2f5)) + (pad "A12" smd roundrect (at 0 -2.75 180) (size 1.5 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp 8d418f4a-1f96-40d9-af23-daa03b7feb31)) + (pad "B1" smd roundrect (at 0 -2.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp d083eb6c-4193-4c6e-8bed-de8b6ee512ce)) + (pad "B2" smd roundrect (at 0 -2.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 32 "unconnected-(P1-PadB2)") (pinfunction "TX2+") (pintype "bidirectional+no_connect") (tstamp ec13d830-67f1-4408-9fd2-96be273e8b39)) + (pad "B3" smd roundrect (at 0 -1.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 33 "unconnected-(P1-PadB3)") (pinfunction "TX2-") (pintype "bidirectional+no_connect") (tstamp c7be7d36-044c-4624-98a0-a11901bbb38c)) + (pad "B4" smd roundrect (at 0 -1.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp 897c79e5-3d91-4f46-8ead-84d2a2b4a77c)) + (pad "B5" smd roundrect (at 0 -0.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 34 "unconnected-(P1-PadB5)") (pinfunction "VCONN") (pintype "bidirectional+no_connect") (tstamp b81dfee1-a640-4f3f-9d50-8f16edf039b6)) + (pad "B6" smd roundrect (at 0 -0.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) (tstamp 687f3fb3-88e1-4140-99d0-932bef1b418f)) + (pad "B7" smd roundrect (at 0 0.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) (tstamp b76342d5-1e15-4862-b1f2-61ba5d5402c4)) + (pad "B8" smd roundrect (at 0 0.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 35 "unconnected-(P1-PadB8)") (pinfunction "SBU2") (pintype "bidirectional+no_connect") (tstamp a2eca0c6-0180-41a9-ba6c-74c3cbd500fd)) + (pad "B9" smd roundrect (at 0 1.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp 1b129e0e-6a99-498a-bbfa-1e9cdb10259f)) + (pad "B10" smd roundrect (at 0 1.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 36 "unconnected-(P1-PadB10)") (pinfunction "RX1-") (pintype "bidirectional+no_connect") (tstamp 7e3f02e6-08b0-4eba-ad24-218495a9d231)) + (pad "B11" smd roundrect (at 0 2.25) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 37 "unconnected-(P1-PadB11)") (pinfunction "RX1+") (pintype "bidirectional+no_connect") (tstamp 3e759481-bd1e-43d1-a516-704165a0cc70)) + (pad "B12" smd roundrect (at 0 2.75) (size 1.5 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp a0fbbaf8-8c31-444a-8589-c494a935cb8e)) + (pad "S1" smd roundrect (at 0.05 -3.5) (size 1.4 0.7) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 032ce8f7-50b1-4b5b-8ab4-9b0ab129f543)) + (pad "S1" smd roundrect (at 0.05 3.5 180) (size 1.4 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 9cf04930-92e2-4361-96fe-0e85f3c4b63b)) + (model "${KIPRJMOD}/../mta1-library/mta1.pretty/3d_models/U261-241N-4BS60.step" hide + (offset (xyz -1 0 -0.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 180 0 90)) + ) + (model "${KIPRJMOD}/../mta1-library/mta1.pretty/3d_models/u261-24xn-4bs60.stp" + (offset (xyz -11.5 0 -0.45)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 90)) + ) + ) + + (footprint "mta1:ERJ2G(0402)_L" (layer "F.Cu") + (tstamp f1c7a2ae-a9d9-4b1b-82c5-a1c6e01424e3) + (at 112.5 87.95) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 least") + (tags "resistor") + (property "Extended Value" "1/16W,5%") + (property "Manufacturer" "Any/not critical") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (path "/00000000-0000-0000-0000-0000611cc101/d3227fe1-e3fb-433e-8be2-5ff5302bdec8") + (attr smd) + (fp_text reference "R24" (at 0 -1.17) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d4f21d63-7198-4c16-9ad6-77e7129b363f) + ) + (fp_text value "10k" (at 0 1.17) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp de610b42-4a25-4547-a50d-579686aa1c0a) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 056e8496-1e4d-4776-97dd-60b7c131c4d3) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3a0a2730-621d-4519-b19a-5fe5253e3378)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 29274c95-15a1-46c8-8155-8da9523a4844)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d905932e-92dc-43c9-a53f-2bfdbe551cc5)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 255e18b9-5913-4eab-9962-8d6a409e19f4)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 55623875-bfad-4279-8d1c-fc25ba7afe3f)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8a3c151f-0ed9-447a-99ee-06666bd11f2b)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6ba9488d-dd55-4f7e-9152-078008f04fed)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd0dccc4-eb4e-4861-905e-1b1eb4df14af)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f7d165a-b953-4643-9609-8e3b590e561e)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 461f889d-b552-4dc5-a360-34e4e94ce9b8)) + (pad "1" smd roundrect (at -0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 951bfa5a-755b-49e8-8bf0-1580a00db89d)) + (pad "2" smd roundrect (at 0.4 0) (size 0.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "APP_ICE_SCK") (pintype "passive") (tstamp 9690787c-8520-4338-9168-63fdb115f3e1)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 00000000-0000-0000-0000-0000616f0274) + (at 103.5 99.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061804e06") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP1" (at 0 2) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ec7e53f4-9d04-4a65-8f23-24f3769311d3) + ) + (fp_text value "5V" (at 0 -2) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 084c401a-8ae7-4181-852b-38a9286209e1) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e4aebbe4-1bbb-4498-9d57-2d6e8a6a65b5) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e4637a6e-c323-4a81-941e-f95fd79a4f46)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp eff2965b-7848-43ee-92b1-ea5c894d8150)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d02b7a4e-6558-4c73-8438-fb69618b94b4)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 6034334c-db9f-4b2e-8a53-12e0106f3682)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 540c3c94-da96-4815-8738-c7b4b1151258)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5ca5c1f7-1b14-4ca6-9ca2-6491c0a24a9d)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a8ce2a19-9dd0-4cd1-afcf-b038b4acbb8b)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2acf909d-151b-4ed0-8dd5-452e166209bf)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "1") (pintype "passive") (tstamp 6963aa3d-259d-4d06-b21a-0d7d79a7fe02)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 00000000-0000-0000-0000-0000616f0289) + (at 107.5 99.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061293ed2") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP3" (at 0 2) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp bdbf9161-262f-4455-a6ca-5093513786ea) + ) + (fp_text value "2V5" (at 0 -2) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp dca1eae4-b54a-457a-98d3-85f0bf91ed0c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f6b2644b-6f42-458e-b7ae-d4eae23d22ef) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c8c2ebf9-4659-44db-b450-e59a58ae09d3)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp a1af4f17-55ae-4284-87ae-d04e8984f69b)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 453779c2-cde7-499c-ae0b-119625d90ab0)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 839dbd04-fc7d-4ac9-b4f2-bfeb779bf774)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 3d785d93-a136-4a50-8a73-aba3ded97066)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4e9a7e6f-b58f-400e-b772-00cd090b943d)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b81c3f5b-4f46-471b-9e04-9cd698400c7c)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 07849505-c618-448f-b8ff-cc4d65e9590b)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 4 "+2V5") (pinfunction "1") (pintype "passive") (tstamp 51ccba50-b8fc-4333-88fb-93d22245c985)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 00000000-0000-0000-0000-0000617195f2) + (at 109.5 99.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612c6885") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP4" (at 0 2) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 70a5c511-86a8-45a3-a39d-77bce68bde81) + ) + (fp_text value "1V2" (at 0 -2) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 23772f54-ea20-4be0-87e9-a93481c46a0b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 6147d41f-163e-4399-b554-78ee86b2c872) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp eea8ec1d-7e24-47e8-9469-3d7839feef1c)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp fb1ba8a4-3b77-4dc6-9a17-997bbe52e26d)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 6f97cddd-ec0a-47ed-9e73-e07451bb9588)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c9aa87da-0257-4e38-9c8f-b28b94031eef)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 546e0b8f-92d7-43dc-b0c3-78b3294e7e74)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f8b2e8c7-04a5-4e57-ad05-0149ae537274)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp ad06c86b-bab3-4481-bf3a-32c00bb24e93)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 59da8d4a-fc86-414c-9ca1-235ff176bc39)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 5 "+1V2") (pinfunction "1") (pintype "passive") (tstamp 1efb6b6f-79b9-4933-84b1-bab852242875)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 00000000-0000-0000-0000-000061719767) + (at 105.5 99.5 180) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061290a70") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP2" (at 0 2) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp bf25cf3f-1e78-4fd5-a63a-705608d6ffac) + ) + (fp_text value "3V3" (at 0 -2) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 49d42768-8281-4886-8b16-3b1e6f88e52a) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 036b2b33-c9fd-4468-803f-fa3eb1b2e9de) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 0a933192-c2d3-4067-a2e8-9cd7c349fbb9)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b167576c-c013-4f2a-a5e0-893e86a073e7)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f3a3179e-d1e8-45f8-8554-abd7980e321d)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e6878206-491f-45fa-bfe3-38b4d90643d8)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 358cefe1-0a41-451c-aa73-8ce80723bb79)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5cc4c51a-7451-4d05-a080-e732432e083e)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c4dcec89-5d1c-4cad-98f0-3b9557615dbc)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4dbf41a6-730b-414a-b268-49f8bcf768c0)) + (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "1") (pintype "passive") (tstamp 63db694c-c243-4217-88ad-14c1ee3cc1d1)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 1c8a04eb-72bb-415a-a3ea-91bde9a730e0) + (at 113.5 99.5 180) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "usb_to_serial.kicad_sch") + (property "Sheetname" "USB to Serial converter") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-00006161400b/1f54ffea-0a26-464d-98d4-30979db7344f") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP7" (at 0 2) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e0e15f85-0b05-4918-9ced-e29724c7e7dc) + ) + (fp_text value "INT_RX" (at 0 -2) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 0c853234-dedd-4390-ac6f-ca1db9045f47) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 97a4ee2b-ee22-43c2-83b8-43861cea1b29) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c4f983fe-2e1f-4bb1-b6e7-afae7873ad69)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 700f1886-158a-4c1f-9fca-34118633e84a)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 10c00776-633d-4d70-8200-26e4b25fd090)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 0ade6874-9b5f-4958-953d-bd388d1d5d69)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 7e01c530-401a-4328-9e81-4b6a4363f714)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2d233d57-736e-4e68-8e1d-101d5cda6c1c)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b5b9e787-1696-44fb-b63c-c09b9b1e926b)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a8f04522-2d7b-47f8-b6c0-2f6560cdfedb)) + (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 57 "INTERFACE_RX") (pinfunction "1") (pintype "passive") (tstamp 943b2986-efa8-4cc8-bd26-160592b949ed)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 1d177f11-de44-485f-8731-c9f064d0e388) + (at 117.5 99.5 180) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "usb_to_serial.kicad_sch") + (property "Sheetname" "USB to Serial converter") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-00006161400b/d9fa1bba-ba87-4376-952a-41bb8392f957") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP9" (at 0 2) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c8eebcb6-05d2-498a-9ae9-5b0bb259026a) + ) + (fp_text value "INT_CTS" (at 0 -2) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7952b002-6689-4558-8e0c-bbe7421e0a8c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9eeeeecd-f022-4ea6-9d42-a104d2ccbdcd) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d13e78d4-dcb1-4624-bb35-490140b151aa)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 5173bd26-f29c-4a93-acc2-0b6a0642742b)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 700c2ae5-4897-4d93-82af-c192127a719a)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ae2c3ce8-2580-4e68-9883-3fd512904135)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9b358b8d-9f5f-4785-9397-8f30983f6be4)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d37a9f70-37fc-4854-8835-44221309c103)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b6498130-1006-4a6e-befe-79c9fbba5e87)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 03e56d82-5c83-4149-9556-8c89287a1a95)) + (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 59 "INTERFACE_CTS") (pinfunction "1") (pintype "passive") (tstamp 07f197a0-c9af-4023-93da-2c4f403c20d3)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 30dadb29-1b41-4944-b0cd-b50bf28c0e3b) + (at 125.5 88.5 180) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/ab35f289-cbe7-449b-a15d-459a3b4e9240") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP5" (at 0 2) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c69dc99d-9361-4716-826b-efd6bbc53501) + ) + (fp_text value "APP_GND" (at 0 -2) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp dcd8ddf5-1d2c-4c74-b2fb-666e165d5c53) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 32d405cd-8537-46f0-a7cd-efa120b79e70) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d699a4ce-94cd-487d-9da6-6f720416b6a1)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b8c3dc30-31ca-458b-8bb2-9f7872a3801a)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 99816f1d-98a2-4eab-86c4-83a4a44f4759)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp eda95f08-42e4-4028-9c64-ea567e4f09b0)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0d5e25e5-88fd-4b03-b03c-11b91e2ea0a0)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 11a381d6-dd5f-4019-8da3-329bd740375e)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d6389db2-967c-4782-b753-396a566c998a)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b89fc257-3012-4d83-bfd7-b9b455b27575)) + (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "1") (pintype "passive") (tstamp 82e73043-ec80-4df8-8fdb-9ee8e60eb711)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 59b1ea38-9812-4f81-8b3c-7b7f6018553c) + (at 119.5 99.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/e7d44998-7d27-4371-9f3d-0a968eddee9d") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP18" (at 0 2 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 6bb5cc43-3781-4b82-9436-44618c999c78) + ) + (fp_text value "APP_GPIO1" (at 0 -2 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c20e4819-4182-4c9e-9c5e-5f79a93d0e82) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 4d13d32c-e3b9-4173-8e8c-162ce4ae34fc) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 223d5c53-10c6-45d6-a21f-e8ca0e1564ff)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 7e880e59-d9cf-4a9d-bfa9-bd6ca743aa7f)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 742d1988-47cd-4f62-833a-516eb4e40fa7)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 15a7c029-3760-4602-8869-8325c9b66bdd)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 7404695a-1652-4ed2-93bf-6ba8875f00c6)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b6de2089-0246-4062-ab4c-daa53e4c61f8)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp ca99c0ec-9e9a-43ad-a3b6-b9fae6dba02b)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp bf765582-de53-47fb-bcc5-af81a256fb72)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 65 "APP_GPIO1") (pinfunction "1") (pintype "passive") (tstamp be86fc8b-8021-4d0b-afcf-e7bf50ee950d)) + ) + + (footprint "mta1:logo_OPENHARDWARE_4mmX4mm" (layer "B.Cu") + (tstamp 5ef1b1ed-3b7c-451a-a2d6-8b9b1ac71528) + (at 107.9 89.55) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "REF**" (at 0.4191 -2.4892) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 1f7bbec7-ab86-4a72-853b-a067e8111c5d) + ) + (fp_text value "logo" (at 0 -0.05) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 8b00960a-9e65-4e6b-bbf2-8c0bec2386e8) + ) + (fp_poly + (pts + (xy 0.24384 2.09296) + (xy 0.25146 2.09296) + (xy 0.25908 2.09042) + (xy 0.26416 2.08788) + (xy 0.27178 2.08026) + (xy 0.369232 1.556847) + (xy 0.37338 1.54686) + (xy 0.37846 1.53924) + (xy 0.38608 1.53162) + (xy 0.7366 1.38938) + (xy 0.74422 1.38684) + (xy 0.75692 1.3843) + (xy 0.77216 1.38684) + (xy 0.77978 1.38938) + (xy 1.21412 1.68656) + (xy 1.2192 1.6891) + (xy 1.22682 1.6891) + (xy 1.23698 1.68656) + (xy 1.60528 1.3208) + (xy 1.6129 1.30556) + (xy 1.61544 1.29794) + (xy 1.61544 1.29032) + (xy 1.3208 0.86106) + (xy 1.31826 0.85598) + (xy 1.31318 0.84836) + (xy 1.31318 0.8382) + (xy 1.31572 0.82804) + (xy 1.470594 0.465315) + (xy 1.47574 0.4572) + (xy 1.47828 0.45212) + (xy 1.49352 0.44196) + (xy 1.50368 0.43942) + (xy 1.99644 0.34798) + (xy 2.00406 0.34544) + (xy 2.01168 0.34036) + (xy 2.01676 0.33528) + (xy 2.0193 0.3302) + (xy 2.02184 0.32258) + (xy 2.02184 -0.19304) + (xy 2.0193 -0.2032) + (xy 2.01168 -0.21336) + (xy 2.00406 -0.21844) + (xy 1.51384 -0.30988) + (xy 1.50368 -0.31496) + (xy 1.49352 -0.32258) + (xy 1.483397 -0.335541) + (xy 1.33152 -0.714928) + (xy 1.32842 -0.72898) + (xy 1.32842 -0.7366) + (xy 1.33096 -0.7493) + (xy 1.61036 -1.1557) + (xy 1.6129 -1.16078) + (xy 1.61544 -1.17094) + (xy 1.61544 -1.17602) + (xy 1.6129 -1.18618) + (xy 1.24206 -1.55702) + (xy 1.23444 -1.5621) + (xy 1.22428 -1.5621) + (xy 1.21666 -1.55956) + (xy 1.21158 -1.55702) + (xy 0.81788 -1.28778) + (xy 0.81026 -1.2827) + (xy 0.8001 -1.28016) + (xy 0.78994 -1.28016) + (xy 0.77978 -1.2827) + (xy 0.59944 -1.37922) + (xy 0.59182 -1.37922) + (xy 0.5842 -1.37668) + (xy 0.57912 -1.37414) + (xy 0.57404 -1.36652) + (xy 0.21844 -0.50546) + (xy 0.21336 -0.4953) + (xy 0.21336 -0.48006) + (xy 0.2159 -0.47244) + (xy 0.22606 -0.46228) + (xy 0.26162 -0.44196) + (xy 0.30226 -0.41148) + (xy 0.3556 -0.37338) + (xy 0.43688 -0.2921) + (xy 0.48514 -0.2159) + (xy 0.52832 -0.11938) + (xy 0.54864 -0.0381) + (xy 0.55626 0.02286) + (xy 0.55626 0.09398) + (xy 0.5461 0.1778) + (xy 0.51308 0.28448) + (xy 0.46228 0.37592) + (xy 0.41656 0.43688) + (xy 0.3683 0.48514) + (xy 0.31496 0.52578) + (xy 0.26924 0.55626) + (xy 0.2032 0.58928) + (xy 0.13716 0.6096) + (xy 0.09144 0.61976) + (xy 0.04318 0.62738) + (xy -0.04318 0.62738) + (xy -0.14224 0.61468) + (xy -0.23876 0.58166) + (xy -0.33528 0.52832) + (xy -0.41148 0.46228) + (xy -0.45212 0.41402) + (xy -0.49276 0.36068) + (xy -0.51562 0.3175) + (xy -0.5461 0.25146) + (xy -0.56134 0.1905) + (xy -0.57404 0.13462) + (xy -0.57658 0.08128) + (xy -0.57658 0.04572) + (xy -0.57404 -0.00254) + (xy -0.56134 -0.0762) + (xy -0.54864 -0.11684) + (xy -0.52832 -0.17018) + (xy -0.50292 -0.22098) + (xy -0.4699 -0.27178) + (xy -0.4318 -0.3175) + (xy -0.39116 -0.36068) + (xy -0.24638 -0.46482) + (xy -0.23622 -0.47498) + (xy -0.23368 -0.4826) + (xy -0.23368 -0.49784) + (xy -0.58928 -1.36144) + (xy -0.59944 -1.37668) + (xy -0.61214 -1.37922) + (xy -0.78994 -1.28778) + (xy -0.80264 -1.2827) + (xy -0.81534 -1.28016) + (xy -0.8255 -1.2827) + (xy -0.83312 -1.28524) + (xy -1.21666 -1.5494) + (xy -1.22936 -1.55956) + (xy -1.2446 -1.5621) + (xy -1.25984 -1.55702) + (xy -1.61544 -1.20396) + (xy -1.6256 -1.19126) + (xy -1.63322 -1.17602) + (xy -1.63322 -1.16332) + (xy -1.63068 -1.15824) + (xy -1.35636 -0.75692) + (xy -1.35128 -0.7493) + (xy -1.34874 -0.73914) + (xy -1.34874 -0.72644) + (xy -1.35128 -0.71882) + (xy -1.50622 -0.3302) + (xy -1.5113 -0.32258) + (xy -1.524 -0.31242) + (xy -1.54686 -0.30734) + (xy -2.02184 -0.21844) + (xy -2.03454 -0.21082) + (xy -2.03962 -0.20066) + (xy -2.04216 -0.1905) + (xy -2.041102 0.320538) + (xy -2.03962 0.3302) + (xy -2.03454 0.33782) + (xy -2.02692 0.34544) + (xy -2.01676 0.34798) + (xy -1.52146 0.43942) + (xy -1.51384 0.44196) + (xy -1.50114 0.44958) + (xy -1.49352 0.4572) + (xy -1.34366 0.81026) + (xy -1.33604 0.82804) + (xy -1.33604 0.8509) + (xy -1.33858 0.85852) + (xy -1.34366 0.86614) + (xy -1.6256 1.27762) + (xy -1.63322 1.28778) + (xy -1.63576 1.30048) + (xy -1.63322 1.31064) + (xy -1.6256 1.31826) + (xy -1.27 1.6764) + (xy -1.2647 1.68148) + (xy -1.25476 1.68656) + (xy -1.2446 1.6891) + (xy -1.23698 1.6891) + (xy -1.22936 1.68402) + (xy -0.79248 1.3843) + (xy -0.76708 1.3843) + (xy -0.41402 1.52908) + (xy -0.40132 1.5367) + (xy -0.3937 1.54686) + (xy -0.389301 1.556847) + (xy -0.29464 2.07518) + (xy -0.28702 2.08534) + (xy -0.2794 2.09042) + (xy -0.266732 2.094817) + ) + + (stroke (width 0.0001) (type solid)) (fill solid) (layer "B.SilkS") (tstamp bb578aab-0036-44a4-a654-1d1a117cd4ca)) + (fp_curve (pts (xy -2.041102 0.320538) (xy -2.041102 0.320538) (xy -2.041072 -0.192766) (xy -2.041072 -0.192766)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 33045352-d786-424a-885a-3e42dc6fbfea)) + (fp_curve (pts (xy -2.041072 -0.192766) (xy -2.041072 -0.205144) (xy -2.031121 -0.217189) (xy -2.018955 -0.219403)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 5168341e-2821-400b-86db-9098880d939b)) + (fp_curve (pts (xy -2.018985 0.347175) (xy -2.031182 0.34487) (xy -2.041102 0.332916) (xy -2.041102 0.320538)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp c57103db-923f-48c5-81fd-85270b0fdb2e)) + (fp_curve (pts (xy -2.018955 -0.219403) (xy -2.018955 -0.219403) (xy -1.532471 -0.309935) (xy -1.532471 -0.309935)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 625dceb4-fe94-4447-8547-7dfea19348ff)) + (fp_curve (pts (xy -1.630921 -1.156329) (xy -1.637898 -1.166523) (xy -1.636473 -1.182056) (xy -1.627735 -1.190824)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp e776955b-be98-493b-a021-9cc121c3850c)) + (fp_curve (pts (xy -1.63086 1.284071) (xy -1.63086 1.284071) (xy -1.340244 0.86054) (xy -1.340244 0.86054)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp a4f1e7d6-84c2-4bf5-a525-5b5c5d3f8486)) + (fp_curve (pts (xy -1.627735 -1.190824) (xy -1.627735 -1.190824) (xy -1.26473 -1.553769) (xy -1.26473 -1.553769)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 0471d320-7c46-4f92-a6a1-c794a5baf0fb)) + (fp_curve (pts (xy -1.627674 1.318536) (xy -1.636412 1.309768) (xy -1.637868 1.294264) (xy -1.63086 1.284071)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 2fbc558a-55b9-4735-92aa-488a6154bed5)) + (fp_curve (pts (xy -1.532471 -0.309935) (xy -1.520305 -0.312149) (xy -1.50729 -0.323709) (xy -1.503588 -0.33551)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 2d32508f-0f0e-493e-89f7-7a3ac014eea9)) + (fp_curve (pts (xy -1.520275 0.439921) (xy -1.520275 0.439921) (xy -2.018985 0.347175) (xy -2.018985 0.347175)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp a77b9d60-c51f-4af7-bead-8f9acde6074d)) + (fp_curve (pts (xy -1.503588 -0.33551) (xy -1.503588 -0.33551) (xy -1.351712 -0.714898) (xy -1.351712 -0.714898)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp a0398de1-c0dc-4945-8cf9-e43b95d898de)) + (fp_curve (pts (xy -1.490755 0.465315) (xy -1.49482 0.453604) (xy -1.508078 0.442197) (xy -1.520275 0.439921)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp f9998561-3059-4867-a07d-586a2a149fcd)) + (fp_curve (pts (xy -1.354594 -0.753641) (xy -1.354594 -0.753641) (xy -1.630921 -1.156329) (xy -1.630921 -1.156329)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 0bfa996c-4e23-4f9f-a141-5d0bdaa77cc7)) + (fp_curve (pts (xy -1.351712 -0.714898) (xy -1.346281 -0.726032) (xy -1.347555 -0.743447) (xy -1.354594 -0.753641)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp a4a5db93-aae9-4c58-ad31-9c19ab686cc1)) + (fp_curve (pts (xy -1.340244 0.86054) (xy -1.333266 0.850285) (xy -1.332173 0.832992) (xy -1.337847 0.821979)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp cc794982-b194-4c7c-830d-6e261d25be68)) + (fp_curve (pts (xy -1.337847 0.821979) (xy -1.337847 0.821979) (xy -1.490755 0.465315) (xy -1.490755 0.465315)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 6a8d1011-eb13-4763-81c8-95e8233f1e6b)) + (fp_curve (pts (xy -1.26473 -1.553769) (xy -1.255993 -1.562476) (xy -1.240489 -1.563902) (xy -1.230265 -1.556954)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 9a33f76c-ac41-41ce-bca8-4cb20918837d)) + (fp_curve (pts (xy -1.2647 1.68148) (xy -1.2647 1.68148) (xy -1.627674 1.318536) (xy -1.627674 1.318536)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp b96db453-2534-4e21-9688-1aaed2f53ebd)) + (fp_curve (pts (xy -1.230265 -1.556954) (xy -1.230265 -1.556954) (xy -0.834707 -1.285452) (xy -0.834707 -1.285452)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 8107430f-9003-4781-8e3e-4bfd55967ce3)) + (fp_curve (pts (xy -1.230235 1.684665) (xy -1.240459 1.691673) (xy -1.255962 1.690248) (xy -1.2647 1.68148)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp d6ef81f7-3710-499f-8043-2b0cc052fe53)) + (fp_curve (pts (xy -0.834707 -1.285452) (xy -0.824513 -1.278474) (xy -0.807432 -1.277927) (xy -0.796783 -1.284147)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp aef72907-8687-4a26-92e4-1b6062644c72)) + (fp_curve (pts (xy -0.799301 1.388922) (xy -0.799301 1.388922) (xy -1.230235 1.684665) (xy -1.230235 1.684665)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 319929fc-5a36-48a8-bfc0-64ea3cc37883)) + (fp_curve (pts (xy -0.796783 -1.284147) (xy -0.796783 -1.284147) (xy -0.622334 -1.377287) (xy -0.622334 -1.377287)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 74c580a4-cae4-4cf7-bf6b-6bccbf9457c3)) + (fp_curve (pts (xy -0.760558 1.386131) (xy -0.771662 1.38067) (xy -0.789138 1.381913) (xy -0.799301 1.388922)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp fdc4434a-649d-4a37-b99e-652768851bee)) + (fp_curve (pts (xy -0.622334 -1.377287) (xy -0.611291 -1.38293) (xy -0.598397 -1.378167) (xy -0.593664 -1.366729)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp d11283d3-a967-46cb-a619-d5790c19da74)) + (fp_curve (pts (xy -0.593664 -1.366729) (xy -0.593664 -1.366729) (xy -0.233966 -0.497611) (xy -0.233966 -0.497611)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 598be7e4-8f14-499f-85cf-1529d54075a6)) + (fp_curve (pts (xy -0.577767 0.060928) (xy -0.577767 0.37445) (xy -0.323588 0.628599) (xy -0.010096 0.628599)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 2491f3e0-848e-4ee8-91a1-04baeca8d3fe)) + (fp_curve (pts (xy -0.414786 1.527661) (xy -0.414786 1.527661) (xy -0.760558 1.386131) (xy -0.760558 1.386131)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp be451e67-481d-4fad-944d-cc135b2ded2b)) + (fp_curve (pts (xy -0.389301 1.556847) (xy -0.391607 1.544682) (xy -0.403015 1.531545) (xy -0.414786 1.527661)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp e0179e21-6428-45da-b033-c9fefee37896)) + (fp_curve (pts (xy -0.315791 -0.417243) (xy -0.47328 -0.316397) (xy -0.577767 -0.139976) (xy -0.577767 0.060928)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 376ff36d-c43b-4f83-990f-ea570d93b656)) + (fp_curve (pts (xy -0.293309 2.0727) (xy -0.293309 2.0727) (xy -0.389301 1.556847) (xy -0.389301 1.556847)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp f2c03f45-efee-4ce3-b152-3763b6b11a57)) + (fp_curve (pts (xy -0.288182 -0.43839) (xy -0.296252 -0.433475) (xy -0.307205 -0.42501) (xy -0.315791 -0.417243)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 54e87955-8bdf-4919-be57-9c5c8229d8d8)) + (fp_curve (pts (xy -0.266732 2.094817) (xy -0.27908 2.094817) (xy -0.291064 2.084866) (xy -0.293309 2.0727)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 14864bdb-5b2a-40dc-90a1-477cc53ed525)) + (fp_curve (pts (xy -0.244524 -0.465118) (xy -0.244524 -0.465118) (xy -0.288182 -0.43839) (xy -0.288182 -0.43839)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 789fe522-3d05-4020-a7a9-7aa1ea34389a)) + (fp_curve (pts (xy -0.233966 -0.497611) (xy -0.229203 -0.486173) (xy -0.233966 -0.47158) (xy -0.244524 -0.465118)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 692719e4-9001-4d91-aa4f-34eefca24fa2)) + (fp_curve (pts (xy -0.010096 0.628599) (xy 0.303396 0.628599) (xy 0.557544 0.37445) (xy 0.557544 0.060928)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 01bb6edc-dc99-4f7d-a9e6-9daa01d77d31)) + (fp_curve (pts (xy 0.213805 -0.497611) (xy 0.213805 -0.497611) (xy 0.573472 -1.36676) (xy 0.573472 -1.36676)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 8a059fd7-5cc0-452f-a4bb-1e28531b5e47)) + (fp_curve (pts (xy 0.224363 -0.465118) (xy 0.213865 -0.47158) (xy 0.209072 -0.486173) (xy 0.213805 -0.497611)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 8621891c-fd7d-45e3-855e-d7f68d449c5d)) + (fp_curve (pts (xy 0.246602 2.094817) (xy 0.246602 2.094817) (xy -0.266732 2.094817) (xy -0.266732 2.094817)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 96f93caa-c17c-4dd4-98a0-a1c953a9da60)) + (fp_curve (pts (xy 0.26802 -0.43839) (xy 0.26802 -0.43839) (xy 0.224363 -0.465118) (xy 0.224363 -0.465118)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 3c0fb8f3-2eff-4780-bf8e-60250c807445)) + (fp_curve (pts (xy 0.273209 2.0727) (xy 0.270964 2.084866) (xy 0.25898 2.094817) (xy 0.246602 2.094817)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 24e55dea-ed12-4021-ba86-9981e5d37165)) + (fp_curve (pts (xy 0.295568 -0.417243) (xy 0.287043 -0.42501) (xy 0.27606 -0.433475) (xy 0.26802 -0.43839)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp ce29ab16-9f36-42dd-bd04-7039c5473e9c)) + (fp_curve (pts (xy 0.369232 1.556847) (xy 0.369232 1.556847) (xy 0.273209 2.0727) (xy 0.273209 2.0727)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp c807012d-ba21-4b08-ab24-45563605b2ea)) + (fp_curve (pts (xy 0.394716 1.527661) (xy 0.382975 1.531545) (xy 0.371537 1.544682) (xy 0.369232 1.556847)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 50df8fa0-ee2a-4112-85b7-c22bb6e6d9c5)) + (fp_curve (pts (xy 0.557544 0.060928) (xy 0.557544 -0.139976) (xy 0.453088 -0.316397) (xy 0.295568 -0.417243)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp bf6b5a86-e685-4332-af79-edced1c6b42b)) + (fp_curve (pts (xy 0.573472 -1.36676) (xy 0.578205 -1.378197) (xy 0.591069 -1.38293) (xy 0.602143 -1.377317)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 6d7605b3-c5d8-4b56-beb6-38ba19679116)) + (fp_curve (pts (xy 0.602143 -1.377317) (xy 0.602143 -1.377317) (xy 0.776591 -1.284177) (xy 0.776591 -1.284177)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 634f0c6f-6d48-4d00-bf2a-3a0f6fd16fbe)) + (fp_curve (pts (xy 0.740458 1.386131) (xy 0.740458 1.386131) (xy 0.394716 1.527661) (xy 0.394716 1.527661)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 6eb95c8f-7369-48b7-b539-31b347d84b6d)) + (fp_curve (pts (xy 0.776591 -1.284177) (xy 0.787271 -1.277958) (xy 0.804321 -1.278473) (xy 0.814515 -1.285482)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp a8ee54ff-1da2-48e7-b61f-d267b48f82d7)) + (fp_curve (pts (xy 0.779201 1.388922) (xy 0.769007 1.381913) (xy 0.751532 1.38067) (xy 0.740458 1.386131)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 0612f852-f9e3-4f12-b3e3-237ca163d905)) + (fp_curve (pts (xy 0.814515 -1.285482) (xy 0.814515 -1.285482) (xy 1.210104 -1.556984) (xy 1.210104 -1.556984)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 9bab76da-76e5-4e0c-81ef-1a8148e50803)) + (fp_curve (pts (xy 1.210104 -1.556984) (xy 1.220298 -1.563932) (xy 1.235831 -1.562506) (xy 1.244538 -1.553799)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp bb3f4aab-3045-4c2f-a5bd-e0b6e0f35862)) + (fp_curve (pts (xy 1.210165 1.684665) (xy 1.210165 1.684665) (xy 0.779201 1.388922) (xy 0.779201 1.388922)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 0bf125ba-e756-44c8-ad1b-22d956b2e834)) + (fp_curve (pts (xy 1.244538 -1.553799) (xy 1.244538 -1.553799) (xy 1.607543 -1.190855) (xy 1.607543 -1.190855)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp fa678ac7-5ac1-4828-a531-e1fe21af71fb)) + (fp_curve (pts (xy 1.2446 1.68148) (xy 1.235832 1.690248) (xy 1.220359 1.691674) (xy 1.210165 1.684665)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 84922b30-12d4-4ca4-be4f-d29a86f240c4)) + (fp_curve (pts (xy 1.317716 0.821979) (xy 1.312012 0.832992) (xy 1.313105 0.850285) (xy 1.320143 0.86054)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 5e05a184-0d70-446c-a5f6-b46a13cd0f06)) + (fp_curve (pts (xy 1.320143 0.86054) (xy 1.320143 0.86054) (xy 1.610729 1.284071) (xy 1.610729 1.284071)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 71b5600c-8c89-4f55-98c8-be446466f0e7)) + (fp_curve (pts (xy 1.33152 -0.714928) (xy 1.33152 -0.714928) (xy 1.483397 -0.335541) (xy 1.483397 -0.335541)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 209f8a62-d8a4-4afd-817a-1fe6517bdf3d)) + (fp_curve (pts (xy 1.334372 -0.753671) (xy 1.327394 -0.743477) (xy 1.326089 -0.726063) (xy 1.33152 -0.714928)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 30fc5155-3c42-4d5f-b8e8-dc3b841674f8)) + (fp_curve (pts (xy 1.470594 0.465315) (xy 1.470594 0.465315) (xy 1.317716 0.821979) (xy 1.317716 0.821979)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp effb4068-cf5c-4454-9a0d-6be22d9dffb8)) + (fp_curve (pts (xy 1.483397 -0.335541) (xy 1.487128 -0.323739) (xy 1.500052 -0.31218) (xy 1.512249 -0.309965)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 7701d436-f572-4cd0-a25e-18f56b3256df)) + (fp_curve (pts (xy 1.500114 0.439921) (xy 1.487978 0.442197) (xy 1.47469 0.453604) (xy 1.470594 0.465315)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 0f1e8750-9586-4c12-9b3d-e6b006b731f7)) + (fp_curve (pts (xy 1.512249 -0.309965) (xy 1.512249 -0.309965) (xy 1.998733 -0.219434) (xy 1.998733 -0.219434)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp dc57a9c8-980e-4aa5-b82f-7518323d2330)) + (fp_curve (pts (xy 1.607543 -1.190855) (xy 1.616311 -1.182087) (xy 1.617737 -1.166553) (xy 1.610729 -1.156359)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp db9ab581-c042-432e-bf98-8773f601cf6f)) + (fp_curve (pts (xy 1.607544 1.318536) (xy 1.607544 1.318536) (xy 1.2446 1.68148) (xy 1.2446 1.68148)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 64530ee7-c3f5-457f-afbc-f32fd58d7024)) + (fp_curve (pts (xy 1.610729 -1.156359) (xy 1.610729 -1.156359) (xy 1.334372 -0.753671) (xy 1.334372 -0.753671)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 058bc9ca-6544-4c9b-8ea2-eaaa9bef9411)) + (fp_curve (pts (xy 1.610729 1.284071) (xy 1.617677 1.294264) (xy 1.616312 1.309768) (xy 1.607544 1.318536)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp d2df5774-d8ec-48b8-af13-deae2c450daf)) + (fp_curve (pts (xy 1.998733 -0.219434) (xy 2.010869 -0.217219) (xy 2.02085 -0.205174) (xy 2.02085 -0.192796)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 5dcf37f4-f92a-4b04-ba9c-2bd89ca63f15)) + (fp_curve (pts (xy 1.998854 0.347175) (xy 1.998854 0.347175) (xy 1.500114 0.439921) (xy 1.500114 0.439921)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp aee186d5-2458-45d4-8b9b-315a21d56700)) + (fp_curve (pts (xy 2.02085 -0.192796) (xy 2.02085 -0.192796) (xy 2.020911 0.320508) (xy 2.020911 0.320508)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp fd1bbaf8-024d-49a2-badc-8a16764c1a83)) + (fp_curve (pts (xy 2.020911 0.320508) (xy 2.020941 0.332916) (xy 2.01099 0.34487) (xy 1.998854 0.347175)) + (stroke (width 0.007514) (type solid)) (layer "B.SilkS") (tstamp 667c2b3b-4058-4a10-97bf-c8395e3f15e0)) + (fp_line (start -2 -2) (end -2 2) + (stroke (width 0.12) (type solid)) (layer "B.Fab") (tstamp 44eeed7d-1dd8-41ac-93df-eefaa061ec07)) + (fp_line (start 2 2) (end 2 -2) + (stroke (width 0.12) (type solid)) (layer "B.Fab") (tstamp 13019745-d965-4bc9-9806-6cb1cd0eee25)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 87adb74e-f131-4c2e-9e12-181f4aa50a40) + (at 121.5 99.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/855a271d-d14e-4340-88ef-5eb1d2805d77") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP19" (at 0 2 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 2db6033d-ecc8-4a81-a834-2b3aae8111e7) + ) + (fp_text value "APP_GPIO2" (at 0 -2 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp fddd020a-67e2-4184-b969-4e51d4265ade) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp fed924c5-1789-42ad-9822-8be5914e8219) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d150e831-7614-4c01-a9e1-dc1d0f7f1dcf)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp cbc79a65-d193-46d1-a78d-c73077ec1436)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ad13b0d8-c270-45b3-9bfa-fc1b7e1dce8a)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d263382b-728c-43cd-991c-d599d3fa5c3e)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 744b57d1-d1c4-4d56-a75d-b72886598678)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4bc3d327-13af-4950-a1a1-b2699a2f246e)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 64ad0ff6-717b-4c0b-b871-e8ced397abb7)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5d3d5314-49d0-4e67-a670-e4eebfe22e50)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 66 "APP_GPIO2") (pinfunction "1") (pintype "passive") (tstamp 9517496e-503a-47ae-905b-c3ce00435fc8)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 91942a5b-120a-4ab0-ae3e-3c1b230e59f7) + (at 115.5 88.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/3c9864fc-0a77-4fe0-8c96-c0260a516ba1") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP14" (at 0 2 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp d54b90da-76e9-487d-a3f4-68dfefa718a9) + ) + (fp_text value "APP_ICE_MOSI" (at 0 -2 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 1f6a15d4-00cb-4963-9439-8f85f09436fd) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 19e577db-ec7a-4273-a932-573633e82162) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp dacc1a25-3f27-4e1b-b627-f0a00f78773c)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 18d4f970-b3a0-453d-8d34-78c9ece356bb)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp adcb0b83-c618-4398-a600-68a608e58b6b)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b0df1584-468e-4031-8688-fc57047acdbd)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b3c0a9a5-d58e-497e-9b5f-e250a580c631)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5be29cc6-1fdd-42c5-a423-24fe526f5734)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a730243f-cb13-4bee-950a-d47ce6891e24)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f61a1a6d-eaa8-4bc2-bccd-5fad95d86ed5)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 48 "APP_ICE_MOSI") (pinfunction "1") (pintype "passive") (tstamp 50a469f0-9b42-4f0d-920a-c728e011c721)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp 9fc8f214-ae45-48d1-84b6-8b76a95457cc) + (at 101.5 99.5 180) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "powersupply.kicad_sch") + (property "Sheetname" "Power Supply") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611a4eb9/f74c1147-0f6c-4852-95d4-43a254720c79") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP28" (at 0 2) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp cfcfabe7-029d-432d-818e-86ffca95e6d9) + ) + (fp_text value "GND" (at 0 -2) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c67abeaf-ee09-4360-aff7-9c80b4fed279) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp cf1cc613-9ee9-4469-893f-773a6499ad20) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 05a489e3-8f02-4d8f-9a70-c65a49b94fe5)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp a223687f-a6f4-4542-9e41-7a1928a1d29c)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f7241cad-2f53-4952-a61b-73042c7feb93)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp fe6d9248-0cc4-46a4-ae8e-05e05dd2a86e)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0ba3bfda-51a1-498c-8f14-a0d0bbcdf47d)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp acdcab39-3d32-4246-b7b5-b52c31ef30e8)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f8616340-13ba-45fa-a1c0-baebe45d78f7)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a5bd2295-1e34-4045-aaee-a283e8a94273)) + (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "1") (pintype "passive") (tstamp 77526995-9d2f-4bd7-82b4-adf56e63a6c1)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp acffc0f4-2c19-453f-9533-67f917ee923d) + (at 115.5 99.5 180) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "usb_to_serial.kicad_sch") + (property "Sheetname" "USB to Serial converter") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-00006161400b/74f30866-0d52-4558-88d9-da85c982a4ac") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP8" (at 0 2) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c95433de-510f-482c-a0cc-7257a09620a2) + ) + (fp_text value "INT_RTS" (at 0 -2) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 52a0b976-34c9-40ab-a944-15f0fa33cc25) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 0f7fef10-8821-4389-ada8-a35c0974ea88) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 493383c5-68ee-4ca4-b043-1d7512f56c9a)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp fffd4ae6-8077-4aa8-b5e9-1c522972149d)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 8aa162d2-f66e-41be-a212-6fbc9bc5bb5c)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 5025b807-14b9-4555-be40-b7fb4a442936)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 872eb142-9548-42cf-a13f-e9523a6517d7)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp cafc6813-4709-4117-b974-28e15465a275)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 3b791526-4025-4ae9-8e00-b500303eec8c)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9042486b-9fcf-4608-833e-42a5916adc2c)) + (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 58 "INTERFACE_RTS") (pinfunction "1") (pintype "passive") (tstamp 04da0274-8a32-457d-9955-891963b21959)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp b6a45c84-b275-401c-8ad5-4def400f7c11) + (at 123.5 88.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/32f54cf3-1e0f-4aaa-b508-267b9568217c") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP17" (at 0 2 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 1bfc1ea4-7f42-48a1-b709-a13c9decd1a1) + ) + (fp_text value "APP_CDONE" (at 0 -2 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 42bfae5e-3ce8-43dd-965c-be0ad2eda45a) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5a6728d2-1693-4999-93fe-970d975c5985) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 1e642f80-e7b3-4203-a3af-51a6a257633c)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e19996f6-7a59-4e73-bb61-4b4aaae48243)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp fa628da3-e103-4bc6-9592-03afcb28a534)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 882f0045-08c1-47be-9e3e-f1d655af801e)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f5fd35be-4ca3-4ee4-9f43-41a7a21ff765)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 7572e69b-fada-4c7d-86d7-f68656590735)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 55279bea-dd19-422c-8c04-4fe8f0b6efac)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 8dd2cee6-ba4f-4187-af5c-c2c06d9f5d64)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 10 "APP_CDONE") (pinfunction "1") (pintype "passive") (tstamp d27ef2f0-8f49-48b5-b310-23038d81e409)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp b9e4181c-869d-4d95-bb71-881cdc72d5ea) + (at 119.5 88.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/0f9d611d-221f-42fe-a161-07c0ff52c542") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP15" (at 0 2 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f2d9f7b7-0e60-4bc8-a32f-3e721064c3ec) + ) + (fp_text value "APP_ICE_MISO" (at 0 -2 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 19482e0f-aa5f-43e1-868c-a4c78ac62b61) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 1e42eb83-0e8f-4571-9714-7b6b482b027e) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 46510f3d-3229-49fa-ba83-b44a397da7be)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c1d0fe9f-d8c4-4ef9-9c8c-5fb82ef252ba)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 8301314b-75b9-448c-b063-662b74095c48)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c6e2383e-314f-4b45-a60a-5fa5d0a8dff2)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5450e0da-e7a4-49fa-86de-71947adbcf18)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 46e10d17-358c-4175-b16f-5b9eac7c77b0)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 42b6466c-f316-4924-9216-75e9ef887fc9)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp e69990c5-f81c-41a4-ac3f-ab65f78e54f6)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 49 "APP_ICE_MISO") (pinfunction "1") (pintype "passive") (tstamp 7d7fcd6a-2851-4209-8eae-24fe6cd4d1aa)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp baf58209-8421-4a8a-8963-654d4a611aee) + (at 123.5 99.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/8aa5c85f-46b3-4ac4-bd48-3e3352ebb3f6") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP20" (at 0 2 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp bfa5e561-4b18-4458-b990-10bd1c41b764) + ) + (fp_text value "APP_GPIO3" (at 0 -2 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 905121fa-8ee3-4167-8ddc-09d7ba070a17) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 40703b37-f4f7-4e7f-8fb5-28d11ac8e656) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 8c8871f6-c79c-49f9-ad4c-d01ec6798334)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 60517d4c-f6fd-49f3-8cee-765ed984993f)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 53cb26b1-515a-41f0-b7c6-f41da22f579c)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 20314813-5c8d-4d18-b702-af6dc7952da3)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 6aca6ff8-30be-439b-8a83-245a9e17094c)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9acf2eaf-ab44-4928-ba8b-8352570af82b)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a57a48cf-f113-4ee2-9d03-c45873f1a5fe)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2013ef49-ae9d-48ff-8afb-5bcf0f976a6c)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 67 "APP_GPIO3") (pinfunction "1") (pintype "passive") (tstamp 9b97a6eb-c4ea-405e-aba5-58cd5b1657e0)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp d7ede781-71e7-4f25-8d3d-bcf49bd68010) + (at 111.5 99.5 180) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "usb_to_serial.kicad_sch") + (property "Sheetname" "USB to Serial converter") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-00006161400b/fb64afad-a905-4f4b-98a2-d21a64bd12f3") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP6" (at 0 2) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 4aff2ce4-ca1e-48cb-b8c9-0def978210bc) + ) + (fp_text value "INT_TX" (at 0 -2) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 94419dcd-8a47-4346-bad9-959b3a1cf43c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 32eb7caf-68bd-4984-a679-07469136e55d) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp accc9442-d1bd-4e93-bbf7-de6aaeeed234)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp da60f249-77f5-4473-a7e8-57191b8eadd8)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e73537d0-ea84-4d79-a791-c3300a028e39)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp da025370-3f83-4dbf-bf8f-bce303a45f95)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b897867b-c326-4bb6-ac3d-8419a3602219)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp dedf1edd-799d-4179-9f12-a547c3b259c5)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f110e604-d9bb-49e9-86e4-8191152c6175)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2d488f5a-2d29-4e95-9360-b9e960c84fba)) + (pad "1" smd roundrect (at 0 0 180) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 25 "INTERFACE_TX") (pinfunction "1") (pintype "passive") (tstamp 4e8921a8-c8d5-4408-9faa-3b9f6b06ffb3)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp f395fe5c-9ea0-407f-9240-c03d5f6ee1ae) + (at 117.5 88.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/f77c0061-34af-41f5-8a00-bb8ae07fe922") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP13" (at 0 2 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9ee79681-d934-4716-8aa9-d13ba8f742d4) + ) + (fp_text value "APP_ICE_SS" (at 0 -2 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ecaa6834-8682-4ca0-bfce-9bbba0a655f5) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e1d9e1e7-0756-4b46-bb19-e287022c232e) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ddd63fcd-eace-4bff-a841-1b38923d9ad0)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 3b2d3e78-06a0-4e1c-90ad-786781d4fee1)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ba35e9e9-1195-429c-9c50-e0cf8d0576c1)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 22d9de77-21dd-4b89-a431-31772ee75a27)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f5f9003f-1122-4c08-8141-3458eb821525)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9c25d1a2-a950-4b2c-90e2-b6c356a5c483)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp eba9b22c-e6f2-4a42-a8e6-50fd88b34d74)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c8a06988-5d29-48b9-b612-cde06b5de1cf)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 47 "APP_ICE_SS") (pinfunction "1") (pintype "passive") (tstamp 4d87c861-d1bd-4b00-8728-221ee5960db0)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp f39f1356-2d40-41b3-96d4-d2b09571ede3) + (at 113.5 88.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/b84f4f68-cc10-43a0-962e-10a1890e7eaf") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP12" (at 0 2 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5c9ef059-ddd9-46c8-a8a1-1da8590c37b6) + ) + (fp_text value "APP_ICE_SCK" (at 0 -2 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 3a9bf487-a6b7-46e7-aa30-a403b26249de) + ) + (fp_text user "${REFERENCE}" (at 0 -0.1 270) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e149d80e-6245-49ac-9631-d8edb4b51557) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 3b093664-13a3-436c-b119-e0763ec6ab53)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp a0490239-211a-4800-b635-1329bccba03c)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp eeec9e76-a27d-43b2-b1ce-2ae4e38ee4f5)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e852c60a-73ba-434e-9a19-47c1b20cd3ae)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d32e769b-65f1-41a8-8a58-137534e079e2)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp dadd4249-7070-4a20-ba99-f3e687f51821)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 6e9a8103-4a43-4792-8c04-4504e840facb)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5801391f-6495-4efa-9ab1-594c15aea2fa)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 12 "APP_ICE_SCK") (pinfunction "1") (pintype "passive") (tstamp a35663c2-9628-4947-8c75-d3948479c6a0)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp f61050cd-1596-442f-aebc-6673d7855b2f) + (at 125.5 99.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/a6d52094-2dbe-49fa-99c1-8b125d3ae4c6") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP21" (at 0 2 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 318eabbe-4916-47e4-b32a-4c4e8d4a9d30) + ) + (fp_text value "APP_GPIO4" (at 0 -2 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f63edaf9-6b1f-4482-bf23-9bb790b54e0f) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e9b34157-c4ac-4a2d-9e4f-27e4a6bf438a) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 0af411ee-b8ad-429d-9db9-a0feb0e159de)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 0f6dd0e1-d0d5-4af4-b24f-18d954218025)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 3d2b0d70-824b-4fc1-a0a5-444186ee4d89)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 92eb0742-090b-4d7d-a91b-487a5ca4e8f8)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2796f700-eee8-48be-afaf-683b91b0749a)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b03c619f-74cc-449a-9fb6-875489fef701)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d363f5e9-85a0-4da4-8384-f799b0ed7a3c)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 84a235bc-3945-41d4-9960-9b64fdbde0da)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 68 "APP_GPIO4") (pinfunction "1") (pintype "passive") (tstamp b66b7d0a-1706-436a-b225-6c930b949197)) + ) + + (footprint "mta1:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tstamp fdd88054-cc06-4e3c-b3d6-48d73890c0b6) + (at 121.5 88.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "application_fpga.kicad_sch") + (property "Sheetname" "Application FPGA") + (property "exclude_from_bom" "") + (path "/00000000-0000-0000-0000-0000611cc101/d7bf813c-bb4e-4ed8-b86f-d9ec1d86656d") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP16" (at 0 2 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp d10945de-1ce3-40af-b1c8-cba10d8d3a56) + ) + (fp_text value "APP_CRESET" (at 0 -2 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c5d9b208-4627-4298-aaae-8d46a06ce474) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp a40cb1e9-fa91-4283-bb82-5df19cc3ffcd) + ) + (fp_line (start -0.7 -1) (end -0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d515dac0-049c-4e0a-94b7-0fbbeeb9705f)) + (fp_line (start -0.7 1) (end 0.7 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 7214ba0b-2f78-40e4-96ab-5cfbb671f6b7)) + (fp_line (start 0.7 -1) (end -0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 2e3ffce7-5f6e-44e6-a9c5-b4293793aa7f)) + (fp_line (start 0.7 1) (end 0.7 -1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 1a41c237-e28a-42f3-90a6-bc5075f905fa)) + (fp_line (start -0.9 -1.2) (end -0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d59fb745-f1ce-4094-bd22-bbce59808d49)) + (fp_line (start -0.9 1.2) (end 0.9 1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 66792d18-2cdc-4ba3-917f-7c106a99a88f)) + (fp_line (start 0.9 -1.2) (end -0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 66f04573-ce8f-4e6a-9308-af54278d3701)) + (fp_line (start 0.9 1.2) (end 0.9 -1.2) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp e9859d69-d654-4e3f-80fc-decb0e1ae686)) + (pad "1" smd roundrect (at 0 0) (size 1 1.6) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 11 "APP_CRESET") (pinfunction "1") (pintype "passive") (tstamp e6dd0a46-999d-4329-9b51-59e9fdeec6ba)) + ) + + (gr_line (start 99.05 100.25) (end 127.75 100.25) + (stroke (width 0.05) (type solid)) (layer "Dwgs.User") (tstamp 3e0ddb44-d2e0-4bda-98d0-0e13a42c4989)) + (gr_line (start 99 87.75) (end 127.7 87.75) + (stroke (width 0.05) (type solid)) (layer "Dwgs.User") (tstamp ee823590-ecbd-4107-bb1f-1a309e1b21af)) + (gr_line (start 28.685714 183.145) (end 142.485714 183.145) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 025baa4e-9c0e-4171-ba18-c81707277562)) + (gr_line (start 28.685714 130) (end 142.485714 130) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 03273d97-5274-435d-8d30-f6cf1379d2ec)) + (gr_line (start 28.685714 162.82) (end 142.485714 162.82) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 3259f80d-9863-4549-b902-9b908fd99360)) + (gr_line (start 28.685714 146.56) (end 142.485714 146.56) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 34cf0ce0-4224-4cff-b8da-dac4a1c9b668)) + (gr_line (start 69.171429 130) (end 69.171429 187.21) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 43d2d4b8-f1d7-4f2a-aa85-7cb4bf6c251c)) + (gr_line (start 125.828571 130) (end 125.828571 187.21) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 44a15e1c-f254-4648-a79e-78dd546b3ad3)) + (gr_line (start 85.771429 130) (end 85.771429 187.21) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 571912b7-93f1-48e7-9716-795cf2eaaab5)) + (gr_line (start 28.685714 158.755) (end 142.485714 158.755) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 63d855ac-697e-4eed-8221-860e4b1819e2)) + (gr_line (start 28.685714 187.21) (end 142.485714 187.21) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 7338b5a9-3a85-4450-86b4-5007c87a58ff)) + (gr_line (start 28.685714 154.69) (end 142.485714 154.69) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 73a44f0b-73f5-401a-a4f9-19586eb00839)) + (gr_line (start 28.685714 170.95) (end 142.485714 170.95) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 79977da0-fdcb-4922-8297-b08770982ade)) + (gr_line (start 28.685714 175.015) (end 142.485714 175.015) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp 8c1aa883-be0a-4c66-94de-9db387d409d3)) + (gr_line (start 142.485714 130) (end 142.485714 187.21) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp a3211a09-e8bb-45b4-9fce-27397cf3f049)) + (gr_line (start 28.685714 130) (end 28.685714 187.21) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp ae877162-4ceb-4c8a-bbfe-7112f9e7e7ea)) + (gr_line (start 28.685714 150.625) (end 142.485714 150.625) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp b362ed42-4b28-4023-8338-57fce2c46bcc)) + (gr_line (start 28.685714 166.885) (end 142.485714 166.885) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp cb6ca4a6-d548-496b-82da-ad3ca44106a9)) + (gr_line (start 44 130) (end 44 187.21) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp d02abb4a-6862-4e43-bda0-9136ef818539)) + (gr_line (start 28.685714 142.495) (end 142.485714 142.495) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp d4b6492f-ea43-4aae-99e0-bfb2aa20b67f)) + (gr_line (start 28.685714 179.08) (end 142.485714 179.08) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp e130aa5f-12f3-4c64-8445-319d961fa089)) + (gr_line (start 28.685714 134.365) (end 142.485714 134.365) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp e174db42-2133-4bde-8bf0-5dfc27789f4d)) + (gr_line (start 28.685714 138.43) (end 142.485714 138.43) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp e835f670-a4e4-411b-93b0-aa3907eaf197)) + (gr_line (start 113.242857 130) (end 113.242857 187.21) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp eb84e2f0-c873-4eb9-b0db-dd71bfafb64c)) + (gr_line (start 105.5 130) (end 105.5 187.21) + (stroke (width 0.1) (type solid)) (layer "Cmts.User") (tstamp fa74e58b-1d1f-4c19-a9e0-9a5b12093d6c)) + (gr_line (start 100 97.95) (end 100 98.95) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00006170272f)) + (gr_line (start 102 87.05) (end 125.7 87.05) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00006171892e)) + (gr_arc (start 125.7 87.05) (mid 127.114214 87.635786) (end 127.7 89.05) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 10475719-3bd3-4522-afbf-c9bc94b1040f)) + (gr_line (start 127.7 89.05) (end 127.7 98.95) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 3f5dd2df-21e9-4449-98d0-20c04d0fd22a)) + (gr_arc (start 102 100.95) (mid 100.585275 100.364725) (end 100 98.95) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 415e16b8-6b2d-45a0-8768-17340423ff22)) + (gr_arc (start 127.7 98.95) (mid 127.114214 100.364214) (end 125.7 100.95) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 43da97d2-2a46-4cac-bebd-d9b93935c90d)) + (gr_line (start 125.7 100.95) (end 102 100.95) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp 8634edb8-50db-43d2-95bb-5918d2cd24cc)) + (gr_arc (start 100 89.05) (mid 100.585786 87.635786) (end 102 87.05) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp e671ffe9-4ebb-42bd-be8d-cda9a798e138)) + (gr_line (start 100 89.05) (end 100 90.05) + (stroke (width 0.05) (type solid)) (layer "Edge.Cuts") (tstamp e9d903db-c5d7-444d-9c1e-bcca6f38ba00)) + (gr_text "GPIO1" (at 119.5 98.3 90) (layer "B.SilkS") (tstamp 00075467-7cde-492f-a864-1da19b0a448e) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "2022-11 RevA" (at 104.3 92.9 180) (layer "B.SilkS") (tstamp 15147481-f79e-4c44-b4c2-d5e26b23b817) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) + ) + (gr_text "1.2V" (at 109.5 98.3 90) (layer "B.SilkS") (tstamp 2609b095-bc31-4457-8068-c5748ad9c1ce) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "CTS" (at 117.5 98.3 90) (layer "B.SilkS") (tstamp 3a19116d-fa3a-450b-920d-8a40c85061bb) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "G" (at 101.5 98.3 90) (layer "B.SilkS") (tstamp 473f6510-1fb0-48b1-8b2d-e07a34954c35) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "CDONE" (at 123.5 89.7 90) (layer "B.SilkS") (tstamp 588c89e2-4904-475c-8f06-cdff1b40a647) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) + ) + (gr_text "MOSI" (at 115.5 89.7 90) (layer "B.SilkS") (tstamp 5dbff5ff-49a6-49ec-8f9a-775647680454) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) + ) + (gr_text "GPIO4" (at 125.5 98.3 90) (layer "B.SilkS") (tstamp 6e975a44-2b87-4cdf-ac04-19ae34ce419d) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "RX" (at 113.5 98.3 90) (layer "B.SilkS") (tstamp 91dfadeb-0354-4042-b3ab-a06332e22f30) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "3.3V" (at 105.5 98.3 90) (layer "B.SilkS") (tstamp 9597191c-bccc-40b5-b206-5b2761c5ca2a) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "TX" (at 111.5 98.3 90) (layer "B.SilkS") (tstamp 9f8ebe31-5a32-4d1d-b40a-9849440cf543) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "GND" (at 125.5 89.7 90) (layer "B.SilkS") (tstamp a1d35443-06ec-43b9-8d83-efbcda2db750) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) + ) + (gr_text "SCK" (at 113.5 89.7 90) (layer "B.SilkS") (tstamp af6c25a6-cc45-4647-a296-81cb0aaaadd0) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) + ) + (gr_text "MISO" (at 119.5 89.7 90) (layer "B.SilkS") (tstamp cf01b5ea-a259-44bc-9ce7-f39f4edbd455) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) + ) + (gr_text "Tillitis TK-1" (at 104 94.4 180) (layer "B.SilkS") (tstamp d0613635-1f4e-43e4-826e-4addfef3efe3) + (effects (font (size 1.4 1.4) (thickness 0.1)) (justify left mirror)) + ) + (gr_text "RTS" (at 115.5 98.3 90) (layer "B.SilkS") (tstamp d091ed31-20ac-45c0-848c-947108ffa6ac) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "5V" (at 103.5 98.3 90) (layer "B.SilkS") (tstamp d1d0b4b0-6f4d-4c66-86a2-a15b131731bf) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "CRESET" (at 121.5 89.7 90) (layer "B.SilkS") (tstamp d7353bd5-08db-4597-a99d-bfec5785db25) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) + ) + (gr_text "GPIO3" (at 123.5 98.3 90) (layer "B.SilkS") (tstamp de357d54-45ff-4517-b90d-bcbf0b295739) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "GPIO2" (at 121.5 98.3 90) (layer "B.SilkS") (tstamp e99fa3e8-5559-4845-a36a-50e712749d6f) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "2.5V" (at 107.5 98.3 90) (layer "B.SilkS") (tstamp f1e2339b-4804-464d-8dff-513935d27129) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify right mirror)) + ) + (gr_text "SS" (at 117.5 89.7 90) (layer "B.SilkS") (tstamp fced2412-e606-493c-bbaf-8a7b1510e760) + (effects (font (size 0.7 0.7) (thickness 0.08)) (justify left mirror)) + ) + (gr_text "0 mm" (at 86.521429 183.895) (layer "Cmts.User") (tstamp 00f08a0b-82b9-45e5-8519-9f3c6377cd02) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.02" (at 126.578571 159.505) (layer "Cmts.User") (tstamp 011a5828-4c3c-4dde-9bdb-284a3f3c4a43) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "prepreg" (at 44.75 159.505) (layer "Cmts.User") (tstamp 0243fc01-c89d-427f-ada0-c7b78b375c4b) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 106.25 179.83) (layer "Cmts.User") (tstamp 0915a960-c1d1-4819-9c53-aeb8cd5149bf) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.01 mm" (at 86.521429 143.245) (layer "Cmts.User") (tstamp 0b71d1a0-f7f1-4898-a4ea-edf5332f8ca7) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "4.5" (at 113.992857 167.635) (layer "Cmts.User") (tstamp 0c45290b-d76f-4c88-a4f6-10a6b4367d24) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Black" (at 106.25 143.245) (layer "Cmts.User") (tstamp 120c613d-4c12-4293-ae3a-6a512771985f) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "F.Cu" (at 29.435714 147.31) (layer "Cmts.User") (tstamp 1336502c-11bd-4ec2-9aca-20ce8fd7c351) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 106.25 147.31) (layer "Cmts.User") (tstamp 163963d5-9627-43e4-ac5c-e10ad7299143) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "4.5" (at 113.992857 159.505) (layer "Cmts.User") (tstamp 1995a1af-4656-4a47-a563-d0a3f10ab4cf) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Not specified" (at 69.921429 175.765) (layer "Cmts.User") (tstamp 1a253373-7aaa-4800-82a0-f05224ca4a7a) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Bottom Silk Screen" (at 44.75 183.895) (layer "Cmts.User") (tstamp 1b6d0560-1178-425c-aa39-65ccb9b9adf6) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0" (at 126.578571 139.18) (layer "Cmts.User") (tstamp 1bcfdeb5-4398-4ba9-8d2b-1afb409aafd2) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "3.3" (at 113.992857 175.765) (layer "Cmts.User") (tstamp 1e5a4a4f-7ec1-4d5e-aab0-77eebafcd5cd) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Not specified" (at 69.921429 183.895) (layer "Cmts.User") (tstamp 26fb18d1-6ffa-4a4a-b050-bfff5417256a) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 106.25 139.18) (layer "Cmts.User") (tstamp 2ab4e285-80ef-4098-93e3-671fb896f742) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "B.Silkscreen" (at 29.435714 183.895) (layer "Cmts.User") (tstamp 2c1ead4c-ba2b-4a8a-bb34-69dfd6a07338) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "F.Mask" (at 29.435714 143.245) (layer "Cmts.User") (tstamp 36815cf6-0422-444c-a3e8-ed66ef92f617) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "B.Paste" (at 29.435714 179.83) (layer "Cmts.User") (tstamp 369de6e0-38f9-4c75-93ed-d58163562fde) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 106.25 171.7) (layer "Cmts.User") (tstamp 37104389-0ffa-4ff9-884c-f7e490c8571a) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Dielectric 1" (at 29.435714 151.375) (layer "Cmts.User") (tstamp 37be8254-7e2c-4f4c-a147-46fa446006a2) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.0175 mm" (at 86.521429 163.57) (layer "Cmts.User") (tstamp 3c0146c9-302b-4005-9f50-7766581fb71a) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Epsilon R" (at 113.992857 130.75) (layer "Cmts.User") (tstamp 3def0672-3d83-48f7-bcb3-c4be8da902d5) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) + ) + (gr_text "Dielectric 3" (at 29.435714 167.635) (layer "Cmts.User") (tstamp 3e6b83fc-7519-4ddb-953c-bb9f626bfed6) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "White" (at 106.25 135.115) (layer "Cmts.User") (tstamp 3fd645e4-1c4f-4c07-afcb-59e3215127ca) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 106.25 167.635) (layer "Cmts.User") (tstamp 528fa016-8dda-47a4-ac5a-14ef00dc9116) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.02" (at 126.578571 167.635) (layer "Cmts.User") (tstamp 5362a7bb-6a5c-4582-8a84-dd179357b30c) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Color" (at 106.25 130.75) (layer "Cmts.User") (tstamp 53b141a8-4fb6-4e1f-b3eb-8e36e10c5cc1) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) + ) + (gr_text "core" (at 44.75 151.375) (layer "Cmts.User") (tstamp 53b9d0a9-bdca-4a98-a62c-67ea855d8049) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Loss Tangent" (at 126.578571 130.75) (layer "Cmts.User") (tstamp 53dc5eaa-73e3-43ab-9e31-a54cd5adc72f) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) + ) + (gr_text "Material" (at 69.921429 130.75) (layer "Cmts.User") (tstamp 5467a1d8-1da8-4db6-8370-a392f817657d) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) + ) + (gr_text "0 mm" (at 86.521429 139.18) (layer "Cmts.User") (tstamp 5c19c8eb-a9eb-4833-b94e-16d408a4c614) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "1" (at 113.992857 135.115) (layer "Cmts.User") (tstamp 5f3ac091-d5f3-4e8d-bed3-d7d84d73e753) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "1" (at 113.992857 183.895) (layer "Cmts.User") (tstamp 606bed62-2645-43b2-8746-701feb5d482c) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0" (at 126.578571 163.57) (layer "Cmts.User") (tstamp 60fcc63f-51e7-4ba1-b8e2-7f58e866098a) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "1" (at 113.992857 163.57) (layer "Cmts.User") (tstamp 63530c34-e56d-412b-a20c-0f5801e0b75c) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "F.Silkscreen" (at 29.435714 135.115) (layer "Cmts.User") (tstamp 6d2ec6c5-646f-4865-962c-fb5a5edbf1c2) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 69.921429 147.31) (layer "Cmts.User") (tstamp 6e4fd549-4e22-4263-aa63-fbb79f10ecb8) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0" (at 126.578571 143.245) (layer "Cmts.User") (tstamp 72e8fcce-5083-40f6-a91f-3bfabc7c7549) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0" (at 126.578571 175.765) (layer "Cmts.User") (tstamp 73237229-68da-4bfc-80d6-f3f33e277d06) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "copper" (at 44.75 163.57) (layer "Cmts.User") (tstamp 74936d8a-1d36-412e-8d34-dbf39e66d962) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Top Solder Mask" (at 44.75 143.245) (layer "Cmts.User") (tstamp 74a9d92f-93b8-42e6-97b6-ac630c5378b8) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.01 mm" (at 86.521429 175.765) (layer "Cmts.User") (tstamp 7505eede-a417-42c3-88a2-1fe21ee21a2a) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0" (at 126.578571 147.31) (layer "Cmts.User") (tstamp 7b7e0923-b508-4aa1-91a7-05a7557a88ee) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "1" (at 113.992857 155.44) (layer "Cmts.User") (tstamp 7bf62f93-87a1-4db1-8ca9-79ce9596c2b8) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0 mm" (at 86.521429 179.83) (layer "Cmts.User") (tstamp 7f27dd6e-61a8-4bb4-ac85-149b149d66f3) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "In2.Cu" (at 29.435714 163.57) (layer "Cmts.User") (tstamp 8356d232-ef50-40f0-a742-8beed5a9bc27) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 106.25 159.505) (layer "Cmts.User") (tstamp 837176e9-8fab-41d2-86dd-da3b1b3dd39f) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "1" (at 113.992857 171.7) (layer "Cmts.User") (tstamp 84164d3c-90bc-45b0-ac63-7f7a93843cb3) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Not specified" (at 69.921429 143.245) (layer "Cmts.User") (tstamp 862b97e2-70d6-4aea-9357-60983bc901d8) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 106.25 155.44) (layer "Cmts.User") (tstamp 884b30ea-af8f-4f82-a557-df4823436067) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "copper" (at 44.75 155.44) (layer "Cmts.User") (tstamp 888c76fa-7b17-4835-83d9-86e7676bd4ef) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "B.Cu" (at 29.435714 171.7) (layer "Cmts.User") (tstamp 8d9e19c9-1c38-4d1f-a346-c1ec50453cc1) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "FR4" (at 69.921429 159.505) (layer "Cmts.User") (tstamp 8f9bfdb5-2a57-4831-bd00-f02c2bbb920e) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0" (at 126.578571 171.7) (layer "Cmts.User") (tstamp 925356e8-9fe3-4fca-8329-eba967a76629) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.0175 mm" (at 86.521429 155.44) (layer "Cmts.User") (tstamp 9428c84f-f95c-4fa2-a59d-586cb3c5d4fd) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Bottom Solder Mask" (at 44.75 175.765) (layer "Cmts.User") (tstamp 96916265-4653-41c3-9a80-f6775aa2b630) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0 mm" (at 86.521429 135.115) (layer "Cmts.User") (tstamp 9fdf8bbc-e3ca-4283-a65c-7015973dbcab) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "1" (at 113.992857 179.83) (layer "Cmts.User") (tstamp a0b9f050-1be7-488f-85b2-08f372f83ded) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0" (at 126.578571 155.44) (layer "Cmts.User") (tstamp a104f8b7-5461-444e-b965-b1e6732ac99f) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "copper" (at 44.75 147.31) (layer "Cmts.User") (tstamp a24c495d-6be2-4999-9a23-d78f9efcd58e) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.035 mm" (at 86.521429 147.31) (layer "Cmts.User") (tstamp a5d01954-50f2-4ef4-ac22-4fad9b9b2741) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Dielectric 2" (at 29.435714 159.505) (layer "Cmts.User") (tstamp a91b2e0e-b141-4814-b267-2fdc9c6a6658) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 69.921429 179.83) (layer "Cmts.User") (tstamp aa63055c-baeb-45aa-a784-3ad93305f13b) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Not specified" (at 69.921429 135.115) (layer "Cmts.User") (tstamp b0c06db7-a576-4fd8-83c7-c014cc52b2d6) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "4.5" (at 113.992857 151.375) (layer "Cmts.User") (tstamp b2fb7a1b-c9ba-4acd-a02e-25484040900c) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 106.25 151.375) (layer "Cmts.User") (tstamp b559f405-4de0-4485-9eb1-aa1ba6266fb3) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.433 mm" (at 86.521429 159.505) (layer "Cmts.User") (tstamp bab9a1de-c8d3-471f-9075-142844f4fafd) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Thickness (mm)" (at 86.521429 130.75) (layer "Cmts.User") (tstamp bb5d112d-8806-45ee-9ac3-33210f67d54f) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) + ) + (gr_text "Top Solder Paste" (at 44.75 139.18) (layer "Cmts.User") (tstamp c5ca144b-4a8c-4b43-8d11-73bfc7ce35b4) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0" (at 126.578571 135.115) (layer "Cmts.User") (tstamp c6746a20-a2a7-491d-8bf4-6734530b9889) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "White" (at 106.25 183.895) (layer "Cmts.User") (tstamp c7d84f6e-a707-4ffd-8ab8-e4d824111c03) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 69.921429 171.7) (layer "Cmts.User") (tstamp c82525cb-40e6-49c8-b5ba-a548b20e026a) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "F.Paste" (at 29.435714 139.18) (layer "Cmts.User") (tstamp c909aa0c-2fd9-4d9c-a4ea-3fb1adec5ed8) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0" (at 126.578571 183.895) (layer "Cmts.User") (tstamp c9994eea-4a76-4588-a706-ad2e04aff285) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 69.921429 155.44) (layer "Cmts.User") (tstamp c9d7f80c-93d3-40b6-82bc-9669a79c7f05) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 106.25 163.57) (layer "Cmts.User") (tstamp cc3838d6-9c6c-4d91-aba1-bd29599115d5) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "1" (at 113.992857 147.31) (layer "Cmts.User") (tstamp cfa7d3f6-0cc9-4375-a0ac-d721b57ce3a3) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0" (at 126.578571 179.83) (layer "Cmts.User") (tstamp d0f188d9-dfb1-44a8-ad95-8dc6e323156b) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "FR4" (at 69.921429 167.635) (layer "Cmts.User") (tstamp d63c2d67-a8b0-4064-9c5d-a28bd9200b4c) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Top Silk Screen" (at 44.75 135.115) (layer "Cmts.User") (tstamp d9191217-fb4c-4445-8d6b-28ba96ed5884) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Type" (at 44.75 130.75) (layer "Cmts.User") (tstamp d9389f84-cc8b-46ce-9bf9-2f7fd6b103c4) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) + ) + (gr_text "FR4" (at 69.921429 151.375) (layer "Cmts.User") (tstamp d98ae824-3371-435f-8ca0-a21a12804f20) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 69.921429 163.57) (layer "Cmts.User") (tstamp d9afab37-6d16-489e-a6df-20a54d2ee9f9) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "core" (at 44.75 167.635) (layer "Cmts.User") (tstamp d9c9a498-33d2-4069-be67-c993eabe1d55) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Black" (at 106.25 175.765) (layer "Cmts.User") (tstamp da88cf57-0975-4f67-b828-34f4f4c6151f) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "In1.Cu" (at 29.435714 155.44) (layer "Cmts.User") (tstamp dd81f792-3a25-482c-b21e-05ec2d4eb5d6) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Bottom Solder Paste" (at 44.75 179.83) (layer "Cmts.User") (tstamp ddaaab04-fca3-4052-9a26-35c7845fd694) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "Layer Name" (at 29.435714 130.75) (layer "Cmts.User") (tstamp e462b99b-dc16-4632-9277-f42cc1c75e32) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left top)) + ) + (gr_text "0.035 mm" (at 86.521429 171.7) (layer "Cmts.User") (tstamp e762fafd-aba3-4f95-8923-69fc7014c1b7) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.121 mm" (at 86.521429 151.375) (layer "Cmts.User") (tstamp e7d18ef0-3fda-41de-bee8-09bcd775905e) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.121 mm" (at 86.521429 167.635) (layer "Cmts.User") (tstamp e85705c7-e2a6-4d53-a85c-6c783418e0d2) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "3.3" (at 113.992857 143.245) (layer "Cmts.User") (tstamp f0ad4449-626d-4aef-bbd4-02eba1183b71) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "0.02" (at 126.578571 151.375) (layer "Cmts.User") (tstamp f626dfdc-a42e-49fe-92eb-181cb51736dc) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "B.Mask" (at 29.435714 175.765) (layer "Cmts.User") (tstamp f8978d6f-bc80-4d45-99fe-9eda6ceed8ec) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "1" (at 113.992857 139.18) (layer "Cmts.User") (tstamp fa98a317-14ca-498d-8226-47acdff0c9f6) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "" (at 69.921429 139.18) (layer "Cmts.User") (tstamp fba6e488-9940-4c72-a3c3-f2539158fdfc) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (gr_text "copper" (at 44.75 171.7) (layer "Cmts.User") (tstamp fbb57290-3adc-4d24-918c-497402e97c67) + (effects (font (size 1.5 1.5) (thickness 0.1)) (justify left top)) + ) + (dimension (type aligned) (layer "Cmts.User") (tstamp 72e32a2d-6d54-4c08-8135-8a9c14a6b016) + (pts (xy 102.5 100.95) (xy 102.5 87.05)) + (height -7) + (gr_text "13,90 mm" (at 94.35 94 90) (layer "Cmts.User") (tstamp 72e32a2d-6d54-4c08-8135-8a9c14a6b016) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (prefix "") (suffix "") (units 3) (units_format 1) (precision 2)) + (style (thickness 0.1) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0.5) keep_text_aligned) + ) + (dimension (type aligned) (layer "Cmts.User") (tstamp d917b12e-d3d5-4d05-a2f5-03ec2d9e5f30) + (pts (xy 127.7 95.5) (xy 99.15 95.5)) + (height -10.5) + (gr_text "28,55 mm" (at 113.425 104.85) (layer "Cmts.User") (tstamp d917b12e-d3d5-4d05-a2f5-03ec2d9e5f30) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (prefix "") (suffix "") (units 3) (units_format 1) (precision 2)) + (style (thickness 0.1) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0.5) keep_text_aligned) + ) + + (segment (start 114.4 99.5245) (end 113.1245 99.5245) (width 0.2) (layer "F.Cu") (net 1) (tstamp 036332c8-602f-4add-8cf3-94b8fa6d3ac6)) + (segment (start 106.1375 95.6) (end 106.93502 95.6) (width 0.2) (layer "F.Cu") (net 1) (tstamp 062cadd6-105a-4a60-ada7-573db6280bff)) + (segment (start 124.75 92.25) (end 124.75 92.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp 092f5dbb-91db-4f33-9215-1a314f621c76)) + (segment (start 101.9 97.5) (end 102.4 98) (width 0.6) (layer "F.Cu") (net 1) (tstamp 13dbccc6-d911-408c-8a07-b211f5632fea)) + (segment (start 109.891862 92.85) (end 110.24375 92.85) (width 0.2) (layer "F.Cu") (net 1) (tstamp 18d4d556-e152-448e-8491-0be7165d4ad5)) + (segment (start 123.15 89.05) (end 122.7 89.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1b3890e3-b879-4498-8ef7-467112707348)) + (segment (start 113.524228 92.474228) (end 113.25 92.2) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1bb71a04-96ed-492d-b571-6511abebae24)) + (segment (start 115.174228 99.175772) (end 115.224228 99.175772) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1c64c81d-13c5-492c-b899-fde75264d96b)) + (segment (start 121.5625 88.05) (end 120.6 88.05) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1f1a9b85-9cca-4fe1-ae6b-91ccc595ff54)) + (segment (start 112.35 92.26) (end 112.35 91.75) (width 0.2) (layer "F.Cu") (net 1) (tstamp 21b974b6-8704-43ac-97fb-3ea8c36ee40f)) + (segment (start 102.4 97.35) (end 102.4 98) (width 0.2) (layer "F.Cu") (net 1) (tstamp 2243e945-d4c3-43c3-ae54-1cb80a68dea7)) + (segment (start 104.95 92.75) (end 105.15 92.75) (width 0.2) (layer "F.Cu") (net 1) (tstamp 2388f0ee-9827-4e4c-a7d6-b3957bfece6e)) + (segment (start 105.15 92.75) (end 105.65 92.25) (width 0.2) (layer "F.Cu") (net 1) (tstamp 257f0bfc-3ec9-484d-b4da-380e30ede878)) + (segment (start 124.75 92.45) (end 124.475772 92.724228) (width 0.2) (layer "F.Cu") (net 1) (tstamp 2a72ef5a-3c71-4576-8f75-9530ea90ad55)) + (segment (start 124.5 92) (end 124.75 92.25) (width 0.2) (layer "F.Cu") (net 1) (tstamp 2d28af9e-6393-4ab3-a092-c6658ef20bc6)) + (segment (start 106.3125 99.2) (end 106.1125 99) (width 0.2) (layer "F.Cu") (net 1) (tstamp 3bb39713-2ae7-4ac2-84da-2b519b1644ed)) + (segment (start 115.432843 91.632843) (end 115.432843 91.682843) (width 0.2) (layer "F.Cu") (net 1) (tstamp 3f8f4e1b-8887-4e15-8e49-d50a699e2719)) + (segment (start 103.55 89.820552) (end 103.28798 89.558532) (width 0.2) (layer "F.Cu") (net 1) (tstamp 40403f6c-cf98-4a47-bff9-8d4b4316b122)) + (segment (start 123.8375 89.05) (end 123.15 89.05) (width 0.2) (layer "F.Cu") (net 1) (tstamp 417cf9f5-2068-4a1b-af29-c98a6d5a801a)) + (segment (start 114.4 99.5245) (end 114.8255 99.5245) (width 0.2) (layer "F.Cu") (net 1) (tstamp 43cc51a4-9b28-4f9a-b814-968c0a4187ef)) + (segment (start 105.7 89) (end 105.25 89.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp 4599901e-1734-4e45-bdde-8816ee1a6055)) + (segment (start 114.8255 99.5245) (end 115.174228 99.175772) (width 0.2) (layer "F.Cu") (net 1) (tstamp 50c9a332-2860-4ced-871d-f670881878bf)) + (segment (start 126.45 88.7) (end 126.85 89.1) (width 0.2) (layer "F.Cu") (net 1) (tstamp 546ab105-8858-4309-8747-78ada803f4fe)) + (segment (start 106.50625 89) (end 105.79375 89) (width 0.2) (layer "F.Cu") (net 1) (tstamp 57721914-1aae-46a8-b962-5087e5a986dc)) + (segment (start 106.5 99.2) (end 104.7 99.2) (width 0.2) (layer "F.Cu") (net 1) (tstamp 60f14820-21e3-4241-967a-cff1ecd6b872)) + (segment (start 101.1 97.5) (end 101.9 97.5) (width 0.6) (layer "F.Cu") (net 1) (tstamp 6111d0ce-59b4-4309-b6e7-cfc82d3d6c0f)) + (segment (start 108 95.45) (end 107.08502 95.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp 62322bde-b151-40e3-ad7b-72e451460ace)) + (segment (start 110.45 89.55) (end 110.45 90.35) (width 0.2) (layer "F.Cu") (net 1) (tstamp 63aa44ee-d3eb-4d77-9e1c-6f4df5f715e8)) + (segment (start 107.08502 95.45) (end 107.01751 95.51751) (width 0.2) (layer "F.Cu") (net 1) (tstamp 6903f08b-be78-4cce-99fa-cde0d713c8c5)) + (segment (start 101.8 96.75) (end 102.4 97.35) (width 0.2) (layer "F.Cu") (net 1) (tstamp 6be54f38-4418-4343-ae9a-4cae009fdc6e)) + (segment (start 113.712489 91.262489) (end 114.224228 91.774228) (width 0.2) (layer "F.Cu") (net 1) (tstamp 7444d067-12ab-4ead-b2d5-82ef164bde15)) + (segment (start 113.7 91.262489) (end 113.712489 91.262489) (width 0.2) (layer "F.Cu") (net 1) (tstamp 77c11829-5898-42a3-b571-6887be2358e0)) + (segment (start 103.28798 89.53798) (end 103.206771 89.456771) (width 0.2) (layer "F.Cu") (net 1) (tstamp 7b01edab-f457-4ef8-822a-5f397f19bd29)) + (segment (start 109.45 99.45) (end 110 99.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp 7e701161-bb0a-4eba-bfc5-be768cda204a)) + (segment (start 103.28798 89.558532) (end 103.28798 89.53798) (width 0.2) (layer "F.Cu") (net 1) (tstamp 7f4b79fe-8a2d-4c8d-939f-b7ea7da1fc6e)) + (segment (start 116.345293 87.690236) (end 116.490236 87.690236) (width 0.2) (layer "F.Cu") (net 1) (tstamp 89109703-2ba4-4b6e-af33-9fe408065302)) + (segment (start 114.4 100.2) (end 114.749511 100.549511) (width 0.2) (layer "F.Cu") (net 1) (tstamp 8cb6638b-eb4b-43b5-9a7c-34bb41bc3070)) + (segment (start 124.2 91.6) (end 123.775772 92.024228) (width 0.2) (layer "F.Cu") (net 1) (tstamp 929bb796-e2ee-494f-ad21-14d932256141)) + (segment (start 105.25 89.45) (end 104.85 89.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp 990cd179-e53f-45e9-97c0-6c94ec408372)) + (segment (start 106.93502 95.6) (end 107.01751 95.51751) (width 0.2) (layer "F.Cu") (net 1) (tstamp 995bb6df-2e51-4994-bc66-6838a185a8ad)) + (segment (start 113.25 92.2) (end 113.25 91.75) (width 0.2) (layer "F.Cu") (net 1) (tstamp 9a098fd9-c16a-46ff-a37a-04d02db1b745)) + (segment (start 120.05 88.46) (end 120.05 88.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 9ac4589a-fe99-40c3-8e44-18f2cb13f5a2)) + (segment (start 115.432843 91.682843) (end 115.8 92.05) (width 0.2) (layer "F.Cu") (net 1) (tstamp a6c10abf-ce05-4eee-8557-493001bc5c34)) + (segment (start 105.8 92.1) (end 105.65 92.25) (width 0.2) (layer "F.Cu") (net 1) (tstamp a72074d3-da53-412b-9511-03516698eef5)) + (segment (start 106.50625 89) (end 105.7 89) (width 0.2) (layer "F.Cu") (net 1) (tstamp abd1432c-3580-4279-b0ec-f6d15ee80342)) + (segment (start 101.05 96.75) (end 101.8 96.75) (width 0.2) (layer "F.Cu") (net 1) (tstamp af71a448-3835-4246-b209-013d71b27283)) + (segment (start 102.1 91.25) (end 102.2 91.15) (width 0.2) (layer "F.Cu") (net 1) (tstamp b193d068-a477-411f-96c6-ee3be8c5495a)) + (segment (start 101.05 91.25) (end 102.1 91.25) (width 0.2) (layer "F.Cu") (net 1) (tstamp b20deae7-b3de-418c-a8da-8c5744d349de)) + (segment (start 120.6 88.05) (end 120.5 87.95) (width 0.2) (layer "F.Cu") (net 1) (tstamp b6031d76-92ed-4485-889e-d76ba4086387)) + (segment (start 120.25 87.95) (end 120.5 87.95) (width 0.2) (layer "F.Cu") (net 1) (tstamp bd07617c-24d0-4b4d-8d17-37ed663ac94b)) + (segment (start 104.7 99.2) (end 104.45 99.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp c1146354-b177-4ca9-930f-5c5270b54c87)) + (segment (start 114.08 98.03) (end 114.524228 98.474228) (width 0.2) (layer "F.Cu") (net 1) (tstamp c1668fa2-5e14-4327-bdc2-6040680d4cdb)) + (segment (start 114.524228 98.474228) (end 114.524228 98.475772) (width 0.2) (layer "F.Cu") (net 1) (tstamp c5c631ad-4f7e-4f20-98ec-a852fdbb8a86)) + (segment (start 112.35 91.75) (end 112.2 91.6) (width 0.2) (layer "F.Cu") (net 1) (tstamp ca4005c1-cb6d-4d41-8b7c-1a3c02624a75)) + (segment (start 120.05 88.15) (end 120.25 87.95) (width 0.2) (layer "F.Cu") (net 1) (tstamp cd0d8b95-a88e-4c4b-95b2-ec3f9c8c1430)) + (segment (start 115.356905 100.549511) (end 115.924228 99.982188) (width 0.2) (layer "F.Cu") (net 1) (tstamp d03ff49e-fe04-4720-992e-03d397f77447)) + (segment (start 121.5625 90) (end 122.2 90) (width 0.2) (layer "F.Cu") (net 1) (tstamp d0a01fae-4138-4718-ab80-c1a26f3beec9)) + (segment (start 111.45 92.26) (end 111.45 91.6) (width 0.2) (layer "F.Cu") (net 1) (tstamp d5a0b235-061f-4cf3-bdf1-c84544449edb)) + (segment (start 108.6005 99.1) (end 109.1 99.1) (width 0.2) (layer "F.Cu") (net 1) (tstamp db1d17c0-9ac5-4a22-b208-9d2324dd2595)) + (segment (start 106.4 92.1) (end 105.8 92.1) (width 0.2) (layer "F.Cu") (net 1) (tstamp dbc623ad-59fb-432b-ae2c-a5db84f28007)) + (segment (start 103.206771 89.456771) (end 102.987526 89.456771) (width 0.2) (layer "F.Cu") (net 1) (tstamp dfb78031-99e3-409e-9f17-8b3ec82fc645)) + (segment (start 124.2 91.35) (end 124.2 91.6) (width 0.2) (layer "F.Cu") (net 1) (tstamp e482e8ff-2e74-4190-8886-aa25e79a3f37)) + (segment (start 109.1 99.1) (end 109.45 99.45) (width 0.2) (layer "F.Cu") (net 1) (tstamp e5427cd5-b64f-4f5b-905b-66db5ff1c97a)) + (segment (start 120.05 88.5) (end 120.05 88.15) (width 0.2) (layer "F.Cu") (net 1) (tstamp e67616d0-c489-4852-b974-3cd8984b7115)) + (segment (start 126.14 88.7) (end 126.45 88.7) (width 0.2) (layer "F.Cu") (net 1) (tstamp e8b78fd0-7d9c-460f-b7b1-c3f70fae907c)) + (segment (start 116.490236 87.690236) (end 116.65 87.85) (width 0.2) (layer "F.Cu") (net 1) (tstamp eb0ead9a-700f-45df-b403-d1ef2999f666)) + (segment (start 106.5 99.2) (end 106.3125 99.2) (width 0.2) (layer "F.Cu") (net 1) (tstamp ec5aca93-00c2-4ef2-bda6-1fe1093ef84d)) + (segment (start 115.924228 99.982188) (end 115.924228 99.875772) (width 0.2) (layer "F.Cu") (net 1) (tstamp ed0e6fc3-9605-4edc-a9b6-49025e709d60)) + (segment (start 114.749511 100.549511) (end 115.356905 100.549511) (width 0.2) (layer "F.Cu") (net 1) (tstamp f0c1a7a7-372a-4689-b290-ff54652543eb)) + (segment (start 109.499292 92.45743) (end 109.891862 92.85) (width 0.2) (layer "F.Cu") (net 1) (tstamp f3e894c8-fa2d-42b3-ac3c-e57bb3694f38)) + (segment (start 103.55 90.25) (end 103.55 89.820552) (width 0.2) (layer "F.Cu") (net 1) (tstamp f8d9af10-6d08-456b-b99f-33508730f7b0)) + (segment (start 122.2 90) (end 122.7 89.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp fc3ef22a-d06b-46ac-a873-bbd4c48a2b3d)) + (segment (start 112.11 89.85) (end 111.4 89.85) (width 0.2) (layer "F.Cu") (net 1) (tstamp ffcb51f1-f1e3-4a09-a27c-908311a9c18f)) + (via (at 113.7 91.262489) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0bb059f4-e8ec-4687-97b2-35f59f1d3e86)) + (via (at 126.85 89.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 127c9e78-3c9f-4db3-a869-7e22f3c1faf7)) + (via (at 109.499292 92.45743) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 142015ea-e68b-4100-a6cf-85d7c464fe8a)) + (via (at 105.64375 92.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 24e28707-c921-436a-adc5-b4acee96015c)) + (via (at 114.4 100.2) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 25f6dad3-32fe-4ab0-9e52-2f71d68316ab)) + (via (at 102.2 90.5) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2c33dddc-094d-45f2-866e-cd758cfc3ea0)) + (via (at 107.01751 95.51751) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 328dfc2a-f022-4a5a-8ab1-7761d894ba0d)) + (via (at 112.2 91.6) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3ba20d79-511f-495e-95e8-db41a1710c12)) + (via (at 117.9 96.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3c613d0f-a0c6-40f1-8493-6e0bcd22ce95)) + (via (at 116.9 95.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3ca84852-5164-4967-81cd-4c246a9e30ba)) + (via (at 114.08 98.03) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4467a119-71ce-42e3-af1c-29e6c2b1de8b)) + (via (at 110.45 90.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 45fc2fee-5c27-4c81-b3aa-2dab55e91bc9)) + (via (at 116.345293 87.690236) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4abe3b22-64e5-4d46-bd25-8b0d1e2169ab)) + (via (at 119.85 94.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4d97717e-b8fc-4e3d-8302-28f6a380275e)) + (via (at 118.9 93.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 53355bea-c203-4c5d-a831-5f810719081d)) + (via (at 102.8 90.2) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 55cfbc6c-207d-41e2-9550-077604a957d4)) + (via (at 102.987526 89.456771) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5696793c-e166-4beb-8f6a-1f2c68a72d9f)) + (via (at 124.2 91.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5ba5e2d4-d0d6-4e63-b6a0-09174ece72df)) + (via (at 102.2 91.15) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5cc51447-2cf4-4551-bf22-029d9dd3b3e9)) + (via (at 111.45 91.6) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7079a3f6-11b1-4f49-93db-d35aedb678b1)) + (via (at 113.25 91.75) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 73727cb5-113a-41a3-a6e1-ee952f240139)) + (via (at 114.4 99.5245) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7ae05e2a-85e8-4185-b175-fc33ed8c027f)) + (via (at 117.9 94.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 82dfb14f-98bc-4030-a07a-7121fa076e1b)) + (via (at 105.59375 89.2) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 888caab6-caa4-4d78-b6da-c2fdd456fd6d)) + (via (at 118.9 95.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 93a335fd-070d-4e0c-988c-c5a581118678)) + (via (at 122.7 89.5) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9ea6fa1e-79d9-402b-bd18-927cf46ceb66)) + (via (at 108.6005 99.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp a5948ee0-63d9-41f8-b91d-7c6aeedfbc21)) + (via (at 106.5 99.2) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp b532e6d5-60f9-4e85-8ca5-049e40dc70e4)) + (via (at 120.5 87.95) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp bd67bb20-fb2a-49b8-b45a-a3aae9ec39f5)) + (via (at 119.85 96.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp cb61ca71-5186-4db6-be35-a56b24b93dba)) + (via (at 102.4 98) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp d2883cb8-05a6-410e-8407-42ae4374773e)) + (via (at 102.4 97.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp de17fe54-45f3-4ab5-8c1a-424e117fd615)) + (via (at 124.5 92) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp e0ad283e-48bb-42cf-8d9b-4b517382b650)) + (via (at 120.9 95.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp e5c59bb8-c244-428d-b6a2-2eff6ff1ad90)) + (via (at 111.4 89.85) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp e682a843-9cd7-494c-8cb3-5baddb618902)) + (via (at 115.8 92.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp ee68bc82-da42-4cd3-96db-0e3ff9cf4485)) + (via (at 118.85 97.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 1) (tstamp f5410788-ace0-4182-9feb-2f5e17f87f81)) + (segment (start 101.1 90.5) (end 102.2 90.5) (width 0.6) (layer "B.Cu") (net 1) (tstamp 6faa1851-b527-463e-a474-9ae26d590858)) + (segment (start 102.2 90.5) (end 102.2 91.15) (width 0.2) (layer "B.Cu") (net 1) (tstamp 7823d2aa-83e7-4fb5-bac7-537c32e6c327)) + (segment (start 101.05 96.75) (end 101.8 96.75) (width 0.2) (layer "B.Cu") (net 1) (tstamp 7a7bb626-e546-44fa-b7ed-04bb43f15e64)) + (segment (start 101.8 96.75) (end 102.4 97.35) (width 0.2) (layer "B.Cu") (net 1) (tstamp c64559c7-6077-49cd-bf5f-1eaf39a7d32b)) + (segment (start 101.05 91.25) (end 102.1 91.25) (width 0.2) (layer "B.Cu") (net 1) (tstamp cc494621-208c-4f99-b9ee-e600e0cb7e9c)) + (segment (start 102.1 91.25) (end 102.2 91.15) (width 0.2) (layer "B.Cu") (net 1) (tstamp e415778b-7f90-4ba2-b470-0f22eca7087d)) + (segment (start 103.2 98) (end 103 98.2) (width 0.2) (layer "F.Cu") (net 2) (tstamp 04f3170b-1379-46c2-b02c-eec9c880d52a)) + (segment (start 105.5 88.15) (end 104.85 88.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp 052730d3-db22-4e0a-9246-ad2b7ac86dc4)) + (segment (start 103.55 88) (end 103.55 88.3) (width 0.2) (layer "F.Cu") (net 2) (tstamp 115cd868-dbbc-41e3-8b8c-1832dbff2db1)) + (segment (start 104.524546 94) (end 104.72452 94.199974) (width 0.2) (layer "F.Cu") (net 2) (tstamp 19d03dcd-ffb8-44bd-8bee-54ad2b5ad391)) + (segment (start 103.45 87.9) (end 103.55 88) (width 0.2) (layer "F.Cu") (net 2) (tstamp 2ec01250-6997-421c-aead-6004310c810b)) + (segment (start 104.5 97.1) (end 103 97.1) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3532004c-ab33-41cf-b5b1-7101f3566a48)) + (segment (start 103.8625 95.6) (end 104.7 95.6) (width 0.2) (layer "F.Cu") (net 2) (tstamp 398162ab-08e4-4ff9-8720-66bc421c6f4a)) + (segment (start 102.95 87.9) (end 103.45 87.9) (width 0.2) (layer "F.Cu") (net 2) (tstamp 42cb9317-8527-415c-99eb-60ccfa2173c5)) + (segment (start 104.7 95.6) (end 104.72452 95.57548) (width 0.2) (layer "F.Cu") (net 2) (tstamp 52fc53ae-3b88-497a-a16c-83996d779a1d)) + (segment (start 106.0625 98) (end 104.6 98) (width 0.2) (layer "F.Cu") (net 2) (tstamp 58f6bb77-ef15-4f7c-a41f-b3e5224b74d6)) + (segment (start 101.05 92.75) (end 102.2 92.75) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5cf512de-21b2-48b7-b5d9-ad833cfb8bd3)) + (segment (start 104.72452 94.199974) (end 104.72452 95.57548) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6bfbb8af-67e9-4414-b8ad-2366f231d9b1)) + (segment (start 103.35 94) (end 104.524546 94) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6dfd06b6-4e2a-4c77-a4a5-5eb637e08f8f)) + (segment (start 102.2 92.75) (end 102.3 92.65) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7a969750-0ecd-4311-a33d-83badbcff199)) + (segment (start 104.6 98) (end 104.45 98.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7b0591a5-244e-4b89-83a0-58b40713f17f)) + (segment (start 103.5 98) (end 103.2 98) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7eed1bb0-41d5-43dc-ae95-27ab4f18021d)) + (segment (start 104.94375 91.55) (end 105.29375 91.55) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8212186d-4ae8-4eb8-bc05-9af1bfc2e36d)) + (segment (start 105.6 91.15) (end 106.4 91.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp 83094b18-9ec3-4ad1-94f0-e198665cb40a)) + (segment (start 101.9 95.25) (end 101.05 95.25) (width 0.2) (layer "F.Cu") (net 2) (tstamp 846f9686-2815-4953-9b20-72dbeea9f719)) + (segment (start 104 91.55) (end 104.85 91.55) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9213b90c-4e6d-4a24-8e82-b03f0903ca0d)) + (segment (start 105.69375 88.05) (end 106.50625 88.05) (width 0.2) (layer "F.Cu") (net 2) (tstamp 92219433-6548-4449-a3eb-b24ca025e3ad)) + (segment (start 104.72452 96.87548) (end 104.5 97.1) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9699d3c0-c394-480f-97f4-713302ef8a0f)) + (segment (start 102.8 88.05) (end 102.95 87.9) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9b04c0a7-3a56-4fe3-90d5-fd4472adbc9d)) + (segment (start 105.29375 91.55) (end 105.59375 91.25) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9b178fd4-a51f-4cb2-90c8-b204ada4a61e)) + (segment (start 103 98.2) (end 103 98.6) (width 0.2) (layer "F.Cu") (net 2) (tstamp a1749999-4932-44cf-8724-c68d7a4c2070)) + (segment (start 103.55 92.25) (end 103.55 92) (width 0.2) (layer "F.Cu") (net 2) (tstamp a2c298bd-3328-48d6-ab0f-4128ffeeb66a)) + (segment (start 103.55 92) (end 104 91.55) (width 0.2) (layer "F.Cu") (net 2) (tstamp a751ef38-c4b8-49eb-a393-e0ae3bf03cc4)) + (segment (start 102.3 92.95) (end 103.35 94) (width 0.2) (layer "F.Cu") (net 2) (tstamp af483781-1824-4917-b03c-7ce1d842ee4b)) + (segment (start 103.5 98) (end 103.65 98.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp b6ec3f1d-7df4-4fe8-b7fe-1c4cf4e364f1)) + (segment (start 102.35 95.7) (end 101.9 95.25) (width 0.2) (layer "F.Cu") (net 2) (tstamp c4958bbe-82be-4588-9cdf-5963f8fa04bc)) + (segment (start 103.65 98.15) (end 104.45 98.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp c750a696-5e69-4c08-a31d-3fe494658361)) + (segment (start 104.72452 95.57548) (end 104.72452 96.87548) (width 0.2) (layer "F.Cu") (net 2) (tstamp cbab4dfe-be9f-43ed-a2b2-8c5f9d3e49ec)) + (segment (start 102.3 92.65) (end 102.3 92.95) (width 0.2) (layer "F.Cu") (net 2) (tstamp cf46c821-6fb7-4dc9-95d9-d0612dd0aedd)) + (segment (start 103 97.1) (end 102.35 96.45) (width 0.2) (layer "F.Cu") (net 2) (tstamp dc876747-6092-4dce-9a0e-a8720b7ab646)) + (segment (start 105.55 88.1) (end 105.5 88.15) (width 0.2) (layer "F.Cu") (net 2) (tstamp ea383d1e-92b7-4b1d-b16a-02b444c4fa63)) + (via (at 105.54375 88.2) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2f63155c-5f9a-4e9c-a9c1-a4c3b435523e)) + (via (at 105.59375 91.25) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6781efb4-e3a5-46b9-83c1-45628e659ce0)) + (via (at 102.5 91.95) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp a6768cb4-ef6c-47ce-8100-69c83c301e70)) + (via (at 103.5 98) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp ac35333e-c21a-4199-9e36-58f095916142)) + (via (at 102.3 92.65) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae8957a1-c5ff-4083-9aff-be9bddb189b0)) + (via (at 102.35 95.7) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp b45009ef-3698-477d-9fed-f6a550b151ef)) + (via (at 102.8 88.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp f2e74aec-dcc6-4fa3-814b-29bfa06d811d)) + (via (at 102.35 96.45) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 2) (tstamp f7fde10f-83f2-4255-a778-1d9d32052b7c)) + (segment (start 102.5 92.45) (end 102.5 91.95) (width 0.2) (layer "B.Cu") (net 2) (tstamp 1938b7a3-d6a3-4b36-9009-56d001a3134d)) + (segment (start 102.2 92.75) (end 102.3 92.65) (width 0.2) (layer "B.Cu") (net 2) (tstamp 4dfbfef2-8463-4e0c-9359-7618cfb737d8)) + (segment (start 101.9 95.25) (end 102.35 95.7) (width 0.2) (layer "B.Cu") (net 2) (tstamp 4e7b76b4-73ab-4d07-9f9c-5dff711071fe)) + (segment (start 103.5 98) (end 103.5 99.5) (width 0.2) (layer "B.Cu") (net 2) (tstamp 58cc9c5f-abed-41e4-8f3b-a6f58ef7115e)) + (segment (start 102.3 92.65) (end 102.5 92.45) (width 0.2) (layer "B.Cu") (net 2) (tstamp 9985e0ae-3ff7-44a6-9a48-18c0bb7644ff)) + (segment (start 102.35 95.7) (end 102.35 96.45) (width 0.2) (layer "B.Cu") (net 2) (tstamp a860e7ba-7769-4b43-963d-e19d1daa0954)) + (segment (start 101.05 95.25) (end 101.9 95.25) (width 0.2) (layer "B.Cu") (net 2) (tstamp b666c6b4-46ec-4e54-a9ed-57b0dfab80ab)) + (segment (start 101.05 92.75) (end 102.2 92.75) (width 0.2) (layer "B.Cu") (net 2) (tstamp d71163a9-1b9d-479d-ba71-0b2601fe3010)) + (segment (start 109.4 95.35) (end 111.25 93.5) (width 0.2) (layer "F.Cu") (net 3) (tstamp 0276e29e-33a5-4843-91bf-b6a69d7cb6dc)) + (segment (start 116.72 100.053257) (end 116.610383 100.162874) (width 0.2) (layer "F.Cu") (net 3) (tstamp 076cfa9c-8e16-41c6-bccb-627ba6c23e83)) + (segment (start 110.00048 95.176912) (end 111.377394 93.8) (width 0.2) (layer "F.Cu") (net 3) (tstamp 077fe5da-e366-4c45-bd36-bc7032124083)) + (segment (start 108 96) (end 108.75 96) (width 0.2) (layer "F.Cu") (net 3) (tstamp 0de8f893-45c1-4339-9bcf-234a48f68377)) + (segment (start 112.1 88.9) (end 111.45 88.9) (width 0.2) (layer "F.Cu") (net 3) (tstamp 1416f584-8819-45ca-abdb-7bba16e68bba)) + (segment (start 107.808531 97.05) (end 107.256692 97.601839) (width 0.2) (layer "F.Cu") (net 3) (tstamp 232040ed-65a3-4ece-9099-5346bd9dd222)) + (segment (start 115.4 90.15) (end 115.617157 90.367157) (width 0.2) (layer "F.Cu") (net 3) (tstamp 2657f7fd-2741-4f99-a539-f4260e0e319c)) + (segment (start 123.522528 93.677472) (end 123.522528 94.366559) (width 0.2) (layer "F.Cu") (net 3) (tstamp 27f04e74-8a0e-433b-a6b1-a56faf93dba4)) + (segment (start 119.365412 89.034588) (end 119.365412 88.215412) (width 0.2) (layer "F.Cu") (net 3) (tstamp 2a6a4e4e-7b7a-48cf-b5e3-77a3f8724515)) + (segment (start 113.7 90.15) (end 114.15 89.7) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3597ca0d-79c3-47f8-9cd9-45470a25b595)) + (segment (start 118.6 89.05) (end 119.35 89.05) (width 0.2) (layer "F.Cu") (net 3) (tstamp 372c03b7-f3ce-4285-8a79-a23ebebdc0f1)) + (segment (start 123.522528 94.366559) (end 123.275223 94.613864) (width 0.2) (layer "F.Cu") (net 3) (tstamp 392bb1e4-a962-4442-93db-e7aa55909a76)) + (segment (start 111.724554 93.800969) (end 111.999031 93.800969) (width 0.2) (layer "F.Cu") (net 3) (tstamp 396ebe2f-dd93-49fc-852e-4cdded631063)) + (segment (start 114.599988 90.338489) (end 114.788477 90.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3a642c8f-0f3a-431b-b4e4-c143fd48fedb)) + (segment (start 111.6 87.95) (end 111.4 88.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3b6b96bd-a595-4ebd-b2b5-d2719226b4e8)) + (segment (start 115.05 92.6) (end 115.05 93.05) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3f83553a-b4ef-483b-90ca-42326eb6c48b)) + (segment (start 117.353204 98.446796) (end 116.475772 99.324228) (width 0.2) (layer "F.Cu") (net 3) (tstamp 41d6741b-2a27-47e3-8b46-14d4a12e3d6a)) + (segment (start 111.4 93.5) (end 111.45 93.45) (width 0.2) (layer "F.Cu") (net 3) (tstamp 474572ed-7b88-4c35-8279-db1472701a58)) + (segment (start 123.924228 93.275772) (end 123.6 93.6) (width 0.2) (layer "F.Cu") (net 3) (tstamp 48a62ea0-2ae5-4f0c-a59d-a49f8e6f03d9)) + (segment (start 122.982843 91.367157) (end 123.371981 90.978019) (width 0.2) (layer "F.Cu") (net 3) (tstamp 49432af8-ef5f-4018-89a0-c08f28eee69e)) + (segment (start 119.365412 88.215412) (end 119.3 88.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4c34f848-bdfc-4fc2-abd9-4cbed2811a7c)) + (segment (start 123.371981 90.978019) (end 123.371981 90.871981) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4c785a81-0a03-4f46-a342-7e6e82d7abb1)) + (segment (start 111.45 88.9) (end 111.4 88.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4da9d04e-212e-4d52-98a7-6bfd69dad54f)) + (segment (start 119.365412 89.834588) (end 119.365412 89.034588) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4e890879-5986-4536-94ac-f278fd0cd261)) + (segment (start 118.6 88) (end 119.15 88) (width 0.2) (layer "F.Cu") (net 3) (tstamp 5255a863-6f42-461a-964f-3e6c503ab18b)) + (segment (start 109.35 97.05) (end 107.808531 97.05) (width 0.2) (layer "F.Cu") (net 3) (tstamp 530bcd2e-8fb6-4c1b-8c74-73ec9253abf3)) + (segment (start 111.25 93.5) (end 111.4 93.5) (width 0.2) (layer "F.Cu") (net 3) (tstamp 55d63ba9-f9c6-49f1-80f8-dc2eb7b4f1d6)) + (segment (start 114.788477 90.15) (end 115.4 90.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 59f9ae4b-3708-45b8-b7b9-0a98f3d11ba1)) + (segment (start 109.45 91.15) (end 108.8 91.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 5a3956e5-3daf-47d9-b9f2-95b5ed31fdfd)) + (segment (start 111.723585 93.8) (end 111.724554 93.800969) (width 0.2) (layer "F.Cu") (net 3) (tstamp 5a51a237-aa47-4a0c-a955-be73b0b2981f)) + (segment (start 115.231884 93.906757) (end 115.206757 93.906757) (width 0.2) (layer "F.Cu") (net 3) (tstamp 64201d9f-13c9-4f4e-b489-96aefae3044f)) + (segment (start 110.00048 95.69952) (end 110.00048 95.176912) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6a178ba7-1c68-4e44-b7bf-59a105281bd7)) + (segment (start 116.475772 99.324228) (end 116.475772 99.415772) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6b1de85d-db4c-47b1-9c1d-d806f0c97e1f)) + (segment (start 120.05 89.24) (end 120.51 89.24) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6cf6d1e1-b235-4d89-83b8-6d8918b1f64f)) + (segment (start 116.72 99.66) (end 116.72 100.053257) (width 0.2) (layer "F.Cu") (net 3) (tstamp 7cd6b70b-5e9b-4fb2-8400-d4832e8ed5f7)) + (segment (start 120.75 89) (end 121.5625 89) (width 0.2) (layer "F.Cu") (net 3) (tstamp 7d83fb8c-c6f7-44db-b342-3099954de2f1)) + (segment (start 123.522528 93.677472) (end 123.924228 93.275772) (width 0.2) (layer "F.Cu") (net 3) (tstamp 80c5224b-8224-4e0c-a6e9-45d1c914573c)) + (segment (start 112.1 87.95) (end 111.6 87.95) (width 0.2) (layer "F.Cu") (net 3) (tstamp 8298d4b7-ca84-4736-abf2-8669eab27200)) + (segment (start 119.3 89.9) (end 119.365412 89.834588) (width 0.2) (layer "F.Cu") (net 3) (tstamp 8314dfea-e55c-48a7-92e0-56c778467458)) + (segment (start 109.55 91.25) (end 110.05 91.25) (width 0.2) (layer "F.Cu") (net 3) (tstamp 851a5f92-1b7f-4873-b338-6e933a698ded)) + (segment (start 111.7 87.85) (end 111.4 88.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 86d92bb9-ce20-4065-ac37-a7beb107335d)) + (segment (start 114.775772 92.325772) (end 115.05 92.6) (width 0.2) (layer "F.Cu") (net 3) (tstamp 8a02c181-54f5-4f07-86d6-45da0d63fb36)) + (segment (start 111.999031 93.800969) (end 112.35 93.45) (width 0.2) (layer "F.Cu") (net 3) (tstamp 8db856cc-482d-4649-b0b4-09b06c2d61b2)) + (segment (start 110.05 91.25) (end 110.25 91.45) (width 0.2) (layer "F.Cu") (net 3) (tstamp 913e8f15-8473-41fa-af0f-05a080b29b2b)) + (segment (start 112.1 87.85) (end 111.7 87.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp 918601a8-039b-4b15-bac9-0ccae1763f72)) + (segment (start 119.15 88) (end 119.3 88.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp 93194f2b-c953-4f03-9ba6-621f4c8855c9)) + (segment (start 113.7 90.15) (end 113.4 89.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp 98f602b0-fe9e-46f2-ab04-c3424a6a9403)) + (segment (start 109.2 96.5) (end 109.55 96.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp a15756fd-eca3-4b73-b34a-775b767534f2)) + (segment (start 114.15 89.7) (end 114.15 89.35) (width 0.2) (layer "F.Cu") (net 3) (tstamp a24b872b-faa6-4c77-91b3-8bd045e65c8b)) + (segment (start 109.55 96.15) (end 110.00048 95.69952) (width 0.2) (layer "F.Cu") (net 3) (tstamp a464f9ab-6061-46b7-a5f2-553c49d706e9)) + (segment (start 111.4 88.15) (end 111.4 88.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp a73bb2dd-b09f-40fd-ba37-b31cdd104039)) + (segment (start 111.45 93.45) (end 111.45 93.04) (width 0.2) (layer "F.Cu") (net 3) (tstamp ac126e94-3c6b-4e59-b50c-840c29d87164)) + (segment (start 120.05 89.24) (end 120.05 90) (width 0.2) (layer "F.Cu") (net 3) (tstamp aedc01b5-9c66-4f72-bfb5-f5b3c40841f4)) + (segment (start 111.377394 93.8) (end 111.723585 93.8) (width 0.2) (layer "F.Cu") (net 3) (tstamp b3ff6259-7b40-4732-87f5-a4951c616645)) + (segment (start 117.353204 98.414563) (end 117.353204 98.446796) (width 0.2) (layer "F.Cu") (net 3) (tstamp b8e481a6-346e-496d-8e39-ce7744d2af19)) + (segment (start 109.55 91.25) (end 109.45 91.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp bcadaad8-f06a-40f5-aa9b-da2744bb26d2)) + (segment (start 126.82 98.57) (end 126.38 98.57) (width 0.2) (layer "F.Cu") (net 3) (tstamp bd3b84a0-7c50-474a-b382-b741270d2712)) + (segment (start 108.75 96) (end 109.4 95.35) (width 0.2) (layer "F.Cu") (net 3) (tstamp bd9d0e05-0378-4a6f-b970-0dcdb7aec031)) + (segment (start 116.475772 99.415772) (end 116.72 99.66) (width 0.2) (layer "F.Cu") (net 3) (tstamp c0cb5d92-139e-431a-a9da-0a856a981f84)) + (segment (start 113.4 89.85) (end 112.89 89.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp c69863be-3006-439d-b134-a29dcd221a25)) + (segment (start 109.25 96.45) (end 109.55 96.15) (width 0.2) (layer "F.Cu") (net 3) (tstamp dd0e0b3a-5979-45be-87ac-769585c416c2)) + (segment (start 115.05 93.05) (end 114.7 93.4) (width 0.2) (layer "F.Cu") (net 3) (tstamp f0e54e8f-85b4-4905-88a2-46118303d88c)) + (segment (start 112.35 93.45) (end 112.35 93.04) (width 0.2) (layer "F.Cu") (net 3) (tstamp f253567f-096c-41b3-88d6-18961de1147f)) + (segment (start 119.35 89.05) (end 119.365412 89.034588) (width 0.2) (layer "F.Cu") (net 3) (tstamp f545883e-1c6b-4d7c-b4c7-981e804da4c4)) + (segment (start 126.85 98.6) (end 126.82 98.57) (width 0.2) (layer "F.Cu") (net 3) (tstamp f8412248-46a2-4c47-90ee-f9f3a5fd5515)) + (segment (start 120.51 89.24) (end 120.75 89) (width 0.2) (layer "F.Cu") (net 3) (tstamp fa94b507-287e-4897-8bcc-641a6a9509bd)) + (segment (start 108 96.5) (end 109.2 96.5) (width 0.2) (layer "F.Cu") (net 3) (tstamp fc7bcb69-d263-4b30-a9f8-061cbac2a37f)) + (segment (start 115.206757 93.906757) (end 114.7 93.4) (width 0.2) (layer "F.Cu") (net 3) (tstamp fddb1aa2-9065-4768-b95e-f7ceed5f3e59)) + (via (at 114.7 93.4) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 175d93cd-2a91-4e22-af45-55facfcfe5ea)) + (via (at 123.371981 90.871981) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 32071249-72dd-4e1d-8950-0775c3f9f5f1)) + (via (at 111.4 88.85) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 343a4c18-d49f-4288-9f33-fc9d7ac2f534)) + (via (at 119.3 89.9) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 531f396c-f6aa-4020-9731-5466b12b4338)) + (via (at 107.256692 97.601839) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 5a245c53-29af-4559-851c-2d43d1fa53e0)) + (via (at 123.522528 93.677472) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 6032ae86-9594-44e1-9966-9b8a1cde2650)) + (via (at 116.610383 100.162874) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 64bdf249-5769-44ae-8d35-104dca9e73e7)) + (via (at 109.55 96.15) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 767b21f4-d8f3-4761-8cc9-6d54b0f9c2e6)) + (via (at 114.599988 90.338489) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 9277f89d-5b94-4112-9f0a-c9d3b3f23d07)) + (via (at 109.54375 91.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 9e39e6b1-79f5-44ee-b24d-99c617c6509a)) + (via (at 109.35 97.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp 9f3269af-0052-425d-a694-f9787e28d212)) + (via (at 109.4 95.35) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp c16f9394-2c59-4811-b907-48e44490c1e9)) + (via (at 120.05 90) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp d6d13294-4829-4d21-811d-ff0114e4db00)) + (via (at 126.85 98.6) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp e73be583-7264-4763-a4ee-95db221f2c7b)) + (via (at 113.7 90.15) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 3) (tstamp f7e54822-5781-4dd9-9fb1-799a2f4d21c6)) + (segment (start 107.256692 97.601839) (end 105.997667 98.860864) (width 0.2) (layer "B.Cu") (net 3) (tstamp 5f4cb965-129b-4302-bd56-1b69135664e2)) + (segment (start 105.997667 98.860864) (end 105.691241 98.860864) (width 0.2) (layer "B.Cu") (net 3) (tstamp 7342dce3-26c3-49e8-8a18-e62bed9770c1)) + (segment (start 105.5 99.052105) (end 105.5 99.5) (width 0.2) (layer "B.Cu") (net 3) (tstamp 93ddb852-8fba-458e-a17b-ff18e933d6c2)) + (segment (start 105.691241 98.860864) (end 105.5 99.052105) (width 0.2) (layer "B.Cu") (net 3) (tstamp ce618bb1-19c2-4d9d-995c-b92c4f31e3b4)) + (segment (start 110.3 95.400978) (end 110.3 97.85) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0ae91059-c3cb-42ca-b237-3365d95bbdf4)) + (segment (start 109.85 98) (end 110 98.15) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0b4bb15a-1e27-4d55-96f0-032794131652)) + (segment (start 110.3 97.85) (end 110 98.15) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0e8b54b8-a5f4-4bb5-915f-c2ac7f05bd90)) + (segment (start 113.75 93.55) (end 113.1 93.55) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0f6cb766-6eaf-4fea-a086-f05e99a5b2f0)) + (segment (start 107.6 98) (end 108.3875 98) (width 0.2) (layer "F.Cu") (net 4) (tstamp 23f5e88a-0302-4d06-b553-2187f4d0eceb)) + (segment (start 111.600489 94.100489) (end 110.3 95.400978) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2c75b0fd-8d39-4134-8230-331aada634fa)) + (segment (start 107.3 98.3) (end 107.6 98) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3302c029-167d-49d2-b5ff-cd148df7b448)) + (segment (start 108.3875 98) (end 109.85 98) (width 0.2) (layer "F.Cu") (net 4) (tstamp 41e19b2d-7e10-4f9e-a5ce-ab6142f2000b)) + (segment (start 111.45 90.85) (end 111.4 90.8) (width 0.2) (layer "F.Cu") (net 4) (tstamp 727b3430-554d-437a-aafb-4d6fec25d4ce)) + (segment (start 113.75 93.839087) (end 113.75 93.351544) (width 0.2) (layer "F.Cu") (net 4) (tstamp 74fcb366-7a76-42bd-89eb-06f7781dcb67)) + (segment (start 112.549511 94.100489) (end 111.600489 94.100489) (width 0.2) (layer "F.Cu") (net 4) (tstamp 8c6d2a68-1e86-4cd8-86da-9252a6831921)) + (segment (start 113.75 93.351544) (end 114.075772 93.025772) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9118ba1b-fae7-41f7-a08c-7f4e5226658b)) + (segment (start 112.015 90.85) (end 111.45 90.85) (width 0.2) (layer "F.Cu") (net 4) (tstamp e6b9734b-d777-4115-9b6b-6f4642e81329)) + (segment (start 114.524777 94.613864) (end 113.75 93.839087) (width 0.2) (layer "F.Cu") (net 4) (tstamp f1e4a8a1-2d87-4b30-a78f-15d7028dcade)) + (segment (start 113.1 93.55) (end 112.549511 94.100489) (width 0.2) (layer "F.Cu") (net 4) (tstamp faa47df8-079a-4cf0-9532-c75cf51ad6aa)) + (via (at 111.4 90.8) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 4) (tstamp 958707e8-b997-4b90-9087-5e8d8882ebad)) + (via (at 113.1 93.55) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 4) (tstamp c6dfcfad-b78e-4b0a-bdd6-77d6b57f67f2)) + (via (at 107.3 98.3) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 4) (tstamp e95ef1a6-5546-4606-adb0-ed585cd7e22a)) + (segment (start 112.141772 90.8) (end 111.4 90.8) (width 0.2) (layer "In2.Cu") (net 4) (tstamp 53fb8b3d-79fa-41a1-8725-77e3e02221be)) + (segment (start 112.724511 93.174511) (end 112.724511 91.382739) (width 0.2) (layer "In2.Cu") (net 4) (tstamp 565588b8-d806-4501-bcbc-8f11762b9853)) + (segment (start 112.724511 91.382739) (end 112.141772 90.8) (width 0.2) (layer "In2.Cu") (net 4) (tstamp b017e687-c51f-4b5d-b3b9-499c8ea8e8b7)) + (segment (start 113.1 93.55) (end 112.724511 93.174511) (width 0.2) (layer "In2.Cu") (net 4) (tstamp e1b673fa-8ee1-45f4-b232-39e01c42b033)) + (segment (start 107.3 98.3) (end 107.5 98.5) (width 0.2) (layer "B.Cu") (net 4) (tstamp 78c2700c-1397-49aa-94cf-3e81da6322fd)) + (segment (start 107.5 98.5) (end 107.5 99.5) (width 0.2) (layer "B.Cu") (net 4) (tstamp 8927d6ab-14ad-457a-b279-fd979b4e70eb)) + (segment (start 123.224228 92.575772) (end 123.224228 92.74023) (width 0.2) (layer "F.Cu") (net 5) (tstamp 0069077c-2696-4452-84a2-cd5ff0451c67)) + (segment (start 115.875683 97.770764) (end 116.292544 97.353903) (width 0.2) (layer "F.Cu") (net 5) (tstamp 02c7881a-9bb7-4b22-8600-a21ab3a673e3)) + (segment (start 122.078836 92.981825) (end 122.817843 92.981825) (width 0.2) (layer "F.Cu") (net 5) (tstamp 09a16221-b2d4-40a2-8da2-d1dd144dcad5)) + (segment (start 116.05 98.35) (end 116.05 98.132281) (width 0.2) (layer "F.Cu") (net 5) (tstamp 11828ab8-2e22-45e7-87b2-3360fd167c1c)) + (segment (start 115.875683 97.957964) (end 115.875683 97.770764) (width 0.2) (layer "F.Cu") (net 5) (tstamp 145374a2-2e5c-45af-9ab7-c2c7e3d6653d)) + (segment (start 122.817843 92.981825) (end 122.900238 93.06422) (width 0.2) (layer "F.Cu") (net 5) (tstamp 1bb72c91-8a1f-4452-863e-c720c53a8cdc)) + (segment (start 110.518912 100.17251) (end 110.95249 100.17251) (width 0.2) (layer "F.Cu") (net 5) (tstamp 831335d8-491a-4fe9-8e1a-75fda31b43b4)) + (segment (start 109.9 88.25) (end 109.75 88.1) (width 0.2) (layer "F.Cu") (net 5) (tstamp 8c60b26c-7368-490b-a57e-72cde9cb0666)) + (segment (start 116.05 98.132281) (end 115.875683 97.957964) (width 0.2) (layer "F.Cu") (net 5) (tstamp 91dd17d0-f577-46bb-adb3-790e600fc849)) + (segment (start 123.224228 92.74023) (end 122.900238 93.06422) (width 0.2) (layer "F.Cu") (net 5) (tstamp 972b4d65-002d-4cce-aae4-e05e509b2abe)) + (segment (start 110.45 88.25) (end 109.9 88.25) (width 0.2) (layer "F.Cu") (net 5) (tstamp a20646ea-d43f-4c44-9648-05cdfc77bbc2)) + (segment (start 109.6 87.95) (end 109.75 88.1) (width 0.2) (layer "F.Cu") (net 5) (tstamp a60aa31d-e72c-4ec1-b81a-da015d17ccdd)) + (segment (start 108.7875 87.95) (end 109.6 87.95) (width 0.2) (layer "F.Cu") (net 5) (tstamp a91cfffe-edeb-4392-851c-2bd64690fedd)) + (segment (start 121.86101 93.199651) (end 122.078836 92.981825) (width 0.2) (layer "F.Cu") (net 5) (tstamp cb7abaa2-0291-4674-8ba9-f74f4e6c8645)) + (segment (start 115.775772 98.624228) (end 116.05 98.35) (width 0.2) (layer "F.Cu") (net 5) (tstamp d1d5b029-72d8-4d3a-a188-c6e55ef5f532)) + (segment (start 110.95249 100.17251) (end 111.5 99.625) (width 0.2) (layer "F.Cu") (net 5) (tstamp ffc8e9d8-2c62-4d9e-a041-77e4f7d91267)) + (via (at 122.900238 93.06422) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 5) (tstamp 09910216-9b6b-4009-97fd-74625d7d82ea)) + (via (at 115.875683 97.957964) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 5) (tstamp 43faadc8-9523-4e65-ae82-cc2e5d8adf5c)) + (via (at 110.518912 100.17251) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 5) (tstamp 49eaee68-4c7e-4b3c-820b-2a5140567385)) + (via (at 109.75 88.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 5) (tstamp a74d3530-6a69-4061-b4b8-cec9761d8378)) + (segment (start 115.875683 97.957964) (end 115.033647 98.8) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 099bdf00-8e3e-4e8d-aef9-798414e68a8e)) + (segment (start 114.725489 89.425489) (end 115.65 90.35) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 112c73b6-5a28-45ea-8bcf-8418d3e581d5)) + (segment (start 109.65 88.1) (end 109.75 88.1) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 2b4a52a9-d086-4e87-847a-a42d2308233e)) + (segment (start 113.025489 89.425489) (end 114.725489 89.425489) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 2c0fefe6-bbf6-4cc1-81fc-75daed70795c)) + (segment (start 108.35 97.1) (end 108.35 89.4) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 449e0689-9862-44a0-bcb5-187fb3f00420)) + (segment (start 108.35 89.4) (end 109.65 88.1) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 58b2411e-1465-4934-ac68-74805cee7d3d)) + (segment (start 115.65 90.85) (end 116.05 91.25) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 637280e4-e6c2-4718-933b-8b1e6250b40e)) + (segment (start 110.224511 98.125489) (end 109.8 98.55) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 70950131-48f3-4bcb-98d3-2bb344790cec)) + (segment (start 113.7 98.8) (end 113.025489 98.125489) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 72ef6b17-1cda-4caf-88cc-ee4d7cf07021)) + (segment (start 113.025489 98.125489) (end 110.224511 98.125489) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 874b86e5-d265-4890-bb5b-e4a59db57603)) + (segment (start 109.8 98.55) (end 108.35 97.1) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 99bcc98a-7d06-472d-ab06-3e178b9baf37)) + (segment (start 111.7 88.1) (end 113.025489 89.425489) (width 0.6) (layer "In2.Cu") (net 5) (tstamp 9fa160a2-d12d-4cd2-aee1-96d7c3ffdafd)) + (segment (start 110.518912 100.17251) (end 109.8 99.453598) (width 0.6) (layer "In2.Cu") (net 5) (tstamp a20bbc85-1f85-4945-b053-3195d2e034d0)) + (segment (start 115.65 90.35) (end 115.65 90.85) (width 0.6) (layer "In2.Cu") (net 5) (tstamp b62cde7a-dcd3-4aed-9a81-dec41b35d9f9)) + (segment (start 109.75 88.1) (end 111.7 88.1) (width 0.6) (layer "In2.Cu") (net 5) (tstamp c86175a1-c6f8-44fd-ab75-1a4dd7eb67b6)) + (segment (start 115.033647 98.8) (end 113.7 98.8) (width 0.6) (layer "In2.Cu") (net 5) (tstamp da2e90af-ad8a-4dd3-8fe0-2a69190089ea)) + (segment (start 109.8 99.453598) (end 109.8 98.55) (width 0.6) (layer "In2.Cu") (net 5) (tstamp de99e880-9f77-4d9d-9d4f-efd4d8d25c43)) + (segment (start 122.16422 93.06422) (end 122.900238 93.06422) (width 0.6) (layer "In2.Cu") (net 5) (tstamp deb258f3-1669-4178-bcc4-7fd16e9ac0f7)) + (segment (start 120.35 91.25) (end 122.16422 93.06422) (width 0.6) (layer "In2.Cu") (net 5) (tstamp e190346e-2d6e-42c5-94a0-46ea42d99ed9)) + (segment (start 116.05 91.25) (end 120.35 91.25) (width 0.6) (layer "In2.Cu") (net 5) (tstamp f85cfa34-7a4b-43bb-8e90-5ee065ce1d6c)) + (segment (start 110.518912 100.17251) (end 110.17251 100.17251) (width 0.2) (layer "B.Cu") (net 5) (tstamp 9df54906-d6bc-452a-b395-8a9a1ee46a87)) + (segment (start 110.17251 100.17251) (end 109.5 99.5) (width 0.2) (layer "B.Cu") (net 5) (tstamp bf1741c7-7b58-4434-88e5-28df45c7c3c0)) + (segment (start 122.6 99.85) (end 126.25 99.85) (width 0.125) (layer "F.Cu") (net 6) (tstamp 27208dd4-564d-43aa-8a1b-dd439c858351)) + (segment (start 121.882843 99.882843) (end 122.1 100.1) (width 0.125) (layer "F.Cu") (net 6) (tstamp 6c208f45-86fe-42ba-b51a-aee5142999ca)) + (segment (start 122.1 100.1) (end 122.35 100.1) (width 0.125) (layer "F.Cu") (net 6) (tstamp 79b86b85-25cd-41f8-bccc-370b887b9cf9)) + (segment (start 126.25 99.85) (end 126.38 99.72) (width 0.125) (layer "F.Cu") (net 6) (tstamp 837e9a9e-c603-4965-a02f-17145db4945d)) + (segment (start 122.35 100.1) (end 122.6 99.85) (width 0.125) (layer "F.Cu") (net 6) (tstamp ac525130-f130-491b-aa34-d04aae223cc5)) + (segment (start 126.38 99.72) (end 126.38 99.33) (width 0.125) (layer "F.Cu") (net 6) (tstamp c61a4f88-2d97-4a32-8757-68cb58a348db)) + (segment (start 125 98.9) (end 125.33 98.57) (width 0.125) (layer "F.Cu") (net 7) (tstamp 255636fb-1a4b-45b4-b254-0ff08ebb13ec)) + (segment (start 123.7 98.9) (end 125 98.9) (width 0.125) (layer "F.Cu") (net 7) (tstamp 27faaa12-69ee-46d6-815a-163786ee2c97)) + (segment (start 123.282843 98.482843) (end 123.7 98.9) (width 0.125) (layer "F.Cu") (net 7) (tstamp 46ca10a0-2b3f-4a51-89d5-efba303f3f46)) + (segment (start 125.33 98.57) (end 125.62 98.57) (width 0.125) (layer "F.Cu") (net 7) (tstamp 4de33345-4e04-4a20-b266-7bf2a3fc6b37)) + (segment (start 124.95 99.55) (end 123.15 99.55) (width 0.125) (layer "F.Cu") (net 8) (tstamp 299f5b3a-7533-433d-afbd-31fbc9b87e19)) + (segment (start 125.62 99.33) (end 125.17 99.33) (width 0.125) (layer "F.Cu") (net 8) (tstamp 548dc7ed-a3df-4ca7-af4a-33371881c8d7)) + (segment (start 125.17 99.33) (end 124.95 99.55) (width 0.125) (layer "F.Cu") (net 8) (tstamp 89311193-51cf-4e30-bb59-efa6dd9d2ad9)) + (segment (start 122.582843 99.182843) (end 122.95 99.55) (width 0.125) (layer "F.Cu") (net 8) (tstamp 91d38618-a080-4165-b7b1-838b672ec208)) + (segment (start 122.95 99.55) (end 123.15 99.55) (width 0.125) (layer "F.Cu") (net 8) (tstamp a9b5a8af-ce38-4253-8030-d2a2d99cc840)) + (segment (start 103 96.55) (end 103.8625 96.55) (width 0.125) (layer "F.Cu") (net 9) (tstamp 1a7ce44c-660f-4952-b1ea-3afac9cb8ab5)) + (segment (start 102.85 96.4) (end 103 96.55) (width 0.125) (layer "F.Cu") (net 9) (tstamp 47be0e20-4ddd-47b5-8bec-996ad2856802)) + (segment (start 102.4 94.25) (end 102.85 94.7) (width 0.125) (layer "F.Cu") (net 9) (tstamp 7a6d336a-4ff7-43fa-abf9-8e432f6c9755)) + (segment (start 101.05 94.25) (end 102.4 94.25) (width 0.125) (layer "F.Cu") (net 9) (tstamp 88e1f3da-5219-4430-bd49-720d6ada710f)) + (segment (start 102.85 94.7) (end 102.85 96.4) (width 0.125) (layer "F.Cu") (net 9) (tstamp aefd3fbf-26f5-479b-9101-276f598b0333)) + (segment (start 122.2 92.15) (end 121.95 92.15) (width 0.125) (layer "F.Cu") (net 10) (tstamp 0ee4e6dc-a0b4-4966-9023-023a300cad3d)) + (segment (start 121.723223 91.923223) (end 122.75 90.896447) (width 0.125) (layer "F.Cu") (net 10) (tstamp 200957f3-28bc-4bfb-9903-c69c066cfc03)) + (segment (start 122.417157 91.932843) (end 122.2 92.15) (width 0.125) (layer "F.Cu") (net 10) (tstamp 3a3c817d-125c-404e-bbd0-a7fe6c521806)) + (segment (start 121.95 92.15) (end 121.723223 91.923223) (width 0.125) (layer "F.Cu") (net 10) (tstamp 4568f9f2-c715-4c77-8fe2-4a9c7b07984b)) + (segment (start 122.75 90.896447) (end 122.75 90.45) (width 0.125) (layer "F.Cu") (net 10) (tstamp 997399fd-295e-45f7-b355-4cbad21dc3a8)) + (segment (start 121.153903 92.492544) (end 121.723223 91.923223) (width 0.125) (layer "F.Cu") (net 10) (tstamp fa62c284-6322-413f-94ae-dac01c6e4f5e)) + (via (at 122.75 90.45) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 10) (tstamp 5c131389-ee36-4507-ac90-262a3986add0)) + (segment (start 123.5 89.7) (end 123.5 88.5) (width 0.125) (layer "B.Cu") (net 10) (tstamp 2898bff9-b4c9-4f4f-8b54-6833a157cf16)) + (segment (start 122.75 90.45) (end 123.5 89.7) (width 0.125) (layer "B.Cu") (net 10) (tstamp e26ac22d-5d52-4bfc-82c1-06641778b63e)) + (segment (start 116.4 91.15) (end 116.5 91.15) (width 0.125) (layer "F.Cu") (net 11) (tstamp 0706b704-3fb2-4de6-850e-6254084e696e)) + (segment (start 116.5 91.15) (end 116.637491 91.012509) (width 0.125) (layer "F.Cu") (net 11) (tstamp 13d8d434-3fba-43eb-a1d5-4599d1b88651)) + (segment (start 116.182843 90.932843) (end 116.4 91.15) (width 0.125) (layer "F.Cu") (net 11) (tstamp 4beadba8-370a-4c49-b8f9-bb99637182c7)) + (segment (start 116.637491 90.487491) (end 116.4 90.25) (width 0.125) (layer "F.Cu") (net 11) (tstamp 5543d72d-5073-4a7f-afd7-38377ba4dd2f)) + (segment (start 116.637491 91.012509) (end 116.637491 90.487491) (width 0.125) (layer "F.Cu") (net 11) (tstamp 8271cf4c-56b3-4a75-a094-a5caf0c2dc07)) + (segment (start 121.15 91.789339) (end 120.800349 92.13899) (width 0.125) (layer "F.Cu") (net 11) (tstamp d83118e0-2808-4d79-b575-8776dd707276)) + (segment (start 120.896377 90.771778) (end 121.15 91.025401) (width 0.125) (layer "F.Cu") (net 11) (tstamp f7ec46eb-d016-4bd9-8130-fdaedf921aa4)) + (segment (start 121.15 91.025401) (end 121.15 91.789339) (width 0.125) (layer "F.Cu") (net 11) (tstamp fdd4a615-4105-4c0b-8e57-edb947cac35a)) + (via (at 116.4 90.25) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 11) (tstamp 6d9eb038-49b2-4dea-9257-da7988be3e43)) + (via (at 120.896377 90.771778) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 11) (tstamp 774b334a-5c2e-4795-a9ae-949117020955)) + (segment (start 116.9 90.75) (end 116.4 90.25) (width 0.125) (layer "In2.Cu") (net 11) (tstamp 9b963213-888f-4692-9559-153ee713d420)) + (segment (start 120.874599 90.75) (end 116.9 90.75) (width 0.125) (layer "In2.Cu") (net 11) (tstamp a6a20d52-18ab-428c-b967-0a27e495e552)) + (segment (start 120.896377 90.771778) (end 120.874599 90.75) (width 0.125) (layer "In2.Cu") (net 11) (tstamp e4cd93bb-66b8-492f-b9a5-da0f50ce43cd)) + (segment (start 121.5 90.168155) (end 121.5 88.5) (width 0.125) (layer "B.Cu") (net 11) (tstamp 064f747c-42cf-475e-8295-e8b336b053e4)) + (segment (start 120.896377 90.771778) (end 121.5 90.168155) (width 0.125) (layer "B.Cu") (net 11) (tstamp 861a0cfd-cfc2-409a-8b83-72e83b67474c)) + (segment (start 117.45 91.175127) (end 117.706757 91.431884) (width 0.125) (layer "F.Cu") (net 12) (tstamp 067d299a-8e10-4177-857e-ea374d6813c5)) + (segment (start 113.4 87.95) (end 113.5 88.05) (width 0.125) (layer "F.Cu") (net 12) (tstamp 09854350-9635-4e9f-b3dd-3decf0af5bdc)) + (segment (start 117.45 90.162) (end 117.45 91.175127) (width 0.125) (layer "F.Cu") (net 12) (tstamp 34e17063-8db1-4c18-a4a9-c84c60266193)) + (segment (start 117.562 90.05) (end 117.45 90.162) (width 0.125) (layer "F.Cu") (net 12) (tstamp 4083f32a-138e-4671-8b45-a5f6a4bf79d6)) + (segment (start 113.5 88.388) (end 113.5 88.05) (width 0.125) (layer "F.Cu") (net 12) (tstamp a695b57b-69b3-4aaf-972c-b6771e6c8717)) + (segment (start 112.9 87.95) (end 113.4 87.95) (width 0.125) (layer "F.Cu") (net 12) (tstamp dff64e5c-8825-4576-a6df-3c1cf6a74709)) + (segment (start 113.538 88.35) (end 114.15 88.35) (width 0.125) (layer "F.Cu") (net 12) (tstamp f7de5b04-b96a-42b4-85b2-d5f8540f84fc)) + (via (at 113.5 88.388) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 12) (tstamp 17bd4c4e-a426-429d-ab0c-287edf88184a)) + (via (at 117.562 90.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 12) (tstamp 52bf7cca-1d2c-4f5b-9c64-06c7846efe10)) + (segment (start 113.719323 88.607323) (end 113.5 88.388) (width 0.125) (layer "In2.Cu") (net 12) (tstamp 3f21b4cf-050a-4447-b134-099665ac42b3)) + (segment (start 116.457323 88.607323) (end 113.719323 88.607323) (width 0.125) (layer "In2.Cu") (net 12) (tstamp 95a28c5e-00dd-4f92-bb95-884487aebc10)) + (segment (start 117.562 89.712) (end 116.457323 88.607323) (width 0.125) (layer "In2.Cu") (net 12) (tstamp adf060cd-a020-4ed9-8bab-7aad9f162287)) + (segment (start 117.562 90.05) (end 117.562 89.712) (width 0.125) (layer "In2.Cu") (net 12) (tstamp bec29047-97fd-4f27-acbf-084f63301b9e)) + (segment (start 103.55 89.45) (end 103.55 89.1) (width 0.125) (layer "F.Cu") (net 13) (tstamp 290135d3-3511-451a-b54c-841675fccda7)) + (segment (start 106.50625 89.95) (end 106.34423 90.11202) (width 0.125) (layer "F.Cu") (net 13) (tstamp 2c79af53-aad1-4098-baf0-20afdf9bb77f)) + (segment (start 106.34423 90.11202) (end 104.21202 90.11202) (width 0.125) (layer "F.Cu") (net 13) (tstamp 4a89a90c-ad2a-4e37-b3b0-be4d802f4073)) + (segment (start 104.21202 90.11202) (end 103.55 89.45) (width 0.125) (layer "F.Cu") (net 13) (tstamp b70afcac-3389-4d8e-b5bf-a6954b44bc46)) + (segment (start 106.4 93.05) (end 106.3 93.15) (width 0.125) (layer "F.Cu") (net 14) (tstamp 055238cd-7d35-44e2-8010-15ad9e18d480)) + (segment (start 105.8 93.15) (end 105.45 93.5) (width 0.125) (layer "F.Cu") (net 14) (tstamp 08cfb7a5-28b3-4c22-873c-0396efbd7b71)) + (segment (start 107.95 89.95) (end 107.15 90.75) (width 0.125) (layer "F.Cu") (net 14) (tstamp 2fcd7811-4bb0-4a10-8be2-f85c747b1c02)) + (segment (start 106.95 93.05) (end 106.4 93.05) (width 0.125) (layer "F.Cu") (net 14) (tstamp 570e0635-7856-4fec-bf29-03a2bd6a028c)) + (segment (start 105.45 93.5) (end 103.65 93.5) (width 0.125) (layer "F.Cu") (net 14) (tstamp 73bdde1e-169c-49c4-b990-6f980affdaf0)) + (segment (start 107.15 92.85) (end 106.95 93.05) (width 0.125) (layer "F.Cu") (net 14) (tstamp 7eff082a-214b-48fd-af1e-8b5a04f7ee8e)) + (segment (start 108.78125 89.95) (end 107.95 89.95) (width 0.125) (layer "F.Cu") (net 14) (tstamp a0827622-f328-4a82-a94b-222950aa7d6b)) + (segment (start 107.15 90.75) (end 107.15 92.85) (width 0.125) (layer "F.Cu") (net 14) (tstamp a9065798-12b4-48db-8038-4a64d7905711)) + (segment (start 103.65 93.5) (end 103.55 93.4) (width 0.125) (layer "F.Cu") (net 14) (tstamp ad4869d4-3d61-4193-8473-23e141dd0e54)) + (segment (start 106.3 93.15) (end 105.8 93.15) (width 0.125) (layer "F.Cu") (net 14) (tstamp edeb17d8-f8c5-4fe3-ae7d-335aff9df724)) + (segment (start 103.55 93.4) (end 103.55 93.05) (width 0.125) (layer "F.Cu") (net 14) (tstamp f0c87c23-94ee-4f5a-a43f-eebdd08d1d11)) + (segment (start 121.317157 99.317157) (end 121.1 99.1) (width 0.125) (layer "F.Cu") (net 17) (tstamp 07ff171c-55c2-41ea-90db-3269f98fd593)) + (segment (start 120.425127 99.1) (end 120.093243 98.768116) (width 0.125) (layer "F.Cu") (net 17) (tstamp 1112924a-6a82-433b-a9e1-caee9860bf62)) + (segment (start 121.1 99.1) (end 120.425127 99.1) (width 0.125) (layer "F.Cu") (net 17) (tstamp 7e07c487-8435-4acc-8f5b-089a0a3367fa)) + (segment (start 120.446796 98.414563) (end 120.832233 98.8) (width 0.125) (layer "F.Cu") (net 18) (tstamp 00a9f7ec-8d55-46b1-a676-58e97e5ce788)) + (segment (start 121.8 98.5) (end 121.967157 98.667157) (width 0.125) (layer "F.Cu") (net 18) (tstamp 090fb8e3-52ee-4869-93c0-8785a8073a5f)) + (segment (start 120.832233 98.8) (end 121.15 98.8) (width 0.125) (layer "F.Cu") (net 18) (tstamp 8fa01494-b5d3-444c-8760-0fed491e2efe)) + (segment (start 121.15 98.8) (end 121.45 98.5) (width 0.125) (layer "F.Cu") (net 18) (tstamp bac6442a-d028-4e47-b21c-26978230b76c)) + (segment (start 121.45 98.5) (end 121.8 98.5) (width 0.125) (layer "F.Cu") (net 18) (tstamp bceb3c5b-f4fe-4508-abd6-813b00c7bca1)) + (segment (start 122.45 97.65) (end 122.717157 97.917157) (width 0.125) (layer "F.Cu") (net 19) (tstamp 4789f033-5931-47f8-b97b-6574060213b0)) + (segment (start 121.508665 98.241335) (end 122.1 97.65) (width 0.125) (layer "F.Cu") (net 19) (tstamp 64c2647e-9b85-446b-b789-45924739aa01)) + (segment (start 120.800349 98.06101) (end 120.980674 98.241335) (width 0.125) (layer "F.Cu") (net 19) (tstamp 6d0a659d-d132-4d14-8c53-a4286129384b)) + (segment (start 120.980674 98.241335) (end 121.508665 98.241335) (width 0.125) (layer "F.Cu") (net 19) (tstamp b7eeaa15-56ea-49eb-96a3-a26262f8403a)) + (segment (start 122.1 97.65) (end 122.45 97.65) (width 0.125) (layer "F.Cu") (net 19) (tstamp d43696fe-5631-4729-aea2-3accf2131d5b)) + (segment (start 107.8 93.05) (end 108.8 93.05) (width 0.125) (layer "F.Cu") (net 20) (tstamp 0a230aa1-dd36-4ad1-b1f3-1a6e35430596)) + (segment (start 102.463948 100.180819) (end 102.669181 100.180819) (width 0.125) (layer "F.Cu") (net 20) (tstamp 14127424-0f91-4793-8a8a-36511132b3fd)) + (segment (start 106.01298 100.04952) (end 106.1125 99.95) (width 0.125) (layer "F.Cu") (net 20) (tstamp 32a09316-537b-49a3-b2b3-1f44b3361837)) + (segment (start 107.4 93.45) (end 107.8 93.05) (width 0.125) (layer "F.Cu") (net 20) (tstamp 4fcc7bda-0325-43cc-8d89-7926efc981f5)) + (segment (start 103.19952 100.04952) (end 106.01298 100.04952) (width 0.125) (layer "F.Cu") (net 20) (tstamp 5a003d5b-b190-4881-8488-fe37c0a4523c)) + (segment (start 103 99.4) (end 103 99.85) (width 0.125) (layer "F.Cu") (net 20) (tstamp 80164433-9c39-4045-b514-10bd50a627de)) + (segment (start 103 99.85) (end 103.19952 100.04952) (width 0.125) (layer "F.Cu") (net 20) (tstamp b7685028-053b-4bb3-a332-3cd0d203bb9c)) + (segment (start 102.669181 100.180819) (end 103 99.85) (width 0.125) (layer "F.Cu") (net 20) (tstamp ef95896f-b7c7-403f-bf00-6ed92781f0c6)) + (via (at 107.4 93.45) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 20) (tstamp 4e91f358-dd3d-46c8-9f39-d8841d50304d)) + (via (at 102.463948 100.180819) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 20) (tstamp b8a11da5-d6d7-42cd-95c0-9d136198090a)) + (segment (start 104.719181 100.180819) (end 102.463948 100.180819) (width 0.125) (layer "In2.Cu") (net 20) (tstamp 64a25608-e188-49a6-80ac-798e0a3ce67f)) + (segment (start 106.45 98.45) (end 104.719181 100.180819) (width 0.125) (layer "In2.Cu") (net 20) (tstamp a707a145-80e0-41ca-8658-5fe4f79ea5d0)) + (segment (start 106.45 94.4) (end 106.45 98.45) (width 0.125) (layer "In2.Cu") (net 20) (tstamp a75773f5-e2fe-48c5-8b40-192606bac84f)) + (segment (start 107.4 93.45) (end 106.45 94.4) (width 0.125) (layer "In2.Cu") (net 20) (tstamp b1ba0365-ac78-4a96-8449-df4d5b2bb292)) + (segment (start 103.45 94.65) (end 102.55 93.75) (width 0.125) (layer "F.Cu") (net 21) (tstamp 419fce0e-29ae-4389-86f6-b8ee5b6f2ef8)) + (segment (start 101.05 93.75) (end 102.55 93.75) (width 0.125) (layer "F.Cu") (net 21) (tstamp cc105d92-fdc1-408c-b885-ebd786dd61dd)) + (segment (start 103.8625 94.65) (end 103.45 94.65) (width 0.125) (layer "F.Cu") (net 21) (tstamp e5440c57-e1d8-4d63-b669-0e7ae11da3c2)) + (segment (start 105.35 96.55) (end 106.1375 96.55) (width 0.125) (layer "F.Cu") (net 22) (tstamp 5b4b2a59-3684-41e7-9c58-e5ffd00cbed4)) + (segment (start 105.25 96.45) (end 105.35 96.55) (width 0.125) (layer "F.Cu") (net 22) (tstamp 69300ec7-773a-43ef-af34-bebfbd65386c)) + (segment (start 107.2 94.5) (end 106.8 94.1) (width 0.125) (layer "F.Cu") (net 22) (tstamp 6a817d72-fbbe-464f-a1c1-f631269e9044)) + (segment (start 105.4 94.1) (end 105.25 94.25) (width 0.125) (layer "F.Cu") (net 22) (tstamp 9f06142f-921c-4b20-b435-ad291257999d)) + (segment (start 106.8 94.1) (end 105.4 94.1) (width 0.125) (layer "F.Cu") (net 22) (tstamp c6636265-921e-40ab-8493-b854ee8e355b)) + (segment (start 105.25 94.25) (end 105.25 96.45) (width 0.125) (layer "F.Cu") (net 22) (tstamp f78ba561-c3b2-414c-9a6b-532059260600)) + (segment (start 107.95 94.5) (end 107.2 94.5) (width 0.125) (layer "F.Cu") (net 22) (tstamp fbe56419-d444-45f6-b82a-9a6714b5abe6)) + (segment (start 107.95 95) (end 107.2 95) (width 0.2) (layer "F.Cu") (net 23) (tstamp 24be7a8b-4212-4b48-a528-adee53432085)) + (segment (start 106.85 94.65) (end 106.1375 94.65) (width 0.2) (layer "F.Cu") (net 23) (tstamp 47ec70e9-1236-4130-895d-c27e77f59ce7)) + (segment (start 107.2 95) (end 106.85 94.65) (width 0.2) (layer "F.Cu") (net 23) (tstamp d7f2379d-9b8b-49fd-8f3c-be9aa810ffa2)) + (segment (start 114.83 97.68) (end 114.74 97.68) (width 0.2) (layer "F.Cu") (net 24) (tstamp 288b5f80-d9d5-4aca-aaef-12748c9c2fe5)) + (segment (start 111.5 97.4) (end 111.65 97.25) (width 0.2) (layer "F.Cu") (net 24) (tstamp 2d55a69d-4b2f-4ec7-af8b-2774a9eeba02)) + (segment (start 111.65 97.25) (end 112.95 97.25) (width 0.2) (layer "F.Cu") (net 24) (tstamp 647c10c2-78f4-4ec0-a527-b058ec7ffade)) + (segment (start 113.05 97.35) (end 113.05 97.5) (width 0.2) (layer "F.Cu") (net 24) (tstamp 7fb1c9e6-cdee-4c8e-af1d-69eccf632962)) + (segment (start 112.95 97.25) (end 113.05 97.35) (width 0.2) (layer "F.Cu") (net 24) (tstamp 9609db41-1fae-4631-afed-7c51a015d15d)) + (segment (start 111.5 97.975) (end 111.5 97.4) (width 0.2) (layer "F.Cu") (net 24) (tstamp a9870d0a-6884-40f5-8d89-44efcecd5e64)) + (segment (start 114.56 97.5) (end 113.05 97.5) (width 0.2) (layer "F.Cu") (net 24) (tstamp b5105e8a-6ac0-4599-838d-10d8581fc4ad)) + (segment (start 115.93899 97.06101) (end 115.075772 97.924228) (width 0.2) (layer "F.Cu") (net 24) (tstamp b730e66e-f99a-4bb3-a604-e1616c5fac3e)) + (segment (start 115.93899 97.000349) (end 115.93899 97.06101) (width 0.2) (layer "F.Cu") (net 24) (tstamp c5e2a405-a035-4470-a471-3bca6c3d44c4)) + (segment (start 115.075772 97.924228) (end 115.074228 97.924228) (width 0.2) (layer "F.Cu") (net 24) (tstamp c7ec99bd-bdee-4704-8a34-77980b73d58b)) + (segment (start 114.74 97.68) (end 114.56 97.5) (width 0.2) (layer "F.Cu") (net 24) (tstamp d0c6f201-9bdc-4075-9609-b5cb27190ea1)) + (segment (start 115.074228 97.924228) (end 114.83 97.68) (width 0.2) (layer "F.Cu") (net 24) (tstamp d566634b-b8c9-485c-819a-0760745e2a1e)) + (segment (start 113.05 97.5) (end 113.05 98.15) (width 0.2) (layer "F.Cu") (net 24) (tstamp e055ee16-63f5-485b-ac53-7b690a6a4898)) + (segment (start 110.707631 98.894377) (end 110.6 98.786746) (width 0.125) (layer "F.Cu") (net 25) (tstamp 1e38d138-54e1-48e5-b60a-b40c901ba840)) + (segment (start 110.6 98.786746) (end 110.6 95.925) (width 0.125) (layer "F.Cu") (net 25) (tstamp 2b7ec2dd-02ba-4906-bf24-7ccb4e2c3838)) + (segment (start 111.525 95) (end 112.4 95) (width 0.125) (layer "F.Cu") (net 25) (tstamp 2f4b0be9-a655-42a0-b446-833f5e02fc70)) + (segment (start 110.6 95.925) (end 111.525 95) (width 0.125) (layer "F.Cu") (net 25) (tstamp 36798b4b-0abf-423d-a82e-bdcb7b025baa)) + (segment (start 113.1 95) (end 114 95.9) (width 0.125) (layer "F.Cu") (net 25) (tstamp 4ba8e5f6-597e-430c-bcdc-190439e0497d)) + (segment (start 114.210913 95.9) (end 114.524777 95.586136) (width 0.125) (layer "F.Cu") (net 25) (tstamp 8407686c-d4b9-49b3-9d91-4a0ceb4a76d2)) + (segment (start 114 95.9) (end 114.210913 95.9) (width 0.125) (layer "F.Cu") (net 25) (tstamp b5598728-fde6-4bc7-98ed-94af4952524d)) + (segment (start 112.4 95) (end 113.1 95) (width 0.125) (layer "F.Cu") (net 25) (tstamp c1cf450e-745c-46fc-8bdc-e99a7bec9948)) + (via (at 110.707631 98.894377) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 25) (tstamp 70132174-4468-4380-99c5-b60c3b0f9cf0)) + (segment (start 110.707631 98.894377) (end 110.894377 98.894377) (width 0.125) (layer "B.Cu") (net 25) (tstamp 696b9343-ce13-462a-8fcd-14f5f47a78b6)) + (segment (start 110.894377 98.894377) (end 111.5 99.5) (width 0.125) (layer "B.Cu") (net 25) (tstamp b13953a8-42ca-448b-baaf-6e5c231a8b33)) + (segment (start 102.1936 94.75) (end 102.3468 94.9032) (width 0.2) (layer "F.Cu") (net 28) (tstamp 25a52c06-a30d-4927-a844-fd55b1a6fff9)) + (segment (start 103.55 91.2936) (end 103.206258 91.637342) (width 0.2) (layer "F.Cu") (net 28) (tstamp 622eb8c5-fc33-4ad8-b731-e90cdae89f24)) + (segment (start 103.55 91.05) (end 103.55 91.2936) (width 0.2) (layer "F.Cu") (net 28) (tstamp 67c72074-d6b0-4161-97a6-03405f6f80d9)) + (segment (start 101.05 94.75) (end 102.1936 94.75) (width 0.2) (layer "F.Cu") (net 28) (tstamp f859b5c3-3829-4784-931d-3becbb978ad3)) + (via (at 102.3468 94.9032) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 28) (tstamp 39b0bbc1-9017-40be-adbd-86d2ef5900c9)) + (via (at 103.206258 91.637342) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 28) (tstamp 889ba407-962c-49fe-99e8-e827914f9e73)) + (segment (start 103.206258 91.637342) (end 103.206258 94.043742) (width 0.2) (layer "In2.Cu") (net 28) (tstamp 11cedb14-b9ae-4f37-83c4-cc5891cc3ba1)) + (segment (start 103.206258 94.043742) (end 102.3468 94.9032) (width 0.2) (layer "In2.Cu") (net 28) (tstamp ef9b7800-93b3-48ff-8716-677214474f76)) + (segment (start 117.602576 89.1) (end 117.35 89.1) (width 0.125) (layer "F.Cu") (net 47) (tstamp 051434ad-dc20-4b80-87b9-86fd4074b872)) + (segment (start 117.1 89.35) (end 117.1 91.532233) (width 0.125) (layer "F.Cu") (net 47) (tstamp 0e6e98e9-49a4-4b84-8308-169d38a7879e)) + (segment (start 117.35 88.65) (end 117.35 89.1) (width 0.125) (layer "F.Cu") (net 47) (tstamp 4f8ca137-acfa-4a4d-b896-1332de465087)) + (segment (start 117.503018 88.496982) (end 117.35 88.65) (width 0.125) (layer "F.Cu") (net 47) (tstamp 9905316d-eab2-4d07-8967-0bb8d044830b)) + (segment (start 118.183368 88.496982) (end 117.503018 88.496982) (width 0.125) (layer "F.Cu") (net 47) (tstamp 9f9bd24c-496c-4b7c-8ebd-52530885a0ff)) + (segment (start 117.1 91.532233) (end 117.353204 91.785437) (width 0.125) (layer "F.Cu") (net 47) (tstamp b365a089-e747-4e8c-ada3-ab2863dca6b2)) + (segment (start 116.65 89.35) (end 117.1 89.35) (width 0.125) (layer "F.Cu") (net 47) (tstamp b8a72ee2-9ddc-4652-acba-292fac5a60b7)) + (segment (start 117.35 89.1) (end 117.1 89.35) (width 0.125) (layer "F.Cu") (net 47) (tstamp ff54566d-f8f2-4531-9148-99aa94de02f9)) + (via (at 118.183368 88.496982) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 47) (tstamp d3287eae-fc3f-46d7-b72e-c42400610a2f)) + (segment (start 118.183368 88.496982) (end 118.18035 88.5) (width 0.125) (layer "B.Cu") (net 47) (tstamp 3eb38e28-037f-4a5e-b893-3fcb3b39943b)) + (segment (start 118.18035 88.5) (end 117.5 88.5) (width 0.125) (layer "B.Cu") (net 47) (tstamp b824efbd-32e7-43fc-b507-4960aa217eff)) + (segment (start 116.862011 90.012011) (end 116.862011 91.237989) (width 0.125) (layer "F.Cu") (net 48) (tstamp 06d3b9a2-871e-430d-82c5-4db011801570)) + (segment (start 116.862011 91.237989) (end 116.6 91.5) (width 0.125) (layer "F.Cu") (net 48) (tstamp 2777f052-0e65-47b0-83ac-b3120e3721fa)) + (segment (start 116.25 89.75) (end 116.6 89.75) (width 0.125) (layer "F.Cu") (net 48) (tstamp 3e88de89-0ac6-4bc0-bf98-e5c8845a6b63)) + (segment (start 116.6 89.75) (end 116.862011 90.012011) (width 0.125) (layer "F.Cu") (net 48) (tstamp 46bf2ea4-c97f-4746-b558-2d55b3e08fe9)) + (segment (start 115.98624 89.094334) (end 115.98624 89.48624) (width 0.125) (layer "F.Cu") (net 48) (tstamp 5deac3ff-ee62-4b96-9087-7d9c729241b7)) + (segment (start 116.6 91.739339) (end 116.999651 92.13899) (width 0.125) (layer "F.Cu") (net 48) (tstamp 68bc27a6-d240-4796-ba76-90f6d5a3a992)) + (segment (start 116.2 88.85) (end 116.65 88.85) (width 0.125) (layer "F.Cu") (net 48) (tstamp 9a7608b4-6aee-4d6a-840b-292b12319b76)) + (segment (start 115.98624 89.094334) (end 115.98624 89.06376) (width 0.125) (layer "F.Cu") (net 48) (tstamp bb1eef5a-04d6-4146-95fb-5c608c65b4c8)) + (segment (start 115.98624 89.06376) (end 116.2 88.85) (width 0.125) (layer "F.Cu") (net 48) (tstamp df6cf12f-89b6-499d-94fd-4b89e6576462)) + (segment (start 115.98624 89.48624) (end 116.25 89.75) (width 0.125) (layer "F.Cu") (net 48) (tstamp e833ad04-c8c0-4a55-ad5e-79c4ed41451d)) + (segment (start 116.6 91.5) (end 116.6 91.739339) (width 0.125) (layer "F.Cu") (net 48) (tstamp edf76769-819b-4f27-89b2-bac9a4c299a6)) + (via (at 115.98624 89.094334) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 48) (tstamp baeff6c1-3e4d-4e67-b8ec-5c019a4fb323)) + (segment (start 115.98624 88.98624) (end 115.5 88.5) (width 0.125) (layer "B.Cu") (net 48) (tstamp 75d937c7-d5c5-4b21-865e-c240478c0377)) + (segment (start 115.98624 89.094334) (end 115.98624 88.98624) (width 0.125) (layer "B.Cu") (net 48) (tstamp b1fc4732-fa40-404c-bc2b-2e20ef795f10)) + (segment (start 118.4 89.9) (end 118.390791 89.9) (width 0.125) (layer "F.Cu") (net 49) (tstamp 02db54ab-ce11-41b2-a00d-dc21cfb7c93a)) + (segment (start 117.842485 90.448306) (end 117.842485 90.860504) (width 0.125) (layer "F.Cu") (net 49) (tstamp 311fcc96-2b38-47a3-8779-75916ff0d649)) + (segment (start 118.390791 89.9) (end 117.842485 90.448306) (width 0.125) (layer "F.Cu") (net 49) (tstamp 37e017af-2338-4fcb-b45f-397bf9e44b90)) + (segment (start 117.842485 90.860504) (end 118.060311 91.07833) (width 0.125) (layer "F.Cu") (net 49) (tstamp 3f4ed1ca-2533-498e-a896-24695784df0b)) + (segment (start 114.606642 87.860883) (end 114.595759 87.85) (width 0.125) (layer "F.Cu") (net 49) (tstamp a4e94f5f-f811-4f29-b498-cc2e61160cae)) + (segment (start 114.595759 87.85) (end 114.15 87.85) (width 0.125) (layer "F.Cu") (net 49) (tstamp dff9b9c4-26f1-4f53-8e49-640a7df47545)) + (via (at 118.4 89.9) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 49) (tstamp 312ccdba-3643-4751-9a74-d920e2599af2)) + (via (at 114.606642 87.860883) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 49) (tstamp 3c31b827-f909-4f4e-9238-1d49250e3f3e)) + (segment (start 118.4 89.9) (end 116.857803 88.357803) (width 0.125) (layer "In2.Cu") (net 49) (tstamp 8c54937d-9ea6-4999-a298-1d663afd5ff5)) + (segment (start 115.103562 88.357803) (end 114.606642 87.860883) (width 0.125) (layer "In2.Cu") (net 49) (tstamp d77b43c6-84c9-46d8-9cd9-9b61f94718fd)) + (segment (start 116.857803 88.357803) (end 115.103562 88.357803) (width 0.125) (layer "In2.Cu") (net 49) (tstamp d7ef5a2f-c4ee-4b8b-96d7-f2b222dd988c)) + (segment (start 119.5 88.8) (end 119.5 88.5) (width 0.125) (layer "B.Cu") (net 49) (tstamp 4a07b7f6-e0a2-48d7-9357-c1d78824814b)) + (segment (start 118.4 89.9) (end 119.5 88.8) (width 0.125) (layer "B.Cu") (net 49) (tstamp 5f92749e-d901-43e2-9830-cd67adc28c43)) + (segment (start 110.86298 98.36298) (end 110.86298 96.18702) (width 0.125) (layer "F.Cu") (net 57) (tstamp 072d91d6-6222-4938-ae3d-43a30dfdc0f5)) + (segment (start 112.4 100.1) (end 112.4 99.269013) (width 0.125) (layer "F.Cu") (net 57) (tstamp 08debcd5-0ba4-4797-b9f0-b08019a25f4b)) + (segment (start 114 96.3) (end 114.518019 96.3) (width 0.125) (layer "F.Cu") (net 57) (tstamp 123a6091-225d-463d-8e52-fdf50203bfe4)) + (segment (start 111.2 98.7) (end 110.86298 98.36298) (width 0.125) (layer "F.Cu") (net 57) (tstamp 17e30a86-f3b5-434c-b8a3-78cbf782dbc1)) + (segment (start 114.518019 96.3) (end 114.87833 95.939689) (width 0.125) (layer "F.Cu") (net 57) (tstamp 184f667b-eb01-432e-9e49-b4218c23253e)) + (segment (start 111.830987 98.7) (end 111.2 98.7) (width 0.125) (layer "F.Cu") (net 57) (tstamp 5756121e-a0f9-4b60-a061-e1089a91cbf4)) + (segment (start 111.55 95.5) (end 113.2 95.5) (width 0.125) (layer "F.Cu") (net 57) (tstamp b7522179-5dbe-42d3-9632-fa71556972bd)) + (segment (start 113.2 95.5) (end 114 96.3) (width 0.125) (layer "F.Cu") (net 57) (tstamp ecbc017f-28c3-437d-80a3-2b66039eebb3)) + (segment (start 110.86298 96.18702) (end 111.55 95.5) (width 0.125) (layer "F.Cu") (net 57) (tstamp fbfe01db-b5f3-41f9-842f-52f069a1a781)) + (segment (start 112.4 99.269013) (end 111.830987 98.7) (width 0.125) (layer "F.Cu") (net 57) (tstamp fcb66788-5c5b-44de-b584-8476cb945f02)) + (via (at 112.4 100.1) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 57) (tstamp e5aa39bd-7e40-4b34-84f5-6ee168108b1c)) + (segment (start 112.9 100.1) (end 113.5 99.5) (width 0.125) (layer "B.Cu") (net 57) (tstamp 36c741bb-7c7f-4a7f-9645-edb33a58c4a3)) + (segment (start 112.4 100.1) (end 112.9 100.1) (width 0.125) (layer "B.Cu") (net 57) (tstamp 4de21e31-b6a9-44d7-bd2e-93c5845058d7)) + (segment (start 115.001531 97.152723) (end 114.930243 97.224011) (width 0.125) (layer "F.Cu") (net 58) (tstamp 06fbe44d-7ef9-4b4a-8d45-53de247049ed)) + (segment (start 114.024011 97.224011) (end 113.675 96.875) (width 0.125) (layer "F.Cu") (net 58) (tstamp 0a3db1d9-c7c4-4841-a65a-dfb60f099ad0)) + (segment (start 114.930243 97.224011) (end 114.024011 97.224011) (width 0.125) (layer "F.Cu") (net 58) (tstamp 2d1f2739-288c-4940-a250-1ad3ea481cc6)) + (segment (start 114 97.2) (end 114.024011 97.224011) (width 0.125) (layer "F.Cu") (net 58) (tstamp 32c718a9-f80a-4468-a5ee-6e682187b738)) + (segment (start 113.675 96.875) (end 114 97.2) (width 0.125) (layer "F.Cu") (net 58) (tstamp 60267191-9f76-4f08-8a0a-c36d47e19a98)) + (segment (start 113.3 96.5) (end 113.675 96.875) (width 0.125) (layer "F.Cu") (net 58) (tstamp 9ff67cd8-453b-4e70-851b-6036c41f4243)) + (segment (start 112.45 96.5) (end 113.3 96.5) (width 0.125) (layer "F.Cu") (net 58) (tstamp ad5bb306-cef8-4bd5-aa53-b47400f89f2e)) + (segment (start 115.082233 97.15) (end 115.585437 96.646796) (width 0.125) (layer "F.Cu") (net 58) (tstamp bfc0caa1-86a5-44ce-8396-e2eba2dfed7f)) + (via (at 115.001531 97.152723) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 58) (tstamp 7a196ff4-e8aa-4bcc-9f88-2106ee73146e)) + (via (at 115.142397 99.910606) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 58) (tstamp b3dd106c-a4b4-4298-993c-209db35acfd8)) + (segment (start 115.142397 99.454729) (end 116.4 98.197126) (width 0.125) (layer "In2.Cu") (net 58) (tstamp 07f8c083-e667-4a4a-9107-2eb5210e79ae)) + (segment (start 115.142397 99.910606) (end 115.142397 99.454729) (width 0.125) (layer "In2.Cu") (net 58) (tstamp 0e4d8831-5a63-4a45-90b6-e8049e5fe65d)) + (segment (start 116.4 97.7) (end 115.852723 97.152723) (width 0.125) (layer "In2.Cu") (net 58) (tstamp 3d099a73-55a8-43ac-bcf9-759d050aa903)) + (segment (start 115.852723 97.152723) (end 115.001531 97.152723) (width 0.125) (layer "In2.Cu") (net 58) (tstamp 46038ee3-1339-402d-b494-fa6c18d1dc73)) + (segment (start 116.4 98.197126) (end 116.4 97.7) (width 0.125) (layer "In2.Cu") (net 58) (tstamp 65ceb8ff-0cb7-4bca-890c-05020bcfae64)) + (segment (start 115.5 99.553003) (end 115.5 99.5) (width 0.125) (layer "B.Cu") (net 58) (tstamp 8ba08124-dfb6-4639-879c-cda99bf3f20b)) + (segment (start 115.142397 99.910606) (end 115.5 99.553003) (width 0.125) (layer "B.Cu") (net 58) (tstamp e8d209da-a2f5-4e6f-a836-c415ecf08f42)) + (segment (start 114.1 96.75) (end 114.775127 96.75) (width 0.125) (layer "F.Cu") (net 59) (tstamp 008125e8-6427-400a-8bc5-145955e4037a)) + (segment (start 114.775127 96.75) (end 115.231884 96.293243) (width 0.125) (layer "F.Cu") (net 59) (tstamp 0abd50a3-5ef5-4e09-8899-8c61cf2b4e6f)) + (segment (start 112.45 96) (end 113.35 96) (width 0.125) (layer "F.Cu") (net 59) (tstamp 17deca54-18ec-4581-bc43-43a4702aebc0)) + (segment (start 113.35 96) (end 114.1 96.75) (width 0.125) (layer "F.Cu") (net 59) (tstamp 4536d474-d6f3-4c79-8a29-57eef91fa233)) + (via (at 117.188553 99.431165) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 59) (tstamp 15c13c73-2a9e-4c86-abba-65f6b4bb3423)) + (via (at 114.4 96.762) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 59) (tstamp 99b449b0-4ea4-4638-9383-2d5f29d5e310)) + (segment (start 117.188553 99.431165) (end 117.188553 98.838553) (width 0.125) (layer "In2.Cu") (net 59) (tstamp 250fd168-96f5-4b0c-aea3-6ec8728dac5c)) + (segment (start 116.65 97.55) (end 115.765712 96.665712) (width 0.125) (layer "In2.Cu") (net 59) (tstamp 64025c83-4e49-4494-987c-8c07b9677d71)) + (segment (start 117.188553 98.838553) (end 116.65 98.3) (width 0.125) (layer "In2.Cu") (net 59) (tstamp 8a65a3e4-bbd2-403f-9b4b-0d0a3c12572a)) + (segment (start 116.65 98.3) (end 116.65 97.55) (width 0.125) (layer "In2.Cu") (net 59) (tstamp b37b3b9d-4975-403c-a24a-4eac9306872b)) + (segment (start 114.496288 96.665712) (end 114.4 96.762) (width 0.125) (layer "In2.Cu") (net 59) (tstamp c6dd1e73-5bf7-4a2a-83b4-ccc7d7e8595b)) + (segment (start 115.765712 96.665712) (end 114.496288 96.665712) (width 0.125) (layer "In2.Cu") (net 59) (tstamp e4e09e90-c59b-4e93-8a22-6840b1f5af3a)) + (segment (start 117.257388 99.5) (end 117.5 99.5) (width 0.125) (layer "B.Cu") (net 59) (tstamp 6108b0f2-11b2-4b55-b9cc-c4799edd5403)) + (segment (start 117.188553 99.431165) (end 117.257388 99.5) (width 0.125) (layer "B.Cu") (net 59) (tstamp abf7b895-f250-4dc0-a7ec-50b604d31cb0)) + (segment (start 123.8375 88.05) (end 124.55 88.05) (width 0.125) (layer "F.Cu") (net 60) (tstamp 12bda985-2edf-4bec-9861-1be78016dc30)) + (segment (start 124.8 89.6) (end 124.9 89.7) (width 0.125) (layer "F.Cu") (net 60) (tstamp 2d219e8a-76a8-4291-b353-254483a2c009)) + (segment (start 124.6 88.1) (end 124.8 88.3) (width 0.125) (layer "F.Cu") (net 60) (tstamp 3dc753c9-46cf-49b3-a050-dc8c90e0debd)) + (segment (start 124.8 88.3) (end 124.8 88.7) (width 0.125) (layer "F.Cu") (net 60) (tstamp 3ddeaedb-1db1-4be3-949b-b75a174d871c)) + (segment (start 125.36 88.7) (end 124.8 88.7) (width 0.125) (layer "F.Cu") (net 60) (tstamp 6999b78b-92a3-4ae7-9ec5-2d355712bed6)) + (segment (start 124.9 89.7) (end 125.35 89.7) (width 0.125) (layer "F.Cu") (net 60) (tstamp 97619bd4-67ad-4dcb-a0f3-72290e48b3d9)) + (segment (start 124.8 88.7) (end 124.8 89.6) (width 0.125) (layer "F.Cu") (net 60) (tstamp b490894c-e361-449a-9352-76aef06e01b7)) + (segment (start 124.55 88.05) (end 124.6 88.1) (width 0.125) (layer "F.Cu") (net 60) (tstamp fda165ff-e576-4fb5-90fc-b8b64f200549)) + (segment (start 117.4 88) (end 117.8 88) (width 0.125) (layer "F.Cu") (net 63) (tstamp 4b8f23bc-3221-466e-8944-5461659b33a2)) + (segment (start 116.65 88.35) (end 117.05 88.35) (width 0.125) (layer "F.Cu") (net 63) (tstamp 55dd9d88-5ed3-4281-9bde-b1ee8b58eb0f)) + (segment (start 117.05 88.35) (end 117.4 88) (width 0.125) (layer "F.Cu") (net 63) (tstamp d5f6f77e-f29b-4293-bd65-fce7209ba770)) + (segment (start 112.95 88.85) (end 114.15 88.85) (width 0.125) (layer "F.Cu") (net 64) (tstamp 42c76e53-2037-41ca-9fd9-b38ec19afc84)) + (segment (start 112.9 88.9) (end 112.95 88.85) (width 0.125) (layer "F.Cu") (net 64) (tstamp ff7ad385-ca49-4adc-bb89-8fa42506c5b2)) + (segment (start 118.413864 99.475223) (end 118.413864 99.763864) (width 0.125) (layer "F.Cu") (net 65) (tstamp 54f83d66-d17d-4991-ae54-0b7e54a802b4)) + (segment (start 118.413864 99.763864) (end 118.7 100.05) (width 0.125) (layer "F.Cu") (net 65) (tstamp 6df6b11d-ad92-420c-803d-b7655c958175)) + (via (at 118.7 100.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 65) (tstamp 6a77f622-2949-4253-8004-fd5788cd995e)) + (segment (start 118.95 100.05) (end 119.5 99.5) (width 0.125) (layer "B.Cu") (net 65) (tstamp 27868e65-2191-470f-b342-b7a325c6f602)) + (segment (start 118.7 100.05) (end 118.95 100.05) (width 0.125) (layer "B.Cu") (net 65) (tstamp c77bd007-299a-42e6-81bb-3b9c6e4b466e)) + (segment (start 120.5 100.05) (end 120.5 99.881982) (width 0.125) (layer "F.Cu") (net 66) (tstamp 17c5d0c1-7393-4145-9709-58594ecf1c72)) + (segment (start 119.739689 99.12167) (end 119.739689 99.121671) (width 0.125) (layer "F.Cu") (net 66) (tstamp 88eaf8d9-c084-419e-b7a9-c1bcfcab3ebf)) + (segment (start 120.5 99.881982) (end 120.184009 99.565991) (width 0.125) (layer "F.Cu") (net 66) (tstamp a8dae3a6-f54a-4dc2-827b-70f2d4a70924)) + (segment (start 119.739689 99.121671) (end 120.184009 99.565991) (width 0.125) (layer "F.Cu") (net 66) (tstamp e8b3a258-02c1-4f90-bfd1-0f6d8b488495)) + (via (at 120.5 100.05) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 66) (tstamp dcfdd891-33da-442e-869a-52c3a81454ed)) + (segment (start 120.5 100.05) (end 120.95 100.05) (width 0.125) (layer "B.Cu") (net 66) (tstamp 6d3d2ae6-9646-48d5-b98b-7e72d132768b)) + (segment (start 120.95 100.05) (end 121.5 99.5) (width 0.125) (layer "B.Cu") (net 66) (tstamp b6bc67ed-ef2b-42b2-838d-b6005f650ea9)) + (segment (start 123.968267 98.4005) (end 122.214563 96.646796) (width 0.125) (layer "F.Cu") (net 67) (tstamp 395df0a5-800c-4cca-a0f1-3ad76d2e76ca)) + (segment (start 124 98.4005) (end 123.968267 98.4005) (width 0.125) (layer "F.Cu") (net 67) (tstamp b93d027f-21f6-4fc9-ad3f-317eded6973b)) + (via (at 124 98.4005) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 67) (tstamp 6fe27867-4126-4105-a5f6-57faa228ace5)) + (segment (start 124 99) (end 124 98.4005) (width 0.125) (layer "B.Cu") (net 67) (tstamp 92dd94e3-78c8-48ff-b19c-d06508bd16a2)) + (segment (start 123.5 99.5) (end 124 99) (width 0.125) (layer "B.Cu") (net 67) (tstamp cfc3c4b9-d9f2-4ea5-a62d-f9cbfbb39b22)) + (segment (start 122.568116 96.293243) (end 122.568116 96.315233) (width 0.125) (layer "F.Cu") (net 68) (tstamp 561f0f92-2f38-4311-bbdf-743431f68ade)) + (segment (start 122.568116 96.315233) (end 124.649683 98.3968) (width 0.125) (layer "F.Cu") (net 68) (tstamp cb438843-ed0d-411e-bfc5-3c174b13b68c)) + (segment (start 124.649683 98.3968) (end 124.7968 98.3968) (width 0.125) (layer "F.Cu") (net 68) (tstamp ee9d6224-5e30-47d6-b0c2-9df6218d6d54)) + (via (at 124.7968 98.3968) (size 0.6) (drill 0.25) (layers "F.Cu" "B.Cu") (net 68) (tstamp c13dbdfc-ac76-4a8a-aec2-e610949b77df)) + (segment (start 124.7968 98.3968) (end 124.7968 98.7968) (width 0.125) (layer "B.Cu") (net 68) (tstamp 262d8846-ef71-4f5c-a06e-e08b3a9cf86d)) + (segment (start 124.7968 98.7968) (end 125.5 99.5) (width 0.125) (layer "B.Cu") (net 68) (tstamp d8f70972-ac7d-459c-822e-d4884f1a149b)) + (segment (start 123.8375 89.95) (end 123.8375 91.059117) (width 0.125) (layer "F.Cu") (net 69) (tstamp 0b8b4750-7729-44b5-8e0a-30b9e9fd9f34)) + (segment (start 122.334228 92.665772) (end 121.687781 92.665772) (width 0.125) (layer "F.Cu") (net 69) (tstamp 0d9f8516-569c-4853-9151-e26e0b59ceb4)) + (segment (start 123.737989 91.262011) (end 122.334228 92.665772) (width 0.125) (layer "F.Cu") (net 69) (tstamp 5789807f-8206-4167-8265-a48b49816abb)) + (segment (start 121.687781 92.665772) (end 121.507456 92.846097) (width 0.125) (layer "F.Cu") (net 69) (tstamp 97d95ebe-f81c-4b0f-a8d5-32fb88fdcdc8)) + (segment (start 123.8375 91.059117) (end 123.737989 91.158628) (width 0.125) (layer "F.Cu") (net 69) (tstamp c783ab4c-6f9b-478c-bda9-be5294b6d6a7)) + (segment (start 123.737989 91.158628) (end 123.737989 91.262011) (width 0.125) (layer "F.Cu") (net 69) (tstamp ff3964e6-1b45-4d3e-8ec0-44ec8995dd1d)) + (segment (start 114.408116 90.8) (end 114.408616 90.8005) (width 0.125) (layer "F.Cu") (net 70) (tstamp 35caa6c2-0680-486a-9358-d280ae27954a)) + (segment (start 112.985 90.85) (end 113.035 90.8) (width 0.125) (layer "F.Cu") (net 70) (tstamp 3880bca1-2213-46a9-9fab-eddc72b1621f)) + (segment (start 114.6005 90.8005) (end 114.867157 91.067157) (width 0.125) (layer "F.Cu") (net 70) (tstamp 42296f40-1aa2-41cf-b1ff-279b8b6da678)) + (segment (start 113.035 90.8) (end 114.408116 90.8) (width 0.125) (layer "F.Cu") (net 70) (tstamp 875c381c-b4f9-4613-8730-0b47de6c57ec)) + (segment (start 114.408616 90.8005) (end 114.6005 90.8005) (width 0.125) (layer "F.Cu") (net 70) (tstamp cd6e6579-078e-418f-906b-86d4eda123ff)) + (segment (start 126.9 89.9) (end 126.95 89.95) (width 0.125) (layer "F.Cu") (net 72) (tstamp 5fb0469f-4fd2-4020-9f1f-cab5213bb9be)) + (segment (start 126.95 89.95) (end 126.95 91.2) (width 0.125) (layer "F.Cu") (net 72) (tstamp 6d6bbcfc-0474-4aa9-b999-9cdd1586bfba)) + (segment (start 126.7 89.7) (end 126.9 89.9) (width 0.125) (layer "F.Cu") (net 72) (tstamp 9fffffcd-9152-45b3-8f4b-0530429d2937)) + (segment (start 126.15 89.7) (end 126.7 89.7) (width 0.125) (layer "F.Cu") (net 72) (tstamp e56669f2-dcb6-44d9-8f58-42928de58f26)) + + (zone (net 72) (net_name "/Application FPGA/TOUCH_PAD") (layer "F.Cu") (tstamp 5ddebeb3-483c-4e8c-8dda-465b9ab6238f) (hatch edge 0.508) + (connect_pads yes (clearance 0.254)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 125.3 97.8) + (xy 127.5 97.8) + (xy 127.5 90.2) + (xy 125.3 90.2) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 127.387621 90.220002) + (xy 127.434114 90.273658) + (xy 127.4455 90.326) + (xy 127.4455 97.674) + (xy 127.425498 97.742121) + (xy 127.371842 97.788614) + (xy 127.3195 97.8) + (xy 125.426 97.8) + (xy 125.357879 97.779998) + (xy 125.311386 97.726342) + (xy 125.3 97.674) + (xy 125.3 90.326) + (xy 125.320002 90.257879) + (xy 125.373658 90.211386) + (xy 125.426 90.2) + (xy 127.3195 90.2) + ) + ) + ) + (zone (net 1) (net_name "GND") (layers "In1.Cu" "B.Cu") (tstamp 00000000-0000-0000-0000-000061704cfc) (hatch edge 0.508) + (connect_pads (clearance 0.254)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.5 89.7) + (xy 124.9 89.7) + (xy 124.9 98.35) + (xy 127.5 98.35) + (xy 127.5 100.75) + (xy 100 100.75) + (xy 100 87.25) + (xy 127.5 87.25) + ) + ) + (filled_polygon + (layer "In1.Cu") + (pts + (xy 102.511683 87.520502) + (xy 102.558176 87.574158) + (xy 102.56828 87.644432) + (xy 102.538786 87.709012) + (xy 102.532657 87.715595) + (xy 102.451472 87.79678) + (xy 102.390281 87.916874) + (xy 102.388731 87.926663) + (xy 102.38873 87.926665) + (xy 102.383761 87.958041) + (xy 102.369196 88.05) + (xy 102.370747 88.059793) + (xy 102.38479 88.148454) + (xy 102.390281 88.183126) + (xy 102.451472 88.30322) + (xy 102.54678 88.398528) + (xy 102.666874 88.459719) + (xy 102.676663 88.461269) + (xy 102.676665 88.46127) + (xy 102.790207 88.479253) + (xy 102.8 88.480804) + (xy 102.809793 88.479253) + (xy 102.923335 88.46127) + (xy 102.923337 88.461269) + (xy 102.933126 88.459719) + (xy 103.05322 88.398528) + (xy 103.148528 88.30322) + (xy 103.201121 88.2) + (xy 105.112946 88.2) + (xy 105.114497 88.209793) + (xy 105.127896 88.294388) + (xy 105.134031 88.333126) + (xy 105.195222 88.45322) + (xy 105.29053 88.548528) + (xy 105.410624 88.609719) + (xy 105.420413 88.611269) + (xy 105.420415 88.61127) + (xy 105.533957 88.629253) + (xy 105.54375 88.630804) + (xy 105.553543 88.629253) + (xy 105.667085 88.61127) + (xy 105.667087 88.611269) + (xy 105.676876 88.609719) + (xy 105.79697 88.548528) + (xy 105.892278 88.45322) + (xy 105.953469 88.333126) + (xy 105.959605 88.294388) + (xy 105.973003 88.209793) + (xy 105.974554 88.2) + (xy 105.96206 88.121114) + (xy 105.95502 88.076665) + (xy 105.955019 88.076663) + (xy 105.953469 88.066874) + (xy 105.892278 87.94678) + (xy 105.79697 87.851472) + (xy 105.676876 87.790281) + (xy 105.667087 87.788731) + (xy 105.667085 87.78873) + (xy 105.553543 87.770747) + (xy 105.54375 87.769196) + (xy 105.533957 87.770747) + (xy 105.420415 87.78873) + (xy 105.420413 87.788731) + (xy 105.410624 87.790281) + (xy 105.29053 87.851472) + (xy 105.195222 87.94678) + (xy 105.134031 88.066874) + (xy 105.132481 88.076663) + (xy 105.13248 88.076665) + (xy 105.12544 88.121114) + (xy 105.112946 88.2) + (xy 103.201121 88.2) + (xy 103.209719 88.183126) + (xy 103.215211 88.148454) + (xy 103.229253 88.059793) + (xy 103.230804 88.05) + (xy 103.216239 87.958041) + (xy 103.21127 87.926665) + (xy 103.211269 87.926663) + (xy 103.209719 87.916874) + (xy 103.148528 87.79678) + (xy 103.067343 87.715595) + (xy 103.033317 87.653283) + (xy 103.038382 87.582468) + (xy 103.080929 87.525632) + (xy 103.147449 87.500821) + (xy 103.156438 87.5005) + (xy 109.464512 87.5005) + (xy 109.532633 87.520502) + (xy 109.579126 87.574158) + (xy 109.58923 87.644432) + (xy 109.559736 87.709012) + (xy 109.521715 87.738767) + (xy 109.49678 87.751472) + (xy 109.401472 87.84678) + (xy 109.340281 87.966874) + (xy 109.338731 87.976663) + (xy 109.33873 87.976665) + (xy 109.328783 88.039472) + (xy 109.319196 88.1) + (xy 109.320747 88.109793) + (xy 109.338232 88.220186) + (xy 109.340281 88.233126) + (xy 109.401472 88.35322) + (xy 109.49678 88.448528) + (xy 109.616874 88.509719) + (xy 109.626663 88.511269) + (xy 109.626665 88.51127) + (xy 109.740207 88.529253) + (xy 109.75 88.530804) + (xy 109.759793 88.529253) + (xy 109.873335 88.51127) + (xy 109.873337 88.511269) + (xy 109.883126 88.509719) + (xy 110.00322 88.448528) + (xy 110.063748 88.388) + (xy 113.069196 88.388) + (xy 113.070747 88.397793) + (xy 113.088279 88.508483) + (xy 113.090281 88.521126) + (xy 113.151472 88.64122) + (xy 113.24678 88.736528) + (xy 113.366874 88.797719) + (xy 113.376663 88.799269) + (xy 113.376665 88.79927) + (xy 113.490207 88.817253) + (xy 113.5 88.818804) + (xy 113.509793 88.817253) + (xy 113.623335 88.79927) + (xy 113.623337 88.799269) + (xy 113.633126 88.797719) + (xy 113.75322 88.736528) + (xy 113.848528 88.64122) + (xy 113.909719 88.521126) + (xy 113.911722 88.508483) + (xy 113.913544 88.496982) + (xy 117.752564 88.496982) + (xy 117.754115 88.506775) + (xy 117.77042 88.609719) + (xy 117.773649 88.630108) + (xy 117.83484 88.750202) + (xy 117.930148 88.84551) + (xy 118.050242 88.906701) + (xy 118.060031 88.908251) + (xy 118.060033 88.908252) + (xy 118.173575 88.926235) + (xy 118.183368 88.927786) + (xy 118.193161 88.926235) + (xy 118.306703 88.908252) + (xy 118.306705 88.908251) + (xy 118.316494 88.906701) + (xy 118.436588 88.84551) + (xy 118.531896 88.750202) + (xy 118.593087 88.630108) + (xy 118.596317 88.609719) + (xy 118.612621 88.506775) + (xy 118.614172 88.496982) + (xy 118.607241 88.45322) + (xy 118.594638 88.373647) + (xy 118.594637 88.373645) + (xy 118.593087 88.363856) + (xy 118.531896 88.243762) + (xy 118.436588 88.148454) + (xy 118.316494 88.087263) + (xy 118.306705 88.085713) + (xy 118.306703 88.085712) + (xy 118.193161 88.067729) + (xy 118.183368 88.066178) + (xy 118.173575 88.067729) + (xy 118.060033 88.085712) + (xy 118.060031 88.085713) + (xy 118.050242 88.087263) + (xy 117.930148 88.148454) + (xy 117.83484 88.243762) + (xy 117.773649 88.363856) + (xy 117.772099 88.373645) + (xy 117.772098 88.373647) + (xy 117.759495 88.45322) + (xy 117.752564 88.496982) + (xy 113.913544 88.496982) + (xy 113.929253 88.397793) + (xy 113.930804 88.388) + (xy 113.925581 88.355023) + (xy 113.91127 88.264665) + (xy 113.911269 88.264663) + (xy 113.909719 88.254874) + (xy 113.848528 88.13478) + (xy 113.75322 88.039472) + (xy 113.633126 87.978281) + (xy 113.623337 87.976731) + (xy 113.623335 87.97673) + (xy 113.509793 87.958747) + (xy 113.5 87.957196) + (xy 113.490207 87.958747) + (xy 113.376665 87.97673) + (xy 113.376663 87.976731) + (xy 113.366874 87.978281) + (xy 113.24678 88.039472) + (xy 113.151472 88.13478) + (xy 113.090281 88.254874) + (xy 113.088731 88.264663) + (xy 113.08873 88.264665) + (xy 113.074419 88.355023) + (xy 113.069196 88.388) + (xy 110.063748 88.388) + (xy 110.098528 88.35322) + (xy 110.159719 88.233126) + (xy 110.161769 88.220186) + (xy 110.179253 88.109793) + (xy 110.180804 88.1) + (xy 110.171217 88.039472) + (xy 110.16127 87.976665) + (xy 110.161269 87.976663) + (xy 110.159719 87.966874) + (xy 110.098528 87.84678) + (xy 110.00322 87.751472) + (xy 109.978285 87.738767) + (xy 109.92667 87.690019) + (xy 109.909604 87.621104) + (xy 109.932505 87.553902) + (xy 109.988102 87.50975) + (xy 110.035488 87.5005) + (xy 114.107103 87.5005) + (xy 114.175224 87.520502) + (xy 114.221717 87.574158) + (xy 114.231821 87.644432) + (xy 114.219369 87.683704) + (xy 114.201424 87.718922) + (xy 114.201423 87.718926) + (xy 114.196923 87.727757) + (xy 114.195373 87.737546) + (xy 114.195372 87.737548) + (xy 114.192056 87.758483) + (xy 114.175838 87.860883) + (xy 114.177389 87.870676) + (xy 114.190842 87.955612) + (xy 114.196923 87.994009) + (xy 114.258114 88.114103) + (xy 114.353422 88.209411) + (xy 114.473516 88.270602) + (xy 114.483305 88.272152) + (xy 114.483307 88.272153) + (xy 114.596849 88.290136) + (xy 114.606642 88.291687) + (xy 114.616435 88.290136) + (xy 114.729977 88.272153) + (xy 114.729979 88.272152) + (xy 114.739768 88.270602) + (xy 114.859862 88.209411) + (xy 114.95517 88.114103) + (xy 115.016361 87.994009) + (xy 115.022443 87.955612) + (xy 115.035895 87.870676) + (xy 115.037446 87.860883) + (xy 115.021228 87.758483) + (xy 115.017912 87.737548) + (xy 115.017911 87.737546) + (xy 115.016361 87.727757) + (xy 115.011861 87.718926) + (xy 115.01186 87.718922) + (xy 114.993915 87.683704) + (xy 114.98081 87.613927) + (xy 115.00751 87.548142) + (xy 115.065537 87.507235) + (xy 115.106181 87.5005) + (xy 125.655152 87.5005) + (xy 125.674536 87.502) + (xy 125.685567 87.503718) + (xy 125.685571 87.503718) + (xy 125.69444 87.505099) + (xy 125.706517 87.50352) + (xy 125.731837 87.502777) + (xy 125.865751 87.512355) + (xy 125.911526 87.515629) + (xy 125.92932 87.518188) + (xy 126.127741 87.561352) + (xy 126.14499 87.566416) + (xy 126.188028 87.582468) + (xy 126.335248 87.637378) + (xy 126.351595 87.644843) + (xy 126.529817 87.742161) + (xy 126.544934 87.751876) + (xy 126.596237 87.790281) + (xy 126.707496 87.873568) + (xy 126.721082 87.885341) + (xy 126.864659 88.028918) + (xy 126.876432 88.042504) + (xy 126.996129 88.2024) + (xy 126.998122 88.205063) + (xy 127.007839 88.220183) + (xy 127.099475 88.388) + (xy 127.105155 88.398402) + (xy 127.112622 88.414752) + (xy 127.143292 88.496982) + (xy 127.183584 88.60501) + (xy 127.188648 88.622259) + (xy 127.231812 88.82068) + (xy 127.234371 88.838474) + (xy 127.24676 89.011696) + (xy 127.246142 89.02659) + (xy 127.246392 89.026593) + (xy 127.246282 89.035569) + (xy 127.244901 89.04444) + (xy 127.246065 89.053342) + (xy 127.246065 89.053345) + (xy 127.248436 89.071473) + (xy 127.2495 89.08781) + (xy 127.2495 89.574) + (xy 127.229498 89.642121) + (xy 127.175842 89.688614) + (xy 127.1235 89.7) + (xy 124.9 89.7) + (xy 124.9 97.842036) + (xy 124.879998 97.910157) + (xy 124.826342 97.95665) + (xy 124.793711 97.966485) + (xy 124.673465 97.98553) + (xy 124.673463 97.985531) + (xy 124.663674 97.987081) + (xy 124.54358 98.048272) + (xy 124.485645 98.106207) + (xy 124.423333 98.140233) + (xy 124.352518 98.135168) + (xy 124.307455 98.106207) + (xy 124.25322 98.051972) + (xy 124.133126 97.990781) + (xy 124.123337 97.989231) + (xy 124.123335 97.98923) + (xy 124.009793 97.971247) + (xy 124 97.969696) + (xy 123.990207 97.971247) + (xy 123.876665 97.98923) + (xy 123.876663 97.989231) + (xy 123.866874 97.990781) + (xy 123.74678 98.051972) + (xy 123.651472 98.14728) + (xy 123.590281 98.267374) + (xy 123.588731 98.277163) + (xy 123.58873 98.277165) + (xy 123.574394 98.367683) + (xy 123.569196 98.4005) + (xy 123.570747 98.410293) + (xy 123.58228 98.483107) + (xy 123.590281 98.533626) + (xy 123.651472 98.65372) + (xy 123.74678 98.749028) + (xy 123.866874 98.810219) + (xy 123.876663 98.811769) + (xy 123.876665 98.81177) + (xy 123.990207 98.829753) + (xy 124 98.831304) + (xy 124.009793 98.829753) + (xy 124.123335 98.81177) + (xy 124.123337 98.811769) + (xy 124.133126 98.810219) + (xy 124.25322 98.749028) + (xy 124.311155 98.691093) + (xy 124.373467 98.657067) + (xy 124.444282 98.662132) + (xy 124.489345 98.691093) + (xy 124.54358 98.745328) + (xy 124.663674 98.806519) + (xy 124.673463 98.808069) + (xy 124.673465 98.80807) + (xy 124.787007 98.826053) + (xy 124.7968 98.827604) + (xy 124.806593 98.826053) + (xy 124.920135 98.80807) + (xy 124.920137 98.808069) + (xy 124.929926 98.806519) + (xy 125.05002 98.745328) + (xy 125.145328 98.65002) + (xy 125.206519 98.529926) + (xy 125.213935 98.483107) + (xy 125.218182 98.456289) + (xy 125.248595 98.392136) + (xy 125.308863 98.354609) + (xy 125.342631 98.35) + (xy 126.311265 98.35) + (xy 126.379386 98.370002) + (xy 126.425879 98.423658) + (xy 126.435714 98.49571) + (xy 126.419196 98.6) + (xy 126.420747 98.609793) + (xy 126.436574 98.709719) + (xy 126.440281 98.733126) + (xy 126.501472 98.85322) + (xy 126.59678 98.948528) + (xy 126.716874 99.009719) + (xy 126.726663 99.011269) + (xy 126.726665 99.01127) + (xy 126.840207 99.029253) + (xy 126.85 99.030804) + (xy 126.859793 99.029253) + (xy 126.973335 99.01127) + (xy 126.973337 99.011269) + (xy 126.983126 99.009719) + (xy 126.991957 99.005219) + (xy 126.991961 99.005218) + (xy 127.056341 98.972414) + (xy 127.126118 98.959309) + (xy 127.191903 98.986009) + (xy 127.23281 99.044036) + (xy 127.239224 99.093668) + (xy 127.234371 99.161525) + (xy 127.231812 99.17932) + (xy 127.188648 99.377741) + (xy 127.183584 99.39499) + (xy 127.122943 99.557578) + (xy 127.112624 99.585244) + (xy 127.105157 99.601595) + (xy 127.013939 99.768647) + (xy 127.007841 99.779814) + (xy 126.998124 99.794934) + (xy 126.912246 99.909654) + (xy 126.876432 99.957496) + (xy 126.864659 99.971082) + (xy 126.721082 100.114659) + (xy 126.707495 100.126432) + (xy 126.55317 100.241959) + (xy 126.544937 100.248122) + (xy 126.529817 100.257839) + (xy 126.351595 100.355157) + (xy 126.335248 100.362622) + (xy 126.272371 100.386074) + (xy 126.14499 100.433584) + (xy 126.127741 100.438648) + (xy 125.92932 100.481812) + (xy 125.911526 100.484371) + (xy 125.738304 100.49676) + (xy 125.72341 100.496142) + (xy 125.723407 100.496392) + (xy 125.714431 100.496282) + (xy 125.70556 100.494901) + (xy 125.696658 100.496065) + (xy 125.696655 100.496065) + (xy 125.678527 100.498436) + (xy 125.66219 100.4995) + (xy 120.951294 100.4995) + (xy 120.883173 100.479498) + (xy 120.83668 100.425842) + (xy 120.826576 100.355568) + (xy 120.847342 100.304406) + (xy 120.848528 100.30322) + (xy 120.909719 100.183126) + (xy 120.911401 100.17251) + (xy 120.929253 100.059793) + (xy 120.930804 100.05) + (xy 120.926197 100.020915) + (xy 120.91127 99.926665) + (xy 120.911269 99.926663) + (xy 120.909719 99.916874) + (xy 120.848528 99.79678) + (xy 120.75322 99.701472) + (xy 120.633126 99.640281) + (xy 120.623337 99.638731) + (xy 120.623335 99.63873) + (xy 120.509793 99.620747) + (xy 120.5 99.619196) + (xy 120.490207 99.620747) + (xy 120.376665 99.63873) + (xy 120.376663 99.638731) + (xy 120.366874 99.640281) + (xy 120.24678 99.701472) + (xy 120.151472 99.79678) + (xy 120.090281 99.916874) + (xy 120.088731 99.926663) + (xy 120.08873 99.926665) + (xy 120.073803 100.020915) + (xy 120.069196 100.05) + (xy 120.070747 100.059793) + (xy 120.0886 100.17251) + (xy 120.090281 100.183126) + (xy 120.151472 100.30322) + (xy 120.152279 100.304027) + (xy 120.174501 100.366307) + (xy 120.15842 100.435458) + (xy 120.107506 100.484939) + (xy 120.048706 100.4995) + (xy 119.151294 100.4995) + (xy 119.083173 100.479498) + (xy 119.03668 100.425842) + (xy 119.026576 100.355568) + (xy 119.047342 100.304406) + (xy 119.048528 100.30322) + (xy 119.109719 100.183126) + (xy 119.111401 100.17251) + (xy 119.129253 100.059793) + (xy 119.130804 100.05) + (xy 119.126197 100.020915) + (xy 119.11127 99.926665) + (xy 119.111269 99.926663) + (xy 119.109719 99.916874) + (xy 119.048528 99.79678) + (xy 118.95322 99.701472) + (xy 118.833126 99.640281) + (xy 118.823337 99.638731) + (xy 118.823335 99.63873) + (xy 118.709793 99.620747) + (xy 118.7 99.619196) + (xy 118.690207 99.620747) + (xy 118.576665 99.63873) + (xy 118.576663 99.638731) + (xy 118.566874 99.640281) + (xy 118.44678 99.701472) + (xy 118.351472 99.79678) + (xy 118.290281 99.916874) + (xy 118.288731 99.926663) + (xy 118.28873 99.926665) + (xy 118.273803 100.020915) + (xy 118.269196 100.05) + (xy 118.270747 100.059793) + (xy 118.2886 100.17251) + (xy 118.290281 100.183126) + (xy 118.351472 100.30322) + (xy 118.352279 100.304027) + (xy 118.374501 100.366307) + (xy 118.35842 100.435458) + (xy 118.307506 100.484939) + (xy 118.248706 100.4995) + (xy 117.122027 100.4995) + (xy 117.053906 100.479498) + (xy 117.007413 100.425842) + (xy 116.997309 100.355568) + (xy 117.009761 100.316296) + (xy 117.015601 100.304835) + (xy 117.015602 100.304831) + (xy 117.020102 100.296) + (xy 117.024305 100.269467) + (xy 117.039636 100.172667) + (xy 117.041187 100.162874) + (xy 117.035415 100.126432) + (xy 117.021653 100.039538) + (xy 117.021652 100.039535) + (xy 117.020102 100.029748) + (xy 117.015601 100.020914) + (xy 117.01531 100.020019) + (xy 117.013281 99.949052) + (xy 117.049942 99.888253) + (xy 117.113654 99.856927) + (xy 117.154851 99.856632) + (xy 117.178757 99.860418) + (xy 117.17876 99.860418) + (xy 117.188553 99.861969) + (xy 117.198346 99.860418) + (xy 117.311888 99.842435) + (xy 117.31189 99.842434) + (xy 117.321679 99.840884) + (xy 117.441773 99.779693) + (xy 117.537081 99.684385) + (xy 117.598272 99.564291) + (xy 117.602531 99.537404) + (xy 117.617806 99.440958) + (xy 117.619357 99.431165) + (xy 117.613695 99.395416) + (xy 117.599823 99.30783) + (xy 117.599822 99.307828) + (xy 117.598272 99.298039) + (xy 117.537081 99.177945) + (xy 117.441773 99.082637) + (xy 117.321679 99.021446) + (xy 117.31189 99.019896) + (xy 117.311888 99.019895) + (xy 117.198346 99.001912) + (xy 117.188553 99.000361) + (xy 117.17876 99.001912) + (xy 117.065218 99.019895) + (xy 117.065216 99.019896) + (xy 117.055427 99.021446) + (xy 116.935333 99.082637) + (xy 116.840025 99.177945) + (xy 116.778834 99.298039) + (xy 116.777284 99.307828) + (xy 116.777283 99.30783) + (xy 116.763411 99.395416) + (xy 116.757749 99.431165) + (xy 116.7593 99.440958) + (xy 116.774576 99.537404) + (xy 116.778834 99.564291) + (xy 116.783335 99.573124) + (xy 116.783626 99.57402) + (xy 116.785655 99.644987) + (xy 116.748994 99.705786) + (xy 116.685282 99.737112) + (xy 116.644085 99.737407) + (xy 116.620179 99.733621) + (xy 116.620176 99.733621) + (xy 116.610383 99.73207) + (xy 116.60059 99.733621) + (xy 116.487048 99.751604) + (xy 116.487046 99.751605) + (xy 116.477257 99.753155) + (xy 116.357163 99.814346) + (xy 116.261855 99.909654) + (xy 116.200664 100.029748) + (xy 116.199114 100.039537) + (xy 116.199113 100.039539) + (xy 116.185351 100.126432) + (xy 116.179579 100.162874) + (xy 116.18113 100.172667) + (xy 116.196462 100.269467) + (xy 116.200664 100.296) + (xy 116.205164 100.304831) + (xy 116.205165 100.304835) + (xy 116.211005 100.316296) + (xy 116.22411 100.386073) + (xy 116.19741 100.451858) + (xy 116.139383 100.492765) + (xy 116.098739 100.4995) + (xy 115.4487 100.4995) + (xy 115.380579 100.479498) + (xy 115.334086 100.425842) + (xy 115.323982 100.355568) + (xy 115.353476 100.290988) + (xy 115.379562 100.270574) + (xy 115.378757 100.269467) + (xy 115.386785 100.263634) + (xy 115.395617 100.259134) + (xy 115.490925 100.163826) + (xy 115.552116 100.043732) + (xy 115.565775 99.957496) + (xy 115.57165 99.920399) + (xy 115.573201 99.910606) + (xy 115.564699 99.856927) + (xy 115.553667 99.787271) + (xy 115.553666 99.787269) + (xy 115.552116 99.77748) + (xy 115.490925 99.657386) + (xy 115.395617 99.562078) + (xy 115.275523 99.500887) + (xy 115.265734 99.499337) + (xy 115.265732 99.499336) + (xy 115.15219 99.481353) + (xy 115.142397 99.479802) + (xy 115.132604 99.481353) + (xy 115.019062 99.499336) + (xy 115.01906 99.499337) + (xy 115.009271 99.500887) + (xy 114.889177 99.562078) + (xy 114.793869 99.657386) + (xy 114.732678 99.77748) + (xy 114.731128 99.787269) + (xy 114.731127 99.787271) + (xy 114.720095 99.856927) + (xy 114.711593 99.910606) + (xy 114.713144 99.920399) + (xy 114.71902 99.957496) + (xy 114.732678 100.043732) + (xy 114.793869 100.163826) + (xy 114.889177 100.259134) + (xy 114.898009 100.263634) + (xy 114.906037 100.269467) + (xy 114.9044 100.27172) + (xy 114.944909 100.309976) + (xy 114.961979 100.37889) + (xy 114.939081 100.446093) + (xy 114.883485 100.490248) + (xy 114.836094 100.4995) + (xy 112.879608 100.4995) + (xy 112.811487 100.479498) + (xy 112.764994 100.425842) + (xy 112.75489 100.355568) + (xy 112.767341 100.316297) + (xy 112.768271 100.314472) + (xy 112.809719 100.233126) + (xy 112.830804 100.1) + (xy 112.822754 100.049175) + (xy 112.81127 99.976665) + (xy 112.811269 99.976663) + (xy 112.809719 99.966874) + (xy 112.748528 99.84678) + (xy 112.65322 99.751472) + (xy 112.533126 99.690281) + (xy 112.523337 99.688731) + (xy 112.523335 99.68873) + (xy 112.409793 99.670747) + (xy 112.4 99.669196) + (xy 112.390207 99.670747) + (xy 112.276665 99.68873) + (xy 112.276663 99.688731) + (xy 112.266874 99.690281) + (xy 112.14678 99.751472) + (xy 112.051472 99.84678) + (xy 111.990281 99.966874) + (xy 111.988731 99.976663) + (xy 111.98873 99.976665) + (xy 111.977246 100.049175) + (xy 111.969196 100.1) + (xy 111.990281 100.233126) + (xy 112.031729 100.314472) + (xy 112.032659 100.316297) + (xy 112.045763 100.386074) + (xy 112.019063 100.451858) + (xy 111.961036 100.492765) + (xy 111.920392 100.4995) + (xy 111.035466 100.4995) + (xy 110.967345 100.479498) + (xy 110.920852 100.425842) + (xy 110.910748 100.355568) + (xy 110.923199 100.316298) + (xy 110.924129 100.314472) + (xy 110.924129 100.314471) + (xy 110.928631 100.305636) + (xy 110.937668 100.248582) + (xy 110.948165 100.182303) + (xy 110.949716 100.17251) + (xy 110.941563 100.121034) + (xy 110.930182 100.049175) + (xy 110.930181 100.049173) + (xy 110.928631 100.039384) + (xy 110.86744 99.91929) + (xy 110.772132 99.823982) + (xy 110.652038 99.762791) + (xy 110.642249 99.761241) + (xy 110.642247 99.76124) + (xy 110.528705 99.743257) + (xy 110.518912 99.741706) + (xy 110.509119 99.743257) + (xy 110.395577 99.76124) + (xy 110.395575 99.761241) + (xy 110.385786 99.762791) + (xy 110.265692 99.823982) + (xy 110.170384 99.91929) + (xy 110.109193 100.039384) + (xy 110.107643 100.049173) + (xy 110.107642 100.049175) + (xy 110.096261 100.121034) + (xy 110.088108 100.17251) + (xy 110.089659 100.182303) + (xy 110.100157 100.248582) + (xy 110.109193 100.305636) + (xy 110.113695 100.314471) + (xy 110.113695 100.314472) + (xy 110.114625 100.316298) + (xy 110.115087 100.318756) + (xy 110.116759 100.323903) + (xy 110.116094 100.324119) + (xy 110.127729 100.386074) + (xy 110.101029 100.451859) + (xy 110.043001 100.492765) + (xy 110.002358 100.4995) + (xy 102.984736 100.4995) + (xy 102.916615 100.479498) + (xy 102.870122 100.425842) + (xy 102.860018 100.355568) + (xy 102.867298 100.332601) + (xy 102.866101 100.332212) + (xy 102.869167 100.322778) + (xy 102.873667 100.313945) + (xy 102.88402 100.248582) + (xy 102.893201 100.190612) + (xy 102.894752 100.180819) + (xy 102.885283 100.121034) + (xy 102.875218 100.057484) + (xy 102.875217 100.057482) + (xy 102.873667 100.047693) + (xy 102.812476 99.927599) + (xy 102.717168 99.832291) + (xy 102.597074 99.7711) + (xy 102.587285 99.76955) + (xy 102.587283 99.769549) + (xy 102.473741 99.751566) + (xy 102.463948 99.750015) + (xy 102.454155 99.751566) + (xy 102.340613 99.769549) + (xy 102.340611 99.76955) + (xy 102.330822 99.7711) + (xy 102.210728 99.832291) + (xy 102.11542 99.927599) + (xy 102.054229 100.047693) + (xy 102.052679 100.057482) + (xy 102.052678 100.057484) + (xy 102.042613 100.121034) + (xy 102.033144 100.180819) + (xy 102.054229 100.313945) + (xy 102.058731 100.32278) + (xy 102.061116 100.330121) + (xy 102.063144 100.401089) + (xy 102.026481 100.461887) + (xy 101.962769 100.493212) + (xy 101.932394 100.494744) + (xy 101.788086 100.484535) + (xy 101.770281 100.481988) + (xy 101.571855 100.438951) + (xy 101.554584 100.43389) + (xy 101.364317 100.363025) + (xy 101.347944 100.355555) + (xy 101.289935 100.323903) + (xy 101.169713 100.258305) + (xy 101.154581 100.248585) + (xy 100.992017 100.126931) + (xy 100.978415 100.115146) + (xy 100.834854 99.971585) + (xy 100.823069 99.957983) + (xy 100.799632 99.926665) + (xy 100.701415 99.795419) + (xy 100.691695 99.780287) + (xy 100.594445 99.602056) + (xy 100.586975 99.585683) + (xy 100.586812 99.585244) + (xy 100.548117 99.481353) + (xy 100.51611 99.395416) + (xy 100.511049 99.378146) + (xy 100.495798 99.30783) + (xy 100.468012 99.179719) + (xy 100.465464 99.161909) + (xy 100.45321 98.988682) + (xy 100.45384 98.973797) + (xy 100.453588 98.973794) + (xy 100.453706 98.964814) + (xy 100.455094 98.955947) + (xy 100.4536 98.94444) + (xy 100.45155 98.928664) + (xy 100.4505 98.912433) + (xy 100.4505 98.894377) + (xy 110.276827 98.894377) + (xy 110.278378 98.90417) + (xy 110.289505 98.974421) + (xy 110.297912 99.027503) + (xy 110.359103 99.147597) + (xy 110.454411 99.242905) + (xy 110.574505 99.304096) + (xy 110.584294 99.305646) + (xy 110.584296 99.305647) + (xy 110.697838 99.32363) + (xy 110.707631 99.325181) + (xy 110.717424 99.32363) + (xy 110.830966 99.305647) + (xy 110.830968 99.305646) + (xy 110.840757 99.304096) + (xy 110.960851 99.242905) + (xy 111.056159 99.147597) + (xy 111.11735 99.027503) + (xy 111.125758 98.974421) + (xy 111.136884 98.90417) + (xy 111.138435 98.894377) + (xy 111.133027 98.860231) + (xy 111.118901 98.771042) + (xy 111.1189 98.77104) + (xy 111.11735 98.761251) + (xy 111.056159 98.641157) + (xy 110.960851 98.545849) + (xy 110.840757 98.484658) + (xy 110.830968 98.483108) + (xy 110.830966 98.483107) + (xy 110.717424 98.465124) + (xy 110.707631 98.463573) + (xy 110.697838 98.465124) + (xy 110.584296 98.483107) + (xy 110.584294 98.483108) + (xy 110.574505 98.484658) + (xy 110.454411 98.545849) + (xy 110.359103 98.641157) + (xy 110.297912 98.761251) + (xy 110.296362 98.77104) + (xy 110.296361 98.771042) + (xy 110.282235 98.860231) + (xy 110.276827 98.894377) + (xy 100.4505 98.894377) + (xy 100.4505 98) + (xy 103.069196 98) + (xy 103.070747 98.009793) + (xy 103.077428 98.051972) + (xy 103.090281 98.133126) + (xy 103.151472 98.25322) + (xy 103.24678 98.348528) + (xy 103.366874 98.409719) + (xy 103.376663 98.411269) + (xy 103.376665 98.41127) + (xy 103.490207 98.429253) + (xy 103.5 98.430804) + (xy 103.509793 98.429253) + (xy 103.623335 98.41127) + (xy 103.623337 98.411269) + (xy 103.633126 98.409719) + (xy 103.75322 98.348528) + (xy 103.848528 98.25322) + (xy 103.909719 98.133126) + (xy 103.922573 98.051972) + (xy 103.929253 98.009793) + (xy 103.930804 98) + (xy 103.923938 97.95665) + (xy 103.91127 97.876665) + (xy 103.911269 97.876663) + (xy 103.909719 97.866874) + (xy 103.848528 97.74678) + (xy 103.75322 97.651472) + (xy 103.65581 97.601839) + (xy 106.825888 97.601839) + (xy 106.846973 97.734965) + (xy 106.908164 97.855059) + (xy 106.938941 97.885836) + (xy 106.972967 97.948148) + (xy 106.967902 98.018963) + (xy 106.954705 98.043547) + (xy 106.951472 98.04678) + (xy 106.890281 98.166874) + (xy 106.888731 98.176663) + (xy 106.88873 98.176665) + (xy 106.878175 98.243309) + (xy 106.869196 98.3) + (xy 106.870747 98.309793) + (xy 106.886665 98.410293) + (xy 106.890281 98.433126) + (xy 106.951472 98.55322) + (xy 107.04678 98.648528) + (xy 107.166874 98.709719) + (xy 107.176663 98.711269) + (xy 107.176665 98.71127) + (xy 107.290207 98.729253) + (xy 107.3 98.730804) + (xy 107.309793 98.729253) + (xy 107.423335 98.71127) + (xy 107.423337 98.711269) + (xy 107.433126 98.709719) + (xy 107.55322 98.648528) + (xy 107.648528 98.55322) + (xy 107.709719 98.433126) + (xy 107.713336 98.410293) + (xy 107.729253 98.309793) + (xy 107.730804 98.3) + (xy 107.721825 98.243309) + (xy 107.71127 98.176665) + (xy 107.711269 98.176663) + (xy 107.709719 98.166874) + (xy 107.648528 98.04678) + (xy 107.617751 98.016003) + (xy 107.586058 97.957964) + (xy 115.444879 97.957964) + (xy 115.44643 97.967757) + (xy 115.459056 98.047472) + (xy 115.465964 98.09109) + (xy 115.527155 98.211184) + (xy 115.622463 98.306492) + (xy 115.742557 98.367683) + (xy 115.752346 98.369233) + (xy 115.752348 98.369234) + (xy 115.86589 98.387217) + (xy 115.875683 98.388768) + (xy 115.885476 98.387217) + (xy 115.999018 98.369234) + (xy 115.99902 98.369233) + (xy 116.008809 98.367683) + (xy 116.128903 98.306492) + (xy 116.224211 98.211184) + (xy 116.285402 98.09109) + (xy 116.292311 98.047472) + (xy 116.304936 97.967757) + (xy 116.306487 97.957964) + (xy 116.304932 97.948148) + (xy 116.286953 97.834629) + (xy 116.286952 97.834627) + (xy 116.285402 97.824838) + (xy 116.224211 97.704744) + (xy 116.128903 97.609436) + (xy 116.008809 97.548245) + (xy 115.99902 97.546695) + (xy 115.999018 97.546694) + (xy 115.885476 97.528711) + (xy 115.875683 97.52716) + (xy 115.86589 97.528711) + (xy 115.752348 97.546694) + (xy 115.752346 97.546695) + (xy 115.742557 97.548245) + (xy 115.622463 97.609436) + (xy 115.527155 97.704744) + (xy 115.465964 97.824838) + (xy 115.464414 97.834627) + (xy 115.464413 97.834629) + (xy 115.446434 97.948148) + (xy 115.444879 97.957964) + (xy 107.586058 97.957964) + (xy 107.583725 97.953691) + (xy 107.58879 97.882876) + (xy 107.601987 97.858292) + (xy 107.60522 97.855059) + (xy 107.666411 97.734965) + (xy 107.687496 97.601839) + (xy 107.680543 97.557941) + (xy 107.667962 97.478504) + (xy 107.667961 97.478502) + (xy 107.666411 97.468713) + (xy 107.60522 97.348619) + (xy 107.509912 97.253311) + (xy 107.389818 97.19212) + (xy 107.380029 97.19057) + (xy 107.380027 97.190569) + (xy 107.266485 97.172586) + (xy 107.256692 97.171035) + (xy 107.246899 97.172586) + (xy 107.133357 97.190569) + (xy 107.133355 97.19057) + (xy 107.123566 97.19212) + (xy 107.003472 97.253311) + (xy 106.908164 97.348619) + (xy 106.846973 97.468713) + (xy 106.845423 97.478502) + (xy 106.845422 97.478504) + (xy 106.832841 97.557941) + (xy 106.825888 97.601839) + (xy 103.65581 97.601839) + (xy 103.633126 97.590281) + (xy 103.623337 97.588731) + (xy 103.623335 97.58873) + (xy 103.509793 97.570747) + (xy 103.5 97.569196) + (xy 103.490207 97.570747) + (xy 103.376665 97.58873) + (xy 103.376663 97.588731) + (xy 103.366874 97.590281) + (xy 103.24678 97.651472) + (xy 103.151472 97.74678) + (xy 103.090281 97.866874) + (xy 103.088731 97.876663) + (xy 103.08873 97.876665) + (xy 103.076062 97.95665) + (xy 103.069196 98) + (xy 100.4505 98) + (xy 100.4505 97.116055) + (xy 100.451839 97.104353) + (xy 100.451319 97.104312) + (xy 100.452058 97.094921) + (xy 100.454185 97.085745) + (xy 100.452348 97.059793) + (xy 100.451654 97.05) + (xy 108.919196 97.05) + (xy 108.920747 97.059793) + (xy 108.938612 97.172586) + (xy 108.940281 97.183126) + (xy 109.001472 97.30322) + (xy 109.09678 97.398528) + (xy 109.216874 97.459719) + (xy 109.226663 97.461269) + (xy 109.226665 97.46127) + (xy 109.340207 97.479253) + (xy 109.35 97.480804) + (xy 109.359793 97.479253) + (xy 109.473335 97.46127) + (xy 109.473337 97.461269) + (xy 109.483126 97.459719) + (xy 109.60322 97.398528) + (xy 109.698528 97.30322) + (xy 109.759719 97.183126) + (xy 109.761389 97.172586) + (xy 109.779253 97.059793) + (xy 109.780804 97.05) + (xy 109.775443 97.01615) + (xy 109.76127 96.926665) + (xy 109.761269 96.926663) + (xy 109.759719 96.916874) + (xy 109.698528 96.79678) + (xy 109.663748 96.762) + (xy 113.969196 96.762) + (xy 113.970747 96.771793) + (xy 113.988248 96.882287) + (xy 113.990281 96.895126) + (xy 114.051472 97.01522) + (xy 114.14678 97.110528) + (xy 114.266874 97.171719) + (xy 114.276663 97.173269) + (xy 114.276665 97.17327) + (xy 114.390207 97.191253) + (xy 114.4 97.192804) + (xy 114.409793 97.191253) + (xy 114.409794 97.191253) + (xy 114.448934 97.185054) + (xy 114.519345 97.194154) + (xy 114.573659 97.239876) + (xy 114.588477 97.270566) + (xy 114.590261 97.276056) + (xy 114.591812 97.285849) + (xy 114.653003 97.405943) + (xy 114.748311 97.501251) + (xy 114.868405 97.562442) + (xy 114.878194 97.563992) + (xy 114.878196 97.563993) + (xy 114.991738 97.581976) + (xy 115.001531 97.583527) + (xy 115.011324 97.581976) + (xy 115.124866 97.563993) + (xy 115.124868 97.563992) + (xy 115.134657 97.562442) + (xy 115.254751 97.501251) + (xy 115.350059 97.405943) + (xy 115.41125 97.285849) + (xy 115.412854 97.275726) + (xy 115.430784 97.162516) + (xy 115.432335 97.152723) + (xy 115.424674 97.104353) + (xy 115.412801 97.029388) + (xy 115.4128 97.029386) + (xy 115.41125 97.019597) + (xy 115.350059 96.899503) + (xy 115.254751 96.804195) + (xy 115.134657 96.743004) + (xy 115.124868 96.741454) + (xy 115.124866 96.741453) + (xy 115.011324 96.72347) + (xy 115.001531 96.721919) + (xy 114.991738 96.72347) + (xy 114.991737 96.72347) + (xy 114.952597 96.729669) + (xy 114.882186 96.720569) + (xy 114.827872 96.674847) + (xy 114.813054 96.644157) + (xy 114.81127 96.638667) + (xy 114.809719 96.628874) + (xy 114.748528 96.50878) + (xy 114.65322 96.413472) + (xy 114.533126 96.352281) + (xy 114.523337 96.350731) + (xy 114.523335 96.35073) + (xy 114.409793 96.332747) + (xy 114.4 96.331196) + (xy 114.390207 96.332747) + (xy 114.276665 96.35073) + (xy 114.276663 96.350731) + (xy 114.266874 96.352281) + (xy 114.14678 96.413472) + (xy 114.051472 96.50878) + (xy 113.990281 96.628874) + (xy 113.988731 96.638663) + (xy 113.98873 96.638665) + (xy 113.974317 96.729669) + (xy 113.969196 96.762) + (xy 109.663748 96.762) + (xy 109.658915 96.757167) + (xy 109.624889 96.694855) + (xy 109.629954 96.62404) + (xy 109.672501 96.567204) + (xy 109.690804 96.555807) + (xy 109.80322 96.498528) + (xy 109.898528 96.40322) + (xy 109.959719 96.283126) + (xy 109.980804 96.15) + (xy 109.968925 96.075) + (xy 109.96127 96.026665) + (xy 109.961269 96.026663) + (xy 109.959719 96.016874) + (xy 109.898528 95.89678) + (xy 109.80322 95.801472) + (xy 109.78823 95.793834) + (xy 109.736615 95.745087) + (xy 109.719548 95.676173) + (xy 109.743098 95.60865) + (xy 109.748528 95.60322) + (xy 109.809719 95.483126) + (xy 109.830804 95.35) + (xy 109.815911 95.255968) + (xy 109.81127 95.226665) + (xy 109.811269 95.226663) + (xy 109.809719 95.216874) + (xy 109.748528 95.09678) + (xy 109.65322 95.001472) + (xy 109.533126 94.940281) + (xy 109.523337 94.938731) + (xy 109.523335 94.93873) + (xy 109.409793 94.920747) + (xy 109.4 94.919196) + (xy 109.390207 94.920747) + (xy 109.276665 94.93873) + (xy 109.276663 94.938731) + (xy 109.266874 94.940281) + (xy 109.14678 95.001472) + (xy 109.051472 95.09678) + (xy 108.990281 95.216874) + (xy 108.988731 95.226663) + (xy 108.98873 95.226665) + (xy 108.984089 95.255968) + (xy 108.969196 95.35) + (xy 108.990281 95.483126) + (xy 109.051472 95.60322) + (xy 109.14678 95.698528) + (xy 109.16177 95.706166) + (xy 109.213385 95.754913) + (xy 109.230452 95.823827) + (xy 109.206902 95.89135) + (xy 109.201472 95.89678) + (xy 109.140281 96.016874) + (xy 109.138731 96.026663) + (xy 109.13873 96.026665) + (xy 109.131075 96.075) + (xy 109.119196 96.15) + (xy 109.140281 96.283126) + (xy 109.201472 96.40322) + (xy 109.241085 96.442833) + (xy 109.275111 96.505145) + (xy 109.270046 96.57596) + (xy 109.227499 96.632796) + (xy 109.209196 96.644193) + (xy 109.09678 96.701472) + (xy 109.001472 96.79678) + (xy 108.940281 96.916874) + (xy 108.938731 96.926663) + (xy 108.93873 96.926665) + (xy 108.924557 97.01615) + (xy 108.919196 97.05) + (xy 100.451654 97.05) + (xy 100.450815 97.038151) + (xy 100.4505 97.029252) + (xy 100.4505 97.01615) + (xy 100.448886 97.005414) + (xy 100.447801 96.995582) + (xy 100.445282 96.960013) + (xy 100.444617 96.950616) + (xy 100.441217 96.941829) + (xy 100.439355 96.933498) + (xy 100.436849 96.925351) + (xy 100.435449 96.916038) + (xy 100.415933 96.875396) + (xy 100.412006 96.866321) + (xy 100.399136 96.833055) + (xy 100.395739 96.824274) + (xy 100.389909 96.816879) + (xy 100.385682 96.809468) + (xy 100.380885 96.802409) + (xy 100.376809 96.793921) + (xy 100.356355 96.771793) + (xy 100.3462 96.760807) + (xy 100.339779 96.753288) + (xy 100.317705 96.725288) + (xy 100.317702 96.725285) + (xy 100.311872 96.71789) + (xy 100.304126 96.712537) + (xy 100.297902 96.706692) + (xy 100.291248 96.701361) + (xy 100.284854 96.694444) + (xy 100.245862 96.671796) + (xy 100.237509 96.666495) + (xy 100.208178 96.646223) + (xy 100.208177 96.646222) + (xy 100.200431 96.640869) + (xy 100.191452 96.638029) + (xy 100.183781 96.634271) + (xy 100.175854 96.631132) + (xy 100.167713 96.626404) + (xy 100.158546 96.624279) + (xy 100.158543 96.624278) + (xy 100.123793 96.616224) + (xy 100.11425 96.613613) + (xy 100.088006 96.605313) + (xy 100.029087 96.565701) + (xy 100.000938 96.500523) + (xy 100 96.485178) + (xy 100 94.9032) + (xy 101.915996 94.9032) + (xy 101.917547 94.912993) + (xy 101.931561 95.001472) + (xy 101.937081 95.036326) + (xy 101.998272 95.15642) + (xy 102.055957 95.214105) + (xy 102.089983 95.276417) + (xy 102.084918 95.347232) + (xy 102.055957 95.392295) + (xy 102.001472 95.44678) + (xy 101.940281 95.566874) + (xy 101.919196 95.7) + (xy 101.920747 95.709793) + (xy 101.935268 95.801472) + (xy 101.940281 95.833126) + (xy 102.001472 95.95322) + (xy 102.034157 95.985905) + (xy 102.068183 96.048217) + (xy 102.063118 96.119032) + (xy 102.034157 96.164095) + (xy 102.001472 96.19678) + (xy 101.940281 96.316874) + (xy 101.938731 96.326663) + (xy 101.93873 96.326665) + (xy 101.937767 96.332747) + (xy 101.919196 96.45) + (xy 101.920747 96.459793) + (xy 101.936574 96.559719) + (xy 101.940281 96.583126) + (xy 102.001472 96.70322) + (xy 102.09678 96.798528) + (xy 102.216874 96.859719) + (xy 102.226663 96.861269) + (xy 102.226665 96.86127) + (xy 102.340207 96.879253) + (xy 102.35 96.880804) + (xy 102.359793 96.879253) + (xy 102.473335 96.86127) + (xy 102.473337 96.861269) + (xy 102.483126 96.859719) + (xy 102.60322 96.798528) + (xy 102.698528 96.70322) + (xy 102.759719 96.583126) + (xy 102.763427 96.559719) + (xy 102.779253 96.459793) + (xy 102.780804 96.45) + (xy 102.762233 96.332747) + (xy 102.76127 96.326665) + (xy 102.761269 96.326663) + (xy 102.759719 96.316874) + (xy 102.698528 96.19678) + (xy 102.665843 96.164095) + (xy 102.631817 96.101783) + (xy 102.636882 96.030968) + (xy 102.665843 95.985905) + (xy 102.698528 95.95322) + (xy 102.759719 95.833126) + (xy 102.764733 95.801472) + (xy 102.779253 95.709793) + (xy 102.780804 95.7) + (xy 102.759719 95.566874) + (xy 102.698528 95.44678) + (xy 102.640843 95.389095) + (xy 102.606817 95.326783) + (xy 102.611882 95.255968) + (xy 102.640843 95.210905) + (xy 102.695328 95.15642) + (xy 102.756519 95.036326) + (xy 102.76204 95.001472) + (xy 102.776053 94.912993) + (xy 102.777604 94.9032) + (xy 102.756519 94.770074) + (xy 102.695328 94.64998) + (xy 102.60002 94.554672) + (xy 102.479926 94.493481) + (xy 102.470137 94.491931) + (xy 102.470135 94.49193) + (xy 102.356593 94.473947) + (xy 102.3468 94.472396) + (xy 102.337007 94.473947) + (xy 102.223465 94.49193) + (xy 102.223463 94.491931) + (xy 102.213674 94.493481) + (xy 102.09358 94.554672) + (xy 101.998272 94.64998) + (xy 101.937081 94.770074) + (xy 101.915996 94.9032) + (xy 100 94.9032) + (xy 100 93.45) + (xy 106.969196 93.45) + (xy 106.970747 93.459793) + (xy 106.98569 93.554137) + (xy 106.990281 93.583126) + (xy 107.051472 93.70322) + (xy 107.14678 93.798528) + (xy 107.266874 93.859719) + (xy 107.276663 93.861269) + (xy 107.276665 93.86127) + (xy 107.390207 93.879253) + (xy 107.4 93.880804) + (xy 107.409793 93.879253) + (xy 107.523335 93.86127) + (xy 107.523337 93.861269) + (xy 107.533126 93.859719) + (xy 107.65322 93.798528) + (xy 107.748528 93.70322) + (xy 107.809719 93.583126) + (xy 107.814311 93.554137) + (xy 107.814966 93.55) + (xy 112.669196 93.55) + (xy 112.670747 93.559793) + (xy 112.684146 93.644388) + (xy 112.690281 93.683126) + (xy 112.751472 93.80322) + (xy 112.84678 93.898528) + (xy 112.966874 93.959719) + (xy 112.976663 93.961269) + (xy 112.976665 93.96127) + (xy 113.090207 93.979253) + (xy 113.1 93.980804) + (xy 113.109793 93.979253) + (xy 113.223335 93.96127) + (xy 113.223337 93.961269) + (xy 113.233126 93.959719) + (xy 113.35322 93.898528) + (xy 113.448528 93.80322) + (xy 113.509719 93.683126) + (xy 113.515855 93.644388) + (xy 113.529253 93.559793) + (xy 113.530804 93.55) + (xy 113.529253 93.540207) + (xy 113.51127 93.426665) + (xy 113.511269 93.426663) + (xy 113.509719 93.416874) + (xy 113.501121 93.4) + (xy 114.269196 93.4) + (xy 114.270747 93.409793) + (xy 114.284314 93.495449) + (xy 114.290281 93.533126) + (xy 114.351472 93.65322) + (xy 114.44678 93.748528) + (xy 114.566874 93.809719) + (xy 114.576663 93.811269) + (xy 114.576665 93.81127) + (xy 114.690207 93.829253) + (xy 114.7 93.830804) + (xy 114.709793 93.829253) + (xy 114.823335 93.81127) + (xy 114.823337 93.811269) + (xy 114.833126 93.809719) + (xy 114.95322 93.748528) + (xy 115.048528 93.65322) + (xy 115.109719 93.533126) + (xy 115.115687 93.495449) + (xy 115.129253 93.409793) + (xy 115.130804 93.4) + (xy 115.116239 93.308041) + (xy 115.11127 93.276665) + (xy 115.111269 93.276663) + (xy 115.109719 93.266874) + (xy 115.048528 93.14678) + (xy 114.965968 93.06422) + (xy 122.469434 93.06422) + (xy 122.470985 93.074013) + (xy 122.476445 93.108483) + (xy 122.490519 93.197346) + (xy 122.55171 93.31744) + (xy 122.647018 93.412748) + (xy 122.767112 93.473939) + (xy 122.776901 93.475489) + (xy 122.776903 93.47549) + (xy 122.890445 93.493473) + (xy 122.900238 93.495024) + (xy 122.955003 93.48635) + (xy 123.025413 93.495449) + (xy 123.079727 93.541171) + (xy 123.1007 93.608999) + (xy 123.099162 93.63051) + (xy 123.091724 93.677472) + (xy 123.093275 93.687265) + (xy 123.110242 93.794388) + (xy 123.112809 93.810598) + (xy 123.174 93.930692) + (xy 123.269308 94.026) + (xy 123.389402 94.087191) + (xy 123.399191 94.088741) + (xy 123.399193 94.088742) + (xy 123.512735 94.106725) + (xy 123.522528 94.108276) + (xy 123.532321 94.106725) + (xy 123.645863 94.088742) + (xy 123.645865 94.088741) + (xy 123.655654 94.087191) + (xy 123.775748 94.026) + (xy 123.871056 93.930692) + (xy 123.932247 93.810598) + (xy 123.934815 93.794388) + (xy 123.951781 93.687265) + (xy 123.953332 93.677472) + (xy 123.938389 93.583126) + (xy 123.933798 93.554137) + (xy 123.933797 93.554135) + (xy 123.932247 93.544346) + (xy 123.871056 93.424252) + (xy 123.775748 93.328944) + (xy 123.655654 93.267753) + (xy 123.645865 93.266203) + (xy 123.645863 93.266202) + (xy 123.532321 93.248219) + (xy 123.522528 93.246668) + (xy 123.467763 93.255342) + (xy 123.397353 93.246243) + (xy 123.343039 93.200521) + (xy 123.322066 93.132693) + (xy 123.323604 93.111182) + (xy 123.329491 93.074013) + (xy 123.331042 93.06422) + (xy 123.327963 93.044782) + (xy 123.311508 92.940885) + (xy 123.311507 92.940883) + (xy 123.309957 92.931094) + (xy 123.248766 92.811) + (xy 123.153458 92.715692) + (xy 123.033364 92.654501) + (xy 123.023575 92.652951) + (xy 123.023573 92.65295) + (xy 122.910031 92.634967) + (xy 122.900238 92.633416) + (xy 122.890445 92.634967) + (xy 122.776903 92.65295) + (xy 122.776901 92.652951) + (xy 122.767112 92.654501) + (xy 122.647018 92.715692) + (xy 122.55171 92.811) + (xy 122.490519 92.931094) + (xy 122.488969 92.940883) + (xy 122.488968 92.940885) + (xy 122.472513 93.044782) + (xy 122.469434 93.06422) + (xy 114.965968 93.06422) + (xy 114.95322 93.051472) + (xy 114.833126 92.990281) + (xy 114.823337 92.988731) + (xy 114.823335 92.98873) + (xy 114.709793 92.970747) + (xy 114.7 92.969196) + (xy 114.690207 92.970747) + (xy 114.576665 92.98873) + (xy 114.576663 92.988731) + (xy 114.566874 92.990281) + (xy 114.44678 93.051472) + (xy 114.351472 93.14678) + (xy 114.290281 93.266874) + (xy 114.288731 93.276663) + (xy 114.28873 93.276665) + (xy 114.283761 93.308041) + (xy 114.269196 93.4) + (xy 113.501121 93.4) + (xy 113.448528 93.29678) + (xy 113.35322 93.201472) + (xy 113.233126 93.140281) + (xy 113.223337 93.138731) + (xy 113.223335 93.13873) + (xy 113.109793 93.120747) + (xy 113.1 93.119196) + (xy 113.090207 93.120747) + (xy 112.976665 93.13873) + (xy 112.976663 93.138731) + (xy 112.966874 93.140281) + (xy 112.84678 93.201472) + (xy 112.751472 93.29678) + (xy 112.690281 93.416874) + (xy 112.688731 93.426663) + (xy 112.68873 93.426665) + (xy 112.670747 93.540207) + (xy 112.669196 93.55) + (xy 107.814966 93.55) + (xy 107.829253 93.459793) + (xy 107.830804 93.45) + (xy 107.824158 93.408041) + (xy 107.81127 93.326665) + (xy 107.811269 93.326663) + (xy 107.809719 93.316874) + (xy 107.748528 93.19678) + (xy 107.65322 93.101472) + (xy 107.533126 93.040281) + (xy 107.523337 93.038731) + (xy 107.523335 93.03873) + (xy 107.409793 93.020747) + (xy 107.4 93.019196) + (xy 107.390207 93.020747) + (xy 107.276665 93.03873) + (xy 107.276663 93.038731) + (xy 107.266874 93.040281) + (xy 107.14678 93.101472) + (xy 107.051472 93.19678) + (xy 106.990281 93.316874) + (xy 106.988731 93.326663) + (xy 106.98873 93.326665) + (xy 106.975842 93.408041) + (xy 106.969196 93.45) + (xy 100 93.45) + (xy 100 92.65) + (xy 101.869196 92.65) + (xy 101.870747 92.659793) + (xy 101.879601 92.715692) + (xy 101.890281 92.783126) + (xy 101.951472 92.90322) + (xy 102.04678 92.998528) + (xy 102.166874 93.059719) + (xy 102.176663 93.061269) + (xy 102.176665 93.06127) + (xy 102.290207 93.079253) + (xy 102.3 93.080804) + (xy 102.309793 93.079253) + (xy 102.423335 93.06127) + (xy 102.423337 93.061269) + (xy 102.433126 93.059719) + (xy 102.55322 92.998528) + (xy 102.648528 92.90322) + (xy 102.709719 92.783126) + (xy 102.7204 92.715692) + (xy 102.729253 92.659793) + (xy 102.730804 92.65) + (xy 102.709719 92.516874) + (xy 102.705217 92.508038) + (xy 102.687565 92.473393) + (xy 102.674461 92.403617) + (xy 102.701161 92.337832) + (xy 102.736447 92.30898) + (xy 102.73636 92.308861) + (xy 102.73845 92.307343) + (xy 102.742632 92.303923) + (xy 102.744387 92.303029) + (xy 102.744389 92.303028) + (xy 102.75322 92.298528) + (xy 102.848528 92.20322) + (xy 102.900785 92.10066) + (xy 102.949533 92.049044) + (xy 103.018448 92.031978) + (xy 103.05425 92.041389) + (xy 103.054865 92.039495) + (xy 103.064298 92.04256) + (xy 103.073132 92.047061) + (xy 103.082921 92.048611) + (xy 103.082923 92.048612) + (xy 103.196465 92.066595) + (xy 103.206258 92.068146) + (xy 103.216051 92.066595) + (xy 103.329593 92.048612) + (xy 103.329595 92.048611) + (xy 103.339384 92.047061) + (xy 103.459478 91.98587) + (xy 103.554786 91.890562) + (xy 103.615977 91.770468) + (xy 103.61768 91.759719) + (xy 103.635511 91.647135) + (xy 103.637062 91.637342) + (xy 103.631381 91.601472) + (xy 103.617528 91.514007) + (xy 103.617527 91.514005) + (xy 103.615977 91.504216) + (xy 103.554786 91.384122) + (xy 103.459478 91.288814) + (xy 103.383301 91.25) + (xy 105.162946 91.25) + (xy 105.164497 91.259793) + (xy 105.180969 91.363791) + (xy 105.184031 91.383126) + (xy 105.245222 91.50322) + (xy 105.34053 91.598528) + (xy 105.460624 91.659719) + (xy 105.470413 91.661269) + (xy 105.470415 91.66127) + (xy 105.583957 91.679253) + (xy 105.59375 91.680804) + (xy 105.603543 91.679253) + (xy 105.717085 91.66127) + (xy 105.717087 91.661269) + (xy 105.726876 91.659719) + (xy 105.84697 91.598528) + (xy 105.942278 91.50322) + (xy 106.003469 91.383126) + (xy 106.006532 91.363791) + (xy 106.008716 91.35) + (xy 109.112946 91.35) + (xy 109.114497 91.359793) + (xy 109.128503 91.448221) + (xy 109.134031 91.483126) + (xy 109.195222 91.60322) + (xy 109.29053 91.698528) + (xy 109.410624 91.759719) + (xy 109.420413 91.761269) + (xy 109.420415 91.76127) + (xy 109.533957 91.779253) + (xy 109.54375 91.780804) + (xy 109.553543 91.779253) + (xy 109.667085 91.76127) + (xy 109.667087 91.761269) + (xy 109.676876 91.759719) + (xy 109.79697 91.698528) + (xy 109.892278 91.60322) + (xy 109.953469 91.483126) + (xy 109.958998 91.448221) + (xy 109.973003 91.359793) + (xy 109.974554 91.35) + (xy 109.964863 91.288814) + (xy 109.95502 91.226665) + (xy 109.955019 91.226663) + (xy 109.953469 91.216874) + (xy 109.892278 91.09678) + (xy 109.79697 91.001472) + (xy 109.676876 90.940281) + (xy 109.667087 90.938731) + (xy 109.667085 90.93873) + (xy 109.553543 90.920747) + (xy 109.54375 90.919196) + (xy 109.533957 90.920747) + (xy 109.420415 90.93873) + (xy 109.420413 90.938731) + (xy 109.410624 90.940281) + (xy 109.29053 91.001472) + (xy 109.195222 91.09678) + (xy 109.134031 91.216874) + (xy 109.132481 91.226663) + (xy 109.13248 91.226665) + (xy 109.122637 91.288814) + (xy 109.112946 91.35) + (xy 106.008716 91.35) + (xy 106.023003 91.259793) + (xy 106.024554 91.25) + (xy 106.016798 91.201031) + (xy 106.00502 91.126665) + (xy 106.005019 91.126663) + (xy 106.003469 91.116874) + (xy 105.942278 90.99678) + (xy 105.84697 90.901472) + (xy 105.726876 90.840281) + (xy 105.717087 90.838731) + (xy 105.717085 90.83873) + (xy 105.603543 90.820747) + (xy 105.59375 90.819196) + (xy 105.583957 90.820747) + (xy 105.470415 90.83873) + (xy 105.470413 90.838731) + (xy 105.460624 90.840281) + (xy 105.34053 90.901472) + (xy 105.245222 90.99678) + (xy 105.184031 91.116874) + (xy 105.182481 91.126663) + (xy 105.18248 91.126665) + (xy 105.170702 91.201031) + (xy 105.162946 91.25) + (xy 103.383301 91.25) + (xy 103.339384 91.227623) + (xy 103.329595 91.226073) + (xy 103.329593 91.226072) + (xy 103.216051 91.208089) + (xy 103.206258 91.206538) + (xy 103.196465 91.208089) + (xy 103.082923 91.226072) + (xy 103.082921 91.226073) + (xy 103.073132 91.227623) + (xy 102.953038 91.288814) + (xy 102.85773 91.384122) + (xy 102.85323 91.392954) + (xy 102.853228 91.392957) + (xy 102.805473 91.486682) + (xy 102.756725 91.538298) + (xy 102.68781 91.555364) + (xy 102.652008 91.545953) + (xy 102.651393 91.547847) + (xy 102.641959 91.544781) + (xy 102.633126 91.540281) + (xy 102.623337 91.538731) + (xy 102.623335 91.53873) + (xy 102.509793 91.520747) + (xy 102.5 91.519196) + (xy 102.490207 91.520747) + (xy 102.376665 91.53873) + (xy 102.376663 91.538731) + (xy 102.366874 91.540281) + (xy 102.24678 91.601472) + (xy 102.151472 91.69678) + (xy 102.090281 91.816874) + (xy 102.088731 91.826663) + (xy 102.08873 91.826665) + (xy 102.070747 91.940207) + (xy 102.069196 91.95) + (xy 102.070747 91.959793) + (xy 102.084815 92.048612) + (xy 102.090281 92.083126) + (xy 102.094783 92.091961) + (xy 102.094783 92.091962) + (xy 102.112435 92.126607) + (xy 102.125539 92.196383) + (xy 102.098839 92.262168) + (xy 102.063553 92.29102) + (xy 102.06364 92.291139) + (xy 102.06155 92.292657) + (xy 102.057368 92.296077) + (xy 102.055613 92.296971) + (xy 102.055611 92.296972) + (xy 102.04678 92.301472) + (xy 101.951472 92.39678) + (xy 101.890281 92.516874) + (xy 101.869196 92.65) + (xy 100 92.65) + (xy 100 91.516342) + (xy 100.020002 91.448221) + (xy 100.073658 91.401728) + (xy 100.093603 91.395026) + (xy 100.099384 91.394617) + (xy 100.108168 91.391218) + (xy 100.116498 91.389356) + (xy 100.124648 91.386849) + (xy 100.133962 91.385449) + (xy 100.174604 91.365933) + (xy 100.183679 91.362006) + (xy 100.216945 91.349136) + (xy 100.216944 91.349136) + (xy 100.225726 91.345739) + (xy 100.233121 91.339909) + (xy 100.240532 91.335682) + (xy 100.247591 91.330885) + (xy 100.256079 91.326809) + (xy 100.283747 91.301234) + (xy 100.289193 91.2962) + (xy 100.296712 91.289779) + (xy 100.324712 91.267705) + (xy 100.324715 91.267702) + (xy 100.33211 91.261872) + (xy 100.337463 91.254126) + (xy 100.343308 91.247902) + (xy 100.348639 91.241248) + (xy 100.355556 91.234854) + (xy 100.378204 91.195862) + (xy 100.383505 91.187509) + (xy 100.403777 91.158178) + (xy 100.403778 91.158177) + (xy 100.409131 91.150431) + (xy 100.411971 91.141452) + (xy 100.415729 91.133781) + (xy 100.418868 91.125854) + (xy 100.423596 91.117713) + (xy 100.425721 91.108546) + (xy 100.425722 91.108543) + (xy 100.433776 91.073793) + (xy 100.436387 91.064249) + (xy 100.441088 91.049384) + (xy 100.44998 91.02127) + (xy 100.4505 91.014663) + (xy 100.4505 91.012193) + (xy 100.450531 91.011401) + (xy 100.451482 91.004325) + (xy 100.451319 91.004312) + (xy 100.452058 90.994921) + (xy 100.454185 90.985745) + (xy 100.45346 90.975497) + (xy 100.450815 90.938151) + (xy 100.4505 90.929252) + (xy 100.4505 90.8) + (xy 110.969196 90.8) + (xy 110.970747 90.809793) + (xy 110.988075 90.919196) + (xy 110.990281 90.933126) + (xy 111.051472 91.05322) + (xy 111.14678 91.148528) + (xy 111.266874 91.209719) + (xy 111.276663 91.211269) + (xy 111.276665 91.21127) + (xy 111.390207 91.229253) + (xy 111.4 91.230804) + (xy 111.409793 91.229253) + (xy 111.523335 91.21127) + (xy 111.523337 91.211269) + (xy 111.533126 91.209719) + (xy 111.65322 91.148528) + (xy 111.748528 91.05322) + (xy 111.809719 90.933126) + (xy 111.811926 90.919196) + (xy 111.829253 90.809793) + (xy 111.830804 90.8) + (xy 111.826334 90.771778) + (xy 120.465573 90.771778) + (xy 120.467124 90.781571) + (xy 120.482995 90.881774) + (xy 120.486658 90.904904) + (xy 120.547849 91.024998) + (xy 120.643157 91.120306) + (xy 120.763251 91.181497) + (xy 120.77304 91.183047) + (xy 120.773042 91.183048) + (xy 120.886584 91.201031) + (xy 120.896377 91.202582) + (xy 120.90617 91.201031) + (xy 121.019712 91.183048) + (xy 121.019714 91.183047) + (xy 121.029503 91.181497) + (xy 121.149597 91.120306) + (xy 121.244905 91.024998) + (xy 121.306096 90.904904) + (xy 121.30976 90.881774) + (xy 121.32563 90.781571) + (xy 121.327181 90.771778) + (xy 121.310292 90.665146) + (xy 121.307647 90.648443) + (xy 121.307646 90.648441) + (xy 121.306096 90.638652) + (xy 121.244905 90.518558) + (xy 121.176347 90.45) + (xy 122.319196 90.45) + (xy 122.320747 90.459793) + (xy 122.336574 90.559719) + (xy 122.340281 90.583126) + (xy 122.401472 90.70322) + (xy 122.49678 90.798528) + (xy 122.616874 90.859719) + (xy 122.626663 90.861269) + (xy 122.626665 90.86127) + (xy 122.740207 90.879253) + (xy 122.75 90.880804) + (xy 122.813414 90.87076) + (xy 122.883822 90.879859) + (xy 122.938137 90.925581) + (xy 122.957571 90.975497) + (xy 122.960709 90.99531) + (xy 122.960711 90.995316) + (xy 122.962262 91.005107) + (xy 123.023453 91.125201) + (xy 123.118761 91.220509) + (xy 123.238855 91.2817) + (xy 123.248644 91.28325) + (xy 123.248646 91.283251) + (xy 123.362188 91.301234) + (xy 123.371981 91.302785) + (xy 123.381774 91.301234) + (xy 123.495316 91.283251) + (xy 123.495318 91.28325) + (xy 123.505107 91.2817) + (xy 123.625201 91.220509) + (xy 123.720509 91.125201) + (xy 123.7817 91.005107) + (xy 123.78413 90.989769) + (xy 123.801234 90.881774) + (xy 123.802785 90.871981) + (xy 123.797519 90.83873) + (xy 123.783251 90.748646) + (xy 123.78325 90.748644) + (xy 123.7817 90.738855) + (xy 123.720509 90.618761) + (xy 123.625201 90.523453) + (xy 123.505107 90.462262) + (xy 123.495318 90.460712) + (xy 123.495316 90.460711) + (xy 123.381774 90.442728) + (xy 123.371981 90.441177) + (xy 123.308567 90.451221) + (xy 123.238159 90.442122) + (xy 123.183844 90.3964) + (xy 123.16441 90.346484) + (xy 123.161272 90.326671) + (xy 123.161269 90.326663) + (xy 123.159719 90.316874) + (xy 123.098528 90.19678) + (xy 123.00322 90.101472) + (xy 122.883126 90.040281) + (xy 122.873337 90.038731) + (xy 122.873335 90.03873) + (xy 122.759793 90.020747) + (xy 122.75 90.019196) + (xy 122.740207 90.020747) + (xy 122.626665 90.03873) + (xy 122.626663 90.038731) + (xy 122.616874 90.040281) + (xy 122.49678 90.101472) + (xy 122.401472 90.19678) + (xy 122.340281 90.316874) + (xy 122.338731 90.326663) + (xy 122.33873 90.326665) + (xy 122.326605 90.40322) + (xy 122.319196 90.45) + (xy 121.176347 90.45) + (xy 121.149597 90.42325) + (xy 121.029503 90.362059) + (xy 121.019714 90.360509) + (xy 121.019712 90.360508) + (xy 120.90617 90.342525) + (xy 120.896377 90.340974) + (xy 120.886584 90.342525) + (xy 120.773042 90.360508) + (xy 120.77304 90.360509) + (xy 120.763251 90.362059) + (xy 120.643157 90.42325) + (xy 120.547849 90.518558) + (xy 120.486658 90.638652) + (xy 120.485108 90.648441) + (xy 120.485107 90.648443) + (xy 120.482462 90.665146) + (xy 120.465573 90.771778) + (xy 111.826334 90.771778) + (xy 111.813622 90.691517) + (xy 111.81127 90.676665) + (xy 111.811269 90.676663) + (xy 111.809719 90.666874) + (xy 111.748528 90.54678) + (xy 111.65322 90.451472) + (xy 111.533126 90.390281) + (xy 111.523337 90.388731) + (xy 111.523335 90.38873) + (xy 111.409793 90.370747) + (xy 111.4 90.369196) + (xy 111.390207 90.370747) + (xy 111.276665 90.38873) + (xy 111.276663 90.388731) + (xy 111.266874 90.390281) + (xy 111.14678 90.451472) + (xy 111.051472 90.54678) + (xy 110.990281 90.666874) + (xy 110.988731 90.676663) + (xy 110.98873 90.676665) + (xy 110.986378 90.691517) + (xy 110.969196 90.8) + (xy 100.4505 90.8) + (xy 100.4505 90.15) + (xy 113.269196 90.15) + (xy 113.270747 90.159793) + (xy 113.285076 90.250261) + (xy 113.290281 90.283126) + (xy 113.351472 90.40322) + (xy 113.44678 90.498528) + (xy 113.566874 90.559719) + (xy 113.576663 90.561269) + (xy 113.576665 90.56127) + (xy 113.690207 90.579253) + (xy 113.7 90.580804) + (xy 113.709793 90.579253) + (xy 113.823335 90.56127) + (xy 113.823337 90.561269) + (xy 113.833126 90.559719) + (xy 113.95322 90.498528) + (xy 113.99671 90.455038) + (xy 114.059022 90.421012) + (xy 114.129837 90.426077) + (xy 114.186673 90.468624) + (xy 114.198072 90.48693) + (xy 114.209945 90.510231) + (xy 114.25146 90.591709) + (xy 114.346768 90.687017) + (xy 114.466862 90.748208) + (xy 114.476651 90.749758) + (xy 114.476653 90.749759) + (xy 114.590195 90.767742) + (xy 114.599988 90.769293) + (xy 114.609781 90.767742) + (xy 114.723323 90.749759) + (xy 114.723325 90.749758) + (xy 114.733114 90.748208) + (xy 114.853208 90.687017) + (xy 114.948516 90.591709) + (xy 115.009707 90.471615) + (xy 115.011346 90.46127) + (xy 115.029241 90.348282) + (xy 115.030792 90.338489) + (xy 115.02597 90.308041) + (xy 115.016777 90.25) + (xy 115.969196 90.25) + (xy 115.970747 90.259793) + (xy 115.988075 90.369196) + (xy 115.990281 90.383126) + (xy 116.051472 90.50322) + (xy 116.14678 90.598528) + (xy 116.266874 90.659719) + (xy 116.276663 90.661269) + (xy 116.276665 90.66127) + (xy 116.390207 90.679253) + (xy 116.4 90.680804) + (xy 116.409793 90.679253) + (xy 116.523335 90.66127) + (xy 116.523337 90.661269) + (xy 116.533126 90.659719) + (xy 116.65322 90.598528) + (xy 116.748528 90.50322) + (xy 116.809719 90.383126) + (xy 116.811926 90.369196) + (xy 116.829253 90.259793) + (xy 116.830804 90.25) + (xy 116.828129 90.233111) + (xy 116.81127 90.126665) + (xy 116.811269 90.126663) + (xy 116.809719 90.116874) + (xy 116.775645 90.05) + (xy 117.131196 90.05) + (xy 117.132747 90.059793) + (xy 117.138636 90.096972) + (xy 117.152281 90.183126) + (xy 117.213472 90.30322) + (xy 117.30878 90.398528) + (xy 117.428874 90.459719) + (xy 117.438663 90.461269) + (xy 117.438665 90.46127) + (xy 117.552207 90.479253) + (xy 117.562 90.480804) + (xy 117.571793 90.479253) + (xy 117.685335 90.46127) + (xy 117.685337 90.461269) + (xy 117.695126 90.459719) + (xy 117.81522 90.398528) + (xy 117.910528 90.30322) + (xy 117.916266 90.291959) + (xy 117.930002 90.265002) + (xy 117.97875 90.213387) + (xy 118.047665 90.196322) + (xy 118.114867 90.219223) + (xy 118.131363 90.233111) + (xy 118.14678 90.248528) + (xy 118.266874 90.309719) + (xy 118.276663 90.311269) + (xy 118.276665 90.31127) + (xy 118.390207 90.329253) + (xy 118.4 90.330804) + (xy 118.409793 90.329253) + (xy 118.523335 90.31127) + (xy 118.523337 90.311269) + (xy 118.533126 90.309719) + (xy 118.65322 90.248528) + (xy 118.748528 90.15322) + (xy 118.750508 90.149334) + (xy 118.804288 90.107865) + (xy 118.875024 90.10179) + (xy 118.937815 90.134923) + (xy 118.948335 90.147064) + (xy 118.951472 90.15322) + (xy 119.04678 90.248528) + (xy 119.166874 90.309719) + (xy 119.176663 90.311269) + (xy 119.176665 90.31127) + (xy 119.290207 90.329253) + (xy 119.3 90.330804) + (xy 119.309793 90.329253) + (xy 119.423335 90.31127) + (xy 119.423337 90.311269) + (xy 119.433126 90.309719) + (xy 119.530252 90.260231) + (xy 119.544383 90.253031) + (xy 119.544384 90.25303) + (xy 119.55322 90.248528) + (xy 119.553313 90.248435) + (xy 119.615062 90.226402) + (xy 119.684214 90.242481) + (xy 119.711353 90.263101) + (xy 119.79678 90.348528) + (xy 119.916874 90.409719) + (xy 119.926663 90.411269) + (xy 119.926665 90.41127) + (xy 120.040207 90.429253) + (xy 120.05 90.430804) + (xy 120.059793 90.429253) + (xy 120.173335 90.41127) + (xy 120.173337 90.411269) + (xy 120.183126 90.409719) + (xy 120.30322 90.348528) + (xy 120.398528 90.25322) + (xy 120.459719 90.133126) + (xy 120.464733 90.101472) + (xy 120.479253 90.009793) + (xy 120.480804 90) + (xy 120.467638 89.916874) + (xy 120.46127 89.876665) + (xy 120.461269 89.876663) + (xy 120.459719 89.866874) + (xy 120.398528 89.74678) + (xy 120.30322 89.651472) + (xy 120.183126 89.590281) + (xy 120.173337 89.588731) + (xy 120.173335 89.58873) + (xy 120.059793 89.570747) + (xy 120.05 89.569196) + (xy 120.040207 89.570747) + (xy 119.926665 89.58873) + (xy 119.926663 89.588731) + (xy 119.916874 89.590281) + (xy 119.860125 89.619196) + (xy 119.805617 89.646969) + (xy 119.805616 89.64697) + (xy 119.79678 89.651472) + (xy 119.796687 89.651565) + (xy 119.734938 89.673598) + (xy 119.665786 89.657519) + (xy 119.638647 89.636899) + (xy 119.55322 89.551472) + (xy 119.433126 89.490281) + (xy 119.423337 89.488731) + (xy 119.423335 89.48873) + (xy 119.309793 89.470747) + (xy 119.3 89.469196) + (xy 119.290207 89.470747) + (xy 119.176665 89.48873) + (xy 119.176663 89.488731) + (xy 119.166874 89.490281) + (xy 119.04678 89.551472) + (xy 118.951472 89.64678) + (xy 118.949492 89.650666) + (xy 118.895712 89.692135) + (xy 118.824976 89.69821) + (xy 118.762185 89.665077) + (xy 118.751665 89.652936) + (xy 118.748528 89.64678) + (xy 118.65322 89.551472) + (xy 118.533126 89.490281) + (xy 118.523337 89.488731) + (xy 118.523335 89.48873) + (xy 118.409793 89.470747) + (xy 118.4 89.469196) + (xy 118.390207 89.470747) + (xy 118.276665 89.48873) + (xy 118.276663 89.488731) + (xy 118.266874 89.490281) + (xy 118.14678 89.551472) + (xy 118.051472 89.64678) + (xy 118.046971 89.655613) + (xy 118.04697 89.655615) + (xy 118.031998 89.684998) + (xy 117.98325 89.736613) + (xy 117.914335 89.753678) + (xy 117.847133 89.730777) + (xy 117.830637 89.716889) + (xy 117.81522 89.701472) + (xy 117.695126 89.640281) + (xy 117.685337 89.638731) + (xy 117.685335 89.63873) + (xy 117.571793 89.620747) + (xy 117.562 89.619196) + (xy 117.552207 89.620747) + (xy 117.438665 89.63873) + (xy 117.438663 89.638731) + (xy 117.428874 89.640281) + (xy 117.30878 89.701472) + (xy 117.213472 89.79678) + (xy 117.152281 89.916874) + (xy 117.150731 89.926663) + (xy 117.15073 89.926665) + (xy 117.140705 89.989961) + (xy 117.131196 90.05) + (xy 116.775645 90.05) + (xy 116.748528 89.99678) + (xy 116.65322 89.901472) + (xy 116.533126 89.840281) + (xy 116.523337 89.838731) + (xy 116.523335 89.83873) + (xy 116.409793 89.820747) + (xy 116.4 89.819196) + (xy 116.390207 89.820747) + (xy 116.276665 89.83873) + (xy 116.276663 89.838731) + (xy 116.266874 89.840281) + (xy 116.14678 89.901472) + (xy 116.051472 89.99678) + (xy 115.990281 90.116874) + (xy 115.988731 90.126663) + (xy 115.98873 90.126665) + (xy 115.971871 90.233111) + (xy 115.969196 90.25) + (xy 115.016777 90.25) + (xy 115.011258 90.215154) + (xy 115.011257 90.215152) + (xy 115.009707 90.205363) + (xy 114.948516 90.085269) + (xy 114.853208 89.989961) + (xy 114.733114 89.92877) + (xy 114.723325 89.92722) + (xy 114.723323 89.927219) + (xy 114.609781 89.909236) + (xy 114.599988 89.907685) + (xy 114.590195 89.909236) + (xy 114.476653 89.927219) + (xy 114.476651 89.92722) + (xy 114.466862 89.92877) + (xy 114.346768 89.989961) + (xy 114.303278 90.033451) + (xy 114.240966 90.067477) + (xy 114.170151 90.062412) + (xy 114.113315 90.019865) + (xy 114.101916 90.001559) + (xy 114.067121 89.933271) + (xy 114.048528 89.89678) + (xy 113.95322 89.801472) + (xy 113.833126 89.740281) + (xy 113.823337 89.738731) + (xy 113.823335 89.73873) + (xy 113.709793 89.720747) + (xy 113.7 89.719196) + (xy 113.690207 89.720747) + (xy 113.576665 89.73873) + (xy 113.576663 89.738731) + (xy 113.566874 89.740281) + (xy 113.44678 89.801472) + (xy 113.351472 89.89678) + (xy 113.290281 90.016874) + (xy 113.288731 90.026663) + (xy 113.28873 90.026665) + (xy 113.275842 90.108041) + (xy 113.269196 90.15) + (xy 100.4505 90.15) + (xy 100.4505 89.098376) + (xy 100.452246 89.077472) + (xy 100.45419 89.065917) + (xy 100.454997 89.06112) + (xy 100.455133 89.05) + (xy 100.453958 89.041795) + (xy 100.453007 89.014949) + (xy 100.464805 88.85) + (xy 110.969196 88.85) + (xy 110.970747 88.859793) + (xy 110.98681 88.961208) + (xy 110.990281 88.983126) + (xy 111.051472 89.10322) + (xy 111.14678 89.198528) + (xy 111.266874 89.259719) + (xy 111.276663 89.261269) + (xy 111.276665 89.26127) + (xy 111.390207 89.279253) + (xy 111.4 89.280804) + (xy 111.409793 89.279253) + (xy 111.523335 89.26127) + (xy 111.523337 89.261269) + (xy 111.533126 89.259719) + (xy 111.65322 89.198528) + (xy 111.748528 89.10322) + (xy 111.753056 89.094334) + (xy 115.555436 89.094334) + (xy 115.556987 89.104127) + (xy 115.571939 89.198528) + (xy 115.576521 89.22746) + (xy 115.637712 89.347554) + (xy 115.73302 89.442862) + (xy 115.853114 89.504053) + (xy 115.862903 89.505603) + (xy 115.862905 89.505604) + (xy 115.976447 89.523587) + (xy 115.98624 89.525138) + (xy 115.996033 89.523587) + (xy 116.109575 89.505604) + (xy 116.109577 89.505603) + (xy 116.119366 89.504053) + (xy 116.23946 89.442862) + (xy 116.334768 89.347554) + (xy 116.395959 89.22746) + (xy 116.400542 89.198528) + (xy 116.415493 89.104127) + (xy 116.417044 89.094334) + (xy 116.412543 89.065917) + (xy 116.39751 88.970999) + (xy 116.397509 88.970997) + (xy 116.395959 88.961208) + (xy 116.334768 88.841114) + (xy 116.23946 88.745806) + (xy 116.119366 88.684615) + (xy 116.109577 88.683065) + (xy 116.109575 88.683064) + (xy 115.996033 88.665081) + (xy 115.98624 88.66353) + (xy 115.976447 88.665081) + (xy 115.862905 88.683064) + (xy 115.862903 88.683065) + (xy 115.853114 88.684615) + (xy 115.73302 88.745806) + (xy 115.637712 88.841114) + (xy 115.576521 88.961208) + (xy 115.574971 88.970997) + (xy 115.57497 88.970999) + (xy 115.559937 89.065917) + (xy 115.555436 89.094334) + (xy 111.753056 89.094334) + (xy 111.809719 88.983126) + (xy 111.813191 88.961208) + (xy 111.829253 88.859793) + (xy 111.830804 88.85) + (xy 111.828982 88.838499) + (xy 111.81127 88.726665) + (xy 111.811269 88.726663) + (xy 111.809719 88.716874) + (xy 111.748528 88.59678) + (xy 111.65322 88.501472) + (xy 111.533126 88.440281) + (xy 111.523337 88.438731) + (xy 111.523335 88.43873) + (xy 111.409793 88.420747) + (xy 111.4 88.419196) + (xy 111.390207 88.420747) + (xy 111.276665 88.43873) + (xy 111.276663 88.438731) + (xy 111.266874 88.440281) + (xy 111.14678 88.501472) + (xy 111.051472 88.59678) + (xy 110.990281 88.716874) + (xy 110.988731 88.726663) + (xy 110.98873 88.726665) + (xy 110.971018 88.838499) + (xy 110.969196 88.85) + (xy 100.464805 88.85) + (xy 100.465629 88.838474) + (xy 100.468188 88.82068) + (xy 100.511352 88.622259) + (xy 100.516416 88.60501) + (xy 100.556708 88.496982) + (xy 100.587378 88.414752) + (xy 100.594845 88.398402) + (xy 100.600525 88.388) + (xy 100.692161 88.220183) + (xy 100.701878 88.205063) + (xy 100.703872 88.2024) + (xy 100.823568 88.042504) + (xy 100.835341 88.028918) + (xy 100.978918 87.885341) + (xy 100.992504 87.873568) + (xy 101.103763 87.790281) + (xy 101.155066 87.751876) + (xy 101.170183 87.742161) + (xy 101.348405 87.644843) + (xy 101.364752 87.637378) + (xy 101.511972 87.582468) + (xy 101.55501 87.566416) + (xy 101.572259 87.561352) + (xy 101.77068 87.518188) + (xy 101.788474 87.515629) + (xy 101.961696 87.50324) + (xy 101.97659 87.503858) + (xy 101.976593 87.503608) + (xy 101.985569 87.503718) + (xy 101.99444 87.505099) + (xy 102.003342 87.503935) + (xy 102.003345 87.503935) + (xy 102.021473 87.501564) + (xy 102.03781 87.5005) + (xy 102.443562 87.5005) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 102.585492 87.324502) + (xy 102.631985 87.378158) + (xy 102.642089 87.448432) + (xy 102.612595 87.513012) + (xy 102.56559 87.546909) + (xy 102.527986 87.562485) + (xy 102.527984 87.562486) + (xy 102.520358 87.565645) + (xy 102.404526 87.654526) + (xy 102.315645 87.770358) + (xy 102.312486 87.777984) + (xy 102.312485 87.777986) + (xy 102.287218 87.838985) + (xy 102.259772 87.905246) + (xy 102.258695 87.91343) + (xy 102.258694 87.913432) + (xy 102.243841 88.026255) + (xy 102.240715 88.05) + (xy 102.241793 88.058188) + (xy 102.248376 88.108188) + (xy 102.259772 88.194754) + (xy 102.262931 88.20238) + (xy 102.304974 88.303879) + (xy 102.315645 88.329642) + (xy 102.348158 88.372014) + (xy 102.371081 88.401887) + (xy 102.404526 88.445474) + (xy 102.411076 88.4505) + (xy 102.411079 88.450503) + (xy 102.513804 88.529327) + (xy 102.520357 88.534355) + (xy 102.655246 88.590228) + (xy 102.8 88.609285) + (xy 102.808188 88.608207) + (xy 102.936566 88.591306) + (xy 102.944754 88.590228) + (xy 103.079643 88.534355) + (xy 103.086196 88.529327) + (xy 103.188921 88.450503) + (xy 103.188924 88.4505) + (xy 103.195474 88.445474) + (xy 103.22892 88.401887) + (xy 103.251842 88.372014) + (xy 103.284355 88.329642) + (xy 103.295027 88.303879) + (xy 103.337069 88.20238) + (xy 103.338055 88.2) + (xy 104.984465 88.2) + (xy 104.985543 88.208188) + (xy 104.999333 88.312932) + (xy 105.003522 88.344754) + (xy 105.014258 88.370672) + (xy 105.048992 88.454526) + (xy 105.059395 88.479642) + (xy 105.148276 88.595474) + (xy 105.154826 88.6005) + (xy 105.154829 88.600503) + (xy 105.231435 88.659285) + (xy 105.264107 88.684355) + (xy 105.398996 88.740228) + (xy 105.54375 88.759285) + (xy 105.551938 88.758207) + (xy 105.680316 88.741306) + (xy 105.688504 88.740228) + (xy 105.823393 88.684355) + (xy 105.856065 88.659285) + (xy 105.932671 88.600503) + (xy 105.932674 88.6005) + (xy 105.939224 88.595474) + (xy 106.028105 88.479642) + (xy 106.038509 88.454526) + (xy 106.073242 88.370672) + (xy 106.083978 88.344754) + (xy 106.088168 88.312932) + (xy 106.101957 88.208188) + (xy 106.103035 88.2) + (xy 106.090701 88.106311) + (xy 106.085056 88.063432) + (xy 106.085055 88.06343) + (xy 106.083978 88.055246) + (xy 106.042556 87.955246) + (xy 106.031265 87.927986) + (xy 106.031264 87.927984) + (xy 106.028105 87.920358) + (xy 105.961189 87.833151) + (xy 105.944251 87.811077) + (xy 105.94425 87.811076) + (xy 105.939224 87.804526) + (xy 105.932674 87.7995) + (xy 105.932671 87.799497) + (xy 105.829946 87.720673) + (xy 105.829944 87.720672) + (xy 105.823393 87.715645) + (xy 105.688504 87.659772) + (xy 105.54375 87.640715) + (xy 105.535562 87.641793) + (xy 105.407182 87.658694) + (xy 105.40718 87.658695) + (xy 105.398996 87.659772) + (xy 105.360253 87.67582) + (xy 105.271736 87.712485) + (xy 105.271734 87.712486) + (xy 105.264108 87.715645) + (xy 105.148276 87.804526) + (xy 105.143253 87.811072) + (xy 105.126311 87.833151) + (xy 105.059395 87.920358) + (xy 105.056236 87.927984) + (xy 105.056235 87.927986) + (xy 105.044944 87.955246) + (xy 105.003522 88.055246) + (xy 105.002445 88.06343) + (xy 105.002444 88.063432) + (xy 104.996799 88.106311) + (xy 104.984465 88.2) + (xy 103.338055 88.2) + (xy 103.340228 88.194754) + (xy 103.351625 88.108188) + (xy 103.358207 88.058188) + (xy 103.359285 88.05) + (xy 103.356159 88.026255) + (xy 103.341306 87.913432) + (xy 103.341305 87.91343) + (xy 103.340228 87.905246) + (xy 103.312782 87.838985) + (xy 103.287515 87.777986) + (xy 103.287514 87.777984) + (xy 103.284355 87.770358) + (xy 103.229986 87.699503) + (xy 103.200501 87.661077) + (xy 103.2005 87.661076) + (xy 103.195474 87.654526) + (xy 103.188924 87.6495) + (xy 103.188921 87.649497) + (xy 103.086196 87.570673) + (xy 103.086194 87.570672) + (xy 103.079643 87.565645) + (xy 103.03441 87.546909) + (xy 102.979129 87.502361) + (xy 102.956708 87.434998) + (xy 102.974266 87.366206) + (xy 103.026228 87.317828) + (xy 103.082628 87.3045) + (xy 109.621842 87.3045) + (xy 109.689963 87.324502) + (xy 109.736456 87.378158) + (xy 109.74656 87.448432) + (xy 109.717066 87.513012) + (xy 109.65734 87.551396) + (xy 109.638288 87.555422) + (xy 109.613432 87.558694) + (xy 109.61343 87.558695) + (xy 109.605246 87.559772) + (xy 109.55743 87.579578) + (xy 109.477986 87.612485) + (xy 109.477984 87.612486) + (xy 109.470358 87.615645) + (xy 109.354526 87.704526) + (xy 109.265645 87.820358) + (xy 109.262486 87.827984) + (xy 109.262485 87.827986) + (xy 109.251176 87.855288) + (xy 109.209772 87.955246) + (xy 109.208695 87.96343) + (xy 109.208694 87.963432) + (xy 109.196607 88.055246) + (xy 109.190715 88.1) + (xy 109.209772 88.244754) + (xy 109.228351 88.289607) + (xy 109.26248 88.372) + (xy 109.265645 88.379642) + (xy 109.272954 88.389167) + (xy 109.342378 88.479642) + (xy 109.354526 88.495474) + (xy 109.361076 88.5005) + (xy 109.361079 88.500503) + (xy 109.463804 88.579327) + (xy 109.470357 88.584355) + (xy 109.605246 88.640228) + (xy 109.613434 88.641306) + (xy 109.677623 88.649757) + (xy 109.75 88.659285) + (xy 109.758188 88.658207) + (xy 109.886566 88.641306) + (xy 109.894754 88.640228) + (xy 110.029643 88.584355) + (xy 110.036196 88.579327) + (xy 110.138921 88.500503) + (xy 110.138924 88.5005) + (xy 110.145474 88.495474) + (xy 110.157623 88.479642) + (xy 110.227046 88.389167) + (xy 110.234355 88.379642) + (xy 110.237521 88.372) + (xy 110.271649 88.289607) + (xy 110.290228 88.244754) + (xy 110.309285 88.1) + (xy 110.303393 88.055246) + (xy 110.291306 87.963432) + (xy 110.291305 87.96343) + (xy 110.290228 87.955246) + (xy 110.248824 87.855288) + (xy 110.237515 87.827986) + (xy 110.237514 87.827984) + (xy 110.234355 87.820358) + (xy 110.154006 87.715645) + (xy 110.150501 87.711077) + (xy 110.1505 87.711076) + (xy 110.145474 87.704526) + (xy 110.138924 87.6995) + (xy 110.138921 87.699497) + (xy 110.036196 87.620673) + (xy 110.036194 87.620672) + (xy 110.029643 87.615645) + (xy 109.894754 87.559772) + (xy 109.861712 87.555422) + (xy 109.796785 87.5267) + (xy 109.757693 87.467435) + (xy 109.756848 87.396443) + (xy 109.794518 87.336264) + (xy 109.858743 87.306005) + (xy 109.878158 87.3045) + (xy 112.891781 87.3045) + (xy 112.959902 87.324502) + (xy 113.006395 87.378158) + (xy 113.016499 87.448432) + (xy 112.987005 87.513012) + (xy 112.967347 87.531325) + (xy 112.889596 87.589596) + (xy 112.877282 87.606026) + (xy 112.808311 87.698054) + (xy 112.808309 87.698057) + (xy 112.802929 87.705236) + (xy 112.797142 87.720673) + (xy 112.763252 87.811077) + (xy 112.752202 87.840552) + (xy 112.7455 87.902244) + (xy 112.7455 89.097756) + (xy 112.752202 89.159448) + (xy 112.802929 89.294764) + (xy 112.808309 89.301943) + (xy 112.808311 89.301946) + (xy 112.872107 89.387068) + (xy 112.889596 89.410404) + (xy 112.896776 89.415785) + (xy 112.998054 89.491689) + (xy 112.998057 89.491691) + (xy 113.005236 89.497071) + (xy 113.090963 89.529208) + (xy 113.133157 89.545026) + (xy 113.133159 89.545026) + (xy 113.140552 89.547798) + (xy 113.148402 89.548651) + (xy 113.148403 89.548651) + (xy 113.198847 89.554131) + (xy 113.202244 89.5545) + (xy 113.20566 89.5545) + (xy 113.209058 89.554684) + (xy 113.208965 89.556396) + (xy 113.270629 89.574502) + (xy 113.317122 89.628158) + (xy 113.327226 89.698432) + (xy 113.302471 89.757204) + (xy 113.292378 89.770358) + (xy 113.215645 89.870358) + (xy 113.212486 89.877984) + (xy 113.212485 89.877986) + (xy 113.189309 89.933937) + (xy 113.159772 90.005246) + (xy 113.158695 90.01343) + (xy 113.158694 90.013432) + (xy 113.15388 90.05) + (xy 113.140715 90.15) + (xy 113.141793 90.158188) + (xy 113.155583 90.262932) + (xy 113.159772 90.294754) + (xy 113.169488 90.31821) + (xy 113.205845 90.405982) + (xy 113.215645 90.429642) + (xy 113.257628 90.484355) + (xy 113.292378 90.529642) + (xy 113.304526 90.545474) + (xy 113.311076 90.5505) + (xy 113.311079 90.550503) + (xy 113.407751 90.624682) + (xy 113.420357 90.634355) + (xy 113.555246 90.690228) + (xy 113.7 90.709285) + (xy 113.708188 90.708207) + (xy 113.836566 90.691306) + (xy 113.844754 90.690228) + (xy 113.884632 90.67371) + (xy 113.972015 90.637515) + (xy 113.972017 90.637514) + (xy 113.979643 90.634355) + (xy 113.986168 90.629348) + (xy 114.055148 90.612614) + (xy 114.12224 90.635834) + (xy 114.149115 90.661767) + (xy 114.199483 90.727408) + (xy 114.199487 90.727412) + (xy 114.204514 90.733963) + (xy 114.211064 90.738989) + (xy 114.211067 90.738992) + (xy 114.313792 90.817816) + (xy 114.320345 90.822844) + (xy 114.455234 90.878717) + (xy 114.599988 90.897774) + (xy 114.608176 90.896696) + (xy 114.736554 90.879795) + (xy 114.744742 90.878717) + (xy 114.879631 90.822844) + (xy 114.886184 90.817816) + (xy 114.988909 90.738992) + (xy 114.988912 90.738989) + (xy 114.995462 90.733963) + (xy 115.006483 90.719601) + (xy 115.061715 90.64762) + (xy 115.084343 90.618131) + (xy 115.088008 90.609285) + (xy 115.137057 90.490869) + (xy 115.140216 90.483243) + (xy 115.144593 90.45) + (xy 115.158195 90.346677) + (xy 115.159273 90.338489) + (xy 115.151342 90.278248) + (xy 115.141294 90.201921) + (xy 115.141293 90.201919) + (xy 115.140216 90.193735) + (xy 115.103562 90.105246) + (xy 115.087503 90.066475) + (xy 115.087502 90.066473) + (xy 115.084343 90.058847) + (xy 114.995462 89.943015) + (xy 114.988912 89.937989) + (xy 114.988909 89.937986) + (xy 114.886184 89.859162) + (xy 114.886182 89.859161) + (xy 114.879631 89.854134) + (xy 114.744742 89.798261) + (xy 114.599988 89.779204) + (xy 114.5918 89.780282) + (xy 114.46342 89.797183) + (xy 114.463418 89.797184) + (xy 114.455234 89.798261) + (xy 114.320346 89.854134) + (xy 114.313822 89.85914) + (xy 114.24484 89.875875) + (xy 114.177748 89.852655) + (xy 114.150873 89.826722) + (xy 114.100505 89.761081) + (xy 114.1005 89.761076) + (xy 114.095474 89.754526) + (xy 114.088924 89.7495) + (xy 114.088921 89.749497) + (xy 114.00779 89.687243) + (xy 113.965923 89.629905) + (xy 113.961701 89.559034) + (xy 113.996466 89.497131) + (xy 114.008929 89.486455) + (xy 114.066978 89.44295) + (xy 114.110404 89.410404) + (xy 114.127893 89.387068) + (xy 114.191689 89.301946) + (xy 114.191691 89.301943) + (xy 114.197071 89.294764) + (xy 114.247798 89.159448) + (xy 114.2545 89.097756) + (xy 114.2545 88.503781) + (xy 114.274502 88.43566) + (xy 114.328158 88.389167) + (xy 114.398432 88.379063) + (xy 114.428717 88.387372) + (xy 114.454255 88.39795) + (xy 114.45426 88.397951) + (xy 114.461888 88.401111) + (xy 114.606642 88.420168) + (xy 114.61483 88.41909) + (xy 114.6195 88.41909) + (xy 114.687621 88.439092) + (xy 114.734114 88.492748) + (xy 114.7455 88.54509) + (xy 114.7455 89.097756) + (xy 114.752202 89.159448) + (xy 114.802929 89.294764) + (xy 114.808309 89.301943) + (xy 114.808311 89.301946) + (xy 114.872107 89.387068) + (xy 114.889596 89.410404) + (xy 114.896776 89.415785) + (xy 114.998054 89.491689) + (xy 114.998057 89.491691) + (xy 115.005236 89.497071) + (xy 115.090963 89.529208) + (xy 115.133157 89.545026) + (xy 115.133159 89.545026) + (xy 115.140552 89.547798) + (xy 115.148402 89.548651) + (xy 115.148403 89.548651) + (xy 115.198847 89.554131) + (xy 115.202244 89.5545) + (xy 115.633095 89.5545) + (xy 115.696096 89.571381) + (xy 115.700043 89.57366) + (xy 115.706597 89.578689) + (xy 115.841486 89.634562) + (xy 115.849674 89.63564) + (xy 115.927963 89.645947) + (xy 115.99289 89.67467) + (xy 116.031981 89.733935) + (xy 116.032826 89.804926) + (xy 116.004021 89.854138) + (xy 116.004526 89.854526) + (xy 115.999503 89.861072) + (xy 115.980168 89.88627) + (xy 115.915645 89.970358) + (xy 115.912486 89.977984) + (xy 115.912485 89.977986) + (xy 115.903367 90) + (xy 115.859772 90.105246) + (xy 115.858695 90.11343) + (xy 115.858694 90.113432) + (xy 115.8512 90.170358) + (xy 115.840715 90.25) + (xy 115.842417 90.262931) + (xy 115.858475 90.384899) + (xy 115.859772 90.394754) + (xy 115.862931 90.40238) + (xy 115.899585 90.490869) + (xy 115.915645 90.529642) + (xy 116.004526 90.645474) + (xy 116.011076 90.6505) + (xy 116.011079 90.650503) + (xy 116.113804 90.729327) + (xy 116.120357 90.734355) + (xy 116.255246 90.790228) + (xy 116.4 90.809285) + (xy 116.408188 90.808207) + (xy 116.408333 90.808188) + (xy 116.544754 90.790228) + (xy 116.679643 90.734355) + (xy 116.686196 90.729327) + (xy 116.788921 90.650503) + (xy 116.788924 90.6505) + (xy 116.795474 90.645474) + (xy 116.884355 90.529642) + (xy 116.900416 90.490869) + (xy 116.922401 90.437792) + (xy 116.966949 90.382511) + (xy 117.034313 90.36009) + (xy 117.103104 90.377648) + (xy 117.138772 90.409306) + (xy 117.160631 90.437792) + (xy 117.166526 90.445474) + (xy 117.173076 90.4505) + (xy 117.173079 90.450503) + (xy 117.275804 90.529327) + (xy 117.282357 90.534355) + (xy 117.417246 90.590228) + (xy 117.562 90.609285) + (xy 117.570188 90.608207) + (xy 117.698566 90.591306) + (xy 117.706754 90.590228) + (xy 117.841643 90.534355) + (xy 117.848196 90.529327) + (xy 117.950921 90.450503) + (xy 117.950924 90.4505) + (xy 117.957474 90.445474) + (xy 117.973488 90.424604) + (xy 118.030826 90.382737) + (xy 118.101697 90.378515) + (xy 118.121669 90.384899) + (xy 118.247616 90.437068) + (xy 118.247619 90.437069) + (xy 118.255246 90.440228) + (xy 118.4 90.459285) + (xy 118.408188 90.458207) + (xy 118.408333 90.458188) + (xy 118.544754 90.440228) + (xy 118.679643 90.384355) + (xy 118.690136 90.376304) + (xy 118.773296 90.312492) + (xy 118.839516 90.286891) + (xy 118.909065 90.301156) + (xy 118.926704 90.312492) + (xy 119.009865 90.376304) + (xy 119.020357 90.384355) + (xy 119.155246 90.440228) + (xy 119.3 90.459285) + (xy 119.308188 90.458207) + (xy 119.308333 90.458188) + (xy 119.444754 90.440228) + (xy 119.551655 90.395948) + (xy 119.622245 90.388359) + (xy 119.676577 90.412395) + (xy 119.708732 90.437068) + (xy 119.770357 90.484355) + (xy 119.905246 90.540228) + (xy 120.05 90.559285) + (xy 120.058188 90.558207) + (xy 120.186561 90.541307) + (xy 120.186564 90.541306) + (xy 120.194754 90.540228) + (xy 120.195402 90.539959) + (xy 120.262877 90.541566) + (xy 120.321673 90.58136) + (xy 120.349621 90.646624) + (xy 120.349434 90.678029) + (xy 120.348244 90.687068) + (xy 120.337092 90.771778) + (xy 120.33998 90.793717) + (xy 120.353538 90.896696) + (xy 120.356149 90.916532) + (xy 120.359308 90.924158) + (xy 120.403562 91.030995) + (xy 120.412022 91.05142) + (xy 120.500903 91.167252) + (xy 120.507453 91.172278) + (xy 120.507456 91.172281) + (xy 120.606681 91.248419) + (xy 120.616734 91.256133) + (xy 120.751623 91.312006) + (xy 120.896377 91.331063) + (xy 120.904565 91.329985) + (xy 121.032943 91.313084) + (xy 121.041131 91.312006) + (xy 121.17602 91.256133) + (xy 121.186073 91.248419) + (xy 121.285298 91.172281) + (xy 121.285301 91.172278) + (xy 121.291851 91.167252) + (xy 121.380732 91.05142) + (xy 121.389193 91.030995) + (xy 121.433446 90.924158) + (xy 121.436605 90.916532) + (xy 121.439217 90.896696) + (xy 121.452774 90.793717) + (xy 121.455662 90.771778) + (xy 121.450861 90.735314) + (xy 121.4618 90.665167) + (xy 121.486688 90.629773) + (xy 121.710479 90.405982) + (xy 121.71857 90.398567) + (xy 121.746582 90.375062) + (xy 121.764859 90.343406) + (xy 121.770759 90.334145) + (xy 121.78541 90.313222) + (xy 121.785412 90.313218) + (xy 121.791732 90.304192) + (xy 121.794584 90.293548) + (xy 121.7959 90.290727) + (xy 121.796968 90.287792) + (xy 121.802478 90.278248) + (xy 121.806157 90.257386) + (xy 121.808826 90.242246) + (xy 121.811205 90.231516) + (xy 121.817813 90.206853) + (xy 121.820665 90.196209) + (xy 121.817479 90.159792) + (xy 121.817 90.148811) + (xy 121.817 89.651039) + (xy 121.837002 89.582918) + (xy 121.890658 89.536425) + (xy 121.898771 89.533057) + (xy 121.945217 89.515645) + (xy 121.994764 89.497071) + (xy 122.001943 89.491691) + (xy 122.001946 89.491689) + (xy 122.103224 89.415785) + (xy 122.110404 89.410404) + (xy 122.127893 89.387068) + (xy 122.191689 89.301946) + (xy 122.191691 89.301943) + (xy 122.197071 89.294764) + (xy 122.247798 89.159448) + (xy 122.2545 89.097756) + (xy 122.2545 87.902244) + (xy 122.247798 87.840552) + (xy 122.236749 87.811077) + (xy 122.202858 87.720673) + (xy 122.197071 87.705236) + (xy 122.191691 87.698057) + (xy 122.191689 87.698054) + (xy 122.122718 87.606026) + (xy 122.110404 87.589596) + (xy 122.032654 87.531326) + (xy 121.990139 87.474467) + (xy 121.985113 87.403649) + (xy 122.019173 87.341355) + (xy 122.081504 87.307365) + (xy 122.108219 87.3045) + (xy 122.891781 87.3045) + (xy 122.959902 87.324502) + (xy 123.006395 87.378158) + (xy 123.016499 87.448432) + (xy 122.987005 87.513012) + (xy 122.967347 87.531325) + (xy 122.889596 87.589596) + (xy 122.877282 87.606026) + (xy 122.808311 87.698054) + (xy 122.808309 87.698057) + (xy 122.802929 87.705236) + (xy 122.797142 87.720673) + (xy 122.763252 87.811077) + (xy 122.752202 87.840552) + (xy 122.7455 87.902244) + (xy 122.7455 89.097756) + (xy 122.752202 89.159448) + (xy 122.802929 89.294764) + (xy 122.808309 89.301943) + (xy 122.808311 89.301946) + (xy 122.872107 89.387068) + (xy 122.889596 89.410404) + (xy 122.896776 89.415785) + (xy 122.996063 89.490196) + (xy 123.005236 89.497071) + (xy 123.013643 89.500223) + (xy 123.019865 89.503629) + (xy 123.07001 89.553888) + (xy 123.085024 89.623279) + (xy 123.060138 89.689771) + (xy 123.04845 89.703244) + (xy 122.892005 89.859689) + (xy 122.829693 89.893715) + (xy 122.786466 89.895516) + (xy 122.75 89.890715) + (xy 122.741812 89.891793) + (xy 122.613432 89.908694) + (xy 122.61343 89.908695) + (xy 122.605246 89.909772) + (xy 122.55743 89.929578) + (xy 122.477986 89.962485) + (xy 122.477984 89.962486) + (xy 122.470358 89.965645) + (xy 122.354526 90.054526) + (xy 122.265645 90.170358) + (xy 122.262486 90.177984) + (xy 122.262485 90.177986) + (xy 122.254937 90.196209) + (xy 122.209772 90.305246) + (xy 122.208695 90.31343) + (xy 122.208694 90.313432) + (xy 122.19883 90.388359) + (xy 122.190715 90.45) + (xy 122.191937 90.459285) + (xy 122.208592 90.585788) + (xy 122.209772 90.594754) + (xy 122.226175 90.634355) + (xy 122.257213 90.709285) + (xy 122.265645 90.729642) + (xy 122.354526 90.845474) + (xy 122.361076 90.8505) + (xy 122.361079 90.850503) + (xy 122.457068 90.924158) + (xy 122.470357 90.934355) + (xy 122.605246 90.990228) + (xy 122.75 91.009285) + (xy 122.749914 91.009935) + (xy 122.812151 91.028209) + (xy 122.860439 91.085989) + (xy 122.884465 91.143992) + (xy 122.887626 91.151623) + (xy 122.916247 91.188923) + (xy 122.953015 91.236839) + (xy 122.976507 91.267455) + (xy 122.983057 91.272481) + (xy 122.98306 91.272484) + (xy 123.085578 91.351149) + (xy 123.092338 91.356336) + (xy 123.227227 91.412209) + (xy 123.371981 91.431266) + (xy 123.380169 91.430188) + (xy 123.385988 91.429422) + (xy 123.516735 91.412209) + (xy 123.651624 91.356336) + (xy 123.658384 91.351149) + (xy 123.760902 91.272484) + (xy 123.760905 91.272481) + (xy 123.767455 91.267455) + (xy 123.790948 91.236839) + (xy 123.827715 91.188923) + (xy 123.856336 91.151623) + (xy 123.86385 91.133484) + (xy 123.89872 91.049301) + (xy 123.912209 91.016735) + (xy 123.917311 90.977986) + (xy 123.930188 90.880169) + (xy 123.931266 90.871981) + (xy 123.92179 90.8) + (xy 123.913287 90.735413) + (xy 123.913286 90.735411) + (xy 123.912209 90.727227) + (xy 123.880429 90.650503) + (xy 123.859496 90.599967) + (xy 123.859495 90.599965) + (xy 123.856336 90.592339) + (xy 123.779448 90.492136) + (xy 123.772482 90.483058) + (xy 123.772481 90.483057) + (xy 123.767455 90.476507) + (xy 123.760905 90.471481) + (xy 123.760902 90.471478) + (xy 123.658177 90.392654) + (xy 123.658175 90.392653) + (xy 123.651624 90.387626) + (xy 123.549402 90.345284) + (xy 123.494122 90.300735) + (xy 123.471701 90.233372) + (xy 123.48926 90.16458) + (xy 123.508526 90.13978) + (xy 123.710479 89.937827) + (xy 123.71857 89.930412) + (xy 123.746582 89.906907) + (xy 123.764859 89.875251) + (xy 123.770759 89.86599) + (xy 123.78541 89.845067) + (xy 123.785412 89.845063) + (xy 123.791732 89.836037) + (xy 123.794584 89.825393) + (xy 123.795898 89.822576) + (xy 123.796967 89.81964) + (xy 123.802478 89.810093) + (xy 123.804755 89.797183) + (xy 123.808827 89.774087) + (xy 123.811206 89.763359) + (xy 123.817813 89.7387) + (xy 123.817813 89.738699) + (xy 123.820665 89.728055) + (xy 123.819451 89.714171) + (xy 123.817479 89.691638) + (xy 123.817 89.680657) + (xy 123.817 89.651039) + (xy 123.837002 89.582918) + (xy 123.890658 89.536425) + (xy 123.898771 89.533057) + (xy 123.945217 89.515645) + (xy 123.994764 89.497071) + (xy 124.001943 89.491691) + (xy 124.001946 89.491689) + (xy 124.103224 89.415785) + (xy 124.110404 89.410404) + (xy 124.127893 89.387068) + (xy 124.191689 89.301946) + (xy 124.191691 89.301943) + (xy 124.197071 89.294764) + (xy 124.247798 89.159448) + (xy 124.248652 89.151588) + (xy 124.250343 89.144476) + (xy 124.285561 89.08283) + (xy 124.348516 89.05001) + (xy 124.419221 89.056436) + (xy 124.475228 89.100068) + (xy 124.498253 89.16062) + (xy 124.502257 89.199206) + (xy 124.505149 89.2126) + (xy 124.556588 89.366784) + (xy 124.562761 89.379962) + (xy 124.648063 89.517807) + (xy 124.657099 89.529208) + (xy 124.771831 89.643741) + (xy 124.783236 89.652748) + (xy 124.840116 89.687809) + (xy 124.887609 89.740581) + (xy 124.9 89.795069) + (xy 124.9 97.71343) + (xy 124.879998 97.781551) + (xy 124.826342 97.828044) + (xy 124.790449 97.838351) + (xy 124.720044 97.84762) + (xy 124.660232 97.855494) + (xy 124.66023 97.855495) + (xy 124.652046 97.856572) + (xy 124.635487 97.863431) + (xy 124.524786 97.909285) + (xy 124.524784 97.909286) + (xy 124.517158 97.912445) + (xy 124.503795 97.922699) + (xy 124.472693 97.946564) + (xy 124.406472 97.972164) + (xy 124.336924 97.957899) + (xy 124.319286 97.946564) + (xy 124.286196 97.921173) + (xy 124.286194 97.921172) + (xy 124.279643 97.916145) + (xy 124.144754 97.860272) + (xy 124.11665 97.856572) + (xy 124.008188 97.842293) + (xy 124 97.841215) + (xy 123.991812 97.842293) + (xy 123.863432 97.859194) + (xy 123.86343 97.859195) + (xy 123.855246 97.860272) + (xy 123.837053 97.867808) + (xy 123.727986 97.912985) + (xy 123.727984 97.912986) + (xy 123.720358 97.916145) + (xy 123.604526 98.005026) + (xy 123.515645 98.120858) + (xy 123.512486 98.128484) + (xy 123.512485 98.128486) + (xy 123.502588 98.15238) + (xy 123.459772 98.255746) + (xy 123.458694 98.263932) + (xy 123.458694 98.263933) + (xy 123.449214 98.335945) + (xy 123.420492 98.400873) + (xy 123.361227 98.439965) + (xy 123.324292 98.4455) + (xy 123.202244 98.4455) + (xy 123.198848 98.445869) + (xy 123.198847 98.445869) + (xy 123.148403 98.451349) + (xy 123.148402 98.451349) + (xy 123.140552 98.452202) + (xy 123.133159 98.454974) + (xy 123.133157 98.454974) + (xy 123.094954 98.469296) + (xy 123.005236 98.502929) + (xy 122.998057 98.508309) + (xy 122.998054 98.508311) + (xy 122.937564 98.553646) + (xy 122.889596 98.589596) + (xy 122.884215 98.596776) + (xy 122.808311 98.698054) + (xy 122.808309 98.698057) + (xy 122.802929 98.705236) + (xy 122.752202 98.840552) + (xy 122.7455 98.902244) + (xy 122.7455 100.097756) + (xy 122.752202 100.159448) + (xy 122.754974 100.166841) + (xy 122.754974 100.166843) + (xy 122.760889 100.18262) + (xy 122.802929 100.294764) + (xy 122.808309 100.301943) + (xy 122.808311 100.301946) + (xy 122.826019 100.325573) + (xy 122.889596 100.410404) + (xy 122.914089 100.42876) + (xy 122.967346 100.468674) + (xy 123.009861 100.525533) + (xy 123.014887 100.596351) + (xy 122.980827 100.658645) + (xy 122.918496 100.692635) + (xy 122.891781 100.6955) + (xy 122.108219 100.6955) + (xy 122.040098 100.675498) + (xy 121.993605 100.621842) + (xy 121.983501 100.551568) + (xy 122.012995 100.486988) + (xy 122.032654 100.468674) + (xy 122.085912 100.42876) + (xy 122.110404 100.410404) + (xy 122.173981 100.325573) + (xy 122.191689 100.301946) + (xy 122.191691 100.301943) + (xy 122.197071 100.294764) + (xy 122.239111 100.18262) + (xy 122.245026 100.166843) + (xy 122.245026 100.166841) + (xy 122.247798 100.159448) + (xy 122.2545 100.097756) + (xy 122.2545 98.902244) + (xy 122.247798 98.840552) + (xy 122.197071 98.705236) + (xy 122.191691 98.698057) + (xy 122.191689 98.698054) + (xy 122.115785 98.596776) + (xy 122.110404 98.589596) + (xy 122.062436 98.553646) + (xy 122.001946 98.508311) + (xy 122.001943 98.508309) + (xy 121.994764 98.502929) + (xy 121.905046 98.469296) + (xy 121.866843 98.454974) + (xy 121.866841 98.454974) + (xy 121.859448 98.452202) + (xy 121.851598 98.451349) + (xy 121.851597 98.451349) + (xy 121.801153 98.445869) + (xy 121.801152 98.445869) + (xy 121.797756 98.4455) + (xy 121.202244 98.4455) + (xy 121.198848 98.445869) + (xy 121.198847 98.445869) + (xy 121.148403 98.451349) + (xy 121.148402 98.451349) + (xy 121.140552 98.452202) + (xy 121.133159 98.454974) + (xy 121.133157 98.454974) + (xy 121.094954 98.469296) + (xy 121.005236 98.502929) + (xy 120.998057 98.508309) + (xy 120.998054 98.508311) + (xy 120.937564 98.553646) + (xy 120.889596 98.589596) + (xy 120.884215 98.596776) + (xy 120.808311 98.698054) + (xy 120.808309 98.698057) + (xy 120.802929 98.705236) + (xy 120.752202 98.840552) + (xy 120.7455 98.902244) + (xy 120.7455 99.37936) + (xy 120.725498 99.447481) + (xy 120.671842 99.493974) + (xy 120.603054 99.504282) + (xy 120.508188 99.491793) + (xy 120.5 99.490715) + (xy 120.491812 99.491793) + (xy 120.396946 99.504282) + (xy 120.326797 99.493342) + (xy 120.273699 99.446214) + (xy 120.2545 99.37936) + (xy 120.2545 98.902244) + (xy 120.247798 98.840552) + (xy 120.197071 98.705236) + (xy 120.191691 98.698057) + (xy 120.191689 98.698054) + (xy 120.115785 98.596776) + (xy 120.110404 98.589596) + (xy 120.062436 98.553646) + (xy 120.001946 98.508311) + (xy 120.001943 98.508309) + (xy 119.994764 98.502929) + (xy 119.905046 98.469296) + (xy 119.866843 98.454974) + (xy 119.866841 98.454974) + (xy 119.859448 98.452202) + (xy 119.851598 98.451349) + (xy 119.851597 98.451349) + (xy 119.801153 98.445869) + (xy 119.801152 98.445869) + (xy 119.797756 98.4455) + (xy 119.202244 98.4455) + (xy 119.198848 98.445869) + (xy 119.198847 98.445869) + (xy 119.148403 98.451349) + (xy 119.148402 98.451349) + (xy 119.140552 98.452202) + (xy 119.133159 98.454974) + (xy 119.133157 98.454974) + (xy 119.094954 98.469296) + (xy 119.005236 98.502929) + (xy 118.998057 98.508309) + (xy 118.998054 98.508311) + (xy 118.937564 98.553646) + (xy 118.889596 98.589596) + (xy 118.884215 98.596776) + (xy 118.808311 98.698054) + (xy 118.808309 98.698057) + (xy 118.802929 98.705236) + (xy 118.752202 98.840552) + (xy 118.7455 98.902244) + (xy 118.7455 99.374226) + (xy 118.725498 99.442347) + (xy 118.671842 99.48884) + (xy 118.635947 99.499148) + (xy 118.604737 99.503256) + (xy 118.563432 99.508694) + (xy 118.56343 99.508695) + (xy 118.555246 99.509772) + (xy 118.428718 99.562182) + (xy 118.358129 99.569771) + (xy 118.294642 99.537992) + (xy 118.258414 99.476934) + (xy 118.2545 99.445773) + (xy 118.2545 98.902244) + (xy 118.247798 98.840552) + (xy 118.197071 98.705236) + (xy 118.191691 98.698057) + (xy 118.191689 98.698054) + (xy 118.115785 98.596776) + (xy 118.110404 98.589596) + (xy 118.062436 98.553646) + (xy 118.001946 98.508311) + (xy 118.001943 98.508309) + (xy 117.994764 98.502929) + (xy 117.905046 98.469296) + (xy 117.866843 98.454974) + (xy 117.866841 98.454974) + (xy 117.859448 98.452202) + (xy 117.851598 98.451349) + (xy 117.851597 98.451349) + (xy 117.801153 98.445869) + (xy 117.801152 98.445869) + (xy 117.797756 98.4455) + (xy 117.202244 98.4455) + (xy 117.198848 98.445869) + (xy 117.198847 98.445869) + (xy 117.148403 98.451349) + (xy 117.148402 98.451349) + (xy 117.140552 98.452202) + (xy 117.133159 98.454974) + (xy 117.133157 98.454974) + (xy 117.094954 98.469296) + (xy 117.005236 98.502929) + (xy 116.998057 98.508309) + (xy 116.998054 98.508311) + (xy 116.937564 98.553646) + (xy 116.889596 98.589596) + (xy 116.884215 98.596776) + (xy 116.808311 98.698054) + (xy 116.808309 98.698057) + (xy 116.802929 98.705236) + (xy 116.752202 98.840552) + (xy 116.7455 98.902244) + (xy 116.7455 99.054925) + (xy 116.725498 99.123046) + (xy 116.719463 99.131628) + (xy 116.709227 99.144968) + (xy 116.709225 99.144972) + (xy 116.704198 99.151523) + (xy 116.701039 99.159149) + (xy 116.701038 99.159151) + (xy 116.684776 99.198411) + (xy 116.648325 99.286411) + (xy 116.629268 99.431165) + (xy 116.630346 99.439353) + (xy 116.630346 99.439355) + (xy 116.634813 99.473287) + (xy 116.623873 99.543435) + (xy 116.576745 99.596534) + (xy 116.526338 99.614654) + (xy 116.501927 99.617867) + (xy 116.473815 99.621568) + (xy 116.473813 99.621569) + (xy 116.465629 99.622646) + (xy 116.458001 99.625806) + (xy 116.457996 99.625807) + (xy 116.428719 99.637934) + (xy 116.358129 99.645524) + (xy 116.294642 99.613745) + (xy 116.258414 99.552688) + (xy 116.2545 99.521526) + (xy 116.2545 98.902244) + (xy 116.247798 98.840552) + (xy 116.197071 98.705236) + (xy 116.191691 98.698057) + (xy 116.191689 98.698054) + (xy 116.157844 98.652895) + (xy 116.13144 98.617664) + (xy 116.106592 98.551159) + (xy 116.121645 98.481776) + (xy 116.155562 98.442138) + (xy 116.264604 98.358467) + (xy 116.264607 98.358464) + (xy 116.271157 98.353438) + (xy 116.277089 98.345708) + (xy 116.333636 98.272014) + (xy 116.360038 98.237606) + (xy 116.373483 98.205149) + (xy 116.410556 98.115645) + (xy 116.415911 98.102718) + (xy 116.427911 98.011572) + (xy 116.43389 97.966152) + (xy 116.434968 97.957964) + (xy 116.429637 97.917472) + (xy 116.416989 97.821396) + (xy 116.416988 97.821394) + (xy 116.415911 97.81321) + (xy 116.374737 97.713807) + (xy 116.363198 97.68595) + (xy 116.363197 97.685948) + (xy 116.360038 97.678322) + (xy 116.299558 97.599503) + (xy 116.276184 97.569041) + (xy 116.276183 97.56904) + (xy 116.271157 97.56249) + (xy 116.264607 97.557464) + (xy 116.264604 97.557461) + (xy 116.161879 97.478637) + (xy 116.161877 97.478636) + (xy 116.155326 97.473609) + (xy 116.020437 97.417736) + (xy 115.875683 97.398679) + (xy 115.867495 97.399757) + (xy 115.739115 97.416658) + (xy 115.739113 97.416659) + (xy 115.730929 97.417736) + (xy 115.7233 97.420896) + (xy 115.715728 97.424032) + (xy 115.645138 97.431621) + (xy 115.581651 97.399841) + (xy 115.545424 97.338783) + (xy 115.542588 97.291177) + (xy 115.548559 97.245829) + (xy 115.560816 97.152723) + (xy 115.548679 97.060533) + (xy 115.542837 97.016155) + (xy 115.542836 97.016153) + (xy 115.541759 97.007969) + (xy 115.499834 96.906754) + (xy 115.489046 96.880709) + (xy 115.489045 96.880707) + (xy 115.485886 96.873081) + (xy 115.407064 96.770358) + (xy 115.402032 96.7638) + (xy 115.402031 96.763799) + (xy 115.397005 96.757249) + (xy 115.390455 96.752223) + (xy 115.390452 96.75222) + (xy 115.287727 96.673396) + (xy 115.287725 96.673395) + (xy 115.281174 96.668368) + (xy 115.146285 96.612495) + (xy 115.069013 96.602322) + (xy 115.001531 96.593438) + (xy 115.001896 96.590667) + (xy 114.946882 96.574514) + (xy 114.898594 96.516734) + (xy 114.887515 96.489988) + (xy 114.884355 96.482358) + (xy 114.795474 96.366526) + (xy 114.788924 96.3615) + (xy 114.788921 96.361497) + (xy 114.686196 96.282673) + (xy 114.686194 96.282672) + (xy 114.679643 96.277645) + (xy 114.544754 96.221772) + (xy 114.4 96.202715) + (xy 114.391812 96.203793) + (xy 114.263432 96.220694) + (xy 114.26343 96.220695) + (xy 114.255246 96.221772) + (xy 114.20743 96.241578) + (xy 114.127986 96.274485) + (xy 114.127984 96.274486) + (xy 114.120358 96.277645) + (xy 114.004526 96.366526) + (xy 113.915645 96.482358) + (xy 113.912486 96.489984) + (xy 113.912485 96.489986) + (xy 113.908738 96.499033) + (xy 113.859772 96.617246) + (xy 113.858695 96.62543) + (xy 113.858694 96.625432) + (xy 113.845979 96.722014) + (xy 113.840715 96.762) + (xy 113.841793 96.770188) + (xy 113.85857 96.89762) + (xy 113.859772 96.906754) + (xy 113.862931 96.91438) + (xy 113.9036 97.012562) + (xy 113.915645 97.041642) + (xy 113.928341 97.058188) + (xy 113.998255 97.149301) + (xy 114.004526 97.157474) + (xy 114.011076 97.1625) + (xy 114.011079 97.162503) + (xy 114.113804 97.241327) + (xy 114.120357 97.246355) + (xy 114.255246 97.302228) + (xy 114.263434 97.303306) + (xy 114.4 97.321285) + (xy 114.399635 97.324056) + (xy 114.454649 97.340209) + (xy 114.502937 97.397989) + (xy 114.517176 97.432365) + (xy 114.527235 97.445474) + (xy 114.595436 97.534355) + (xy 114.606057 97.548197) + (xy 114.612607 97.553223) + (xy 114.61261 97.553226) + (xy 114.688003 97.611077) + (xy 114.721888 97.637078) + (xy 114.856777 97.692951) + (xy 115.001531 97.712008) + (xy 115.009719 97.71093) + (xy 115.138097 97.694029) + (xy 115.146285 97.692951) + (xy 115.153913 97.689791) + (xy 115.153918 97.68979) + (xy 115.161489 97.686654) + (xy 115.232079 97.679067) + (xy 115.295565 97.710848) + (xy 115.331791 97.771907) + (xy 115.334626 97.819508) + (xy 115.316398 97.957964) + (xy 115.317476 97.966152) + (xy 115.323456 98.011572) + (xy 115.335455 98.102718) + (xy 115.34081 98.115645) + (xy 115.377884 98.205149) + (xy 115.391328 98.237606) + (xy 115.396354 98.244156) + (xy 115.400484 98.251309) + (xy 115.398945 98.252197) + (xy 115.420911 98.309023) + (xy 115.406642 98.378571) + (xy 115.357038 98.429364) + (xy 115.295347 98.4455) + (xy 115.202244 98.4455) + (xy 115.198848 98.445869) + (xy 115.198847 98.445869) + (xy 115.148403 98.451349) + (xy 115.148402 98.451349) + (xy 115.140552 98.452202) + (xy 115.133159 98.454974) + (xy 115.133157 98.454974) + (xy 115.094954 98.469296) + (xy 115.005236 98.502929) + (xy 114.998057 98.508309) + (xy 114.998054 98.508311) + (xy 114.937564 98.553646) + (xy 114.889596 98.589596) + (xy 114.884215 98.596776) + (xy 114.808311 98.698054) + (xy 114.808309 98.698057) + (xy 114.802929 98.705236) + (xy 114.752202 98.840552) + (xy 114.7455 98.902244) + (xy 114.7455 99.474214) + (xy 114.725498 99.542335) + (xy 114.719463 99.550918) + (xy 114.700279 99.575919) + (xy 114.658042 99.630964) + (xy 114.602169 99.765852) + (xy 114.601092 99.774036) + (xy 114.601091 99.774038) + (xy 114.58638 99.885782) + (xy 114.583112 99.910606) + (xy 114.58419 99.918794) + (xy 114.594833 99.999634) + (xy 114.602169 100.05536) + (xy 114.658042 100.190248) + (xy 114.746923 100.30608) + (xy 114.753473 100.311106) + (xy 114.753476 100.311109) + (xy 114.777629 100.329642) + (xy 114.862754 100.394961) + (xy 114.87038 100.398119) + (xy 114.876673 100.401753) + (xy 114.888994 100.411207) + (xy 114.889596 100.410404) + (xy 114.914089 100.42876) + (xy 114.967346 100.468674) + (xy 115.009861 100.525533) + (xy 115.014887 100.596351) + (xy 114.980827 100.658645) + (xy 114.918496 100.692635) + (xy 114.891781 100.6955) + (xy 114.108219 100.6955) + (xy 114.040098 100.675498) + (xy 113.993605 100.621842) + (xy 113.983501 100.551568) + (xy 114.012995 100.486988) + (xy 114.032654 100.468674) + (xy 114.085912 100.42876) + (xy 114.110404 100.410404) + (xy 114.173981 100.325573) + (xy 114.191689 100.301946) + (xy 114.191691 100.301943) + (xy 114.197071 100.294764) + (xy 114.239111 100.18262) + (xy 114.245026 100.166843) + (xy 114.245026 100.166841) + (xy 114.247798 100.159448) + (xy 114.2545 100.097756) + (xy 114.2545 98.902244) + (xy 114.247798 98.840552) + (xy 114.197071 98.705236) + (xy 114.191691 98.698057) + (xy 114.191689 98.698054) + (xy 114.115785 98.596776) + (xy 114.110404 98.589596) + (xy 114.062436 98.553646) + (xy 114.001946 98.508311) + (xy 114.001943 98.508309) + (xy 113.994764 98.502929) + (xy 113.905046 98.469296) + (xy 113.866843 98.454974) + (xy 113.866841 98.454974) + (xy 113.859448 98.452202) + (xy 113.851598 98.451349) + (xy 113.851597 98.451349) + (xy 113.801153 98.445869) + (xy 113.801152 98.445869) + (xy 113.797756 98.4455) + (xy 113.202244 98.4455) + (xy 113.198848 98.445869) + (xy 113.198847 98.445869) + (xy 113.148403 98.451349) + (xy 113.148402 98.451349) + (xy 113.140552 98.452202) + (xy 113.133159 98.454974) + (xy 113.133157 98.454974) + (xy 113.094954 98.469296) + (xy 113.005236 98.502929) + (xy 112.998057 98.508309) + (xy 112.998054 98.508311) + (xy 112.937564 98.553646) + (xy 112.889596 98.589596) + (xy 112.884215 98.596776) + (xy 112.808311 98.698054) + (xy 112.808309 98.698057) + (xy 112.802929 98.705236) + (xy 112.752202 98.840552) + (xy 112.7455 98.902244) + (xy 112.7455 99.454351) + (xy 112.725498 99.522472) + (xy 112.671842 99.568965) + (xy 112.601568 99.579069) + (xy 112.571283 99.57076) + (xy 112.552387 99.562933) + (xy 112.552382 99.562932) + (xy 112.544754 99.559772) + (xy 112.4 99.540715) + (xy 112.391812 99.541793) + (xy 112.383554 99.541793) + (xy 112.383554 99.539029) + (xy 112.326795 99.530177) + (xy 112.273698 99.483047) + (xy 112.2545 99.416195) + (xy 112.2545 98.902244) + (xy 112.247798 98.840552) + (xy 112.197071 98.705236) + (xy 112.191691 98.698057) + (xy 112.191689 98.698054) + (xy 112.115785 98.596776) + (xy 112.110404 98.589596) + (xy 112.062436 98.553646) + (xy 112.001946 98.508311) + (xy 112.001943 98.508309) + (xy 111.994764 98.502929) + (xy 111.905046 98.469296) + (xy 111.866843 98.454974) + (xy 111.866841 98.454974) + (xy 111.859448 98.452202) + (xy 111.851598 98.451349) + (xy 111.851597 98.451349) + (xy 111.801153 98.445869) + (xy 111.801152 98.445869) + (xy 111.797756 98.4455) + (xy 111.202244 98.4455) + (xy 111.198848 98.445869) + (xy 111.198847 98.445869) + (xy 111.148403 98.451349) + (xy 111.148402 98.451349) + (xy 111.140552 98.452202) + (xy 111.133157 98.454974) + (xy 111.12547 98.456802) + (xy 111.124696 98.453546) + (xy 111.069111 98.457589) + (xy 111.019043 98.434399) + (xy 110.993827 98.41505) + (xy 110.993825 98.415049) + (xy 110.987274 98.410022) + (xy 110.852385 98.354149) + (xy 110.788269 98.345708) + (xy 110.715819 98.33617) + (xy 110.707631 98.335092) + (xy 110.699443 98.33617) + (xy 110.571063 98.353071) + (xy 110.571061 98.353072) + (xy 110.562877 98.354149) + (xy 110.552453 98.358467) + (xy 110.435617 98.406862) + (xy 110.435615 98.406863) + (xy 110.427989 98.410022) + (xy 110.312157 98.498903) + (xy 110.307134 98.505449) + (xy 110.307131 98.505452) + (xy 110.270151 98.553646) + (xy 110.212813 98.595514) + (xy 110.141942 98.599736) + (xy 110.094623 98.577769) + (xy 110.093368 98.576828) + (xy 110.062436 98.553646) + (xy 110.001946 98.508311) + (xy 110.001943 98.508309) + (xy 109.994764 98.502929) + (xy 109.905046 98.469296) + (xy 109.866843 98.454974) + (xy 109.866841 98.454974) + (xy 109.859448 98.452202) + (xy 109.851598 98.451349) + (xy 109.851597 98.451349) + (xy 109.801153 98.445869) + (xy 109.801152 98.445869) + (xy 109.797756 98.4455) + (xy 109.202244 98.4455) + (xy 109.198848 98.445869) + (xy 109.198847 98.445869) + (xy 109.148403 98.451349) + (xy 109.148402 98.451349) + (xy 109.140552 98.452202) + (xy 109.133159 98.454974) + (xy 109.133157 98.454974) + (xy 109.094954 98.469296) + (xy 109.005236 98.502929) + (xy 108.998057 98.508309) + (xy 108.998054 98.508311) + (xy 108.937564 98.553646) + (xy 108.889596 98.589596) + (xy 108.884215 98.596776) + (xy 108.808311 98.698054) + (xy 108.808309 98.698057) + (xy 108.802929 98.705236) + (xy 108.752202 98.840552) + (xy 108.7455 98.902244) + (xy 108.7455 100.097756) + (xy 108.752202 100.159448) + (xy 108.754974 100.166841) + (xy 108.754974 100.166843) + (xy 108.760889 100.18262) + (xy 108.802929 100.294764) + (xy 108.808309 100.301943) + (xy 108.808311 100.301946) + (xy 108.826019 100.325573) + (xy 108.889596 100.410404) + (xy 108.914089 100.42876) + (xy 108.967346 100.468674) + (xy 109.009861 100.525533) + (xy 109.014887 100.596351) + (xy 108.980827 100.658645) + (xy 108.918496 100.692635) + (xy 108.891781 100.6955) + (xy 108.108219 100.6955) + (xy 108.040098 100.675498) + (xy 107.993605 100.621842) + (xy 107.983501 100.551568) + (xy 108.012995 100.486988) + (xy 108.032654 100.468674) + (xy 108.085912 100.42876) + (xy 108.110404 100.410404) + (xy 108.173981 100.325573) + (xy 108.191689 100.301946) + (xy 108.191691 100.301943) + (xy 108.197071 100.294764) + (xy 108.239111 100.18262) + (xy 108.245026 100.166843) + (xy 108.245026 100.166841) + (xy 108.247798 100.159448) + (xy 108.2545 100.097756) + (xy 108.2545 98.902244) + (xy 108.247798 98.840552) + (xy 108.197071 98.705236) + (xy 108.191691 98.698057) + (xy 108.191689 98.698054) + (xy 108.115785 98.596776) + (xy 108.110404 98.589596) + (xy 108.062436 98.553646) + (xy 108.001946 98.508311) + (xy 108.001943 98.508309) + (xy 107.994764 98.502929) + (xy 107.942243 98.48324) + (xy 107.933961 98.480135) + (xy 107.877196 98.437494) + (xy 107.852496 98.370932) + (xy 107.853268 98.345708) + (xy 107.858207 98.30819) + (xy 107.858207 98.308188) + (xy 107.859285 98.3) + (xy 107.854382 98.262761) + (xy 107.841306 98.163432) + (xy 107.841305 98.16343) + (xy 107.840228 98.155246) + (xy 107.800681 98.059772) + (xy 107.787515 98.027986) + (xy 107.787514 98.027984) + (xy 107.784355 98.020358) + (xy 107.779327 98.013805) + (xy 107.779325 98.013802) + (xy 107.763157 97.992731) + (xy 107.737556 97.926511) + (xy 107.746711 97.867808) + (xy 107.793759 97.754226) + (xy 107.79376 97.754221) + (xy 107.79692 97.746593) + (xy 107.801237 97.713807) + (xy 107.814899 97.610027) + (xy 107.815977 97.601839) + (xy 107.806431 97.529327) + (xy 107.797998 97.465271) + (xy 107.797997 97.465269) + (xy 107.79692 97.457085) + (xy 107.775397 97.405124) + (xy 107.744207 97.329825) + (xy 107.744206 97.329823) + (xy 107.741047 97.322197) + (xy 107.652166 97.206365) + (xy 107.645616 97.201339) + (xy 107.645613 97.201336) + (xy 107.542888 97.122512) + (xy 107.542886 97.122511) + (xy 107.536335 97.117484) + (xy 107.401446 97.061611) + (xy 107.375446 97.058188) + (xy 107.313251 97.05) + (xy 108.790715 97.05) + (xy 108.79266 97.064771) + (xy 108.805317 97.160911) + (xy 108.809772 97.194754) + (xy 108.817293 97.212911) + (xy 108.859848 97.315646) + (xy 108.865645 97.329642) + (xy 108.901217 97.376) + (xy 108.935667 97.420896) + (xy 108.954526 97.445474) + (xy 108.961076 97.4505) + (xy 108.961079 97.450503) + (xy 109.052525 97.520672) + (xy 109.070357 97.534355) + (xy 109.205246 97.590228) + (xy 109.213434 97.591306) + (xy 109.275652 97.599497) + (xy 109.35 97.609285) + (xy 109.358188 97.608207) + (xy 109.386149 97.604526) + (xy 109.424348 97.599497) + (xy 109.486566 97.591306) + (xy 109.494754 97.590228) + (xy 109.629643 97.534355) + (xy 109.647475 97.520672) + (xy 109.738921 97.450503) + (xy 109.738924 97.4505) + (xy 109.745474 97.445474) + (xy 109.764334 97.420896) + (xy 109.798783 97.376) + (xy 109.834355 97.329642) + (xy 109.840153 97.315646) + (xy 109.882707 97.212911) + (xy 109.890228 97.194754) + (xy 109.894684 97.160911) + (xy 109.90734 97.064771) + (xy 109.909285 97.05) + (xy 109.89406 96.934355) + (xy 109.891306 96.913432) + (xy 109.891305 96.91343) + (xy 109.890228 96.905246) + (xy 109.834355 96.770358) + (xy 109.83234 96.767732) + (xy 109.816143 96.700963) + (xy 109.839364 96.633871) + (xy 109.865296 96.606997) + (xy 109.938921 96.550503) + (xy 109.938924 96.5505) + (xy 109.945474 96.545474) + (xy 110.034355 96.429642) + (xy 110.090228 96.294754) + (xy 110.091819 96.282673) + (xy 110.108207 96.158188) + (xy 110.109285 96.15) + (xy 110.099411 96.075) + (xy 110.091306 96.013432) + (xy 110.091305 96.01343) + (xy 110.090228 96.005246) + (xy 110.051437 95.911596) + (xy 110.037515 95.877986) + (xy 110.037514 95.877984) + (xy 110.034355 95.870358) + (xy 109.945474 95.754526) + (xy 109.938924 95.7495) + (xy 109.938921 95.749497) + (xy 109.936547 95.747676) + (xy 109.935053 95.74563) + (xy 109.933084 95.743661) + (xy 109.933391 95.743354) + (xy 109.89468 95.690338) + (xy 109.890458 95.619467) + (xy 109.896843 95.599495) + (xy 109.937067 95.502387) + (xy 109.937069 95.50238) + (xy 109.940228 95.494754) + (xy 109.959285 95.35) + (xy 109.940228 95.205246) + (xy 109.920422 95.15743) + (xy 109.887515 95.077986) + (xy 109.887514 95.077984) + (xy 109.884355 95.070358) + (xy 109.795474 94.954526) + (xy 109.788924 94.9495) + (xy 109.788921 94.949497) + (xy 109.686196 94.870673) + (xy 109.686194 94.870672) + (xy 109.679643 94.865645) + (xy 109.544754 94.809772) + (xy 109.4 94.790715) + (xy 109.391812 94.791793) + (xy 109.263432 94.808694) + (xy 109.26343 94.808695) + (xy 109.255246 94.809772) + (xy 109.20743 94.829578) + (xy 109.127986 94.862485) + (xy 109.127984 94.862486) + (xy 109.120358 94.865645) + (xy 109.004526 94.954526) + (xy 108.915645 95.070358) + (xy 108.912486 95.077984) + (xy 108.912485 95.077986) + (xy 108.879578 95.15743) + (xy 108.859772 95.205246) + (xy 108.840715 95.35) + (xy 108.859772 95.494754) + (xy 108.862931 95.50238) + (xy 108.903158 95.599495) + (xy 108.915645 95.629642) + (xy 109.004526 95.745474) + (xy 109.011076 95.7505) + (xy 109.011079 95.750503) + (xy 109.013453 95.752324) + (xy 109.014947 95.75437) + (xy 109.016916 95.756339) + (xy 109.016609 95.756646) + (xy 109.05532 95.809662) + (xy 109.059542 95.880533) + (xy 109.053157 95.900505) + (xy 109.012933 95.997613) + (xy 109.012932 95.997618) + (xy 109.009772 96.005246) + (xy 109.008695 96.01343) + (xy 109.008694 96.013432) + (xy 109.000589 96.075) + (xy 108.990715 96.15) + (xy 108.991793 96.158188) + (xy 109.008182 96.282673) + (xy 109.009772 96.294754) + (xy 109.065645 96.429642) + (xy 109.067659 96.432266) + (xy 109.083857 96.499033) + (xy 109.060638 96.566125) + (xy 109.034704 96.593002) + (xy 108.961076 96.649499) + (xy 108.961072 96.649503) + (xy 108.954526 96.654526) + (xy 108.865645 96.770358) + (xy 108.862486 96.777984) + (xy 108.862485 96.777986) + (xy 108.829578 96.85743) + (xy 108.809772 96.905246) + (xy 108.808695 96.91343) + (xy 108.808694 96.913432) + (xy 108.80594 96.934355) + (xy 108.790715 97.05) + (xy 107.313251 97.05) + (xy 107.26488 97.043632) + (xy 107.256692 97.042554) + (xy 107.248504 97.043632) + (xy 107.120124 97.060533) + (xy 107.120122 97.060534) + (xy 107.111938 97.061611) + (xy 107.064122 97.081417) + (xy 106.984678 97.114324) + (xy 106.984676 97.114325) + (xy 106.97705 97.117484) + (xy 106.861218 97.206365) + (xy 106.772337 97.322197) + (xy 106.769178 97.329823) + (xy 106.769177 97.329825) + (xy 106.737987 97.405124) + (xy 106.716464 97.457085) + (xy 106.715387 97.465269) + (xy 106.715386 97.465271) + (xy 106.706953 97.529327) + (xy 106.697407 97.601839) + (xy 106.698166 97.607603) + (xy 106.678483 97.674637) + (xy 106.661581 97.695611) + (xy 105.943216 98.413977) + (xy 105.880903 98.448002) + (xy 105.840513 98.450145) + (xy 105.797756 98.4455) + (xy 105.202244 98.4455) + (xy 105.198848 98.445869) + (xy 105.198847 98.445869) + (xy 105.148403 98.451349) + (xy 105.148402 98.451349) + (xy 105.140552 98.452202) + (xy 105.133159 98.454974) + (xy 105.133157 98.454974) + (xy 105.094954 98.469296) + (xy 105.005236 98.502929) + (xy 104.998057 98.508309) + (xy 104.998054 98.508311) + (xy 104.937564 98.553646) + (xy 104.889596 98.589596) + (xy 104.884215 98.596776) + (xy 104.808311 98.698054) + (xy 104.808309 98.698057) + (xy 104.802929 98.705236) + (xy 104.752202 98.840552) + (xy 104.7455 98.902244) + (xy 104.7455 100.097756) + (xy 104.752202 100.159448) + (xy 104.754974 100.166841) + (xy 104.754974 100.166843) + (xy 104.760889 100.18262) + (xy 104.802929 100.294764) + (xy 104.808309 100.301943) + (xy 104.808311 100.301946) + (xy 104.826019 100.325573) + (xy 104.889596 100.410404) + (xy 104.914089 100.42876) + (xy 104.967346 100.468674) + (xy 105.009861 100.525533) + (xy 105.014887 100.596351) + (xy 104.980827 100.658645) + (xy 104.918496 100.692635) + (xy 104.891781 100.6955) + (xy 104.108219 100.6955) + (xy 104.040098 100.675498) + (xy 103.993605 100.621842) + (xy 103.983501 100.551568) + (xy 104.012995 100.486988) + (xy 104.032654 100.468674) + (xy 104.085912 100.42876) + (xy 104.110404 100.410404) + (xy 104.173981 100.325573) + (xy 104.191689 100.301946) + (xy 104.191691 100.301943) + (xy 104.197071 100.294764) + (xy 104.239111 100.18262) + (xy 104.245026 100.166843) + (xy 104.245026 100.166841) + (xy 104.247798 100.159448) + (xy 104.2545 100.097756) + (xy 104.2545 98.902244) + (xy 104.247798 98.840552) + (xy 104.197071 98.705236) + (xy 104.191691 98.698057) + (xy 104.191689 98.698054) + (xy 104.115785 98.596776) + (xy 104.110404 98.589596) + (xy 104.062436 98.553646) + (xy 104.001951 98.508315) + (xy 104.001949 98.508314) + (xy 103.994764 98.502929) + (xy 103.992583 98.502111) + (xy 103.944801 98.454221) + (xy 103.929787 98.38483) + (xy 103.955493 98.317256) + (xy 103.968734 98.3) + (xy 103.984355 98.279642) + (xy 103.991348 98.262761) + (xy 104.037069 98.15238) + (xy 104.040228 98.144754) + (xy 104.044237 98.114307) + (xy 104.058207 98.008188) + (xy 104.059285 98) + (xy 104.049108 97.922699) + (xy 104.041306 97.863432) + (xy 104.041305 97.86343) + (xy 104.040228 97.855246) + (xy 103.995222 97.746593) + (xy 103.987515 97.727986) + (xy 103.987514 97.727984) + (xy 103.984355 97.720358) + (xy 103.895474 97.604526) + (xy 103.888924 97.5995) + (xy 103.888921 97.599497) + (xy 103.786196 97.520673) + (xy 103.786194 97.520672) + (xy 103.779643 97.515645) + (xy 103.644754 97.459772) + (xy 103.5 97.440715) + (xy 103.491812 97.441793) + (xy 103.363432 97.458694) + (xy 103.36343 97.458695) + (xy 103.355246 97.459772) + (xy 103.340503 97.465879) + (xy 103.227986 97.512485) + (xy 103.227984 97.512486) + (xy 103.220358 97.515645) + (xy 103.165862 97.557461) + (xy 103.12316 97.590228) + (xy 103.104526 97.604526) + (xy 103.015645 97.720358) + (xy 103.012486 97.727984) + (xy 103.012485 97.727986) + (xy 103.004778 97.746593) + (xy 102.959772 97.855246) + (xy 102.958695 97.86343) + (xy 102.958694 97.863432) + (xy 102.950892 97.922699) + (xy 102.940715 98) + (xy 102.941793 98.008188) + (xy 102.955764 98.114307) + (xy 102.959772 98.144754) + (xy 102.962931 98.15238) + (xy 103.008653 98.262761) + (xy 103.015645 98.279642) + (xy 103.031266 98.3) + (xy 103.044507 98.317256) + (xy 103.070107 98.383477) + (xy 103.055842 98.453026) + (xy 103.007377 98.502126) + (xy 103.005236 98.502929) + (xy 102.998051 98.508314) + (xy 102.998049 98.508315) + (xy 102.937564 98.553646) + (xy 102.889596 98.589596) + (xy 102.884215 98.596776) + (xy 102.808311 98.698054) + (xy 102.808309 98.698057) + (xy 102.802929 98.705236) + (xy 102.752202 98.840552) + (xy 102.751348 98.848412) + (xy 102.749657 98.855524) + (xy 102.714439 98.91717) + (xy 102.651484 98.94999) + (xy 102.580779 98.943564) + (xy 102.524772 98.899932) + (xy 102.501747 98.83938) + (xy 102.497743 98.800794) + (xy 102.494851 98.7874) + (xy 102.443412 98.633216) + (xy 102.437239 98.620038) + (xy 102.351937 98.482193) + (xy 102.342901 98.470792) + (xy 102.228171 98.356261) + (xy 102.21676 98.347249) + (xy 102.078757 98.262184) + (xy 102.065576 98.256037) + (xy 101.91129 98.204862) + (xy 101.897914 98.201995) + (xy 101.803562 98.192328) + (xy 101.797145 98.192) + (xy 101.772115 98.192) + (xy 101.756876 98.196475) + (xy 101.755671 98.197865) + (xy 101.754 98.205548) + (xy 101.754 99.628) + (xy 101.733998 99.696121) + (xy 101.680342 99.742614) + (xy 101.628 99.754) + (xy 101.372 99.754) + (xy 101.303879 99.733998) + (xy 101.257386 99.680342) + (xy 101.246 99.628) + (xy 101.246 98.210116) + (xy 101.241525 98.194877) + (xy 101.240135 98.193672) + (xy 101.232452 98.192001) + (xy 101.202905 98.192001) + (xy 101.196386 98.192338) + (xy 101.100794 98.202257) + (xy 101.0874 98.205149) + (xy 100.933216 98.256588) + (xy 100.920038 98.262761) + (xy 100.782193 98.348063) + (xy 100.770792 98.357099) + (xy 100.656261 98.471829) + (xy 100.647249 98.48324) + (xy 100.562184 98.621243) + (xy 100.556037 98.634424) + (xy 100.504862 98.78871) + (xy 100.503701 98.794127) + (xy 100.469864 98.856541) + (xy 100.407654 98.890754) + (xy 100.336824 98.885903) + (xy 100.27986 98.843528) + (xy 100.254849 98.777083) + (xy 100.2545 98.767715) + (xy 100.2545 97.534) + (xy 100.274502 97.465879) + (xy 100.328158 97.419386) + (xy 100.3805 97.408) + (xy 100.881885 97.408) + (xy 100.897124 97.403525) + (xy 100.898329 97.402135) + (xy 100.9 97.394452) + (xy 100.9 97.376) + (xy 100.920002 97.307879) + (xy 100.973658 97.261386) + (xy 101.026 97.25) + (xy 101.074 97.25) + (xy 101.142121 97.270002) + (xy 101.188614 97.323658) + (xy 101.2 97.376) + (xy 101.2 97.389885) + (xy 101.204475 97.405124) + (xy 101.205865 97.406329) + (xy 101.213548 97.408) + (xy 101.759092 97.408) + (xy 101.7673 97.407462) + (xy 101.869005 97.394072) + (xy 101.884825 97.389833) + (xy 102.011386 97.33741) + (xy 102.025569 97.329221) + (xy 102.134248 97.245829) + (xy 102.145828 97.23425) + (xy 102.229223 97.125566) + (xy 102.237409 97.111387) + (xy 102.24793 97.085988) + (xy 102.292479 97.030708) + (xy 102.350431 97.012562) + (xy 102.35 97.009285) + (xy 102.494754 96.990228) + (xy 102.629643 96.934355) + (xy 102.655675 96.91438) + (xy 102.738921 96.850503) + (xy 102.738924 96.8505) + (xy 102.745474 96.845474) + (xy 102.834355 96.729642) + (xy 102.846235 96.700963) + (xy 102.887069 96.60238) + (xy 102.890228 96.594754) + (xy 102.9005 96.516734) + (xy 102.908207 96.458188) + (xy 102.909285 96.45) + (xy 102.899158 96.373077) + (xy 102.891306 96.313432) + (xy 102.891305 96.31343) + (xy 102.890228 96.305246) + (xy 102.834355 96.170358) + (xy 102.82004 96.151702) + (xy 102.79444 96.085485) + (xy 102.808704 96.015936) + (xy 102.820036 95.998303) + (xy 102.834355 95.979642) + (xy 102.890228 95.844754) + (xy 102.89332 95.821273) + (xy 102.908207 95.708188) + (xy 102.909285 95.7) + (xy 102.898683 95.619467) + (xy 102.891306 95.563432) + (xy 102.891305 95.56343) + (xy 102.890228 95.555246) + (xy 102.834355 95.420358) + (xy 102.800486 95.376219) + (xy 102.774886 95.309999) + (xy 102.789151 95.24045) + (xy 102.800486 95.222812) + (xy 102.826126 95.189397) + (xy 102.826129 95.189393) + (xy 102.831155 95.182842) + (xy 102.850396 95.136392) + (xy 102.883869 95.05558) + (xy 102.887028 95.047954) + (xy 102.898466 94.961077) + (xy 102.905007 94.911388) + (xy 102.906085 94.9032) + (xy 102.887028 94.758446) + (xy 102.831155 94.623558) + (xy 102.742274 94.507726) + (xy 102.735724 94.5027) + (xy 102.735721 94.502697) + (xy 102.632996 94.423873) + (xy 102.632994 94.423872) + (xy 102.626443 94.418845) + (xy 102.491554 94.362972) + (xy 102.3468 94.343915) + (xy 102.202046 94.362972) + (xy 102.201767 94.360855) + (xy 102.142123 94.359429) + (xy 102.083331 94.319629) + (xy 102.05539 94.254362) + (xy 102.0545 94.239416) + (xy 102.0545 94.136392) + (xy 102.048182 94.088404) + (xy 102.031789 94.053248) + (xy 102.021128 93.983058) + (xy 102.031788 93.946754) + (xy 102.048182 93.911596) + (xy 102.0545 93.863608) + (xy 102.0545 93.636392) + (xy 102.048182 93.588404) + (xy 102.031789 93.553248) + (xy 102.021128 93.483058) + (xy 102.030835 93.45) + (xy 106.840715 93.45) + (xy 106.841793 93.458188) + (xy 106.854109 93.551735) + (xy 106.859772 93.594754) + (xy 106.869556 93.618375) + (xy 106.904353 93.70238) + (xy 106.915645 93.729642) + (xy 106.920672 93.736193) + (xy 106.992378 93.829642) + (xy 107.004526 93.845474) + (xy 107.011076 93.8505) + (xy 107.011079 93.850503) + (xy 107.090697 93.911596) + (xy 107.120357 93.934355) + (xy 107.255246 93.990228) + (xy 107.4 94.009285) + (xy 107.408188 94.008207) + (xy 107.536566 93.991306) + (xy 107.544754 93.990228) + (xy 107.679643 93.934355) + (xy 107.709303 93.911596) + (xy 107.788921 93.850503) + (xy 107.788924 93.8505) + (xy 107.795474 93.845474) + (xy 107.807623 93.829642) + (xy 107.879328 93.736193) + (xy 107.884355 93.729642) + (xy 107.895648 93.70238) + (xy 107.930444 93.618375) + (xy 107.940228 93.594754) + (xy 107.945892 93.551735) + (xy 107.94612 93.55) + (xy 112.540715 93.55) + (xy 112.541793 93.558188) + (xy 112.558575 93.68566) + (xy 112.559772 93.694754) + (xy 112.615645 93.829642) + (xy 112.631652 93.850503) + (xy 112.685232 93.920329) + (xy 112.704526 93.945474) + (xy 112.711076 93.9505) + (xy 112.711079 93.950503) + (xy 112.787685 94.009285) + (xy 112.820357 94.034355) + (xy 112.955246 94.090228) + (xy 113.1 94.109285) + (xy 113.108188 94.108207) + (xy 113.236566 94.091306) + (xy 113.244754 94.090228) + (xy 113.379643 94.034355) + (xy 113.412315 94.009285) + (xy 113.488921 93.950503) + (xy 113.488924 93.9505) + (xy 113.495474 93.945474) + (xy 113.514769 93.920329) + (xy 113.568348 93.850503) + (xy 113.584355 93.829642) + (xy 113.640228 93.694754) + (xy 113.641426 93.68566) + (xy 113.658207 93.558188) + (xy 113.659285 93.55) + (xy 113.656006 93.525092) + (xy 113.641306 93.413432) + (xy 113.641305 93.41343) + (xy 113.640228 93.405246) + (xy 113.638055 93.4) + (xy 114.140715 93.4) + (xy 114.141793 93.408188) + (xy 114.148376 93.458188) + (xy 114.159772 93.544754) + (xy 114.162931 93.55238) + (xy 114.211355 93.669284) + (xy 114.215645 93.679642) + (xy 114.304526 93.795474) + (xy 114.311076 93.8005) + (xy 114.311079 93.800503) + (xy 114.387947 93.859486) + (xy 114.420357 93.884355) + (xy 114.555246 93.940228) + (xy 114.7 93.959285) + (xy 114.708188 93.958207) + (xy 114.716491 93.957114) + (xy 114.844754 93.940228) + (xy 114.979643 93.884355) + (xy 115.012053 93.859486) + (xy 115.088921 93.800503) + (xy 115.088924 93.8005) + (xy 115.095474 93.795474) + (xy 115.184355 93.679642) + (xy 115.188646 93.669284) + (xy 115.237069 93.55238) + (xy 115.240228 93.544754) + (xy 115.251625 93.458188) + (xy 115.258207 93.408188) + (xy 115.259285 93.4) + (xy 115.245807 93.29762) + (xy 115.241306 93.263432) + (xy 115.241305 93.26343) + (xy 115.240228 93.255246) + (xy 115.213184 93.189957) + (xy 115.187515 93.127986) + (xy 115.187514 93.127984) + (xy 115.184355 93.120358) + (xy 115.141279 93.06422) + (xy 122.340953 93.06422) + (xy 122.342031 93.072408) + (xy 122.353704 93.161072) + (xy 122.36001 93.208974) + (xy 122.363169 93.2166) + (xy 122.399888 93.305246) + (xy 122.415883 93.343862) + (xy 122.42091 93.350413) + (xy 122.497326 93.45) + (xy 122.504764 93.459694) + (xy 122.511314 93.46472) + (xy 122.511317 93.464723) + (xy 122.604947 93.536568) + (xy 122.620595 93.548575) + (xy 122.755484 93.604448) + (xy 122.763672 93.605526) + (xy 122.861269 93.618375) + (xy 122.926196 93.647098) + (xy 122.965287 93.706363) + (xy 122.969744 93.726851) + (xy 122.977916 93.788923) + (xy 122.9823 93.822226) + (xy 122.989216 93.838923) + (xy 123.033352 93.945474) + (xy 123.038173 93.957114) + (xy 123.127054 94.072946) + (xy 123.133604 94.077972) + (xy 123.133607 94.077975) + (xy 123.236332 94.156799) + (xy 123.242885 94.161827) + (xy 123.377774 94.2177) + (xy 123.522528 94.236757) + (xy 123.530716 94.235679) + (xy 123.659094 94.218778) + (xy 123.667282 94.2177) + (xy 123.802171 94.161827) + (xy 123.808724 94.156799) + (xy 123.911449 94.077975) + (xy 123.911452 94.077972) + (xy 123.918002 94.072946) + (xy 124.006883 93.957114) + (xy 124.011705 93.945474) + (xy 124.05584 93.838923) + (xy 124.062756 93.822226) + (xy 124.067141 93.788923) + (xy 124.079538 93.694754) + (xy 124.081813 93.677472) + (xy 124.070087 93.588404) + (xy 124.063834 93.540904) + (xy 124.063833 93.540902) + (xy 124.062756 93.532718) + (xy 124.025101 93.441812) + (xy 124.010043 93.405458) + (xy 124.010042 93.405456) + (xy 124.006883 93.39783) + (xy 123.918002 93.281998) + (xy 123.911452 93.276972) + (xy 123.911449 93.276969) + (xy 123.808724 93.198145) + (xy 123.808722 93.198144) + (xy 123.802171 93.193117) + (xy 123.667282 93.137244) + (xy 123.645338 93.134355) + (xy 123.561497 93.123317) + (xy 123.49657 93.094594) + (xy 123.457479 93.035329) + (xy 123.453022 93.014841) + (xy 123.452527 93.011077) + (xy 123.448368 92.979489) + (xy 123.441544 92.927652) + (xy 123.441543 92.92765) + (xy 123.440466 92.919466) + (xy 123.415293 92.858694) + (xy 123.387753 92.792206) + (xy 123.387752 92.792204) + (xy 123.384593 92.784578) + (xy 123.295712 92.668746) + (xy 123.289162 92.66372) + (xy 123.289159 92.663717) + (xy 123.186434 92.584893) + (xy 123.186432 92.584892) + (xy 123.179881 92.579865) + (xy 123.044992 92.523992) + (xy 122.900238 92.504935) + (xy 122.876493 92.508061) + (xy 122.76367 92.522914) + (xy 122.763668 92.522915) + (xy 122.755484 92.523992) + (xy 122.707668 92.543798) + (xy 122.628224 92.576705) + (xy 122.628222 92.576706) + (xy 122.620596 92.579865) + (xy 122.504764 92.668746) + (xy 122.415883 92.784578) + (xy 122.412724 92.792204) + (xy 122.412723 92.792206) + (xy 122.385183 92.858694) + (xy 122.36001 92.919466) + (xy 122.358933 92.92765) + (xy 122.358932 92.927652) + (xy 122.35063 92.990715) + (xy 122.340953 93.06422) + (xy 115.141279 93.06422) + (xy 115.129986 93.049503) + (xy 115.100501 93.011077) + (xy 115.1005 93.011076) + (xy 115.095474 93.004526) + (xy 115.088924 92.9995) + (xy 115.088921 92.999497) + (xy 114.986196 92.920673) + (xy 114.986194 92.920672) + (xy 114.979643 92.915645) + (xy 114.844754 92.859772) + (xy 114.7 92.840715) + (xy 114.691812 92.841793) + (xy 114.563432 92.858694) + (xy 114.56343 92.858695) + (xy 114.555246 92.859772) + (xy 114.50743 92.879578) + (xy 114.427986 92.912485) + (xy 114.427984 92.912486) + (xy 114.420358 92.915645) + (xy 114.304526 93.004526) + (xy 114.215645 93.120358) + (xy 114.212486 93.127984) + (xy 114.212485 93.127986) + (xy 114.186816 93.189957) + (xy 114.159772 93.255246) + (xy 114.158695 93.26343) + (xy 114.158694 93.263432) + (xy 114.154193 93.29762) + (xy 114.140715 93.4) + (xy 113.638055 93.4) + (xy 113.598806 93.305246) + (xy 113.587515 93.277986) + (xy 113.587514 93.277984) + (xy 113.584355 93.270358) + (xy 113.520444 93.187068) + (xy 113.500501 93.161077) + (xy 113.5005 93.161076) + (xy 113.495474 93.154526) + (xy 113.488924 93.1495) + (xy 113.488921 93.149497) + (xy 113.386196 93.070673) + (xy 113.386194 93.070672) + (xy 113.379643 93.065645) + (xy 113.244754 93.009772) + (xy 113.1 92.990715) + (xy 113.091812 92.991793) + (xy 112.963432 93.008694) + (xy 112.96343 93.008695) + (xy 112.955246 93.009772) + (xy 112.90743 93.029578) + (xy 112.827986 93.062485) + (xy 112.827984 93.062486) + (xy 112.820358 93.065645) + (xy 112.704526 93.154526) + (xy 112.699503 93.161072) + (xy 112.680168 93.18627) + (xy 112.615645 93.270358) + (xy 112.612486 93.277984) + (xy 112.612485 93.277986) + (xy 112.601194 93.305246) + (xy 112.559772 93.405246) + (xy 112.558695 93.41343) + (xy 112.558694 93.413432) + (xy 112.543994 93.525092) + (xy 112.540715 93.55) + (xy 107.94612 93.55) + (xy 107.958207 93.458188) + (xy 107.959285 93.45) + (xy 107.952971 93.40204) + (xy 107.941306 93.313432) + (xy 107.941305 93.31343) + (xy 107.940228 93.305246) + (xy 107.900479 93.209285) + (xy 107.887515 93.177986) + (xy 107.887514 93.177984) + (xy 107.884355 93.170358) + (xy 107.826219 93.094594) + (xy 107.800501 93.061077) + (xy 107.8005 93.061076) + (xy 107.795474 93.054526) + (xy 107.788924 93.0495) + (xy 107.788921 93.049497) + (xy 107.686196 92.970673) + (xy 107.686194 92.970672) + (xy 107.679643 92.965645) + (xy 107.544754 92.909772) + (xy 107.4 92.890715) + (xy 107.391812 92.891793) + (xy 107.263432 92.908694) + (xy 107.26343 92.908695) + (xy 107.255246 92.909772) + (xy 107.21208 92.927652) + (xy 107.127986 92.962485) + (xy 107.127984 92.962486) + (xy 107.120358 92.965645) + (xy 107.004526 93.054526) + (xy 106.999503 93.061072) + (xy 106.995994 93.065645) + (xy 106.915645 93.170358) + (xy 106.912486 93.177984) + (xy 106.912485 93.177986) + (xy 106.899521 93.209285) + (xy 106.859772 93.305246) + (xy 106.858695 93.31343) + (xy 106.858694 93.313432) + (xy 106.847029 93.40204) + (xy 106.840715 93.45) + (xy 102.030835 93.45) + (xy 102.031788 93.446754) + (xy 102.048182 93.411596) + (xy 102.0545 93.363608) + (xy 102.0545 93.32064) + (xy 102.074502 93.252519) + (xy 102.128158 93.206026) + (xy 102.196946 93.195718) + (xy 102.291812 93.208207) + (xy 102.3 93.209285) + (xy 102.308188 93.208207) + (xy 102.436566 93.191306) + (xy 102.444754 93.190228) + (xy 102.579643 93.134355) + (xy 102.586196 93.129327) + (xy 102.688921 93.050503) + (xy 102.688924 93.0505) + (xy 102.695474 93.045474) + (xy 102.726895 93.004526) + (xy 102.779328 92.936193) + (xy 102.784355 92.929642) + (xy 102.811708 92.863608) + (xy 102.837069 92.80238) + (xy 102.840228 92.794754) + (xy 102.859285 92.65) + (xy 102.84847 92.567849) + (xy 102.850214 92.524887) + (xy 102.851422 92.519274) + (xy 102.85405 92.511792) + (xy 102.8545 92.506596) + (xy 102.8545 92.503888) + (xy 102.854597 92.501635) + (xy 102.85474 92.501159) + (xy 102.854786 92.501161) + (xy 102.854799 92.500962) + (xy 102.856568 92.495045) + (xy 102.854597 92.444876) + (xy 102.8545 92.43993) + (xy 102.8545 92.437115) + (xy 102.874502 92.368994) + (xy 102.890188 92.34953) + (xy 102.895474 92.345474) + (xy 102.900497 92.338928) + (xy 102.900501 92.338924) + (xy 102.98344 92.230836) + (xy 103.040778 92.188969) + (xy 103.099848 92.182618) + (xy 103.206258 92.196627) + (xy 103.214446 92.195549) + (xy 103.342824 92.178648) + (xy 103.351012 92.17757) + (xy 103.485901 92.121697) + (xy 103.492454 92.116669) + (xy 103.595179 92.037845) + (xy 103.595182 92.037842) + (xy 103.601732 92.032816) + (xy 103.690613 91.916984) + (xy 103.70125 91.891306) + (xy 103.742671 91.791306) + (xy 103.746486 91.782096) + (xy 103.751308 91.745474) + (xy 103.764465 91.64553) + (xy 103.765543 91.637342) + (xy 103.746486 91.492588) + (xy 103.708518 91.400926) + (xy 103.693773 91.365328) + (xy 103.693772 91.365326) + (xy 103.690613 91.3577) + (xy 103.630475 91.279327) + (xy 103.607972 91.25) + (xy 105.034465 91.25) + (xy 105.035543 91.258188) + (xy 105.048711 91.358207) + (xy 105.053522 91.394754) + (xy 105.056681 91.40238) + (xy 105.098103 91.50238) + (xy 105.109395 91.529642) + (xy 105.114422 91.536193) + (xy 105.186128 91.629642) + (xy 105.198276 91.645474) + (xy 105.204826 91.6505) + (xy 105.204829 91.650503) + (xy 105.307554 91.729327) + (xy 105.314107 91.734355) + (xy 105.448996 91.790228) + (xy 105.59375 91.809285) + (xy 105.601938 91.808207) + (xy 105.730316 91.791306) + (xy 105.738504 91.790228) + (xy 105.873393 91.734355) + (xy 105.879946 91.729327) + (xy 105.982671 91.650503) + (xy 105.982674 91.6505) + (xy 105.989224 91.645474) + (xy 106.001373 91.629642) + (xy 106.073078 91.536193) + (xy 106.078105 91.529642) + (xy 106.089398 91.50238) + (xy 106.130819 91.40238) + (xy 106.133978 91.394754) + (xy 106.13879 91.358207) + (xy 106.13987 91.35) + (xy 108.984465 91.35) + (xy 108.985687 91.359285) + (xy 109.001987 91.483091) + (xy 109.003522 91.494754) + (xy 109.059395 91.629642) + (xy 109.148276 91.745474) + (xy 109.154826 91.7505) + (xy 109.154829 91.750503) + (xy 109.231435 91.809285) + (xy 109.264107 91.834355) + (xy 109.398996 91.890228) + (xy 109.54375 91.909285) + (xy 109.551938 91.908207) + (xy 109.680316 91.891306) + (xy 109.688504 91.890228) + (xy 109.823393 91.834355) + (xy 109.856065 91.809285) + (xy 109.932671 91.750503) + (xy 109.932674 91.7505) + (xy 109.939224 91.745474) + (xy 110.028105 91.629642) + (xy 110.083978 91.494754) + (xy 110.085514 91.483091) + (xy 110.101813 91.359285) + (xy 110.103035 91.35) + (xy 110.091573 91.262935) + (xy 110.085056 91.213432) + (xy 110.085055 91.21343) + (xy 110.083978 91.205246) + (xy 110.061766 91.151623) + (xy 110.031265 91.077986) + (xy 110.031264 91.077984) + (xy 110.028105 91.070358) + (xy 109.964194 90.987068) + (xy 109.944251 90.961077) + (xy 109.94425 90.961076) + (xy 109.939224 90.954526) + (xy 109.932674 90.9495) + (xy 109.932671 90.949497) + (xy 109.829946 90.870673) + (xy 109.829944 90.870672) + (xy 109.823393 90.865645) + (xy 109.688504 90.809772) + (xy 109.665679 90.806767) + (xy 109.614277 90.8) + (xy 110.840715 90.8) + (xy 110.843722 90.822844) + (xy 110.857061 90.924158) + (xy 110.859772 90.944754) + (xy 110.862931 90.95238) + (xy 110.895495 91.030995) + (xy 110.915645 91.079642) + (xy 110.932421 91.101505) + (xy 110.982871 91.167252) + (xy 111.004526 91.195474) + (xy 111.011076 91.2005) + (xy 111.011079 91.200503) + (xy 111.113804 91.279327) + (xy 111.120357 91.284355) + (xy 111.255246 91.340228) + (xy 111.263434 91.341306) + (xy 111.313233 91.347862) + (xy 111.4 91.359285) + (xy 111.408188 91.358207) + (xy 111.408333 91.358188) + (xy 111.486767 91.347862) + (xy 111.536566 91.341306) + (xy 111.544754 91.340228) + (xy 111.679643 91.284355) + (xy 111.686196 91.279327) + (xy 111.788921 91.200503) + (xy 111.788924 91.2005) + (xy 111.795474 91.195474) + (xy 111.81713 91.167252) + (xy 111.867579 91.101505) + (xy 111.884355 91.079642) + (xy 111.904506 91.030995) + (xy 111.937069 90.95238) + (xy 111.940228 90.944754) + (xy 111.94294 90.924158) + (xy 111.956278 90.822844) + (xy 111.959285 90.8) + (xy 111.949018 90.722014) + (xy 111.941306 90.663432) + (xy 111.941305 90.66343) + (xy 111.940228 90.655246) + (xy 111.913296 90.590228) + (xy 111.887515 90.527986) + (xy 111.887514 90.527984) + (xy 111.884355 90.520358) + (xy 111.830367 90.45) + (xy 111.800501 90.411077) + (xy 111.8005 90.411076) + (xy 111.795474 90.404526) + (xy 111.788924 90.3995) + (xy 111.788921 90.399497) + (xy 111.686196 90.320673) + (xy 111.686194 90.320672) + (xy 111.679643 90.315645) + (xy 111.544754 90.259772) + (xy 111.526631 90.257386) + (xy 111.411629 90.242246) + (xy 111.4 90.240715) + (xy 111.388371 90.242246) + (xy 111.263432 90.258694) + (xy 111.26343 90.258695) + (xy 111.255246 90.259772) + (xy 111.236843 90.267395) + (xy 111.127986 90.312485) + (xy 111.127984 90.312486) + (xy 111.120358 90.315645) + (xy 111.090587 90.338489) + (xy 111.012308 90.398555) + (xy 111.004526 90.404526) + (xy 110.915645 90.520358) + (xy 110.912486 90.527984) + (xy 110.912485 90.527986) + (xy 110.886704 90.590228) + (xy 110.859772 90.655246) + (xy 110.858695 90.66343) + (xy 110.858694 90.663432) + (xy 110.850982 90.722014) + (xy 110.840715 90.8) + (xy 109.614277 90.8) + (xy 109.54375 90.790715) + (xy 109.520947 90.793717) + (xy 109.407182 90.808694) + (xy 109.40718 90.808695) + (xy 109.398996 90.809772) + (xy 109.367438 90.822844) + (xy 109.271736 90.862485) + (xy 109.271734 90.862486) + (xy 109.264108 90.865645) + (xy 109.148276 90.954526) + (xy 109.143253 90.961072) + (xy 109.128006 90.980943) + (xy 109.059395 91.070358) + (xy 109.056236 91.077984) + (xy 109.056235 91.077986) + (xy 109.025734 91.151623) + (xy 109.003522 91.205246) + (xy 109.002445 91.21343) + (xy 109.002444 91.213432) + (xy 108.995927 91.262935) + (xy 108.984465 91.35) + (xy 106.13987 91.35) + (xy 106.151957 91.258188) + (xy 106.153035 91.25) + (xy 106.139847 91.149827) + (xy 106.135056 91.113432) + (xy 106.135055 91.11343) + (xy 106.133978 91.105246) + (xy 106.096669 91.015175) + (xy 106.081265 90.977986) + (xy 106.081264 90.977984) + (xy 106.078105 90.970358) + (xy 106.008614 90.879795) + (xy 105.994251 90.861077) + (xy 105.99425 90.861076) + (xy 105.989224 90.854526) + (xy 105.982674 90.8495) + (xy 105.982671 90.849497) + (xy 105.879946 90.770673) + (xy 105.879944 90.770672) + (xy 105.873393 90.765645) + (xy 105.738504 90.709772) + (xy 105.59375 90.690715) + (xy 105.585562 90.691793) + (xy 105.457182 90.708694) + (xy 105.45718 90.708695) + (xy 105.448996 90.709772) + (xy 105.406856 90.727227) + (xy 105.321736 90.762485) + (xy 105.321734 90.762486) + (xy 105.314108 90.765645) + (xy 105.198276 90.854526) + (xy 105.109395 90.970358) + (xy 105.106236 90.977984) + (xy 105.106235 90.977986) + (xy 105.090831 91.015175) + (xy 105.053522 91.105246) + (xy 105.052445 91.11343) + (xy 105.052444 91.113432) + (xy 105.047653 91.149827) + (xy 105.034465 91.25) + (xy 103.607972 91.25) + (xy 103.606759 91.248419) + (xy 103.606758 91.248418) + (xy 103.601732 91.241868) + (xy 103.595182 91.236842) + (xy 103.595179 91.236839) + (xy 103.492454 91.158015) + (xy 103.492452 91.158014) + (xy 103.485901 91.152987) + (xy 103.351012 91.097114) + (xy 103.206258 91.078057) + (xy 103.19807 91.079135) + (xy 103.06969 91.096036) + (xy 103.069688 91.096037) + (xy 103.061504 91.097114) + (xy 103.041872 91.105246) + (xy 102.934244 91.149827) + (xy 102.934242 91.149828) + (xy 102.926616 91.152987) + (xy 102.810784 91.241868) + (xy 102.805761 91.248414) + (xy 102.805757 91.248418) + (xy 102.722818 91.356506) + (xy 102.66548 91.398373) + (xy 102.60641 91.404724) + (xy 102.5 91.390715) + (xy 102.356712 91.409579) + (xy 102.305487 91.405762) + (xy 102.285423 91.4) + (xy 101.942857 91.4) + (xy 101.889607 91.388195) + (xy 101.820329 91.35589) + (xy 101.820328 91.35589) + (xy 101.811596 91.351818) + (xy 101.802043 91.35056) + (xy 101.792785 91.347862) + (xy 101.793366 91.345868) + (xy 101.739864 91.3222) + (xy 101.700772 91.262935) + (xy 101.699927 91.191943) + (xy 101.737597 91.131764) + (xy 101.801822 91.101505) + (xy 101.821237 91.1) + (xy 102.285042 91.1) + (xy 102.298813 91.095956) + (xy 102.300842 91.082417) + (xy 102.294072 91.030995) + (xy 102.289833 91.015175) + (xy 102.275654 90.980943) + (xy 102.268065 90.910353) + (xy 102.271829 90.895046) + (xy 102.299494 90.806767) + (xy 102.302107 90.793717) + (xy 102.304099 90.772039) + (xy 102.301178 90.757164) + (xy 102.289284 90.754) + (xy 102.226 90.754) + (xy 102.157879 90.733998) + (xy 102.111386 90.680342) + (xy 102.1 90.628) + (xy 102.1 90.372) + (xy 102.120002 90.303879) + (xy 102.173658 90.257386) + (xy 102.226 90.246) + (xy 102.287642 90.246) + (xy 102.302187 90.241729) + (xy 102.30425 90.229595) + (xy 102.302107 90.206283) + (xy 102.299494 90.193234) + (xy 102.254332 90.049121) + (xy 102.248125 90.035375) + (xy 102.170361 89.90697) + (xy 102.161048 89.895093) + (xy 102.054907 89.788952) + (xy 102.04303 89.779639) + (xy 101.914625 89.701875) + (xy 101.900879 89.695668) + (xy 101.756765 89.650506) + (xy 101.743718 89.647893) + (xy 101.682479 89.642266) + (xy 101.67669 89.642) + (xy 101.372115 89.642) + (xy 101.356876 89.646475) + (xy 101.355671 89.647865) + (xy 101.354 89.655548) + (xy 101.354 89.724) + (xy 101.333998 89.792121) + (xy 101.280342 89.838614) + (xy 101.228 89.85) + (xy 100.972 89.85) + (xy 100.903879 89.829998) + (xy 100.857386 89.776342) + (xy 100.846 89.724) + (xy 100.846 89.660115) + (xy 100.841525 89.644876) + (xy 100.840135 89.643671) + (xy 100.832452 89.642) + (xy 100.52331 89.642) + (xy 100.517521 89.642266) + (xy 100.456282 89.647893) + (xy 100.443238 89.650505) + (xy 100.41818 89.658358) + (xy 100.347195 89.659643) + (xy 100.286784 89.622346) + (xy 100.256127 89.55831) + (xy 100.2545 89.538124) + (xy 100.2545 89.087476) + (xy 100.256921 89.062894) + (xy 100.257065 89.06217) + (xy 100.259486 89.05) + (xy 100.257065 89.037828) + (xy 100.257065 89.025421) + (xy 100.257705 89.025421) + (xy 100.257029 89.014639) + (xy 100.258452 88.994754) + (xy 100.268805 88.85) + (xy 110.840715 88.85) + (xy 110.841793 88.858188) + (xy 110.858422 88.984497) + (xy 110.859772 88.994754) + (xy 110.862931 89.00238) + (xy 110.902185 89.097146) + (xy 110.915645 89.129642) + (xy 110.920672 89.136193) + (xy 110.987224 89.222925) + (xy 111.004526 89.245474) + (xy 111.011076 89.2505) + (xy 111.011079 89.250503) + (xy 111.113804 89.329327) + (xy 111.120357 89.334355) + (xy 111.255246 89.390228) + (xy 111.4 89.409285) + (xy 111.408188 89.408207) + (xy 111.536566 89.391306) + (xy 111.544754 89.390228) + (xy 111.679643 89.334355) + (xy 111.686196 89.329327) + (xy 111.788921 89.250503) + (xy 111.788924 89.2505) + (xy 111.795474 89.245474) + (xy 111.812777 89.222925) + (xy 111.879328 89.136193) + (xy 111.884355 89.129642) + (xy 111.897816 89.097146) + (xy 111.937069 89.00238) + (xy 111.940228 88.994754) + (xy 111.941579 88.984497) + (xy 111.958207 88.858188) + (xy 111.959285 88.85) + (xy 111.949625 88.776624) + (xy 111.941306 88.713432) + (xy 111.941305 88.71343) + (xy 111.940228 88.705246) + (xy 111.912817 88.639071) + (xy 111.887515 88.577986) + (xy 111.887514 88.577984) + (xy 111.884355 88.570358) + (xy 111.828052 88.496982) + (xy 111.800501 88.461077) + (xy 111.8005 88.461076) + (xy 111.795474 88.454526) + (xy 111.788924 88.4495) + (xy 111.788921 88.449497) + (xy 111.686196 88.370673) + (xy 111.686194 88.370672) + (xy 111.679643 88.365645) + (xy 111.544754 88.309772) + (xy 111.4 88.290715) + (xy 111.391812 88.291793) + (xy 111.263432 88.308694) + (xy 111.26343 88.308695) + (xy 111.255246 88.309772) + (xy 111.218741 88.324893) + (xy 111.127986 88.362485) + (xy 111.127984 88.362486) + (xy 111.120358 88.365645) + (xy 111.004526 88.454526) + (xy 110.915645 88.570358) + (xy 110.912486 88.577984) + (xy 110.912485 88.577986) + (xy 110.887183 88.639071) + (xy 110.859772 88.705246) + (xy 110.858695 88.71343) + (xy 110.858694 88.713432) + (xy 110.850375 88.776624) + (xy 110.840715 88.85) + (xy 100.268805 88.85) + (xy 100.271624 88.81058) + (xy 100.274182 88.792786) + (xy 100.32329 88.56704) + (xy 100.328355 88.549791) + (xy 100.351057 88.488923) + (xy 100.40909 88.33333) + (xy 100.416556 88.316983) + (xy 100.423712 88.303879) + (xy 100.455996 88.244754) + (xy 100.527275 88.114218) + (xy 100.536994 88.099095) + (xy 100.675441 87.914152) + (xy 100.687214 87.900566) + (xy 100.850566 87.737214) + (xy 100.864152 87.725441) + (xy 101.049095 87.586994) + (xy 101.064218 87.577275) + (xy 101.214533 87.495196) + (xy 101.266985 87.466555) + (xy 101.28333 87.45909) + (xy 101.451294 87.396443) + (xy 101.499791 87.378355) + (xy 101.51704 87.37329) + (xy 101.742786 87.324182) + (xy 101.76058 87.321624) + (xy 101.780752 87.320181) + (xy 101.964642 87.307029) + (xy 101.975421 87.307705) + (xy 101.975421 87.307065) + (xy 101.987828 87.307065) + (xy 102 87.309486) + (xy 102.012897 87.306921) + (xy 102.037476 87.3045) + (xy 102.517371 87.3045) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 106.663532 98.800504) + (xy 106.720368 98.843051) + (xy 106.745179 98.909571) + (xy 106.7455 98.91856) + (xy 106.7455 100.097756) + (xy 106.752202 100.159448) + (xy 106.754974 100.166841) + (xy 106.754974 100.166843) + (xy 106.760889 100.18262) + (xy 106.802929 100.294764) + (xy 106.808309 100.301943) + (xy 106.808311 100.301946) + (xy 106.826019 100.325573) + (xy 106.889596 100.410404) + (xy 106.914089 100.42876) + (xy 106.967346 100.468674) + (xy 107.009861 100.525533) + (xy 107.014887 100.596351) + (xy 106.980827 100.658645) + (xy 106.918496 100.692635) + (xy 106.891781 100.6955) + (xy 106.108219 100.6955) + (xy 106.040098 100.675498) + (xy 105.993605 100.621842) + (xy 105.983501 100.551568) + (xy 106.012995 100.486988) + (xy 106.032654 100.468674) + (xy 106.085912 100.42876) + (xy 106.110404 100.410404) + (xy 106.173981 100.325573) + (xy 106.191689 100.301946) + (xy 106.191691 100.301943) + (xy 106.197071 100.294764) + (xy 106.239111 100.18262) + (xy 106.245026 100.166843) + (xy 106.245026 100.166841) + (xy 106.247798 100.159448) + (xy 106.2545 100.097756) + (xy 106.2545 99.15756) + (xy 106.274502 99.089439) + (xy 106.291405 99.068465) + (xy 106.530405 98.829465) + (xy 106.592717 98.795439) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 120.959902 87.324502) + (xy 121.006395 87.378158) + (xy 121.016499 87.448432) + (xy 120.987005 87.513012) + (xy 120.967347 87.531325) + (xy 120.889596 87.589596) + (xy 120.877282 87.606026) + (xy 120.808311 87.698054) + (xy 120.808309 87.698057) + (xy 120.802929 87.705236) + (xy 120.797142 87.720673) + (xy 120.763252 87.811077) + (xy 120.752202 87.840552) + (xy 120.7455 87.902244) + (xy 120.7455 89.097756) + (xy 120.752202 89.159448) + (xy 120.802929 89.294764) + (xy 120.808309 89.301943) + (xy 120.808311 89.301946) + (xy 120.872107 89.387068) + (xy 120.889596 89.410404) + (xy 120.896776 89.415785) + (xy 120.998054 89.491689) + (xy 120.998057 89.491691) + (xy 121.005236 89.497071) + (xy 121.054783 89.515645) + (xy 121.101229 89.533057) + (xy 121.157994 89.575698) + (xy 121.182694 89.64226) + (xy 121.183 89.651039) + (xy 121.183 89.984659) + (xy 121.162998 90.05278) + (xy 121.146095 90.073754) + (xy 121.038382 90.181467) + (xy 120.97607 90.215493) + (xy 120.932843 90.217294) + (xy 120.896377 90.212493) + (xy 120.888189 90.213571) + (xy 120.759816 90.230471) + (xy 120.759813 90.230472) + (xy 120.751623 90.23155) + (xy 120.750975 90.231819) + (xy 120.6835 90.230212) + (xy 120.624704 90.190418) + (xy 120.596756 90.125154) + (xy 120.596943 90.093749) + (xy 120.608207 90.008188) + (xy 120.609285 90) + (xy 120.599063 89.922352) + (xy 120.591306 89.863432) + (xy 120.591305 89.86343) + (xy 120.590228 89.855246) + (xy 120.556611 89.774087) + (xy 120.537515 89.727986) + (xy 120.537514 89.727984) + (xy 120.534355 89.720358) + (xy 120.477663 89.646475) + (xy 120.450501 89.611077) + (xy 120.4505 89.611076) + (xy 120.445474 89.604526) + (xy 120.438924 89.5995) + (xy 120.438921 89.599497) + (xy 120.336196 89.520673) + (xy 120.336194 89.520672) + (xy 120.329643 89.515645) + (xy 120.25156 89.483302) + (xy 120.196279 89.438754) + (xy 120.173858 89.37139) + (xy 120.189258 89.306384) + (xy 120.191688 89.301946) + (xy 120.197071 89.294764) + (xy 120.213664 89.250503) + (xy 120.245026 89.166843) + (xy 120.245026 89.166841) + (xy 120.247798 89.159448) + (xy 120.2545 89.097756) + (xy 120.2545 87.902244) + (xy 120.247798 87.840552) + (xy 120.236749 87.811077) + (xy 120.202858 87.720673) + (xy 120.197071 87.705236) + (xy 120.191691 87.698057) + (xy 120.191689 87.698054) + (xy 120.122718 87.606026) + (xy 120.110404 87.589596) + (xy 120.032654 87.531326) + (xy 119.990139 87.474467) + (xy 119.985113 87.403649) + (xy 120.019173 87.341355) + (xy 120.081504 87.307365) + (xy 120.108219 87.3045) + (xy 120.891781 87.3045) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 126.648955 87.590451) + (xy 126.676329 87.606026) + (xy 126.835848 87.725441) + (xy 126.849434 87.737214) + (xy 127.012786 87.900566) + (xy 127.024559 87.914152) + (xy 127.163006 88.099095) + (xy 127.172725 88.114218) + (xy 127.244004 88.244754) + (xy 127.276289 88.303879) + (xy 127.283444 88.316983) + (xy 127.29091 88.33333) + (xy 127.348943 88.488923) + (xy 127.371645 88.549791) + (xy 127.37671 88.56704) + (xy 127.425818 88.792786) + (xy 127.428376 88.81058) + (xy 127.441549 88.994754) + (xy 127.442971 89.014639) + (xy 127.442295 89.025421) + (xy 127.442935 89.025421) + (xy 127.442935 89.037828) + (xy 127.440514 89.05) + (xy 127.442935 89.06217) + (xy 127.443079 89.062894) + (xy 127.4455 89.087476) + (xy 127.4455 89.574) + (xy 127.425498 89.642121) + (xy 127.371842 89.688614) + (xy 127.3195 89.7) + (xy 126.465482 89.7) + (xy 126.397361 89.679998) + (xy 126.350868 89.626342) + (xy 126.340764 89.556068) + (xy 126.358222 89.507884) + (xy 126.437816 89.378757) + (xy 126.443963 89.365576) + (xy 126.495138 89.21129) + (xy 126.498005 89.197914) + (xy 126.507672 89.103562) + (xy 126.508 89.097146) + (xy 126.508 88.772115) + (xy 126.503525 88.756876) + (xy 126.502135 88.755671) + (xy 126.494452 88.754) + (xy 125.372 88.754) + (xy 125.303879 88.733998) + (xy 125.257386 88.680342) + (xy 125.246 88.628) + (xy 125.246 88.372) + (xy 125.266002 88.303879) + (xy 125.319658 88.257386) + (xy 125.372 88.246) + (xy 126.489884 88.246) + (xy 126.505123 88.241525) + (xy 126.506328 88.240135) + (xy 126.507999 88.232452) + (xy 126.507999 87.902905) + (xy 126.507662 87.896386) + (xy 126.497743 87.800794) + (xy 126.494851 87.7874) + (xy 126.481296 87.74677) + (xy 126.478712 87.67582) + (xy 126.514896 87.614736) + (xy 126.57836 87.582912) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 116.959902 87.324502) + (xy 117.006395 87.378158) + (xy 117.016499 87.448432) + (xy 116.987005 87.513012) + (xy 116.967347 87.531325) + (xy 116.889596 87.589596) + (xy 116.877282 87.606026) + (xy 116.808311 87.698054) + (xy 116.808309 87.698057) + (xy 116.802929 87.705236) + (xy 116.797142 87.720673) + (xy 116.763252 87.811077) + (xy 116.752202 87.840552) + (xy 116.7455 87.902244) + (xy 116.7455 88.844924) + (xy 116.725498 88.913045) + (xy 116.671842 88.959538) + (xy 116.601568 88.969642) + (xy 116.536988 88.940148) + (xy 116.503091 88.893143) + (xy 116.473755 88.82232) + (xy 116.473754 88.822318) + (xy 116.470595 88.814692) + (xy 116.408676 88.733998) + (xy 116.386741 88.705411) + (xy 116.38674 88.70541) + (xy 116.381714 88.69886) + (xy 116.375164 88.693834) + (xy 116.375161 88.693831) + (xy 116.303796 88.639071) + (xy 116.261929 88.581733) + (xy 116.2545 88.539109) + (xy 116.2545 87.902244) + (xy 116.247798 87.840552) + (xy 116.236749 87.811077) + (xy 116.202858 87.720673) + (xy 116.197071 87.705236) + (xy 116.191691 87.698057) + (xy 116.191689 87.698054) + (xy 116.122718 87.606026) + (xy 116.110404 87.589596) + (xy 116.032654 87.531326) + (xy 115.990139 87.474467) + (xy 115.985113 87.403649) + (xy 116.019173 87.341355) + (xy 116.081504 87.307365) + (xy 116.108219 87.3045) + (xy 116.891781 87.3045) + ) + ) + ) + (zone (net 2) (net_name "+5V") (layer "In2.Cu") (tstamp cc81c893-846e-4f11-a6d1-cb4718edd993) (hatch edge 0.508) + (connect_pads (clearance 0.254)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 107.95 100.75) + (xy 100 100.75) + (xy 100 87.25) + (xy 107.95 87.25) + ) + ) + (filled_polygon + (layer "In2.Cu") + (pts + (xy 107.892121 87.520502) + (xy 107.938614 87.574158) + (xy 107.95 87.6265) + (xy 107.95 89.222025) + (xy 107.944076 89.259425) + (xy 107.940281 89.266874) + (xy 107.93873 89.276668) + (xy 107.936528 89.29057) + (xy 107.931914 89.30979) + (xy 107.9245 89.332607) + (xy 107.9245 93.068562) + (xy 107.904498 93.136683) + (xy 107.850842 93.183176) + (xy 107.780568 93.19328) + (xy 107.715988 93.163786) + (xy 107.709405 93.157657) + (xy 107.65322 93.101472) + (xy 107.533126 93.040281) + (xy 107.523337 93.038731) + (xy 107.523335 93.03873) + (xy 107.409793 93.020747) + (xy 107.4 93.019196) + (xy 107.390207 93.020747) + (xy 107.276665 93.03873) + (xy 107.276663 93.038731) + (xy 107.266874 93.040281) + (xy 107.14678 93.101472) + (xy 107.051472 93.19678) + (xy 106.990281 93.316874) + (xy 106.969196 93.45) + (xy 106.970747 93.459793) + (xy 106.981704 93.528972) + (xy 106.972604 93.599383) + (xy 106.94635 93.637778) + (xy 106.340166 94.243962) + (xy 106.339628 94.244442) + (xy 106.329957 94.249086) + (xy 106.321096 94.260166) + (xy 106.321095 94.260167) + (xy 106.314013 94.269023) + (xy 106.30912 94.27449) + (xy 106.307078 94.27705) + (xy 106.302076 94.282052) + (xy 106.298312 94.288041) + (xy 106.295921 94.291038) + (xy 106.292047 94.29649) + (xy 106.276387 94.316072) + (xy 106.273902 94.326879) + (xy 106.268002 94.336266) + (xy 106.266408 94.350364) + (xy 106.265187 94.361162) + (xy 106.264012 94.368037) + (xy 106.263598 94.371688) + (xy 106.262 94.378637) + (xy 106.262 94.385771) + (xy 106.261607 94.389235) + (xy 106.261202 94.396411) + (xy 106.258366 94.421495) + (xy 106.261952 94.431763) + (xy 106.262 94.432625) + (xy 106.262 98.319938) + (xy 106.241998 98.388059) + (xy 106.225095 98.409033) + (xy 104.678214 99.955914) + (xy 104.615902 99.98994) + (xy 104.589119 99.992819) + (xy 102.922143 99.992819) + (xy 102.854022 99.972817) + (xy 102.820207 99.94088) + (xy 102.81698 99.936438) + (xy 102.812476 99.927599) + (xy 102.717168 99.832291) + (xy 102.597074 99.7711) + (xy 102.587285 99.76955) + (xy 102.587283 99.769549) + (xy 102.473741 99.751566) + (xy 102.463948 99.750015) + (xy 102.454155 99.751566) + (xy 102.340613 99.769549) + (xy 102.340611 99.76955) + (xy 102.330822 99.7711) + (xy 102.210728 99.832291) + (xy 102.11542 99.927599) + (xy 102.054229 100.047693) + (xy 102.052679 100.057482) + (xy 102.052678 100.057484) + (xy 102.042535 100.121528) + (xy 102.033144 100.180819) + (xy 102.054229 100.313945) + (xy 102.058731 100.32278) + (xy 102.061116 100.330121) + (xy 102.063144 100.401089) + (xy 102.026481 100.461887) + (xy 101.962769 100.493212) + (xy 101.932394 100.494744) + (xy 101.788086 100.484535) + (xy 101.770281 100.481988) + (xy 101.571855 100.438951) + (xy 101.554584 100.43389) + (xy 101.364317 100.363025) + (xy 101.347944 100.355555) + (xy 101.328459 100.344923) + (xy 101.169713 100.258305) + (xy 101.154581 100.248585) + (xy 100.992017 100.126931) + (xy 100.978415 100.115146) + (xy 100.834854 99.971585) + (xy 100.823069 99.957983) + (xy 100.800331 99.927599) + (xy 100.701415 99.795419) + (xy 100.691695 99.780287) + (xy 100.594445 99.602056) + (xy 100.586975 99.585683) + (xy 100.51611 99.395416) + (xy 100.511049 99.378146) + (xy 100.484111 99.253947) + (xy 100.468012 99.179719) + (xy 100.465464 99.161909) + (xy 100.45321 98.988682) + (xy 100.45384 98.973797) + (xy 100.453588 98.973794) + (xy 100.453706 98.964814) + (xy 100.455094 98.955947) + (xy 100.453904 98.94678) + (xy 100.45155 98.928664) + (xy 100.4505 98.912433) + (xy 100.4505 98) + (xy 101.969196 98) + (xy 101.970747 98.009793) + (xy 101.976956 98.048992) + (xy 101.990281 98.133126) + (xy 102.051472 98.25322) + (xy 102.14678 98.348528) + (xy 102.266874 98.409719) + (xy 102.276663 98.411269) + (xy 102.276665 98.41127) + (xy 102.390207 98.429253) + (xy 102.4 98.430804) + (xy 102.409793 98.429253) + (xy 102.523335 98.41127) + (xy 102.523337 98.411269) + (xy 102.533126 98.409719) + (xy 102.65322 98.348528) + (xy 102.748528 98.25322) + (xy 102.809719 98.133126) + (xy 102.823045 98.048992) + (xy 102.829253 98.009793) + (xy 102.830804 98) + (xy 102.825317 97.965358) + (xy 102.81127 97.876665) + (xy 102.811269 97.876663) + (xy 102.809719 97.866874) + (xy 102.748528 97.74678) + (xy 102.746858 97.74511) + (xy 102.72441 97.682194) + (xy 102.740489 97.613043) + (xy 102.743697 97.608051) + (xy 102.748528 97.60322) + (xy 102.809719 97.483126) + (xy 102.81352 97.459131) + (xy 102.829253 97.359793) + (xy 102.830804 97.35) + (xy 102.822185 97.295581) + (xy 102.81127 97.226665) + (xy 102.811269 97.226663) + (xy 102.809719 97.216874) + (xy 102.748528 97.09678) + (xy 102.65322 97.001472) + (xy 102.533126 96.940281) + (xy 102.523337 96.938731) + (xy 102.523335 96.93873) + (xy 102.409793 96.920747) + (xy 102.4 96.919196) + (xy 102.390207 96.920747) + (xy 102.276665 96.93873) + (xy 102.276663 96.938731) + (xy 102.266874 96.940281) + (xy 102.14678 97.001472) + (xy 102.051472 97.09678) + (xy 101.990281 97.216874) + (xy 101.988731 97.226663) + (xy 101.98873 97.226665) + (xy 101.977815 97.295581) + (xy 101.969196 97.35) + (xy 101.970747 97.359793) + (xy 101.986481 97.459131) + (xy 101.990281 97.483126) + (xy 102.051472 97.60322) + (xy 102.053142 97.60489) + (xy 102.07559 97.667806) + (xy 102.059511 97.736957) + (xy 102.056303 97.741949) + (xy 102.051472 97.74678) + (xy 101.990281 97.866874) + (xy 101.988731 97.876663) + (xy 101.98873 97.876665) + (xy 101.974683 97.965358) + (xy 101.969196 98) + (xy 100.4505 98) + (xy 100.4505 97.116055) + (xy 100.451839 97.104353) + (xy 100.451319 97.104312) + (xy 100.452058 97.094921) + (xy 100.454185 97.085745) + (xy 100.450815 97.03815) + (xy 100.4505 97.029252) + (xy 100.4505 97.01615) + (xy 100.448886 97.005414) + (xy 100.447801 96.995582) + (xy 100.445282 96.960013) + (xy 100.444617 96.950616) + (xy 100.441217 96.941829) + (xy 100.439355 96.933498) + (xy 100.436849 96.925351) + (xy 100.435449 96.916038) + (xy 100.415933 96.875396) + (xy 100.412006 96.866321) + (xy 100.399136 96.833055) + (xy 100.395739 96.824274) + (xy 100.389909 96.816879) + (xy 100.385682 96.809468) + (xy 100.380885 96.802409) + (xy 100.376809 96.793921) + (xy 100.370418 96.787007) + (xy 100.3462 96.760807) + (xy 100.339779 96.753288) + (xy 100.317705 96.725288) + (xy 100.317702 96.725285) + (xy 100.311872 96.71789) + (xy 100.304126 96.712537) + (xy 100.297902 96.706692) + (xy 100.291248 96.701361) + (xy 100.284854 96.694444) + (xy 100.245862 96.671796) + (xy 100.237509 96.666495) + (xy 100.208178 96.646223) + (xy 100.208177 96.646222) + (xy 100.200431 96.640869) + (xy 100.191452 96.638029) + (xy 100.183781 96.634271) + (xy 100.175854 96.631132) + (xy 100.167713 96.626404) + (xy 100.158546 96.624279) + (xy 100.158543 96.624278) + (xy 100.123793 96.616224) + (xy 100.11425 96.613613) + (xy 100.088006 96.605313) + (xy 100.029087 96.565701) + (xy 100.000938 96.500523) + (xy 100 96.485178) + (xy 100 94.9032) + (xy 101.915996 94.9032) + (xy 101.937081 95.036326) + (xy 101.998272 95.15642) + (xy 102.09358 95.251728) + (xy 102.213674 95.312919) + (xy 102.223463 95.314469) + (xy 102.223465 95.31447) + (xy 102.337007 95.332453) + (xy 102.3468 95.334004) + (xy 102.356593 95.332453) + (xy 102.470135 95.31447) + (xy 102.470137 95.314469) + (xy 102.479926 95.312919) + (xy 102.60002 95.251728) + (xy 102.695328 95.15642) + (xy 102.756519 95.036326) + (xy 102.777604 94.9032) + (xy 102.772347 94.87001) + (xy 102.781446 94.799599) + (xy 102.807701 94.761204) + (xy 103.359186 94.209719) + (xy 103.36397 94.205178) + (xy 103.392767 94.179249) + (xy 103.401013 94.160727) + (xy 103.410441 94.143364) + (xy 103.421484 94.12636) + (xy 103.423556 94.113279) + (xy 103.425338 94.108636) + (xy 103.426372 94.10377) + (xy 103.431758 94.091674) + (xy 103.431758 94.071408) + (xy 103.433309 94.051697) + (xy 103.434409 94.044753) + (xy 103.43648 94.031677) + (xy 103.433053 94.018888) + (xy 103.43236 94.00566) + (xy 103.432834 94.005635) + (xy 103.431758 93.997465) + (xy 103.431758 92.35) + (xy 105.212946 92.35) + (xy 105.234031 92.483126) + (xy 105.295222 92.60322) + (xy 105.39053 92.698528) + (xy 105.510624 92.759719) + (xy 105.520413 92.761269) + (xy 105.520415 92.76127) + (xy 105.633957 92.779253) + (xy 105.64375 92.780804) + (xy 105.653543 92.779253) + (xy 105.767085 92.76127) + (xy 105.767087 92.761269) + (xy 105.776876 92.759719) + (xy 105.89697 92.698528) + (xy 105.992278 92.60322) + (xy 106.053469 92.483126) + (xy 106.074554 92.35) + (xy 106.053469 92.216874) + (xy 105.992278 92.09678) + (xy 105.89697 92.001472) + (xy 105.776876 91.940281) + (xy 105.767087 91.938731) + (xy 105.767085 91.93873) + (xy 105.653543 91.920747) + (xy 105.64375 91.919196) + (xy 105.633957 91.920747) + (xy 105.520415 91.93873) + (xy 105.520413 91.938731) + (xy 105.510624 91.940281) + (xy 105.39053 92.001472) + (xy 105.295222 92.09678) + (xy 105.234031 92.216874) + (xy 105.212946 92.35) + (xy 103.431758 92.35) + (xy 103.431758 92.06578) + (xy 103.45176 91.997659) + (xy 103.468663 91.976685) + (xy 103.554786 91.890562) + (xy 103.615977 91.770468) + (xy 103.637062 91.637342) + (xy 103.627862 91.579253) + (xy 103.617528 91.514007) + (xy 103.617527 91.514005) + (xy 103.615977 91.504216) + (xy 103.554786 91.384122) + (xy 103.459478 91.288814) + (xy 103.339384 91.227623) + (xy 103.329595 91.226073) + (xy 103.329593 91.226072) + (xy 103.216051 91.208089) + (xy 103.206258 91.206538) + (xy 103.196465 91.208089) + (xy 103.082923 91.226072) + (xy 103.082921 91.226073) + (xy 103.073132 91.227623) + (xy 102.953038 91.288814) + (xy 102.85773 91.384122) + (xy 102.796539 91.504216) + (xy 102.794989 91.514005) + (xy 102.794988 91.514007) + (xy 102.784654 91.579253) + (xy 102.775454 91.637342) + (xy 102.796539 91.770468) + (xy 102.85773 91.890562) + (xy 102.943853 91.976685) + (xy 102.977879 92.038997) + (xy 102.980758 92.06578) + (xy 102.980758 93.898145) + (xy 102.960756 93.966266) + (xy 102.943854 93.98724) + (xy 102.488796 94.442299) + (xy 102.426483 94.476324) + (xy 102.37999 94.477653) + (xy 102.3468 94.472396) + (xy 102.337007 94.473947) + (xy 102.223465 94.49193) + (xy 102.223463 94.491931) + (xy 102.213674 94.493481) + (xy 102.09358 94.554672) + (xy 101.998272 94.64998) + (xy 101.937081 94.770074) + (xy 101.935531 94.779863) + (xy 101.93553 94.779865) + (xy 101.931296 94.806601) + (xy 101.915996 94.9032) + (xy 100 94.9032) + (xy 100 91.516342) + (xy 100.020002 91.448221) + (xy 100.073658 91.401728) + (xy 100.093603 91.395026) + (xy 100.099384 91.394617) + (xy 100.108168 91.391218) + (xy 100.116498 91.389356) + (xy 100.124648 91.386849) + (xy 100.133962 91.385449) + (xy 100.174604 91.365933) + (xy 100.183679 91.362006) + (xy 100.216945 91.349136) + (xy 100.216944 91.349136) + (xy 100.225726 91.345739) + (xy 100.233121 91.339909) + (xy 100.240532 91.335682) + (xy 100.247591 91.330885) + (xy 100.256079 91.326809) + (xy 100.262993 91.320418) + (xy 100.289193 91.2962) + (xy 100.296712 91.289779) + (xy 100.324712 91.267705) + (xy 100.324715 91.267702) + (xy 100.33211 91.261872) + (xy 100.337463 91.254126) + (xy 100.343308 91.247902) + (xy 100.348639 91.241248) + (xy 100.355556 91.234854) + (xy 100.378204 91.195862) + (xy 100.383505 91.187509) + (xy 100.403777 91.158178) + (xy 100.403778 91.158177) + (xy 100.409131 91.150431) + (xy 100.409267 91.15) + (xy 101.769196 91.15) + (xy 101.770747 91.159793) + (xy 101.787839 91.267705) + (xy 101.790281 91.283126) + (xy 101.851472 91.40322) + (xy 101.94678 91.498528) + (xy 102.066874 91.559719) + (xy 102.076663 91.561269) + (xy 102.076665 91.56127) + (xy 102.190207 91.579253) + (xy 102.2 91.580804) + (xy 102.209793 91.579253) + (xy 102.323335 91.56127) + (xy 102.323337 91.561269) + (xy 102.333126 91.559719) + (xy 102.45322 91.498528) + (xy 102.548528 91.40322) + (xy 102.609719 91.283126) + (xy 102.612162 91.267705) + (xy 102.629253 91.159793) + (xy 102.630804 91.15) + (xy 102.61797 91.06897) + (xy 102.61127 91.026665) + (xy 102.611269 91.026663) + (xy 102.609719 91.016874) + (xy 102.548528 90.89678) + (xy 102.546858 90.89511) + (xy 102.52441 90.832194) + (xy 102.540489 90.763043) + (xy 102.543697 90.758051) + (xy 102.548528 90.75322) + (xy 102.583463 90.684657) + (xy 102.632212 90.633042) + (xy 102.701127 90.615976) + (xy 102.715437 90.617411) + (xy 102.8 90.630804) + (xy 102.809793 90.629253) + (xy 102.923335 90.61127) + (xy 102.923337 90.611269) + (xy 102.933126 90.609719) + (xy 103.05322 90.548528) + (xy 103.148528 90.45322) + (xy 103.209719 90.333126) + (xy 103.230804 90.2) + (xy 103.222405 90.146972) + (xy 103.21127 90.076665) + (xy 103.211269 90.076663) + (xy 103.209719 90.066874) + (xy 103.183463 90.015343) + (xy 103.167508 89.984028) + (xy 103.154404 89.914251) + (xy 103.181105 89.848467) + (xy 103.222573 89.814559) + (xy 103.231911 89.809801) + (xy 103.231913 89.8098) + (xy 103.240746 89.805299) + (xy 103.336054 89.709991) + (xy 103.397245 89.589897) + (xy 103.403085 89.553028) + (xy 103.416779 89.466564) + (xy 103.41833 89.456771) + (xy 103.416369 89.444388) + (xy 103.398796 89.333436) + (xy 103.398795 89.333434) + (xy 103.397245 89.323645) + (xy 103.336054 89.203551) + (xy 103.332503 89.2) + (xy 105.162946 89.2) + (xy 105.164497 89.209793) + (xy 105.178842 89.300362) + (xy 105.184031 89.333126) + (xy 105.245222 89.45322) + (xy 105.34053 89.548528) + (xy 105.460624 89.609719) + (xy 105.470413 89.611269) + (xy 105.470415 89.61127) + (xy 105.583957 89.629253) + (xy 105.59375 89.630804) + (xy 105.603543 89.629253) + (xy 105.717085 89.61127) + (xy 105.717087 89.611269) + (xy 105.726876 89.609719) + (xy 105.84697 89.548528) + (xy 105.942278 89.45322) + (xy 106.003469 89.333126) + (xy 106.008659 89.300362) + (xy 106.023003 89.209793) + (xy 106.024554 89.2) + (xy 106.010021 89.108243) + (xy 106.00502 89.076665) + (xy 106.005019 89.076663) + (xy 106.003469 89.066874) + (xy 105.942278 88.94678) + (xy 105.84697 88.851472) + (xy 105.726876 88.790281) + (xy 105.717087 88.788731) + (xy 105.717085 88.78873) + (xy 105.603543 88.770747) + (xy 105.59375 88.769196) + (xy 105.583957 88.770747) + (xy 105.470415 88.78873) + (xy 105.470413 88.788731) + (xy 105.460624 88.790281) + (xy 105.34053 88.851472) + (xy 105.245222 88.94678) + (xy 105.184031 89.066874) + (xy 105.182481 89.076663) + (xy 105.18248 89.076665) + (xy 105.177479 89.108243) + (xy 105.162946 89.2) + (xy 103.332503 89.2) + (xy 103.240746 89.108243) + (xy 103.120652 89.047052) + (xy 103.110863 89.045502) + (xy 103.110861 89.045501) + (xy 102.997319 89.027518) + (xy 102.987526 89.025967) + (xy 102.977733 89.027518) + (xy 102.864191 89.045501) + (xy 102.864189 89.045502) + (xy 102.8544 89.047052) + (xy 102.734306 89.108243) + (xy 102.638998 89.203551) + (xy 102.577807 89.323645) + (xy 102.576257 89.333434) + (xy 102.576256 89.333436) + (xy 102.558683 89.444388) + (xy 102.556722 89.456771) + (xy 102.558273 89.466564) + (xy 102.571968 89.553028) + (xy 102.577807 89.589897) + (xy 102.582308 89.59873) + (xy 102.582309 89.598733) + (xy 102.620018 89.672743) + (xy 102.633122 89.74252) + (xy 102.606421 89.808304) + (xy 102.564953 89.842212) + (xy 102.555615 89.84697) + (xy 102.555613 89.846971) + (xy 102.54678 89.851472) + (xy 102.451472 89.94678) + (xy 102.446972 89.955611) + (xy 102.446971 89.955613) + (xy 102.416537 90.015343) + (xy 102.367788 90.066958) + (xy 102.298873 90.084024) + (xy 102.284563 90.082589) + (xy 102.2 90.069196) + (xy 102.190207 90.070747) + (xy 102.076665 90.08873) + (xy 102.076663 90.088731) + (xy 102.066874 90.090281) + (xy 101.94678 90.151472) + (xy 101.851472 90.24678) + (xy 101.790281 90.366874) + (xy 101.769196 90.5) + (xy 101.770747 90.509793) + (xy 101.787565 90.615976) + (xy 101.790281 90.633126) + (xy 101.851472 90.75322) + (xy 101.853142 90.75489) + (xy 101.87559 90.817806) + (xy 101.859511 90.886957) + (xy 101.856303 90.891949) + (xy 101.851472 90.89678) + (xy 101.790281 91.016874) + (xy 101.788731 91.026663) + (xy 101.78873 91.026665) + (xy 101.78203 91.06897) + (xy 101.769196 91.15) + (xy 100.409267 91.15) + (xy 100.411971 91.141452) + (xy 100.415729 91.133781) + (xy 100.418868 91.125854) + (xy 100.423596 91.117713) + (xy 100.425721 91.108546) + (xy 100.425722 91.108543) + (xy 100.433776 91.073793) + (xy 100.436387 91.064249) + (xy 100.447815 91.028115) + (xy 100.44998 91.02127) + (xy 100.4505 91.014663) + (xy 100.4505 91.012193) + (xy 100.450531 91.011401) + (xy 100.451482 91.004325) + (xy 100.451319 91.004312) + (xy 100.452058 90.994921) + (xy 100.454185 90.985745) + (xy 100.450815 90.93815) + (xy 100.4505 90.929252) + (xy 100.4505 89.098376) + (xy 100.452246 89.077472) + (xy 100.45419 89.065917) + (xy 100.454997 89.06112) + (xy 100.455133 89.05) + (xy 100.453958 89.041795) + (xy 100.453007 89.014949) + (xy 100.465629 88.838474) + (xy 100.468188 88.82068) + (xy 100.511352 88.622259) + (xy 100.516416 88.60501) + (xy 100.587376 88.414756) + (xy 100.594845 88.398402) + (xy 100.692159 88.220186) + (xy 100.701878 88.205063) + (xy 100.730078 88.167393) + (xy 100.823568 88.042504) + (xy 100.835341 88.028918) + (xy 100.978918 87.885341) + (xy 100.992504 87.873568) + (xy 101.155063 87.751878) + (xy 101.170183 87.742161) + (xy 101.348405 87.644843) + (xy 101.364752 87.637378) + (xy 101.481497 87.593834) + (xy 101.55501 87.566416) + (xy 101.572259 87.561352) + (xy 101.77068 87.518188) + (xy 101.788474 87.515629) + (xy 101.961696 87.50324) + (xy 101.97659 87.503858) + (xy 101.976593 87.503608) + (xy 101.985569 87.503718) + (xy 101.99444 87.505099) + (xy 102.003342 87.503935) + (xy 102.003345 87.503935) + (xy 102.021473 87.501564) + (xy 102.03781 87.5005) + (xy 107.824 87.5005) + ) + ) + ) + (zone (net 3) (net_name "+3V3") (layer "In2.Cu") (tstamp e1b55576-0f65-41a8-9bdc-e761dc2dbc8d) (hatch edge 0.508) + (connect_pads (clearance 0.254)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.5 89.7) + (xy 124.9 89.7) + (xy 124.9 98.35) + (xy 127.5 98.35) + (xy 127.5 100.75) + (xy 108.3 100.75) + (xy 108.3 87.25) + (xy 127.5 87.25) + ) + ) + (filled_polygon + (layer "In2.Cu") + (pts + (xy 120.116827 87.520502) + (xy 120.16332 87.574158) + (xy 120.173424 87.644432) + (xy 120.152658 87.695594) + (xy 120.151472 87.69678) + (xy 120.090281 87.816874) + (xy 120.088731 87.826663) + (xy 120.08873 87.826665) + (xy 120.079437 87.885341) + (xy 120.069196 87.95) + (xy 120.070747 87.959793) + (xy 120.087597 88.066178) + (xy 120.090281 88.083126) + (xy 120.151472 88.20322) + (xy 120.24678 88.298528) + (xy 120.366874 88.359719) + (xy 120.376663 88.361269) + (xy 120.376665 88.36127) + (xy 120.490207 88.379253) + (xy 120.5 88.380804) + (xy 120.509793 88.379253) + (xy 120.623335 88.36127) + (xy 120.623337 88.361269) + (xy 120.633126 88.359719) + (xy 120.75322 88.298528) + (xy 120.848528 88.20322) + (xy 120.909719 88.083126) + (xy 120.912404 88.066178) + (xy 120.929253 87.959793) + (xy 120.930804 87.95) + (xy 120.920563 87.885341) + (xy 120.91127 87.826665) + (xy 120.911269 87.826663) + (xy 120.909719 87.816874) + (xy 120.848528 87.69678) + (xy 120.847721 87.695973) + (xy 120.825499 87.633693) + (xy 120.84158 87.564542) + (xy 120.892494 87.515061) + (xy 120.951294 87.5005) + (xy 125.655152 87.5005) + (xy 125.674536 87.502) + (xy 125.685567 87.503718) + (xy 125.685571 87.503718) + (xy 125.69444 87.505099) + (xy 125.706517 87.50352) + (xy 125.731837 87.502777) + (xy 125.859194 87.511886) + (xy 125.911526 87.515629) + (xy 125.92932 87.518188) + (xy 126.127741 87.561352) + (xy 126.14499 87.566416) + (xy 126.17015 87.5758) + (xy 126.335248 87.637378) + (xy 126.351595 87.644843) + (xy 126.529817 87.742161) + (xy 126.544934 87.751876) + (xy 126.644841 87.826665) + (xy 126.707496 87.873568) + (xy 126.721082 87.885341) + (xy 126.864659 88.028918) + (xy 126.876432 88.042504) + (xy 126.99712 88.203724) + (xy 126.998122 88.205063) + (xy 127.007839 88.220183) + (xy 127.104822 88.397793) + (xy 127.105155 88.398402) + (xy 127.112622 88.414752) + (xy 127.114327 88.419323) + (xy 127.156914 88.533504) + (xy 127.161978 88.60432) + (xy 127.127953 88.666632) + (xy 127.065641 88.700657) + (xy 126.999922 88.697369) + (xy 126.991959 88.694781) + (xy 126.983126 88.690281) + (xy 126.973337 88.688731) + (xy 126.973335 88.68873) + (xy 126.859793 88.670747) + (xy 126.85 88.669196) + (xy 126.840207 88.670747) + (xy 126.726665 88.68873) + (xy 126.726663 88.688731) + (xy 126.716874 88.690281) + (xy 126.59678 88.751472) + (xy 126.501472 88.84678) + (xy 126.440281 88.966874) + (xy 126.438731 88.976663) + (xy 126.43873 88.976665) + (xy 126.422845 89.076961) + (xy 126.419196 89.1) + (xy 126.420747 89.109793) + (xy 126.426636 89.146972) + (xy 126.440281 89.233126) + (xy 126.501472 89.35322) + (xy 126.59678 89.448528) + (xy 126.619865 89.460291) + (xy 126.622696 89.461733) + (xy 126.674311 89.510482) + (xy 126.691377 89.579397) + (xy 126.668476 89.646598) + (xy 126.612878 89.69075) + (xy 126.565493 89.7) + (xy 124.9 89.7) + (xy 124.9 91.520647) + (xy 124.879998 91.588768) + (xy 124.826342 91.635261) + (xy 124.756068 91.645365) + (xy 124.716799 91.632915) + (xy 124.677328 91.612803) + (xy 124.625714 91.564056) + (xy 124.608648 91.495141) + (xy 124.610083 91.480826) + (xy 124.61127 91.473335) + (xy 124.630804 91.35) + (xy 124.628215 91.333656) + (xy 124.61127 91.226665) + (xy 124.611269 91.226663) + (xy 124.609719 91.216874) + (xy 124.548528 91.09678) + (xy 124.45322 91.001472) + (xy 124.333126 90.940281) + (xy 124.323337 90.938731) + (xy 124.323335 90.93873) + (xy 124.209793 90.920747) + (xy 124.2 90.919196) + (xy 124.190207 90.920747) + (xy 124.076665 90.93873) + (xy 124.076663 90.938731) + (xy 124.066874 90.940281) + (xy 123.94678 91.001472) + (xy 123.851472 91.09678) + (xy 123.790281 91.216874) + (xy 123.788731 91.226663) + (xy 123.78873 91.226665) + (xy 123.771785 91.333656) + (xy 123.769196 91.35) + (xy 123.790281 91.483126) + (xy 123.851472 91.60322) + (xy 123.94678 91.698528) + (xy 123.955613 91.703029) + (xy 123.955615 91.70303) + (xy 124.02267 91.737196) + (xy 124.074286 91.785944) + (xy 124.091352 91.854859) + (xy 124.089917 91.869169) + (xy 124.069196 92) + (xy 124.070747 92.009793) + (xy 124.087555 92.115913) + (xy 124.090281 92.133126) + (xy 124.151472 92.25322) + (xy 124.24678 92.348528) + (xy 124.366874 92.409719) + (xy 124.376663 92.411269) + (xy 124.376665 92.41127) + (xy 124.490207 92.429253) + (xy 124.5 92.430804) + (xy 124.509793 92.429253) + (xy 124.623335 92.41127) + (xy 124.623337 92.411269) + (xy 124.633126 92.409719) + (xy 124.716798 92.367086) + (xy 124.786573 92.353982) + (xy 124.852358 92.380682) + (xy 124.893265 92.438709) + (xy 124.9 92.479353) + (xy 124.9 97.842036) + (xy 124.879998 97.910157) + (xy 124.826342 97.95665) + (xy 124.793711 97.966485) + (xy 124.673465 97.98553) + (xy 124.673463 97.985531) + (xy 124.663674 97.987081) + (xy 124.54358 98.048272) + (xy 124.485645 98.106207) + (xy 124.423333 98.140233) + (xy 124.352518 98.135168) + (xy 124.307455 98.106207) + (xy 124.25322 98.051972) + (xy 124.133126 97.990781) + (xy 124.123337 97.989231) + (xy 124.123335 97.98923) + (xy 124.009793 97.971247) + (xy 124 97.969696) + (xy 123.990207 97.971247) + (xy 123.876665 97.98923) + (xy 123.876663 97.989231) + (xy 123.866874 97.990781) + (xy 123.74678 98.051972) + (xy 123.651472 98.14728) + (xy 123.590281 98.267374) + (xy 123.569196 98.4005) + (xy 123.590281 98.533626) + (xy 123.651472 98.65372) + (xy 123.74678 98.749028) + (xy 123.866874 98.810219) + (xy 123.876663 98.811769) + (xy 123.876665 98.81177) + (xy 123.990207 98.829753) + (xy 124 98.831304) + (xy 124.009793 98.829753) + (xy 124.123335 98.81177) + (xy 124.123337 98.811769) + (xy 124.133126 98.810219) + (xy 124.25322 98.749028) + (xy 124.311155 98.691093) + (xy 124.373467 98.657067) + (xy 124.444282 98.662132) + (xy 124.489345 98.691093) + (xy 124.54358 98.745328) + (xy 124.663674 98.806519) + (xy 124.673463 98.808069) + (xy 124.673465 98.80807) + (xy 124.787007 98.826053) + (xy 124.7968 98.827604) + (xy 124.806593 98.826053) + (xy 124.920135 98.80807) + (xy 124.920137 98.808069) + (xy 124.929926 98.806519) + (xy 125.05002 98.745328) + (xy 125.145328 98.65002) + (xy 125.206519 98.529926) + (xy 125.218182 98.456289) + (xy 125.248595 98.392136) + (xy 125.308863 98.354609) + (xy 125.342631 98.35) + (xy 127.1235 98.35) + (xy 127.191621 98.370002) + (xy 127.238114 98.423658) + (xy 127.2495 98.476) + (xy 127.2495 98.905152) + (xy 127.248 98.924536) + (xy 127.246282 98.935567) + (xy 127.246282 98.935571) + (xy 127.244901 98.94444) + (xy 127.24648 98.956517) + (xy 127.247223 98.981839) + (xy 127.234371 99.161526) + (xy 127.231812 99.17932) + (xy 127.188648 99.377741) + (xy 127.183584 99.39499) + (xy 127.128935 99.541513) + (xy 127.112624 99.585244) + (xy 127.105157 99.601595) + (xy 127.01381 99.768883) + (xy 127.007841 99.779814) + (xy 126.998124 99.794934) + (xy 126.912025 99.909949) + (xy 126.876432 99.957496) + (xy 126.864659 99.971082) + (xy 126.721082 100.114659) + (xy 126.707495 100.126432) + (xy 126.55317 100.241959) + (xy 126.544937 100.248122) + (xy 126.529817 100.257839) + (xy 126.351595 100.355157) + (xy 126.335248 100.362622) + (xy 126.272371 100.386074) + (xy 126.14499 100.433584) + (xy 126.127741 100.438648) + (xy 125.92932 100.481812) + (xy 125.911526 100.484371) + (xy 125.738304 100.49676) + (xy 125.72341 100.496142) + (xy 125.723407 100.496392) + (xy 125.714431 100.496282) + (xy 125.70556 100.494901) + (xy 125.696658 100.496065) + (xy 125.696655 100.496065) + (xy 125.678527 100.498436) + (xy 125.66219 100.4995) + (xy 120.951294 100.4995) + (xy 120.883173 100.479498) + (xy 120.83668 100.425842) + (xy 120.826576 100.355568) + (xy 120.847342 100.304406) + (xy 120.848528 100.30322) + (xy 120.909719 100.183126) + (xy 120.911401 100.17251) + (xy 120.929253 100.059793) + (xy 120.930804 100.05) + (xy 120.909719 99.916874) + (xy 120.848528 99.79678) + (xy 120.75322 99.701472) + (xy 120.633126 99.640281) + (xy 120.623337 99.638731) + (xy 120.623335 99.63873) + (xy 120.509793 99.620747) + (xy 120.5 99.619196) + (xy 120.490207 99.620747) + (xy 120.376665 99.63873) + (xy 120.376663 99.638731) + (xy 120.366874 99.640281) + (xy 120.24678 99.701472) + (xy 120.151472 99.79678) + (xy 120.090281 99.916874) + (xy 120.069196 100.05) + (xy 120.070747 100.059793) + (xy 120.0886 100.17251) + (xy 120.090281 100.183126) + (xy 120.151472 100.30322) + (xy 120.152279 100.304027) + (xy 120.174501 100.366307) + (xy 120.15842 100.435458) + (xy 120.107506 100.484939) + (xy 120.048706 100.4995) + (xy 119.151294 100.4995) + (xy 119.083173 100.479498) + (xy 119.03668 100.425842) + (xy 119.026576 100.355568) + (xy 119.047342 100.304406) + (xy 119.048528 100.30322) + (xy 119.109719 100.183126) + (xy 119.111401 100.17251) + (xy 119.129253 100.059793) + (xy 119.130804 100.05) + (xy 119.109719 99.916874) + (xy 119.048528 99.79678) + (xy 118.95322 99.701472) + (xy 118.833126 99.640281) + (xy 118.823337 99.638731) + (xy 118.823335 99.63873) + (xy 118.709793 99.620747) + (xy 118.7 99.619196) + (xy 118.690207 99.620747) + (xy 118.576665 99.63873) + (xy 118.576663 99.638731) + (xy 118.566874 99.640281) + (xy 118.44678 99.701472) + (xy 118.351472 99.79678) + (xy 118.290281 99.916874) + (xy 118.269196 100.05) + (xy 118.270747 100.059793) + (xy 118.2886 100.17251) + (xy 118.290281 100.183126) + (xy 118.351472 100.30322) + (xy 118.352279 100.304027) + (xy 118.374501 100.366307) + (xy 118.35842 100.435458) + (xy 118.307506 100.484939) + (xy 118.248706 100.4995) + (xy 115.4487 100.4995) + (xy 115.380579 100.479498) + (xy 115.334086 100.425842) + (xy 115.323982 100.355568) + (xy 115.353476 100.290988) + (xy 115.379562 100.270574) + (xy 115.378757 100.269467) + (xy 115.386785 100.263634) + (xy 115.395617 100.259134) + (xy 115.490925 100.163826) + (xy 115.552116 100.043732) + (xy 115.554205 100.030546) + (xy 115.57165 99.920399) + (xy 115.573201 99.910606) + (xy 115.564491 99.855612) + (xy 115.553667 99.787271) + (xy 115.553666 99.787269) + (xy 115.552116 99.77748) + (xy 115.490925 99.657386) + (xy 115.437364 99.603825) + (xy 115.403338 99.541513) + (xy 115.408403 99.470698) + (xy 115.437363 99.425635) + (xy 116.384469 98.478529) + (xy 116.44678 98.444505) + (xy 116.517595 98.449569) + (xy 116.562658 98.47853) + (xy 116.963648 98.87952) + (xy 116.997674 98.941832) + (xy 117.000553 98.968615) + (xy 117.000553 98.97297) + (xy 116.980551 99.041091) + (xy 116.948614 99.074906) + (xy 116.944172 99.078133) + (xy 116.935333 99.082637) + (xy 116.840025 99.177945) + (xy 116.778834 99.298039) + (xy 116.777284 99.307828) + (xy 116.777283 99.30783) + (xy 116.7625 99.401166) + (xy 116.757749 99.431165) + (xy 116.7593 99.440958) + (xy 116.775589 99.543801) + (xy 116.778834 99.564291) + (xy 116.840025 99.684385) + (xy 116.935333 99.779693) + (xy 117.055427 99.840884) + (xy 117.065216 99.842434) + (xy 117.065218 99.842435) + (xy 117.17876 99.860418) + (xy 117.188553 99.861969) + (xy 117.198346 99.860418) + (xy 117.311888 99.842435) + (xy 117.31189 99.842434) + (xy 117.321679 99.840884) + (xy 117.441773 99.779693) + (xy 117.537081 99.684385) + (xy 117.598272 99.564291) + (xy 117.601518 99.543801) + (xy 117.617806 99.440958) + (xy 117.619357 99.431165) + (xy 117.614606 99.401166) + (xy 117.599823 99.30783) + (xy 117.599822 99.307828) + (xy 117.598272 99.298039) + (xy 117.537081 99.177945) + (xy 117.441773 99.082637) + (xy 117.432934 99.078133) + (xy 117.428492 99.074906) + (xy 117.385138 99.018684) + (xy 117.376553 98.97297) + (xy 117.376553 98.871225) + (xy 117.376594 98.870506) + (xy 117.380149 98.860382) + (xy 117.377326 98.835015) + (xy 117.37692 98.827689) + (xy 117.376553 98.82443) + (xy 117.376553 98.817357) + (xy 117.37498 98.81046) + (xy 117.374548 98.806628) + (xy 117.373434 98.800043) + (xy 117.372232 98.789239) + (xy 117.372231 98.789237) + (xy 117.370662 98.775136) + (xy 117.364778 98.765738) + (xy 117.362312 98.754928) + (xy 117.346693 98.735327) + (xy 117.342665 98.729638) + (xy 117.34038 98.726769) + (xy 117.336595 98.720723) + (xy 117.33155 98.715678) + (xy 117.329378 98.71295) + (xy 117.324596 98.707597) + (xy 117.317701 98.698944) + (xy 117.308859 98.687848) + (xy 117.299065 98.683124) + (xy 117.298416 98.682544) + (xy 116.874905 98.259033) + (xy 116.840879 98.196721) + (xy 116.838 98.169938) + (xy 116.838 97.58266) + (xy 116.83804 97.581951) + (xy 116.841595 97.571829) + (xy 116.838773 97.546469) + (xy 116.838366 97.539128) + (xy 116.838 97.535878) + (xy 116.838 97.528804) + (xy 116.836426 97.521906) + (xy 116.835995 97.518076) + (xy 116.834879 97.511478) + (xy 116.834242 97.505751) + (xy 116.832109 97.486583) + (xy 116.826225 97.477185) + (xy 116.823759 97.466375) + (xy 116.80814 97.446774) + (xy 116.804112 97.441085) + (xy 116.801827 97.438216) + (xy 116.798042 97.43217) + (xy 116.792997 97.427125) + (xy 116.790825 97.424397) + (xy 116.786043 97.419044) + (xy 116.779148 97.410391) + (xy 116.770306 97.399295) + (xy 116.760512 97.394571) + (xy 116.759863 97.393991) + (xy 116.465872 97.1) + (xy 118.419196 97.1) + (xy 118.420747 97.109793) + (xy 118.433895 97.192804) + (xy 118.440281 97.233126) + (xy 118.501472 97.35322) + (xy 118.59678 97.448528) + (xy 118.716874 97.509719) + (xy 118.726663 97.511269) + (xy 118.726665 97.51127) + (xy 118.840207 97.529253) + (xy 118.85 97.530804) + (xy 118.859793 97.529253) + (xy 118.973335 97.51127) + (xy 118.973337 97.511269) + (xy 118.983126 97.509719) + (xy 119.10322 97.448528) + (xy 119.198528 97.35322) + (xy 119.259719 97.233126) + (xy 119.266106 97.192804) + (xy 119.279253 97.109793) + (xy 119.280804 97.1) + (xy 119.267376 97.01522) + (xy 119.26127 96.976665) + (xy 119.261269 96.976663) + (xy 119.259719 96.966874) + (xy 119.198528 96.84678) + (xy 119.10322 96.751472) + (xy 118.983126 96.690281) + (xy 118.973337 96.688731) + (xy 118.973335 96.68873) + (xy 118.859793 96.670747) + (xy 118.85 96.669196) + (xy 118.840207 96.670747) + (xy 118.726665 96.68873) + (xy 118.726663 96.688731) + (xy 118.716874 96.690281) + (xy 118.59678 96.751472) + (xy 118.501472 96.84678) + (xy 118.440281 96.966874) + (xy 118.438731 96.976663) + (xy 118.43873 96.976665) + (xy 118.432624 97.01522) + (xy 118.419196 97.1) + (xy 116.465872 97.1) + (xy 115.92175 96.555878) + (xy 115.92127 96.55534) + (xy 115.916626 96.545669) + (xy 115.896689 96.529725) + (xy 115.891222 96.524832) + (xy 115.888662 96.52279) + (xy 115.88366 96.517788) + (xy 115.877671 96.514024) + (xy 115.874674 96.511633) + (xy 115.869222 96.507759) + (xy 115.84964 96.492099) + (xy 115.838833 96.489614) + (xy 115.829446 96.483714) + (xy 115.804551 96.480899) + (xy 115.797675 96.479724) + (xy 115.794024 96.47931) + (xy 115.787075 96.477712) + (xy 115.779941 96.477712) + (xy 115.776477 96.477319) + (xy 115.769301 96.476914) + (xy 115.758318 96.475672) + (xy 115.758317 96.475672) + (xy 115.744217 96.474078) + (xy 115.733949 96.477664) + (xy 115.733087 96.477712) + (xy 114.76965 96.477712) + (xy 114.701529 96.45771) + (xy 114.680555 96.440807) + (xy 114.65322 96.413472) + (xy 114.533126 96.352281) + (xy 114.523337 96.350731) + (xy 114.523335 96.35073) + (xy 114.409793 96.332747) + (xy 114.4 96.331196) + (xy 114.390207 96.332747) + (xy 114.276665 96.35073) + (xy 114.276663 96.350731) + (xy 114.266874 96.352281) + (xy 114.14678 96.413472) + (xy 114.051472 96.50878) + (xy 113.990281 96.628874) + (xy 113.988731 96.638663) + (xy 113.98873 96.638665) + (xy 113.983649 96.670747) + (xy 113.969196 96.762) + (xy 113.970747 96.771793) + (xy 113.984023 96.855612) + (xy 113.990281 96.895126) + (xy 114.051472 97.01522) + (xy 114.14678 97.110528) + (xy 114.266874 97.171719) + (xy 114.276663 97.173269) + (xy 114.276665 97.17327) + (xy 114.390207 97.191253) + (xy 114.4 97.192804) + (xy 114.409793 97.191253) + (xy 114.409794 97.191253) + (xy 114.448934 97.185054) + (xy 114.519345 97.194154) + (xy 114.573659 97.239876) + (xy 114.588477 97.270566) + (xy 114.590261 97.276056) + (xy 114.591812 97.285849) + (xy 114.653003 97.405943) + (xy 114.748311 97.501251) + (xy 114.868405 97.562442) + (xy 114.878194 97.563992) + (xy 114.878196 97.563993) + (xy 114.991738 97.581976) + (xy 115.001531 97.583527) + (xy 115.011324 97.581976) + (xy 115.124866 97.563993) + (xy 115.124868 97.563992) + (xy 115.134657 97.562442) + (xy 115.254751 97.501251) + (xy 115.350059 97.405943) + (xy 115.354563 97.397104) + (xy 115.35779 97.392662) + (xy 115.414012 97.349308) + (xy 115.459726 97.340723) + (xy 115.616748 97.340723) + (xy 115.684869 97.360725) + (xy 115.731362 97.414381) + (xy 115.741466 97.484655) + (xy 115.711972 97.549235) + (xy 115.680238 97.57407) + (xy 115.680102 97.574114) + (xy 115.672078 97.579944) + (xy 115.672076 97.579945) + (xy 115.660694 97.588215) + (xy 115.643839 97.598545) + (xy 115.622463 97.609436) + (xy 114.894304 98.337595) + (xy 114.831992 98.371621) + (xy 114.805209 98.3745) + (xy 114.587632 98.3745) + (xy 114.519511 98.354498) + (xy 114.473018 98.300842) + (xy 114.462914 98.230568) + (xy 114.475365 98.191297) + (xy 114.485218 98.171959) + (xy 114.489719 98.163126) + (xy 114.510804 98.03) + (xy 114.503159 97.981731) + (xy 114.49127 97.906665) + (xy 114.491269 97.906663) + (xy 114.489719 97.896874) + (xy 114.428528 97.77678) + (xy 114.33322 97.681472) + (xy 114.213126 97.620281) + (xy 114.203337 97.618731) + (xy 114.203335 97.61873) + (xy 114.089793 97.600747) + (xy 114.08 97.599196) + (xy 114.070207 97.600747) + (xy 113.956665 97.61873) + (xy 113.956663 97.618731) + (xy 113.946874 97.620281) + (xy 113.82678 97.681472) + (xy 113.731472 97.77678) + (xy 113.726972 97.785612) + (xy 113.685583 97.866843) + (xy 113.670281 97.896874) + (xy 113.668729 97.90667) + (xy 113.66843 97.907592) + (xy 113.628357 97.966199) + (xy 113.562961 97.993836) + (xy 113.493004 97.981731) + (xy 113.459501 97.957753) + (xy 113.278709 97.776961) + (xy 113.257326 97.766066) + (xy 113.24048 97.755742) + (xy 113.229093 97.747469) + (xy 113.22107 97.74164) + (xy 113.211639 97.738576) + (xy 113.211636 97.738574) + (xy 113.198252 97.734225) + (xy 113.179991 97.726661) + (xy 113.167453 97.720273) + (xy 113.167452 97.720273) + (xy 113.158615 97.71577) + (xy 113.134918 97.712017) + (xy 113.115699 97.707403) + (xy 113.092882 97.699989) + (xy 110.157118 97.699989) + (xy 110.134301 97.707403) + (xy 110.115082 97.712017) + (xy 110.091385 97.71577) + (xy 110.082548 97.720273) + (xy 110.082547 97.720273) + (xy 110.070009 97.726661) + (xy 110.051748 97.734225) + (xy 110.038362 97.738575) + (xy 110.038361 97.738576) + (xy 110.02893 97.74164) + (xy 110.02091 97.747467) + (xy 110.020908 97.747468) + (xy 110.009523 97.75574) + (xy 109.99267 97.766068) + (xy 109.971291 97.776961) + (xy 109.889095 97.859157) + (xy 109.826783 97.893183) + (xy 109.755968 97.888118) + (xy 109.710905 97.859157) + (xy 108.812405 96.960657) + (xy 108.778379 96.898345) + (xy 108.7755 96.871562) + (xy 108.7755 96.1) + (xy 117.469196 96.1) + (xy 117.490281 96.233126) + (xy 117.551472 96.35322) + (xy 117.64678 96.448528) + (xy 117.766874 96.509719) + (xy 117.776663 96.511269) + (xy 117.776665 96.51127) + (xy 117.890207 96.529253) + (xy 117.9 96.530804) + (xy 117.909793 96.529253) + (xy 118.023335 96.51127) + (xy 118.023337 96.511269) + (xy 118.033126 96.509719) + (xy 118.15322 96.448528) + (xy 118.248528 96.35322) + (xy 118.309719 96.233126) + (xy 118.330804 96.1) + (xy 119.419196 96.1) + (xy 119.440281 96.233126) + (xy 119.501472 96.35322) + (xy 119.59678 96.448528) + (xy 119.716874 96.509719) + (xy 119.726663 96.511269) + (xy 119.726665 96.51127) + (xy 119.840207 96.529253) + (xy 119.85 96.530804) + (xy 119.859793 96.529253) + (xy 119.973335 96.51127) + (xy 119.973337 96.511269) + (xy 119.983126 96.509719) + (xy 120.10322 96.448528) + (xy 120.198528 96.35322) + (xy 120.259719 96.233126) + (xy 120.280804 96.1) + (xy 120.259719 95.966874) + (xy 120.198528 95.84678) + (xy 120.10322 95.751472) + (xy 119.983126 95.690281) + (xy 119.973337 95.688731) + (xy 119.973335 95.68873) + (xy 119.859793 95.670747) + (xy 119.85 95.669196) + (xy 119.840207 95.670747) + (xy 119.726665 95.68873) + (xy 119.726663 95.688731) + (xy 119.716874 95.690281) + (xy 119.59678 95.751472) + (xy 119.501472 95.84678) + (xy 119.440281 95.966874) + (xy 119.419196 96.1) + (xy 118.330804 96.1) + (xy 118.309719 95.966874) + (xy 118.248528 95.84678) + (xy 118.15322 95.751472) + (xy 118.033126 95.690281) + (xy 118.023337 95.688731) + (xy 118.023335 95.68873) + (xy 117.909793 95.670747) + (xy 117.9 95.669196) + (xy 117.890207 95.670747) + (xy 117.776665 95.68873) + (xy 117.776663 95.688731) + (xy 117.766874 95.690281) + (xy 117.64678 95.751472) + (xy 117.551472 95.84678) + (xy 117.490281 95.966874) + (xy 117.469196 96.1) + (xy 108.7755 96.1) + (xy 108.7755 95.1) + (xy 116.469196 95.1) + (xy 116.490281 95.233126) + (xy 116.551472 95.35322) + (xy 116.64678 95.448528) + (xy 116.766874 95.509719) + (xy 116.776663 95.511269) + (xy 116.776665 95.51127) + (xy 116.890207 95.529253) + (xy 116.9 95.530804) + (xy 116.909793 95.529253) + (xy 117.023335 95.51127) + (xy 117.023337 95.511269) + (xy 117.033126 95.509719) + (xy 117.15322 95.448528) + (xy 117.248528 95.35322) + (xy 117.309719 95.233126) + (xy 117.330804 95.1) + (xy 118.469196 95.1) + (xy 118.490281 95.233126) + (xy 118.551472 95.35322) + (xy 118.64678 95.448528) + (xy 118.766874 95.509719) + (xy 118.776663 95.511269) + (xy 118.776665 95.51127) + (xy 118.890207 95.529253) + (xy 118.9 95.530804) + (xy 118.909793 95.529253) + (xy 119.023335 95.51127) + (xy 119.023337 95.511269) + (xy 119.033126 95.509719) + (xy 119.15322 95.448528) + (xy 119.248528 95.35322) + (xy 119.309719 95.233126) + (xy 119.330804 95.1) + (xy 120.469196 95.1) + (xy 120.490281 95.233126) + (xy 120.551472 95.35322) + (xy 120.64678 95.448528) + (xy 120.766874 95.509719) + (xy 120.776663 95.511269) + (xy 120.776665 95.51127) + (xy 120.890207 95.529253) + (xy 120.9 95.530804) + (xy 120.909793 95.529253) + (xy 121.023335 95.51127) + (xy 121.023337 95.511269) + (xy 121.033126 95.509719) + (xy 121.15322 95.448528) + (xy 121.248528 95.35322) + (xy 121.309719 95.233126) + (xy 121.330804 95.1) + (xy 121.309719 94.966874) + (xy 121.248528 94.84678) + (xy 121.15322 94.751472) + (xy 121.033126 94.690281) + (xy 121.023337 94.688731) + (xy 121.023335 94.68873) + (xy 120.909793 94.670747) + (xy 120.9 94.669196) + (xy 120.890207 94.670747) + (xy 120.776665 94.68873) + (xy 120.776663 94.688731) + (xy 120.766874 94.690281) + (xy 120.64678 94.751472) + (xy 120.551472 94.84678) + (xy 120.490281 94.966874) + (xy 120.469196 95.1) + (xy 119.330804 95.1) + (xy 119.309719 94.966874) + (xy 119.248528 94.84678) + (xy 119.15322 94.751472) + (xy 119.033126 94.690281) + (xy 119.023337 94.688731) + (xy 119.023335 94.68873) + (xy 118.909793 94.670747) + (xy 118.9 94.669196) + (xy 118.890207 94.670747) + (xy 118.776665 94.68873) + (xy 118.776663 94.688731) + (xy 118.766874 94.690281) + (xy 118.64678 94.751472) + (xy 118.551472 94.84678) + (xy 118.490281 94.966874) + (xy 118.469196 95.1) + (xy 117.330804 95.1) + (xy 117.309719 94.966874) + (xy 117.248528 94.84678) + (xy 117.15322 94.751472) + (xy 117.033126 94.690281) + (xy 117.023337 94.688731) + (xy 117.023335 94.68873) + (xy 116.909793 94.670747) + (xy 116.9 94.669196) + (xy 116.890207 94.670747) + (xy 116.776665 94.68873) + (xy 116.776663 94.688731) + (xy 116.766874 94.690281) + (xy 116.64678 94.751472) + (xy 116.551472 94.84678) + (xy 116.490281 94.966874) + (xy 116.469196 95.1) + (xy 108.7755 95.1) + (xy 108.7755 94.1) + (xy 117.469196 94.1) + (xy 117.490281 94.233126) + (xy 117.551472 94.35322) + (xy 117.64678 94.448528) + (xy 117.766874 94.509719) + (xy 117.776663 94.511269) + (xy 117.776665 94.51127) + (xy 117.890207 94.529253) + (xy 117.9 94.530804) + (xy 117.909793 94.529253) + (xy 118.023335 94.51127) + (xy 118.023337 94.511269) + (xy 118.033126 94.509719) + (xy 118.15322 94.448528) + (xy 118.248528 94.35322) + (xy 118.309719 94.233126) + (xy 118.330804 94.1) + (xy 119.419196 94.1) + (xy 119.440281 94.233126) + (xy 119.501472 94.35322) + (xy 119.59678 94.448528) + (xy 119.716874 94.509719) + (xy 119.726663 94.511269) + (xy 119.726665 94.51127) + (xy 119.840207 94.529253) + (xy 119.85 94.530804) + (xy 119.859793 94.529253) + (xy 119.973335 94.51127) + (xy 119.973337 94.511269) + (xy 119.983126 94.509719) + (xy 120.10322 94.448528) + (xy 120.198528 94.35322) + (xy 120.259719 94.233126) + (xy 120.280804 94.1) + (xy 120.279253 94.090207) + (xy 120.26127 93.976665) + (xy 120.261269 93.976663) + (xy 120.259719 93.966874) + (xy 120.198528 93.84678) + (xy 120.10322 93.751472) + (xy 119.983126 93.690281) + (xy 119.973337 93.688731) + (xy 119.973335 93.68873) + (xy 119.859793 93.670747) + (xy 119.85 93.669196) + (xy 119.840207 93.670747) + (xy 119.726665 93.68873) + (xy 119.726663 93.688731) + (xy 119.716874 93.690281) + (xy 119.59678 93.751472) + (xy 119.501472 93.84678) + (xy 119.440281 93.966874) + (xy 119.438731 93.976663) + (xy 119.43873 93.976665) + (xy 119.420747 94.090207) + (xy 119.419196 94.1) + (xy 118.330804 94.1) + (xy 118.329253 94.090207) + (xy 118.31127 93.976665) + (xy 118.311269 93.976663) + (xy 118.309719 93.966874) + (xy 118.248528 93.84678) + (xy 118.15322 93.751472) + (xy 118.033126 93.690281) + (xy 118.023337 93.688731) + (xy 118.023335 93.68873) + (xy 117.909793 93.670747) + (xy 117.9 93.669196) + (xy 117.890207 93.670747) + (xy 117.776665 93.68873) + (xy 117.776663 93.688731) + (xy 117.766874 93.690281) + (xy 117.64678 93.751472) + (xy 117.551472 93.84678) + (xy 117.490281 93.966874) + (xy 117.488731 93.976663) + (xy 117.48873 93.976665) + (xy 117.470747 94.090207) + (xy 117.469196 94.1) + (xy 108.7755 94.1) + (xy 108.7755 92.45743) + (xy 109.068488 92.45743) + (xy 109.089573 92.590556) + (xy 109.150764 92.71065) + (xy 109.246072 92.805958) + (xy 109.366166 92.867149) + (xy 109.375955 92.868699) + (xy 109.375957 92.8687) + (xy 109.489499 92.886683) + (xy 109.499292 92.888234) + (xy 109.509085 92.886683) + (xy 109.622627 92.8687) + (xy 109.622629 92.868699) + (xy 109.632418 92.867149) + (xy 109.752512 92.805958) + (xy 109.84782 92.71065) + (xy 109.909011 92.590556) + (xy 109.930096 92.45743) + (xy 109.922539 92.409719) + (xy 109.910562 92.334095) + (xy 109.910561 92.334093) + (xy 109.909011 92.324304) + (xy 109.84782 92.20421) + (xy 109.752512 92.108902) + (xy 109.632418 92.047711) + (xy 109.622629 92.046161) + (xy 109.622627 92.04616) + (xy 109.509085 92.028177) + (xy 109.499292 92.026626) + (xy 109.489499 92.028177) + (xy 109.375957 92.04616) + (xy 109.375955 92.046161) + (xy 109.366166 92.047711) + (xy 109.246072 92.108902) + (xy 109.150764 92.20421) + (xy 109.089573 92.324304) + (xy 109.088023 92.334093) + (xy 109.088022 92.334095) + (xy 109.076045 92.409719) + (xy 109.068488 92.45743) + (xy 108.7755 92.45743) + (xy 108.7755 90.35) + (xy 110.019196 90.35) + (xy 110.020747 90.359793) + (xy 110.036574 90.459719) + (xy 110.040281 90.483126) + (xy 110.101472 90.60322) + (xy 110.19678 90.698528) + (xy 110.316874 90.759719) + (xy 110.326663 90.761269) + (xy 110.326665 90.76127) + (xy 110.440207 90.779253) + (xy 110.45 90.780804) + (xy 110.459793 90.779253) + (xy 110.573335 90.76127) + (xy 110.573337 90.761269) + (xy 110.583126 90.759719) + (xy 110.70322 90.698528) + (xy 110.764139 90.637609) + (xy 110.826451 90.603583) + (xy 110.897266 90.608648) + (xy 110.954102 90.651195) + (xy 110.978913 90.717715) + (xy 110.977683 90.746415) + (xy 110.969196 90.8) + (xy 110.970747 90.809793) + (xy 110.988075 90.919196) + (xy 110.990281 90.933126) + (xy 111.051472 91.05322) + (xy 111.134157 91.135905) + (xy 111.168183 91.198217) + (xy 111.163118 91.269032) + (xy 111.134157 91.314095) + (xy 111.101472 91.34678) + (xy 111.040281 91.466874) + (xy 111.019196 91.6) + (xy 111.020747 91.609793) + (xy 111.0387 91.723142) + (xy 111.040281 91.733126) + (xy 111.101472 91.85322) + (xy 111.19678 91.948528) + (xy 111.316874 92.009719) + (xy 111.326663 92.011269) + (xy 111.326665 92.01127) + (xy 111.440207 92.029253) + (xy 111.45 92.030804) + (xy 111.459793 92.029253) + (xy 111.573335 92.01127) + (xy 111.573337 92.011269) + (xy 111.583126 92.009719) + (xy 111.70322 91.948528) + (xy 111.735905 91.915843) + (xy 111.798217 91.881817) + (xy 111.869032 91.886882) + (xy 111.914095 91.915843) + (xy 111.94678 91.948528) + (xy 112.066874 92.009719) + (xy 112.076663 92.011269) + (xy 112.076665 92.01127) + (xy 112.190207 92.029253) + (xy 112.2 92.030804) + (xy 112.216587 92.028177) + (xy 112.323333 92.01127) + (xy 112.333126 92.009719) + (xy 112.337101 92.007693) + (xy 112.405042 92.005752) + (xy 112.46584 92.042415) + (xy 112.497166 92.106127) + (xy 112.499011 92.127613) + (xy 112.499011 93.165303) + (xy 112.498838 93.171897) + (xy 112.496811 93.210575) + (xy 112.501558 93.222941) + (xy 112.504074 93.229496) + (xy 112.50969 93.248452) + (xy 112.513904 93.268279) + (xy 112.521687 93.278992) + (xy 112.523712 93.28354) + (xy 112.526419 93.287709) + (xy 112.531165 93.300071) + (xy 112.545497 93.314403) + (xy 112.558338 93.329438) + (xy 112.562466 93.33512) + (xy 112.562468 93.335122) + (xy 112.570251 93.345834) + (xy 112.581718 93.352455) + (xy 112.591561 93.361317) + (xy 112.591244 93.361669) + (xy 112.597777 93.366683) + (xy 112.639098 93.408003) + (xy 112.673124 93.470315) + (xy 112.674453 93.51681) + (xy 112.669196 93.55) + (xy 112.670747 93.559793) + (xy 112.688075 93.669196) + (xy 112.690281 93.683126) + (xy 112.751472 93.80322) + (xy 112.84678 93.898528) + (xy 112.966874 93.959719) + (xy 112.976663 93.961269) + (xy 112.976665 93.96127) + (xy 113.090207 93.979253) + (xy 113.1 93.980804) + (xy 113.109793 93.979253) + (xy 113.223335 93.96127) + (xy 113.223337 93.961269) + (xy 113.233126 93.959719) + (xy 113.35322 93.898528) + (xy 113.448528 93.80322) + (xy 113.509719 93.683126) + (xy 113.511926 93.669196) + (xy 113.529253 93.559793) + (xy 113.530804 93.55) + (xy 113.518183 93.470315) + (xy 113.51127 93.426665) + (xy 113.511269 93.426663) + (xy 113.509719 93.416874) + (xy 113.448528 93.29678) + (xy 113.35322 93.201472) + (xy 113.233126 93.140281) + (xy 113.223337 93.138731) + (xy 113.223335 93.13873) + (xy 113.109793 93.120747) + (xy 113.1 93.119196) + (xy 113.090208 93.120747) + (xy 113.080289 93.120747) + (xy 113.080289 93.117877) + (xy 113.025322 93.110779) + (xy 113.012515 93.1) + (xy 118.469196 93.1) + (xy 118.470747 93.109793) + (xy 118.485268 93.201472) + (xy 118.490281 93.233126) + (xy 118.551472 93.35322) + (xy 118.64678 93.448528) + (xy 118.766874 93.509719) + (xy 118.776663 93.511269) + (xy 118.776665 93.51127) + (xy 118.890207 93.529253) + (xy 118.9 93.530804) + (xy 118.909793 93.529253) + (xy 119.023335 93.51127) + (xy 119.023337 93.511269) + (xy 119.033126 93.509719) + (xy 119.15322 93.448528) + (xy 119.248528 93.35322) + (xy 119.309719 93.233126) + (xy 119.314733 93.201472) + (xy 119.329253 93.109793) + (xy 119.330804 93.1) + (xy 119.329253 93.090207) + (xy 119.31127 92.976665) + (xy 119.311269 92.976663) + (xy 119.309719 92.966874) + (xy 119.248528 92.84678) + (xy 119.15322 92.751472) + (xy 119.033126 92.690281) + (xy 119.023337 92.688731) + (xy 119.023335 92.68873) + (xy 118.909793 92.670747) + (xy 118.9 92.669196) + (xy 118.890207 92.670747) + (xy 118.776665 92.68873) + (xy 118.776663 92.688731) + (xy 118.766874 92.690281) + (xy 118.64678 92.751472) + (xy 118.551472 92.84678) + (xy 118.490281 92.966874) + (xy 118.488731 92.976663) + (xy 118.48873 92.976665) + (xy 118.470747 93.090207) + (xy 118.469196 93.1) + (xy 113.012515 93.1) + (xy 112.971003 93.065063) + (xy 112.950011 92.995425) + (xy 112.950011 92.277295) + (xy 112.970013 92.209174) + (xy 113.023669 92.162681) + (xy 113.093943 92.152577) + (xy 113.110341 92.156391) + (xy 113.116874 92.159719) + (xy 113.126667 92.16127) + (xy 113.12667 92.161271) + (xy 113.240207 92.179253) + (xy 113.25 92.180804) + (xy 113.259793 92.179253) + (xy 113.373335 92.16127) + (xy 113.373337 92.161269) + (xy 113.383126 92.159719) + (xy 113.50322 92.098528) + (xy 113.598528 92.00322) + (xy 113.659719 91.883126) + (xy 113.665855 91.844388) + (xy 113.675202 91.78537) + (xy 113.705614 91.721217) + (xy 113.765883 91.68369) + (xy 113.77994 91.680632) + (xy 113.823334 91.673759) + (xy 113.823335 91.673759) + (xy 113.833126 91.672208) + (xy 113.95322 91.611017) + (xy 114.048528 91.515709) + (xy 114.109719 91.395615) + (xy 114.121098 91.323774) + (xy 114.129253 91.272282) + (xy 114.130804 91.262489) + (xy 114.12513 91.226665) + (xy 114.11127 91.139154) + (xy 114.111269 91.139152) + (xy 114.109719 91.129363) + (xy 114.048528 91.009269) + (xy 113.95322 90.913961) + (xy 113.833126 90.85277) + (xy 113.823337 90.85122) + (xy 113.823335 90.851219) + (xy 113.709793 90.833236) + (xy 113.7 90.831685) + (xy 113.690207 90.833236) + (xy 113.576665 90.851219) + (xy 113.576663 90.85122) + (xy 113.566874 90.85277) + (xy 113.44678 90.913961) + (xy 113.351472 91.009269) + (xy 113.290281 91.129363) + (xy 113.288731 91.139152) + (xy 113.28873 91.139154) + (xy 113.274798 91.227119) + (xy 113.244386 91.291272) + (xy 113.184117 91.328799) + (xy 113.17006 91.331857) + (xy 113.126666 91.33873) + (xy 113.126665 91.33873) + (xy 113.116874 91.340281) + (xy 113.108043 91.344781) + (xy 113.108039 91.344782) + (xy 113.103196 91.34725) + (xy 113.033419 91.360355) + (xy 112.967635 91.333656) + (xy 112.935915 91.292723) + (xy 112.935118 91.288971) + (xy 112.927335 91.278259) + (xy 112.925314 91.273718) + (xy 112.922602 91.269542) + (xy 112.917856 91.257179) + (xy 112.903528 91.242851) + (xy 112.890687 91.227817) + (xy 112.886554 91.222128) + (xy 112.886553 91.222127) + (xy 112.878771 91.211416) + (xy 112.867305 91.204796) + (xy 112.85746 91.195932) + (xy 112.857778 91.195579) + (xy 112.851239 91.190562) + (xy 112.307749 90.647072) + (xy 112.303208 90.642288) + (xy 112.298995 90.637609) + (xy 112.277279 90.613491) + (xy 112.258757 90.605245) + (xy 112.241394 90.595817) + (xy 112.22439 90.584774) + (xy 112.211309 90.582702) + (xy 112.206666 90.58092) + (xy 112.2018 90.579886) + (xy 112.189704 90.5745) + (xy 112.169438 90.5745) + (xy 112.149729 90.572949) + (xy 112.129707 90.569778) + (xy 112.116918 90.573205) + (xy 112.10369 90.573898) + (xy 112.103665 90.573424) + (xy 112.095495 90.5745) + (xy 111.828438 90.5745) + (xy 111.760317 90.554498) + (xy 111.739343 90.537595) + (xy 111.65322 90.451472) + (xy 111.625341 90.437267) + (xy 111.573726 90.388519) + (xy 111.55666 90.319604) + (xy 111.579561 90.252402) + (xy 111.625341 90.212733) + (xy 111.644388 90.203028) + (xy 111.644387 90.203028) + (xy 111.65322 90.198528) + (xy 111.748528 90.10322) + (xy 111.809719 89.983126) + (xy 111.812908 89.962995) + (xy 111.829253 89.859793) + (xy 111.830804 89.85) + (xy 111.828461 89.835208) + (xy 111.81127 89.726665) + (xy 111.811269 89.726663) + (xy 111.809719 89.716874) + (xy 111.748528 89.59678) + (xy 111.65322 89.501472) + (xy 111.533126 89.440281) + (xy 111.523337 89.438731) + (xy 111.523335 89.43873) + (xy 111.409793 89.420747) + (xy 111.4 89.419196) + (xy 111.390207 89.420747) + (xy 111.276665 89.43873) + (xy 111.276663 89.438731) + (xy 111.266874 89.440281) + (xy 111.14678 89.501472) + (xy 111.051472 89.59678) + (xy 110.990281 89.716874) + (xy 110.988731 89.726663) + (xy 110.98873 89.726665) + (xy 110.971539 89.835208) + (xy 110.969196 89.85) + (xy 110.970747 89.859793) + (xy 110.970747 89.859794) + (xy 110.987092 89.962995) + (xy 110.977992 90.033406) + (xy 110.93227 90.08772) + (xy 110.864442 90.108692) + (xy 110.796042 90.089665) + (xy 110.773548 90.0718) + (xy 110.70322 90.001472) + (xy 110.583126 89.940281) + (xy 110.573337 89.938731) + (xy 110.573335 89.93873) + (xy 110.459793 89.920747) + (xy 110.45 89.919196) + (xy 110.440207 89.920747) + (xy 110.326665 89.93873) + (xy 110.326663 89.938731) + (xy 110.316874 89.940281) + (xy 110.19678 90.001472) + (xy 110.101472 90.09678) + (xy 110.040281 90.216874) + (xy 110.038731 90.226663) + (xy 110.03873 90.226665) + (xy 110.024723 90.315104) + (xy 110.019196 90.35) + (xy 108.7755 90.35) + (xy 108.7755 89.628438) + (xy 108.795502 89.560317) + (xy 108.812405 89.539343) + (xy 109.789343 88.562405) + (xy 109.851655 88.528379) + (xy 109.878438 88.5255) + (xy 111.471562 88.5255) + (xy 111.539683 88.545502) + (xy 111.560657 88.562405) + (xy 112.772269 89.774017) + (xy 112.793643 89.784907) + (xy 112.810495 89.795233) + (xy 112.829909 89.809339) + (xy 112.839338 89.812403) + (xy 112.839341 89.812404) + (xy 112.852728 89.816754) + (xy 112.870992 89.824319) + (xy 112.892363 89.835208) + (xy 112.902155 89.836759) + (xy 112.902158 89.83676) + (xy 112.916058 89.838962) + (xy 112.935277 89.843576) + (xy 112.948662 89.847925) + (xy 112.948669 89.847926) + (xy 112.958096 89.850989) + (xy 114.497051 89.850989) + (xy 114.565172 89.870991) + (xy 114.586146 89.887894) + (xy 115.187595 90.489343) + (xy 115.221621 90.551655) + (xy 115.2245 90.578438) + (xy 115.2245 90.917393) + (xy 115.231914 90.94021) + (xy 115.236528 90.959429) + (xy 115.240281 90.983126) + (xy 115.244784 90.991963) + (xy 115.244784 90.991964) + (xy 115.251172 91.004502) + (xy 115.258736 91.022763) + (xy 115.263085 91.036147) + (xy 115.263087 91.03615) + (xy 115.266151 91.045581) + (xy 115.27198 91.053604) + (xy 115.280253 91.064991) + (xy 115.290577 91.081837) + (xy 115.301472 91.10322) + (xy 115.657962 91.45971) + (xy 115.691988 91.522022) + (xy 115.686923 91.592837) + (xy 115.644376 91.649673) + (xy 115.626069 91.661072) + (xy 115.555615 91.69697) + (xy 115.555613 91.696971) + (xy 115.54678 91.701472) + (xy 115.451472 91.79678) + (xy 115.390281 91.916874) + (xy 115.388731 91.926663) + (xy 115.38873 91.926665) + (xy 115.384555 91.953028) + (xy 115.369196 92.05) + (xy 115.370747 92.059793) + (xy 115.38682 92.161271) + (xy 115.390281 92.183126) + (xy 115.451472 92.30322) + (xy 115.54678 92.398528) + (xy 115.666874 92.459719) + (xy 115.676663 92.461269) + (xy 115.676665 92.46127) + (xy 115.790207 92.479253) + (xy 115.8 92.480804) + (xy 115.809793 92.479253) + (xy 115.923335 92.46127) + (xy 115.923337 92.461269) + (xy 115.933126 92.459719) + (xy 116.05322 92.398528) + (xy 116.148528 92.30322) + (xy 116.209719 92.183126) + (xy 116.213181 92.161271) + (xy 116.229253 92.059793) + (xy 116.230804 92.05) + (xy 116.215445 91.953028) + (xy 116.21127 91.926665) + (xy 116.211269 91.926663) + (xy 116.209719 91.916874) + (xy 116.180079 91.858702) + (xy 116.166975 91.788927) + (xy 116.193675 91.723142) + (xy 116.251702 91.682235) + (xy 116.292346 91.6755) + (xy 120.121562 91.6755) + (xy 120.189683 91.695502) + (xy 120.210657 91.712405) + (xy 121.911 93.412748) + (xy 121.932374 93.423638) + (xy 121.949226 93.433964) + (xy 121.96864 93.44807) + (xy 121.978069 93.451134) + (xy 121.978072 93.451135) + (xy 121.991459 93.455485) + (xy 122.009723 93.46305) + (xy 122.031094 93.473939) + (xy 122.040886 93.47549) + (xy 122.040889 93.475491) + (xy 122.054789 93.477693) + (xy 122.074008 93.482307) + (xy 122.087393 93.486656) + (xy 122.0874 93.486657) + (xy 122.096827 93.48972) + (xy 122.856832 93.48972) + (xy 122.876542 93.491271) + (xy 122.900238 93.495024) + (xy 122.923934 93.491271) + (xy 122.933726 93.48972) + (xy 123.023573 93.47549) + (xy 123.023575 93.475489) + (xy 123.033364 93.473939) + (xy 123.153458 93.412748) + (xy 123.248766 93.31744) + (xy 123.309957 93.197346) + (xy 123.319912 93.134496) + (xy 123.329491 93.074013) + (xy 123.331042 93.06422) + (xy 123.309957 92.931094) + (xy 123.248766 92.811) + (xy 123.153458 92.715692) + (xy 123.033364 92.654501) + (xy 123.023575 92.652951) + (xy 123.023573 92.65295) + (xy 122.933726 92.63872) + (xy 122.910031 92.634967) + (xy 122.900238 92.633416) + (xy 122.876543 92.637169) + (xy 122.856832 92.63872) + (xy 122.392658 92.63872) + (xy 122.324537 92.618718) + (xy 122.303563 92.601815) + (xy 121.055242 91.353494) + (xy 121.021216 91.291182) + (xy 121.026281 91.220367) + (xy 121.068828 91.163531) + (xy 121.087135 91.152132) + (xy 121.140763 91.124808) + (xy 121.140767 91.124805) + (xy 121.149597 91.120306) + (xy 121.244905 91.024998) + (xy 121.306096 90.904904) + (xy 121.313966 90.855218) + (xy 121.32563 90.781571) + (xy 121.327181 90.771778) + (xy 121.316292 90.703028) + (xy 121.307647 90.648443) + (xy 121.307646 90.648441) + (xy 121.306096 90.638652) + (xy 121.244905 90.518558) + (xy 121.149597 90.42325) + (xy 121.029503 90.362059) + (xy 121.019714 90.360509) + (xy 121.019712 90.360508) + (xy 120.90617 90.342525) + (xy 120.896377 90.340974) + (xy 120.886584 90.342525) + (xy 120.773042 90.360508) + (xy 120.77304 90.360509) + (xy 120.763251 90.362059) + (xy 120.643157 90.42325) + (xy 120.547849 90.518558) + (xy 120.546575 90.517284) + (xy 120.499711 90.553418) + (xy 120.454004 90.562) + (xy 118.54127 90.562) + (xy 118.473149 90.541998) + (xy 118.426656 90.488342) + (xy 118.416552 90.418068) + (xy 118.446046 90.353488) + (xy 118.505772 90.315104) + (xy 118.52156 90.311551) + (xy 118.523334 90.31127) + (xy 118.523335 90.31127) + (xy 118.533126 90.309719) + (xy 118.65322 90.248528) + (xy 118.748528 90.15322) + (xy 118.809719 90.033126) + (xy 118.811926 90.019196) + (xy 118.829253 89.909793) + (xy 118.830804 89.9) + (xy 118.826919 89.875471) + (xy 118.81127 89.776665) + (xy 118.811269 89.776663) + (xy 118.809719 89.766874) + (xy 118.748528 89.64678) + (xy 118.65322 89.551472) + (xy 118.552201 89.5) + (xy 122.269196 89.5) + (xy 122.270747 89.509793) + (xy 122.285539 89.603184) + (xy 122.290281 89.633126) + (xy 122.351472 89.75322) + (xy 122.44678 89.848528) + (xy 122.455613 89.853029) + (xy 122.455615 89.85303) + (xy 122.499658 89.875471) + (xy 122.551274 89.924219) + (xy 122.56834 89.993134) + (xy 122.54544 90.060335) + (xy 122.512192 90.089146) + (xy 122.51364 90.091139) + (xy 122.505612 90.096972) + (xy 122.49678 90.101472) + (xy 122.401472 90.19678) + (xy 122.340281 90.316874) + (xy 122.338731 90.326663) + (xy 122.33873 90.326665) + (xy 122.324145 90.41875) + (xy 122.319196 90.45) + (xy 122.320747 90.459793) + (xy 122.335297 90.551655) + (xy 122.340281 90.583126) + (xy 122.401472 90.70322) + (xy 122.49678 90.798528) + (xy 122.616874 90.859719) + (xy 122.626663 90.861269) + (xy 122.626665 90.86127) + (xy 122.740207 90.879253) + (xy 122.75 90.880804) + (xy 122.759793 90.879253) + (xy 122.873335 90.86127) + (xy 122.873337 90.861269) + (xy 122.883126 90.859719) + (xy 123.00322 90.798528) + (xy 123.098528 90.70322) + (xy 123.159719 90.583126) + (xy 123.164704 90.551655) + (xy 123.179253 90.459793) + (xy 123.180804 90.45) + (xy 123.175855 90.41875) + (xy 123.16127 90.326665) + (xy 123.161269 90.326663) + (xy 123.159719 90.316874) + (xy 123.098528 90.19678) + (xy 123.00322 90.101472) + (xy 122.994387 90.096971) + (xy 122.994385 90.09697) + (xy 122.950342 90.074529) + (xy 122.898726 90.025781) + (xy 122.88166 89.956866) + (xy 122.90456 89.889665) + (xy 122.937808 89.860854) + (xy 122.93636 89.858861) + (xy 122.944388 89.853028) + (xy 122.95322 89.848528) + (xy 123.048528 89.75322) + (xy 123.109719 89.633126) + (xy 123.114462 89.603184) + (xy 123.129253 89.509793) + (xy 123.130804 89.5) + (xy 123.124743 89.461733) + (xy 123.11127 89.376665) + (xy 123.111269 89.376663) + (xy 123.109719 89.366874) + (xy 123.048528 89.24678) + (xy 122.95322 89.151472) + (xy 122.833126 89.090281) + (xy 122.823337 89.088731) + (xy 122.823335 89.08873) + (xy 122.709793 89.070747) + (xy 122.7 89.069196) + (xy 122.690207 89.070747) + (xy 122.576665 89.08873) + (xy 122.576663 89.088731) + (xy 122.566874 89.090281) + (xy 122.44678 89.151472) + (xy 122.351472 89.24678) + (xy 122.290281 89.366874) + (xy 122.288731 89.376663) + (xy 122.28873 89.376665) + (xy 122.275257 89.461733) + (xy 122.269196 89.5) + (xy 118.552201 89.5) + (xy 118.533126 89.490281) + (xy 118.523337 89.488731) + (xy 118.523335 89.48873) + (xy 118.409793 89.470747) + (xy 118.4 89.469196) + (xy 118.390207 89.470747) + (xy 118.368622 89.474166) + (xy 118.321028 89.481704) + (xy 118.250617 89.472604) + (xy 118.212222 89.44635) + (xy 117.84276 89.076888) + (xy 117.808734 89.014576) + (xy 117.813799 88.943761) + (xy 117.856346 88.886925) + (xy 117.922866 88.862114) + (xy 117.989057 88.875526) + (xy 118.050242 88.906701) + (xy 118.060031 88.908251) + (xy 118.060033 88.908252) + (xy 118.173575 88.926235) + (xy 118.183368 88.927786) + (xy 118.193161 88.926235) + (xy 118.306703 88.908252) + (xy 118.306705 88.908251) + (xy 118.316494 88.906701) + (xy 118.436588 88.84551) + (xy 118.531896 88.750202) + (xy 118.593087 88.630108) + (xy 118.597172 88.60432) + (xy 118.612621 88.506775) + (xy 118.614172 88.496982) + (xy 118.596911 88.388) + (xy 118.594638 88.373647) + (xy 118.594637 88.373645) + (xy 118.593087 88.363856) + (xy 118.531896 88.243762) + (xy 118.436588 88.148454) + (xy 118.316494 88.087263) + (xy 118.306705 88.085713) + (xy 118.306703 88.085712) + (xy 118.193161 88.067729) + (xy 118.183368 88.066178) + (xy 118.173575 88.067729) + (xy 118.060033 88.085712) + (xy 118.060031 88.085713) + (xy 118.050242 88.087263) + (xy 117.930148 88.148454) + (xy 117.83484 88.243762) + (xy 117.773649 88.363856) + (xy 117.772099 88.373645) + (xy 117.772098 88.373647) + (xy 117.769825 88.388) + (xy 117.752564 88.496982) + (xy 117.754115 88.506775) + (xy 117.769565 88.60432) + (xy 117.773649 88.630108) + (xy 117.804309 88.690281) + (xy 117.804824 88.691292) + (xy 117.817928 88.761069) + (xy 117.791228 88.826853) + (xy 117.733201 88.86776) + (xy 117.662269 88.870801) + (xy 117.603462 88.83759) + (xy 117.013841 88.247969) + (xy 117.013361 88.247431) + (xy 117.008717 88.23776) + (xy 116.98878 88.221816) + (xy 116.983313 88.216923) + (xy 116.980753 88.214881) + (xy 116.975751 88.209879) + (xy 116.969762 88.206115) + (xy 116.966765 88.203724) + (xy 116.961313 88.19985) + (xy 116.941731 88.18419) + (xy 116.930924 88.181705) + (xy 116.921537 88.175805) + (xy 116.896642 88.17299) + (xy 116.889766 88.171815) + (xy 116.886115 88.171401) + (xy 116.879166 88.169803) + (xy 116.872032 88.169803) + (xy 116.868568 88.16941) + (xy 116.861392 88.169005) + (xy 116.850409 88.167763) + (xy 116.850408 88.167763) + (xy 116.836308 88.166169) + (xy 116.82604 88.169755) + (xy 116.825178 88.169803) + (xy 116.771664 88.169803) + (xy 116.703543 88.149801) + (xy 116.65705 88.096145) + (xy 116.646946 88.025871) + (xy 116.67644 87.961291) + (xy 116.682569 87.954708) + (xy 116.693821 87.943456) + (xy 116.755012 87.823362) + (xy 116.767112 87.746969) + (xy 116.774546 87.700029) + (xy 116.776097 87.690236) + (xy 116.769124 87.64621) + (xy 116.778223 87.5758) + (xy 116.823945 87.521486) + (xy 116.893573 87.5005) + (xy 120.048706 87.5005) + ) + ) + ) + (zone (net 0) (net_name "") (layer "B.Cu") (tstamp 25f7abea-d715-41b4-852d-a763065c7f0f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.1 97.25) + (xy 100 97.25) + (xy 100 96) + (xy 102.1 96) + ) + ) + ) + (zone (net 0) (net_name "") (layer "B.Cu") (tstamp d71f4e49-02f0-499c-9d65-d77d9bd8897a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.1 91.1) + (xy 100 91.1) + (xy 100 89.85) + (xy 102.1 89.85) + ) + ) + ) + (group "group-boardStackUp" (id e82afd7a-801a-4e3e-8de5-eae8d5f80978) + (members + 00f08a0b-82b9-45e5-8519-9f3c6377cd02 + 011a5828-4c3c-4dde-9bdb-284a3f3c4a43 + 0243fc01-c89d-427f-ada0-c7b78b375c4b + 025baa4e-9c0e-4171-ba18-c81707277562 + 03273d97-5274-435d-8d30-f6cf1379d2ec + 0915a960-c1d1-4819-9c53-aeb8cd5149bf + 0b71d1a0-f7f1-4898-a4ea-edf5332f8ca7 + 0c45290b-d76f-4c88-a4f6-10a6b4367d24 + 120c613d-4c12-4293-ae3a-6a512771985f + 1336502c-11bd-4ec2-9aca-20ce8fd7c351 + 163963d5-9627-43e4-ac5c-e10ad7299143 + 1995a1af-4656-4a47-a563-d0a3f10ab4cf + 1a253373-7aaa-4800-82a0-f05224ca4a7a + 1b6d0560-1178-425c-aa39-65ccb9b9adf6 + 1bcfdeb5-4398-4ba9-8d2b-1afb409aafd2 + 1e5a4a4f-7ec1-4d5e-aab0-77eebafcd5cd + 26fb18d1-6ffa-4a4a-b050-bfff5417256a + 2ab4e285-80ef-4098-93e3-671fb896f742 + 2c1ead4c-ba2b-4a8a-bb34-69dfd6a07338 + 3259f80d-9863-4549-b902-9b908fd99360 + 34cf0ce0-4224-4cff-b8da-dac4a1c9b668 + 36815cf6-0422-444c-a3e8-ed66ef92f617 + 369de6e0-38f9-4c75-93ed-d58163562fde + 37104389-0ffa-4ff9-884c-f7e490c8571a + 37be8254-7e2c-4f4c-a147-46fa446006a2 + 3c0146c9-302b-4005-9f50-7766581fb71a + 3def0672-3d83-48f7-bcb3-c4be8da902d5 + 3e6b83fc-7519-4ddb-953c-bb9f626bfed6 + 3fd645e4-1c4f-4c07-afcb-59e3215127ca + 43d2d4b8-f1d7-4f2a-aa85-7cb4bf6c251c + 44a15e1c-f254-4648-a79e-78dd546b3ad3 + 528fa016-8dda-47a4-ac5a-14ef00dc9116 + 5362a7bb-6a5c-4582-8a84-dd179357b30c + 53b141a8-4fb6-4e1f-b3eb-8e36e10c5cc1 + 53b9d0a9-bdca-4a98-a62c-67ea855d8049 + 53dc5eaa-73e3-43ab-9e31-a54cd5adc72f + 5467a1d8-1da8-4db6-8370-a392f817657d + 571912b7-93f1-48e7-9716-795cf2eaaab5 + 5c19c8eb-a9eb-4833-b94e-16d408a4c614 + 5f3ac091-d5f3-4e8d-bed3-d7d84d73e753 + 606bed62-2645-43b2-8746-701feb5d482c + 60fcc63f-51e7-4ba1-b8e2-7f58e866098a + 63530c34-e56d-412b-a20c-0f5801e0b75c + 63d855ac-697e-4eed-8221-860e4b1819e2 + 6d2ec6c5-646f-4865-962c-fb5a5edbf1c2 + 6e4fd549-4e22-4263-aa63-fbb79f10ecb8 + 72e8fcce-5083-40f6-a91f-3bfabc7c7549 + 73237229-68da-4bfc-80d6-f3f33e277d06 + 7338b5a9-3a85-4450-86b4-5007c87a58ff + 73a44f0b-73f5-401a-a4f9-19586eb00839 + 74936d8a-1d36-412e-8d34-dbf39e66d962 + 74a9d92f-93b8-42e6-97b6-ac630c5378b8 + 7505eede-a417-42c3-88a2-1fe21ee21a2a + 79977da0-fdcb-4922-8297-b08770982ade + 7b7e0923-b508-4aa1-91a7-05a7557a88ee + 7bf62f93-87a1-4db1-8ca9-79ce9596c2b8 + 7f27dd6e-61a8-4bb4-ac85-149b149d66f3 + 8356d232-ef50-40f0-a742-8beed5a9bc27 + 837176e9-8fab-41d2-86dd-da3b1b3dd39f + 84164d3c-90bc-45b0-ac63-7f7a93843cb3 + 862b97e2-70d6-4aea-9357-60983bc901d8 + 884b30ea-af8f-4f82-a557-df4823436067 + 888c76fa-7b17-4835-83d9-86e7676bd4ef + 8c1aa883-be0a-4c66-94de-9db387d409d3 + 8d9e19c9-1c38-4d1f-a346-c1ec50453cc1 + 8f9bfdb5-2a57-4831-bd00-f02c2bbb920e + 925356e8-9fe3-4fca-8329-eba967a76629 + 9428c84f-f95c-4fa2-a59d-586cb3c5d4fd + 96916265-4653-41c3-9a80-f6775aa2b630 + 9fdf8bbc-e3ca-4283-a65c-7015973dbcab + a0b9f050-1be7-488f-85b2-08f372f83ded + a104f8b7-5461-444e-b965-b1e6732ac99f + a24c495d-6be2-4999-9a23-d78f9efcd58e + a3211a09-e8bb-45b4-9fce-27397cf3f049 + a5d01954-50f2-4ef4-ac22-4fad9b9b2741 + a91b2e0e-b141-4814-b267-2fdc9c6a6658 + aa63055c-baeb-45aa-a784-3ad93305f13b + ae877162-4ceb-4c8a-bbfe-7112f9e7e7ea + b0c06db7-a576-4fd8-83c7-c014cc52b2d6 + b2fb7a1b-c9ba-4acd-a02e-25484040900c + b362ed42-4b28-4023-8338-57fce2c46bcc + b559f405-4de0-4485-9eb1-aa1ba6266fb3 + bab9a1de-c8d3-471f-9075-142844f4fafd + bb5d112d-8806-45ee-9ac3-33210f67d54f + c5ca144b-4a8c-4b43-8d11-73bfc7ce35b4 + c6746a20-a2a7-491d-8bf4-6734530b9889 + c7d84f6e-a707-4ffd-8ab8-e4d824111c03 + c82525cb-40e6-49c8-b5ba-a548b20e026a + c909aa0c-2fd9-4d9c-a4ea-3fb1adec5ed8 + c9994eea-4a76-4588-a706-ad2e04aff285 + c9d7f80c-93d3-40b6-82bc-9669a79c7f05 + cb6ca4a6-d548-496b-82da-ad3ca44106a9 + cc3838d6-9c6c-4d91-aba1-bd29599115d5 + cfa7d3f6-0cc9-4375-a0ac-d721b57ce3a3 + d02abb4a-6862-4e43-bda0-9136ef818539 + d0f188d9-dfb1-44a8-ad95-8dc6e323156b + d4b6492f-ea43-4aae-99e0-bfb2aa20b67f + d63c2d67-a8b0-4064-9c5d-a28bd9200b4c + d9191217-fb4c-4445-8d6b-28ba96ed5884 + d9389f84-cc8b-46ce-9bf9-2f7fd6b103c4 + d98ae824-3371-435f-8ca0-a21a12804f20 + d9afab37-6d16-489e-a6df-20a54d2ee9f9 + d9c9a498-33d2-4069-be67-c993eabe1d55 + da88cf57-0975-4f67-b828-34f4f4c6151f + dd81f792-3a25-482c-b21e-05ec2d4eb5d6 + ddaaab04-fca3-4052-9a26-35c7845fd694 + e130aa5f-12f3-4c64-8445-319d961fa089 + e174db42-2133-4bde-8bf0-5dfc27789f4d + e462b99b-dc16-4632-9277-f42cc1c75e32 + e762fafd-aba3-4f95-8923-69fc7014c1b7 + e7d18ef0-3fda-41de-bee8-09bcd775905e + e835f670-a4e4-411b-93b0-aa3907eaf197 + e85705c7-e2a6-4d53-a85c-6c783418e0d2 + eb84e2f0-c873-4eb9-b0db-dd71bfafb64c + f0ad4449-626d-4aef-bbd4-02eba1183b71 + f626dfdc-a42e-49fe-92eb-181cb51736dc + f8978d6f-bc80-4d45-99fe-9eda6ceed8ec + fa74e58b-1d1f-4c19-a9e0-9a5b12093d6c + fa98a317-14ca-498d-8226-47acdff0c9f6 + fba6e488-9940-4c72-a3c3-f2539158fdfc + fbb57290-3adc-4d24-918c-497402e97c67 + ) + ) +) diff --git a/hw/boards/tk1/tk1.kicad_prl b/hw/boards/tk1/tk1.kicad_prl index fa0850f..6d313de 100644 --- a/hw/boards/tk1/tk1.kicad_prl +++ b/hw/boards/tk1/tk1.kicad_prl @@ -3,10 +3,12 @@ "active_layer": 49, "active_layer_preset": "", "auto_track_width": false, + "hidden_netclasses": [], "hidden_nets": [], "high_contrast_mode": 0, "net_color_mode": 1, "opacity": { + "images": 0.6, "pads": 1.0, "tracks": 1.0, "vias": 1.0, @@ -62,7 +64,7 @@ 35, 36 ], - "visible_layers": "0021000_7ffffff8", + "visible_layers": "0021000_7ffffff9", "zone_display_mode": 0 }, "meta": { diff --git a/hw/boards/tk1/tk1.kicad_pro b/hw/boards/tk1/tk1.kicad_pro index 13c87d0..a6af0ba 100644 --- a/hw/boards/tk1/tk1.kicad_pro +++ b/hw/boards/tk1/tk1.kicad_pro @@ -1,5 +1,6 @@ { "board": { + "3dviewports": [], "design_settings": { "defaults": { "board_outline_line_width": 0.049999999999999996, @@ -81,11 +82,7 @@ "courtyards_overlap|125050001|92563069|aff9b94a-3155-4d61-8287-3dc8c06c9c02|00000000-0000-0000-0000-000061552981", "courtyards_overlap|125128899|96299999|a9dc0c59-b820-453f-94ad-ca6fe558a198|aff9b94a-3155-4d61-8287-3dc8c06c9c02", "silk_over_copper|101399150|99725850|415e16b8-6b2d-45a0-8768-17340423ff22|f7241cad-2f53-4952-a61b-73042c7feb93", - "silk_over_copper|101399150|99725850|415e16b8-6b2d-45a0-8768-17340423ff22|fe6d9248-0cc4-46a4-ae8e-05e05dd2a86e", - "silk_overlap|107643750|90560000|43a44da6-8a26-4f6b-bfab-90bd54eff706|3380a407-9e97-471c-8138-3f5ae456a02d", - "silk_overlap|107643750|90560000|43f30d59-714f-4bad-98a3-5b688f75bff9|3380a407-9e97-471c-8138-3f5ae456a02d", - "silk_overlap|108525000|93825000|3fde9030-6d4b-46cf-94bc-1e2f098b7b7d|911835c1-7de3-4364-900a-69211931b2f3", - "silk_overlap|108525000|93825000|e93fedf4-2386-4d73-b28a-1d7d9e9c0354|911835c1-7de3-4364-900a-69211931b2f3" + "silk_over_copper|101399150|99725850|415e16b8-6b2d-45a0-8768-17340423ff22|fe6d9248-0cc4-46a4-ae8e-05e05dd2a86e" ], "meta": { "filename": "board_design_settings.json", @@ -94,20 +91,26 @@ "rule_severities": { "annular_width": "error", "clearance": "error", + "connection_width": "warning", "copper_edge_clearance": "error", + "copper_sliver": "warning", "courtyards_overlap": "error", "diff_pair_gap_out_of_range": "error", "diff_pair_uncoupled_length_too_long": "error", "drill_out_of_range": "error", "duplicate_footprints": "warning", "extra_footprint": "warning", + "footprint": "error", "footprint_type_mismatch": "error", "hole_clearance": "error", "hole_near_hole": "error", "invalid_outline": "error", + "isolated_copper": "warning", "item_on_disabled_layer": "error", "items_not_allowed": "error", "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", "malformed_courtyard": "error", "microvia_drill_out_of_range": "error", "missing_courtyard": "ignore", @@ -117,9 +120,14 @@ "padstack": "error", "pth_inside_courtyard": "ignore", "shorting_items": "error", + "silk_edge_clearance": "warning", "silk_over_copper": "warning", "silk_overlap": "warning", "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", "through_hole_pad_without_hole": "error", "too_many_vias": "error", "track_dangling": "warning", @@ -128,7 +136,6 @@ "unconnected_items": "error", "unresolved_variable": "error", "via_dangling": "warning", - "zone_has_empty_net": "error", "zones_intersect": "error" }, "rule_severitieslegacy_courtyards_overlap": true, @@ -138,18 +145,63 @@ "allow_microvias": false, "max_error": 0.005, "min_clearance": 0.09999999999999999, + "min_connection": 0.0, "min_copper_edge_clearance": 0.25, "min_hole_clearance": 0.25, "min_hole_to_hole": 0.25, "min_microvia_diameter": 0.19999999999999998, "min_microvia_drill": 0.09999999999999999, + "min_resolved_spokes": 2, "min_silk_clearance": 0.0, + "min_text_height": 0.7999999999999999, + "min_text_thickness": 0.08, "min_through_hole_diameter": 0.25, "min_track_width": 0.125, "min_via_annular_width": 0.125, "min_via_diameter": 0.6, + "solder_mask_to_copper_clearance": 0.0, "use_height_for_length_calcs": true }, + "teardrop_options": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 5, + "td_on_pad_in_zone": false, + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], "track_widths": [ 0.0, 0.125, @@ -170,7 +222,8 @@ "zones_allow_external_fillets": false, "zones_use_no_outline": true }, - "layer_presets": [] + "layer_presets": [], + "viewports": [] }, "boards": [], "cvpcb": { @@ -354,18 +407,23 @@ "rule_severities": { "bus_definition_conflict": "error", "bus_entry_needed": "error", - "bus_label_syntax": "error", "bus_to_bus_conflict": "error", "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", "different_unit_footprint": "error", "different_unit_net": "error", "duplicate_reference": "error", "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", "extra_units": "error", "global_label_dangling": "warning", "hier_label_mismatch": "error", "label_dangling": "error", "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", "multiple_net_names": "warning", "net_not_bus_member": "warning", "no_connect_connected": "warning", @@ -375,6 +433,7 @@ "pin_to_pin": "warning", "power_pin_not_driven": "error", "similar_labels": "warning", + "simulation_model_issue": "error", "unannotated": "error", "unit_value_mismatch": "error", "unresolved_variable": "error", @@ -392,7 +451,7 @@ "net_settings": { "classes": [ { - "bus_width": 12.0, + "bus_width": 12, "clearance": 0.1, "diff_pair_gap": 0.25, "diff_pair_via_gap": 0.25, @@ -406,10 +465,10 @@ "track_width": 0.1, "via_diameter": 0.6, "via_drill": 0.25, - "wire_width": 6.0 + "wire_width": 6 }, { - "bus_width": 12.0, + "bus_width": 12, "clearance": 0.1, "diff_pair_gap": 0.25, "diff_pair_via_gap": 0.25, @@ -418,26 +477,45 @@ "microvia_diameter": 0.3, "microvia_drill": 0.1, "name": "power", - "nets": [ - "+1V2", - "+2V5", - "+3V3", - "+5V", - "/Application FPGA/APP_+1.2_PLL", - "GND" - ], "pcb_color": "rgba(0, 0, 0, 0.000)", "schematic_color": "rgba(0, 0, 0, 0.000)", "track_width": 0.2, "via_diameter": 0.6, "via_drill": 0.25, - "wire_width": 6.0 + "wire_width": 6 } ], "meta": { - "version": 2 + "version": 3 }, - "net_colors": null + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [ + { + "netclass": "power", + "pattern": "+1V2" + }, + { + "netclass": "power", + "pattern": "+2V5" + }, + { + "netclass": "power", + "pattern": "+3V3" + }, + { + "netclass": "power", + "pattern": "+5V" + }, + { + "netclass": "power", + "pattern": "/Application FPGA/APP_+1.2_PLL" + }, + { + "netclass": "power", + "pattern": "GND" + } + ] }, "pcbnew": { "last_paths": { @@ -453,6 +531,8 @@ "schematic": { "annotate_start_num": 0, "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, "default_line_thickness": 6.0, "default_text_size": 50.0, "field_names": [], @@ -484,7 +564,11 @@ "page_layout_descr_file": "C:\\Users\\matt\\Other-Repos\\tillitis-key1\\hw\\boards\\mta1-library\\CERN_OHL_S_drawing_sheet.kicad_wks", "plot_directory": "./", "spice_adjust_passive_values": false, + "spice_current_sheet_as_root": false, "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_voltages": false, "subpart_first_id": 65, "subpart_id_separator": 0 }, diff --git a/hw/boards/tk1/tk1.kicad_sch b/hw/boards/tk1/tk1.kicad_sch index 50091d3..f6aa74c 100644 --- a/hw/boards/tk1/tk1.kicad_sch +++ b/hw/boards/tk1/tk1.kicad_sch @@ -1,920 +1,923 @@ -(kicad_sch (version 20211123) (generator eeschema) - - (uuid 8fc062a7-114d-48eb-a8f8-71128838f380) - - (paper "A4") - - (title_block - (title "TK-1") - (date "2021-11-14") - (rev "V1") - (company "Tillitis AB") - (comment 1 "2022") - ) - - (lib_symbols - (symbol "Mechanical:Fiducial" (in_bom yes) (on_board yes) - (property "Reference" "FID" (id 0) (at 0 5.08 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Fiducial" (id 1) (at 0 3.175 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "fiducial marker" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Fiducial Marker" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "Fiducial*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "Fiducial_0_1" - (circle (center 0 0) (radius 1.27) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - ) - ) - - - (polyline (pts (xy 193.04 54.61) (xy 205.74 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 00005c60-ae18-454a-bcd1-67f0b915819b) - ) - (polyline (pts (xy 205.74 52.07) (xy 205.74 58.42)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 00d3f4f9-048d-47f2-9bcf-1c55489b5907) - ) - (polyline (pts (xy 264.16 52.07) (xy 264.16 58.42)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 06c88a91-0562-419a-967d-31a7c9b070c8) - ) - (polyline (pts (xy 45.72 45.72) (xy 68.58 45.72)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 06d333a1-9d49-48e1-abd8-bbda8a87dadc) - ) - (polyline (pts (xy 149.86 59.69) (xy 148.59 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 198d797a-f682-4724-9c52-4bf517dc2741) - ) - (polyline (pts (xy 148.59 95.25) (xy 148.59 101.6)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 1e989950-5b00-4e81-b0f2-813d320a8d91) - ) - (polyline (pts (xy 228.6 54.61) (xy 241.3 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 216409a8-63a1-4655-b436-160a57f6517a) - ) - (polyline (pts (xy 68.58 52.07) (xy 45.72 52.07)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 23ed1ffa-c83e-4c23-85f3-a742b3f0e763) - ) - (polyline (pts (xy 228.6 62.23) (xy 228.6 68.58)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 2725ff94-5a7c-47c5-8447-1ffd34b9328e) - ) - (polyline (pts (xy 81.28 45.72) (xy 104.14 45.72)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 2805b02d-fad8-43cc-8a14-09604dd38296) - ) - (polyline (pts (xy 68.58 45.72) (xy 68.58 52.07)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 2bfeb74b-59ae-49fb-85bf-43723e03b963) - ) - (polyline (pts (xy 128.27 142.24) (xy 105.41 142.24)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 2fdc0fe4-819e-4989-947f-11e22d474994) - ) - (polyline (pts (xy 228.6 68.58) (xy 205.74 68.58)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 353307cc-0b3f-4400-ac09-05d9cdbb708e) - ) - (polyline (pts (xy 48.26 135.89) (xy 48.26 142.24)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 382c19d8-0dd5-4f9a-86e2-e62aaccbe050) - ) - (polyline (pts (xy 149.86 62.23) (xy 148.59 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 38f105a2-cc02-4318-856e-b3a5f65d906b) - ) - (polyline (pts (xy 148.59 58.42) (xy 161.29 58.42)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3bdf57d1-a48c-47cd-b61b-41363ccd24fa) - ) - (polyline (pts (xy 148.59 90.17) (xy 125.73 90.17)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 3ead0b98-6b7d-496b-a1be-677dfd788c22) - ) - (polyline (pts (xy 205.74 62.23) (xy 205.74 68.58)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 3f7bcaef-8896-4c08-aa4f-9bb188e78329) - ) - (polyline (pts (xy 125.73 95.25) (xy 148.59 95.25)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 423d9a92-6f26-4b63-a2b4-fd76d0155fa9) - ) - (polyline (pts (xy 160.02 59.69) (xy 161.29 58.42)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 427d6ea8-edf7-446b-8f46-f31d71ac22b1) - ) - (polyline (pts (xy 148.59 60.96) (xy 161.29 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 44af5a0a-47e2-4107-ad43-17d4e543da0c) - ) - (polyline (pts (xy 148.59 76.2) (xy 116.84 76.2)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 453f2692-10bc-42f3-9b84-ec877623ae10) - ) - (polyline (pts (xy 125.73 95.25) (xy 125.73 101.6)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 4d05a709-fa0b-4f59-87d6-3583d874dc09) - ) - (polyline (pts (xy 48.26 135.89) (xy 71.12 135.89)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 50efbc23-0e24-4c30-aa45-0de497eb26da) - ) - (polyline (pts (xy 104.14 52.07) (xy 81.28 52.07)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 580cd2c9-a8dc-4b53-8836-2f79dc8f7a23) - ) - (polyline (pts (xy 68.58 48.26) (xy 81.28 48.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5a77a28c-e40d-4021-a71b-88efa2f58a71) - ) - (polyline (pts (xy 161.29 38.1) (xy 193.04 38.1)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 5b79d91c-ef30-4ff9-9d90-1c7f769d51fa) - ) - (polyline (pts (xy 228.6 58.42) (xy 205.74 58.42)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 5d164265-553b-4ad7-8199-567e1859b6e1) - ) - (polyline (pts (xy 125.73 83.82) (xy 148.59 83.82)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 64e854bd-d82a-4a95-bb38-711d0fe0a5bf) - ) - (polyline (pts (xy 71.12 135.89) (xy 71.12 142.24)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 64eccc18-a716-4afd-8e4d-5c6dc5a7552d) - ) - (polyline (pts (xy 99.06 135.89) (xy 99.06 142.24)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 6532a8ec-9b2a-44d0-916b-5c7d5761d45e) - ) - (polyline (pts (xy 193.04 45.72) (xy 205.74 45.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 65649bf4-4bfb-4e35-a452-77b165699e15) - ) - (polyline (pts (xy 149.86 67.31) (xy 148.59 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 66302a5d-8af2-4bfd-bb5a-b131f7c70e65) - ) - (polyline (pts (xy 205.74 52.07) (xy 228.6 52.07)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 68270c05-7fd3-48bf-95a9-918d89a5db69) - ) - (polyline (pts (xy 160.02 57.15) (xy 161.29 58.42)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6a805b95-e09f-44ed-9469-2704d92fc397) - ) - (polyline (pts (xy 45.72 45.72) (xy 45.72 52.07)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 6da1c5b1-316a-47de-a571-6f3490f8bdb7) - ) - (polyline (pts (xy 116.84 38.1) (xy 148.59 38.1)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 6f4dc9ee-a6c1-4beb-b9f7-1f17897743be) - ) - (polyline (pts (xy 148.59 66.04) (xy 161.29 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 721bfb9e-a645-4a40-84eb-e8eb0fc83079) - ) - (polyline (pts (xy 148.59 63.5) (xy 161.29 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 744b64d9-2ed3-474e-b57c-b50ff188fa42) - ) - (polyline (pts (xy 193.04 64.77) (xy 205.74 64.77)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 78a81750-ae64-476d-befb-e33924983ba6) - ) - (polyline (pts (xy 193.04 93.98) (xy 161.29 93.98)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 802e657d-943f-476f-8edc-726d66aec3fc) - ) - (polyline (pts (xy 241.3 52.07) (xy 241.3 58.42)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 840a6cb5-9100-4520-a6e2-8b2125373610) - ) - (polyline (pts (xy 228.6 41.91) (xy 228.6 48.26)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 840e78f2-0fc0-4c80-9234-cc5d35ab0842) - ) - (polyline (pts (xy 205.74 41.91) (xy 228.6 41.91)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 87fa8f89-ba42-446a-8d1c-7f4e4dd98ab0) - ) - (polyline (pts (xy 228.6 48.26) (xy 205.74 48.26)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 89663df8-2e93-44a6-8933-1134365bf558) - ) - (polyline (pts (xy 71.12 142.24) (xy 48.26 142.24)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 89b73cb5-c182-4c2f-bca7-c8058b077b52) - ) - (polyline (pts (xy 264.16 58.42) (xy 241.3 58.42)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid 8a957895-0f8a-488a-acd1-ff9de455885a) - ) - (polyline (pts (xy 148.59 101.6) (xy 125.73 101.6)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid a64c5a76-a982-41b2-9706-f5e1455f077b) - ) - (polyline (pts (xy 81.28 45.72) (xy 81.28 52.07)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid a7288627-23b0-4e14-a902-5c8999e164d3) - ) - (polyline (pts (xy 99.06 142.24) (xy 76.2 142.24)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid bb7c42e2-f80f-4fbb-b098-f92c7df6a327) - ) - (polyline (pts (xy 148.59 86.36) (xy 161.29 86.36)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bc48fdac-cb52-4fb0-8dfb-9ce18bafb9ba) - ) - (polyline (pts (xy 116.84 38.1) (xy 116.84 76.2)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid bc88c932-4d5f-4106-b801-b48c2c7de311) - ) - (polyline (pts (xy 125.73 83.82) (xy 125.73 90.17)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid bdbc09ac-56d6-4fed-8536-b1fc98e1f464) - ) - (polyline (pts (xy 105.41 135.89) (xy 105.41 142.24)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid c531557b-21b8-45a2-8138-56b1f01cd918) - ) - (polyline (pts (xy 148.59 83.82) (xy 148.59 90.17)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid c71b4620-6d79-41dc-a389-067acc953d54) - ) - (polyline (pts (xy 148.59 97.79) (xy 154.94 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c71e904f-c0af-4ec0-b77b-52ed6f1bd9ec) - ) - (polyline (pts (xy 154.94 86.36) (xy 154.94 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c793a9d2-c3f5-40c7-94a8-4a18ba6c32f4) - ) - (polyline (pts (xy 241.3 52.07) (xy 264.16 52.07)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid c813b275-8a32-4ea3-b1d3-3332304049ad) - ) - (polyline (pts (xy 104.14 48.26) (xy 116.84 48.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ca3f83bd-32e8-448e-a077-5393e8dddd4a) - ) - (polyline (pts (xy 104.14 45.72) (xy 104.14 52.07)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid cfb5c47f-8af9-4fa6-91b1-6543430379f4) - ) - (polyline (pts (xy 228.6 52.07) (xy 228.6 58.42)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid d03ddf44-d774-4cfd-a703-30acb5ed03e5) - ) - (polyline (pts (xy 193.04 38.1) (xy 193.04 93.98)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid d34581c2-534d-489d-92cd-d3b9c76b4fe3) - ) - (polyline (pts (xy 148.59 38.1) (xy 148.59 76.2)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid d9f45687-5b9f-474d-bd0f-e2af1eb890e7) - ) - (polyline (pts (xy 105.41 135.89) (xy 128.27 135.89)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid dbde91a4-24d7-480f-a1cf-86e3744d5258) - ) - (polyline (pts (xy 161.29 38.1) (xy 161.29 93.98)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid e2ef4fa7-a80f-4c94-bf78-76385807a160) - ) - (polyline (pts (xy 205.74 62.23) (xy 228.6 62.23)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid e2fb2298-b289-4960-85ba-baade41d79bd) - ) - (polyline (pts (xy 76.2 135.89) (xy 99.06 135.89)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid e54ce313-e8d0-470a-91a3-ea40f0c0453f) - ) - (polyline (pts (xy 149.86 64.77) (xy 148.59 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f5ed7483-f109-4b12-bd8e-10050a81e33b) - ) - (polyline (pts (xy 205.74 41.91) (xy 205.74 48.26)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid f82c96d6-1b45-4872-9a31-73338679b0a7) - ) - (polyline (pts (xy 160.02 62.23) (xy 161.29 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f8b6a026-f389-456d-a89f-6294ad7f7e11) - ) - (polyline (pts (xy 160.02 64.77) (xy 161.29 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fb052284-ed9f-479e-81a8-4fce2f496e98) - ) - (polyline (pts (xy 76.2 135.89) (xy 76.2 142.24)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid fc18ed2c-acbc-4221-9ed9-9166fb69a041) - ) - (polyline (pts (xy 128.27 135.89) (xy 128.27 142.24)) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (uuid fe60c886-b6b5-47bf-af7a-598097b7f958) - ) - - (text "USB ESD diodes" (at 83.82 49.53 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 001da037-99ec-405e-a8c4-a6da1405eeb9) - ) - (text "Touch pad" (at 247.65 55.88 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 0235c46f-e4e8-4482-a44d-bf1e9a0c91c6) - ) - (text "RX" (at 144.78 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 0fa50f25-2088-41a0-bcf9-363465cb9c86) - ) - (text "GPIO x4" (at 184.15 66.04 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1536a9db-5598-466b-a288-cb0c5770380a) - ) - (text "GPIO pins" (at 212.09 66.04 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 2a2855d4-f0e4-4f07-b83a-461bddb70df0) - ) - (text "Cap sensor" (at 212.09 55.88 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 33f5198c-f377-4366-9d1a-366ddb3dc2cf) - ) - (text "USB 2.0 Full Speed" (at 118.11 49.53 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3d519f37-6a39-4f5c-8676-fc0f1486dfe3) - ) - (text "RTS" (at 143.51 64.77 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 47cab9f2-c9eb-4a9b-923b-8e3ff1e36dee) - ) - (text "USB C Plug" (at 52.07 49.53 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 5dd26be3-1eef-4c92-9446-12eb828b4601) - ) - (text "CH552 Microcontroller" (at 120.65 41.91 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7094f3a8-173d-4630-9dfb-9591d3637f76) - ) - (text "RGB LED" (at 212.09 45.72 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 775f83b6-0b87-4b46-bcdf-a0df2ced7880) - ) - (text "USB C\n(load/run apps)" (at 72.39 44.45 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 79e54d6d-4083-4d3c-a71b-3010e0e17f19) - ) - (text "1.2V LDO" (at 111.76 139.7 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 8476ae6d-b48c-4734-a683-0e543f1da45b) - ) - (text "GPIO x4" (at 162.56 63.5 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 8b5dc560-64a8-4b1d-8a95-5f557dce6f19) - ) - (text "3.3V LDO" (at 54.61 139.7 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 964d2197-877b-4717-89e1-60c2131c77f9) - ) - (text "CTS" (at 143.51 67.31 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9b8b6dcc-3ef8-4ed2-9bc1-691f5601372a) - ) - (text "2.5V LDO" (at 82.55 139.7 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a7d484ca-c4d6-464b-8115-1d9a995a076e) - ) - (text "App FPGA" (at 171.45 41.91 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a9985df4-d96f-4296-b5fa-03e2596859b3) - ) - (text "Config SPI" (at 162.56 87.63 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid af384b37-0d3e-4773-8aa7-c84ea245fb91) - ) - (text "Tillitis TK-1" (at 106.68 24.13 0) - (effects (font (size 6 6) (thickness 0.254) bold) (justify left bottom)) - (uuid b67afca8-98b4-4a81-9edf-4865efd15bb7) - ) - (text "TX" (at 144.78 59.69 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid da3c732e-1586-420e-ab01-e319e5371caf) - ) - (text "SPI Flash" (at 132.08 87.63 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid e02820d9-8ec2-44a1-a266-d614c0cd8c97) - ) - (text "GPIO" (at 186.69 55.88 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid e3df109c-4b22-4811-ac1f-5a711cd2772b) - ) - (text "LED out" (at 184.15 46.99 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid e3fca0da-74b7-49f9-bb77-75b9fd387a66) - ) - (text "Test pads\n(for programming)" (at 128.27 100.33 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f2a33390-9fc2-43cd-9608-f4554c6c6533) - ) - - (symbol (lib_id "Mechanical:Fiducial") (at 124.46 163.83 90) (unit 1) - (in_bom no) (on_board yes) (fields_autoplaced) - (uuid 59501395-780b-47e4-8967-9f965674a799) - (property "Reference" "FID1" (id 0) (at 127 162.5599 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Value" "" (id 1) (at 127 165.0999 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (id 2) (at 124.46 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 124.46 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - - (symbol (lib_id "Mechanical:Fiducial") (at 124.46 171.45 90) (unit 1) - (in_bom no) (on_board yes) (fields_autoplaced) - (uuid bf741fd1-5855-4a31-8c21-db9bc5f783af) - (property "Reference" "FID2" (id 0) (at 127 170.1799 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Value" "" (id 1) (at 127 172.7199 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (id 2) (at 124.46 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 124.46 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - - (sheet (at 43.18 180.34) (size 12.7 3.81) (fields_autoplaced) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (fill (color 0 0 0 0.0000)) - (uuid 00000000-0000-0000-0000-0000611a4eb9) - (property "Sheet name" "Power Supply" (id 0) (at 43.18 179.6284 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - ) - (property "Sheet file" "powersupply.kicad_sch" (id 1) (at 43.18 184.7346 0) - (effects (font (size 1.27 1.27)) (justify left top)) - ) - ) - - (sheet (at 43.18 157.48) (size 12.7 3.81) (fields_autoplaced) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (fill (color 0 0 0 0.0000)) - (uuid 00000000-0000-0000-0000-0000611cc101) - (property "Sheet name" "Application FPGA" (id 0) (at 43.18 156.7684 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - ) - (property "Sheet file" "application_fpga.kicad_sch" (id 1) (at 43.18 161.8746 0) - (effects (font (size 1.27 1.27)) (justify left top)) - ) - ) - - (sheet (at 43.18 168.91) (size 12.7 3.81) (fields_autoplaced) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (fill (color 0 0 0 0.0000)) - (uuid 00000000-0000-0000-0000-00006161400b) - (property "Sheet name" "USB to Serial converter" (id 0) (at 43.18 168.1984 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - ) - (property "Sheet file" "usb_to_serial.kicad_sch" (id 1) (at 43.18 173.3046 0) - (effects (font (size 1.27 1.27)) (justify left top)) - ) - ) - - (sheet_instances - (path "/" (page "1")) - (path "/00000000-0000-0000-0000-0000611cc101" (page "2")) - (path "/00000000-0000-0000-0000-00006161400b" (page "3")) - (path "/00000000-0000-0000-0000-0000611a4eb9" (page "4")) - ) - - (symbol_instances - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006121cc5b" - (reference "#GND01") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126c45e" - (reference "#GND02") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061244503" - (reference "#GND03") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126c89d" - (reference "#GND04") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061216e11" - (reference "#GND05") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006124d9bc" - (reference "#GND06") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006127a658" - (reference "#GND07") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061223980" - (reference "#GND08") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/b1a11e45-92cc-431d-8cf7-703ad06727e6" - (reference "#GND09") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/63263417-b18d-4afb-baba-9adc94489e6d" - (reference "#GND019") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/b889953b-c916-4107-8112-566c6919744d" - (reference "#GND021") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006155307d" - (reference "#GND022") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006155308a" - (reference "#GND023") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1502" - (reference "#GND024") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-000061681e90" - (reference "#GND025") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1517" - (reference "#GND026") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1516" - (reference "#GND027") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/62d3b20b-9f61-410e-af5d-e367b9b7c6a2" - (reference "#GND028") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/dd7d4f71-4b84-459a-a048-8d2180afb73f" - (reference "#GND029") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/b1b5e825-ddfe-4e1f-ada8-89efd01d7e58" - (reference "#GND030") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/cf4e8e3a-d27f-4432-a03f-eb71f8da5a95" - (reference "#GND032") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006174ea48" - (reference "#GND0101") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/9bfb5d97-4d9f-43df-9b69-688a7feed8da" - (reference "#GND0102") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/bd9ce2d7-a742-4270-aa1d-0ad8b18d7e79" - (reference "#GND0103") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/9a0382d0-d26d-4a62-b0d4-a20c704c5784" - (reference "#GND0104") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/674e8000-f9df-4d4d-936a-0ab19f54245d" - (reference "#GND0105") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-0000617abdbb" - (reference "#GND0106") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/73c581a3-a6dc-4da7-b72f-ac976f15567b" - (reference "#GND0108") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/f372eb15-7bbb-45a7-a3e7-9f1375833b78" - (reference "#GND0109") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-00006168f38a" - (reference "#GND0110") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/b138a11e-912c-4302-843e-d89c34b6f120" - (reference "#GND0111") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000617fd0c6" - (reference "#PWR01") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000617fec8c" - (reference "#PWR02") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000617fdf0a" - (reference "#PWR03") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000616c348b" - (reference "#PWR04") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061383d03" - (reference "#PWR05") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000616c22f5" - (reference "#PWR06") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006138e6db" - (reference "#PWR07") (unit 1) (value "+2V5") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006138c420" - (reference "#PWR08") (unit 1) (value "+1V2") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061806b29" - (reference "#PWR09") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061387554" - (reference "#PWR010") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/49c3622e-071c-4c17-98b8-dcd7768c951d" - (reference "#PWR011") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006138ec8c" - (reference "#PWR012") (unit 1) (value "+2V5") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006138c913" - (reference "#PWR013") (unit 1) (value "+1V2") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000616b0743" - (reference "#PWR014") (unit 1) (value "+2V5") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/cf5d65ff-1235-4993-8f68-bb2914b1df4c" - (reference "#PWR021") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/6c864d85-37de-41d9-a2ed-9e7d556bb188" - (reference "#PWR022") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/727753b4-f966-45cc-89b3-3467a9b495c8" - (reference "#PWR026") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/1f9c54e3-462b-47fe-b9a3-d8744999c184" - (reference "#PWR027") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/108707ea-61b9-473e-b912-26cc352df875" - (reference "#PWR028") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/f2d3dec6-f2ba-4093-96f3-67d1f7fcb35c" - (reference "#PWR029") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006172ecd3" - (reference "#PWR036") (unit 1) (value "+1V2") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615e021d" - (reference "#PWR037") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138fc2a" - (reference "#PWR038") (unit 1) (value "+1V2") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138f580" - (reference "#PWR040") (unit 1) (value "+2V5") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615f9e61" - (reference "#PWR041") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615f7d0f" - (reference "#PWR045") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615f8e26" - (reference "#PWR046") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138f04b" - (reference "#PWR049") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-0000617f1511" - (reference "#PWR0101") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/11c90c6d-0f5e-4182-9eaa-34e024cde134" - (reference "#PWR0102") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/c3be3889-9913-411c-9948-172372d6574f" - (reference "#PWR0103") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006161400b/14cafeba-79fa-4d66-8efb-ecba03042fb0" - (reference "#PWR0104") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611cc101/7e3375ec-ba03-419e-91de-403da04c426c" - (reference "#PWR0113") (unit 1) (value "+3.3V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612188a6" - (reference "C1") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126b7fb" - (reference "C2") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006124bc7c" - (reference "C3") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612750eb" - (reference "C4") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061222da3" - (reference "C5") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006174ea42" - (reference "C6") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") - ) - (path "/00000000-0000-0000-0000-00006161400b/76a5b2c4-6b71-4a39-a2e8-5088b310a11a" - (reference "C7") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/0f8bd06f-60b1-4be8-90d9-1a7f1cd60020" - (reference "C8") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_1206_3216Metric") - ) - (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-0000617f1504" - (reference "C13") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f14fe" - (reference "C16") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1500" - (reference "C17") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1503" - (reference "C18") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615530a8" - (reference "C19") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1506" - (reference "C20") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615530a2" - (reference "C21") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f14fd" - (reference "C22") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/17dff2a5-14b1-4b13-b0b5-dee2988a7fcc" - (reference "C23") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617217f5" - (reference "C25") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/8474ba4a-e004-4036-b038-4ff6552cee7d" - (reference "C26") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/391c56db-bc39-4ec7-bb45-dcbe1aaf2b1a" - (reference "C27") (unit 1) (value "1pF") (footprint "mta1:CAPC1005X06L") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000618029cb" - (reference "D1") (unit 1) (value "0402 indicator LED, blue") (footprint "LED_SMD:LED_0402_1005Metric") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615e01fa" - (reference "D3") (unit 1) (value "LED_ARGB") (footprint "mta1:0402rgb-1010") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617217ef" - (reference "FB3") (unit 1) (value "BLM18KG300TN1D") (footprint "mta1:Ferritbead_0603_1608Metric") - ) - (path "/59501395-780b-47e4-8967-9f965674a799" - (reference "FID1") (unit 1) (value "Fiducial") (footprint "Fiducial:Fiducial_0.75mm_Mask1.5mm") - ) - (path "/bf741fd1-5855-4a31-8c21-db9bc5f783af" - (reference "FID2") (unit 1) (value "Fiducial") (footprint "Fiducial:Fiducial_0.75mm_Mask1.5mm") - ) - (path "/00000000-0000-0000-0000-00006161400b/7b422c5e-fd7b-4ac9-8400-966a18c34fdc" - (reference "P1") (unit 1) (value "USB_C_Plug") (footprint "mta1:U261-241N-4BS60") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006121b215" - (reference "R1") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006123854c" - (reference "R2") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000618005cf" - (reference "R3") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615e0200" - (reference "R16") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f150b" - (reference "R17") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f150c" - (reference "R18") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138f043" - (reference "R19") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138caff" - (reference "R20") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/c4c2a4ce-d12c-46e7-abc7-438cb069e22d" - (reference "R22") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/fe6cb91d-e57b-4761-a6eb-995b9ad40281" - (reference "R23") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/d3227fe1-e3fb-433e-8be2-5ff5302bdec8" - (reference "R24") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/1dc40799-6ae3-4c4a-b25a-16b0a8f8d3c0" - (reference "R25") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/7732c5e0-271e-4de5-9ee2-962192432222" - (reference "R26") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-00006161400b/9858cd2c-db03-45a0-8bc5-55a6c8037bff" - (reference "R29") (unit 1) (value "5.1k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611cc101/6bc1edc9-8890-4578-b994-f654ccefb54e" - (reference "R30") (unit 1) (value "2k") (footprint "mta1:ERJ2G(0402)_L") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061804e06" - (reference "TP1") (unit 1) (value "5V") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061290a70" - (reference "TP2") (unit 1) (value "3V3") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061293ed2" - (reference "TP3") (unit 1) (value "2V5") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612c6885" - (reference "TP4") (unit 1) (value "1V2") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/ab35f289-cbe7-449b-a15d-459a3b4e9240" - (reference "TP5") (unit 1) (value "APP_GND") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-00006161400b/fb64afad-a905-4f4b-98a2-d21a64bd12f3" - (reference "TP6") (unit 1) (value "INT_TX") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-00006161400b/1f54ffea-0a26-464d-98d4-30979db7344f" - (reference "TP7") (unit 1) (value "INT_RX") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-00006161400b/74f30866-0d52-4558-88d9-da85c982a4ac" - (reference "TP8") (unit 1) (value "INT_RTS") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-00006161400b/d9fa1bba-ba87-4376-952a-41bb8392f957" - (reference "TP9") (unit 1) (value "INT_CTS") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/b84f4f68-cc10-43a0-962e-10a1890e7eaf" - (reference "TP12") (unit 1) (value "APP_ICE_SCK") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/f77c0061-34af-41f5-8a00-bb8ae07fe922" - (reference "TP13") (unit 1) (value "APP_ICE_SS") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/3c9864fc-0a77-4fe0-8c96-c0260a516ba1" - (reference "TP14") (unit 1) (value "APP_ICE_MOSI") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/0f9d611d-221f-42fe-a161-07c0ff52c542" - (reference "TP15") (unit 1) (value "APP_ICE_MISO") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/d7bf813c-bb4e-4ed8-b86f-d9ec1d86656d" - (reference "TP16") (unit 1) (value "APP_CRESET") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/32f54cf3-1e0f-4aaa-b508-267b9568217c" - (reference "TP17") (unit 1) (value "APP_CDONE") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/e7d44998-7d27-4371-9f3d-0a968eddee9d" - (reference "TP18") (unit 1) (value "APP_GPIO1") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/855a271d-d14e-4340-88ef-5eb1d2805d77" - (reference "TP19") (unit 1) (value "APP_GPIO2") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/8aa5c85f-46b3-4ac4-bd48-3e3352ebb3f6" - (reference "TP20") (unit 1) (value "APP_GPIO3") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/a6d52094-2dbe-49fa-99c1-8b125d3ae4c6" - (reference "TP21") (unit 1) (value "APP_GPIO4") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/f74c1147-0f6c-4852-95d4-43a254720c79" - (reference "TP28") (unit 1) (value "GND") (footprint "mta1:TestPoint_Pad_D1.0mm") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126a5e3" - (reference "U1") (unit 1) (value "MCP1824T-2502EOT") (footprint "Package_TO_SOT_SMD:SOT-23-5") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006125d556" - (reference "U2") (unit 1) (value "MIC5258-1.2YM5") (footprint "Package_TO_SOT_SMD:SOT-23-5") - ) - (path "/00000000-0000-0000-0000-00006161400b/b87ef039-9982-4e55-98fc-83a90017fbb0" - (reference "U3") (unit 1) (value "CH552E") (footprint "Package_SO:MSOP-10_3x3mm_P0.5mm") - ) - (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-00006179d455" - (reference "U5") (unit 1) (value "USBLC6-2SC6") (footprint "Package_TO_SOT_SMD:SOT-23-6") - ) - (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006131a243" - (reference "U6") (unit 1) (value "ICE40UP5K-SG48ITR") (footprint "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm") - ) - (path "/00000000-0000-0000-0000-0000611cc101/fb1bfba7-59bf-4387-b0fd-53ae34c08235" - (reference "U8") (unit 1) (value "W25Q80DVUXIE") (footprint "mta1:W25Q80DVUXIE") - ) - (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000616b8c22" - (reference "U9") (unit 1) (value "NCP752BSN33T1G") (footprint "mta1:NCP752BSN33T1G") - ) - (path "/00000000-0000-0000-0000-0000611cc101/206cf77e-9615-45a1-84e2-dd89660f9255" - (reference "U10") (unit 1) (value "PT2043AT6") (footprint "Package_TO_SOT_SMD:SOT-23-6") - ) - ) -) +(kicad_sch (version 20211123) (generator eeschema) + + (uuid 8fc062a7-114d-48eb-a8f8-71128838f380) + + (paper "A4") + + (title_block + (title "TK-1") + (date "2021-11-14") + (rev "V1") + (company "Tillitis AB") + (comment 1 "2022") + ) + + (lib_symbols + (symbol "Mechanical:Fiducial" (in_bom yes) (on_board yes) + (property "Reference" "FID" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Fiducial" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "fiducial marker" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Fiducial Marker" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Fiducial*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Fiducial_0_1" + (circle (center 0 0) (radius 1.27) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + ) + ) + + + (polyline (pts (xy 193.04 54.61) (xy 205.74 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 00005c60-ae18-454a-bcd1-67f0b915819b) + ) + (polyline (pts (xy 205.74 52.07) (xy 205.74 58.42)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 00d3f4f9-048d-47f2-9bcf-1c55489b5907) + ) + (polyline (pts (xy 264.16 52.07) (xy 264.16 58.42)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 06c88a91-0562-419a-967d-31a7c9b070c8) + ) + (polyline (pts (xy 45.72 45.72) (xy 68.58 45.72)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 06d333a1-9d49-48e1-abd8-bbda8a87dadc) + ) + (polyline (pts (xy 149.86 59.69) (xy 148.59 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 198d797a-f682-4724-9c52-4bf517dc2741) + ) + (polyline (pts (xy 148.59 95.25) (xy 148.59 101.6)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1e989950-5b00-4e81-b0f2-813d320a8d91) + ) + (polyline (pts (xy 228.6 54.61) (xy 241.3 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 216409a8-63a1-4655-b436-160a57f6517a) + ) + (polyline (pts (xy 68.58 52.07) (xy 45.72 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 23ed1ffa-c83e-4c23-85f3-a742b3f0e763) + ) + (polyline (pts (xy 228.6 62.23) (xy 228.6 68.58)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2725ff94-5a7c-47c5-8447-1ffd34b9328e) + ) + (polyline (pts (xy 81.28 45.72) (xy 104.14 45.72)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2805b02d-fad8-43cc-8a14-09604dd38296) + ) + (polyline (pts (xy 68.58 45.72) (xy 68.58 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2bfeb74b-59ae-49fb-85bf-43723e03b963) + ) + (polyline (pts (xy 128.27 142.24) (xy 105.41 142.24)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2fdc0fe4-819e-4989-947f-11e22d474994) + ) + (polyline (pts (xy 228.6 68.58) (xy 205.74 68.58)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 353307cc-0b3f-4400-ac09-05d9cdbb708e) + ) + (polyline (pts (xy 48.26 135.89) (xy 48.26 142.24)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 382c19d8-0dd5-4f9a-86e2-e62aaccbe050) + ) + (polyline (pts (xy 149.86 62.23) (xy 148.59 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 38f105a2-cc02-4318-856e-b3a5f65d906b) + ) + (polyline (pts (xy 148.59 58.42) (xy 161.29 58.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3bdf57d1-a48c-47cd-b61b-41363ccd24fa) + ) + (polyline (pts (xy 148.59 90.17) (xy 125.73 90.17)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3ead0b98-6b7d-496b-a1be-677dfd788c22) + ) + (polyline (pts (xy 205.74 62.23) (xy 205.74 68.58)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3f7bcaef-8896-4c08-aa4f-9bb188e78329) + ) + (polyline (pts (xy 125.73 95.25) (xy 148.59 95.25)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 423d9a92-6f26-4b63-a2b4-fd76d0155fa9) + ) + (polyline (pts (xy 160.02 59.69) (xy 161.29 58.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 427d6ea8-edf7-446b-8f46-f31d71ac22b1) + ) + (polyline (pts (xy 148.59 60.96) (xy 161.29 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 44af5a0a-47e2-4107-ad43-17d4e543da0c) + ) + (polyline (pts (xy 148.59 76.2) (xy 116.84 76.2)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 453f2692-10bc-42f3-9b84-ec877623ae10) + ) + (polyline (pts (xy 125.73 95.25) (xy 125.73 101.6)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4d05a709-fa0b-4f59-87d6-3583d874dc09) + ) + (polyline (pts (xy 48.26 135.89) (xy 71.12 135.89)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 50efbc23-0e24-4c30-aa45-0de497eb26da) + ) + (polyline (pts (xy 104.14 52.07) (xy 81.28 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 580cd2c9-a8dc-4b53-8836-2f79dc8f7a23) + ) + (polyline (pts (xy 68.58 48.26) (xy 81.28 48.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5a77a28c-e40d-4021-a71b-88efa2f58a71) + ) + (polyline (pts (xy 161.29 38.1) (xy 193.04 38.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5b79d91c-ef30-4ff9-9d90-1c7f769d51fa) + ) + (polyline (pts (xy 228.6 58.42) (xy 205.74 58.42)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5d164265-553b-4ad7-8199-567e1859b6e1) + ) + (polyline (pts (xy 125.73 83.82) (xy 148.59 83.82)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 64e854bd-d82a-4a95-bb38-711d0fe0a5bf) + ) + (polyline (pts (xy 71.12 135.89) (xy 71.12 142.24)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 64eccc18-a716-4afd-8e4d-5c6dc5a7552d) + ) + (polyline (pts (xy 99.06 135.89) (xy 99.06 142.24)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6532a8ec-9b2a-44d0-916b-5c7d5761d45e) + ) + (polyline (pts (xy 193.04 45.72) (xy 205.74 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 65649bf4-4bfb-4e35-a452-77b165699e15) + ) + (polyline (pts (xy 149.86 67.31) (xy 148.59 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 66302a5d-8af2-4bfd-bb5a-b131f7c70e65) + ) + (polyline (pts (xy 205.74 52.07) (xy 228.6 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 68270c05-7fd3-48bf-95a9-918d89a5db69) + ) + (polyline (pts (xy 160.02 57.15) (xy 161.29 58.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6a805b95-e09f-44ed-9469-2704d92fc397) + ) + (polyline (pts (xy 45.72 45.72) (xy 45.72 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6da1c5b1-316a-47de-a571-6f3490f8bdb7) + ) + (polyline (pts (xy 116.84 38.1) (xy 148.59 38.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6f4dc9ee-a6c1-4beb-b9f7-1f17897743be) + ) + (polyline (pts (xy 148.59 66.04) (xy 161.29 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 721bfb9e-a645-4a40-84eb-e8eb0fc83079) + ) + (polyline (pts (xy 148.59 63.5) (xy 161.29 63.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 744b64d9-2ed3-474e-b57c-b50ff188fa42) + ) + (polyline (pts (xy 193.04 64.77) (xy 205.74 64.77)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 78a81750-ae64-476d-befb-e33924983ba6) + ) + (polyline (pts (xy 193.04 93.98) (xy 161.29 93.98)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 802e657d-943f-476f-8edc-726d66aec3fc) + ) + (polyline (pts (xy 241.3 52.07) (xy 241.3 58.42)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 840a6cb5-9100-4520-a6e2-8b2125373610) + ) + (polyline (pts (xy 228.6 41.91) (xy 228.6 48.26)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 840e78f2-0fc0-4c80-9234-cc5d35ab0842) + ) + (polyline (pts (xy 205.74 41.91) (xy 228.6 41.91)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 87fa8f89-ba42-446a-8d1c-7f4e4dd98ab0) + ) + (polyline (pts (xy 228.6 48.26) (xy 205.74 48.26)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 89663df8-2e93-44a6-8933-1134365bf558) + ) + (polyline (pts (xy 71.12 142.24) (xy 48.26 142.24)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 89b73cb5-c182-4c2f-bca7-c8058b077b52) + ) + (polyline (pts (xy 264.16 58.42) (xy 241.3 58.42)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8a957895-0f8a-488a-acd1-ff9de455885a) + ) + (polyline (pts (xy 148.59 101.6) (xy 125.73 101.6)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a64c5a76-a982-41b2-9706-f5e1455f077b) + ) + (polyline (pts (xy 81.28 45.72) (xy 81.28 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a7288627-23b0-4e14-a902-5c8999e164d3) + ) + (polyline (pts (xy 99.06 142.24) (xy 76.2 142.24)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bb7c42e2-f80f-4fbb-b098-f92c7df6a327) + ) + (polyline (pts (xy 148.59 86.36) (xy 161.29 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bc48fdac-cb52-4fb0-8dfb-9ce18bafb9ba) + ) + (polyline (pts (xy 116.84 38.1) (xy 116.84 76.2)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bc88c932-4d5f-4106-b801-b48c2c7de311) + ) + (polyline (pts (xy 125.73 83.82) (xy 125.73 90.17)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bdbc09ac-56d6-4fed-8536-b1fc98e1f464) + ) + (polyline (pts (xy 105.41 135.89) (xy 105.41 142.24)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c531557b-21b8-45a2-8138-56b1f01cd918) + ) + (polyline (pts (xy 148.59 83.82) (xy 148.59 90.17)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c71b4620-6d79-41dc-a389-067acc953d54) + ) + (polyline (pts (xy 148.59 97.79) (xy 154.94 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c71e904f-c0af-4ec0-b77b-52ed6f1bd9ec) + ) + (polyline (pts (xy 154.94 86.36) (xy 154.94 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c793a9d2-c3f5-40c7-94a8-4a18ba6c32f4) + ) + (polyline (pts (xy 241.3 52.07) (xy 264.16 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c813b275-8a32-4ea3-b1d3-3332304049ad) + ) + (polyline (pts (xy 104.14 48.26) (xy 116.84 48.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ca3f83bd-32e8-448e-a077-5393e8dddd4a) + ) + (polyline (pts (xy 104.14 45.72) (xy 104.14 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid cfb5c47f-8af9-4fa6-91b1-6543430379f4) + ) + (polyline (pts (xy 228.6 52.07) (xy 228.6 58.42)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d03ddf44-d774-4cfd-a703-30acb5ed03e5) + ) + (polyline (pts (xy 193.04 38.1) (xy 193.04 93.98)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d34581c2-534d-489d-92cd-d3b9c76b4fe3) + ) + (polyline (pts (xy 148.59 38.1) (xy 148.59 76.2)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d9f45687-5b9f-474d-bd0f-e2af1eb890e7) + ) + (polyline (pts (xy 105.41 135.89) (xy 128.27 135.89)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid dbde91a4-24d7-480f-a1cf-86e3744d5258) + ) + (polyline (pts (xy 161.29 38.1) (xy 161.29 93.98)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e2ef4fa7-a80f-4c94-bf78-76385807a160) + ) + (polyline (pts (xy 205.74 62.23) (xy 228.6 62.23)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e2fb2298-b289-4960-85ba-baade41d79bd) + ) + (polyline (pts (xy 76.2 135.89) (xy 99.06 135.89)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e54ce313-e8d0-470a-91a3-ea40f0c0453f) + ) + (polyline (pts (xy 149.86 64.77) (xy 148.59 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f5ed7483-f109-4b12-bd8e-10050a81e33b) + ) + (polyline (pts (xy 205.74 41.91) (xy 205.74 48.26)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f82c96d6-1b45-4872-9a31-73338679b0a7) + ) + (polyline (pts (xy 160.02 62.23) (xy 161.29 63.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f8b6a026-f389-456d-a89f-6294ad7f7e11) + ) + (polyline (pts (xy 160.02 64.77) (xy 161.29 63.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fb052284-ed9f-479e-81a8-4fce2f496e98) + ) + (polyline (pts (xy 76.2 135.89) (xy 76.2 142.24)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fc18ed2c-acbc-4221-9ed9-9166fb69a041) + ) + (polyline (pts (xy 128.27 135.89) (xy 128.27 142.24)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fe60c886-b6b5-47bf-af7a-598097b7f958) + ) + + (text "USB ESD diodes" (at 83.82 49.53 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 001da037-99ec-405e-a8c4-a6da1405eeb9) + ) + (text "Touch pad" (at 247.65 55.88 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0235c46f-e4e8-4482-a44d-bf1e9a0c91c6) + ) + (text "RX" (at 144.78 62.23 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0fa50f25-2088-41a0-bcf9-363465cb9c86) + ) + (text "GPIO x4" (at 184.15 66.04 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1536a9db-5598-466b-a288-cb0c5770380a) + ) + (text "GPIO pins" (at 212.09 66.04 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2a2855d4-f0e4-4f07-b83a-461bddb70df0) + ) + (text "Cap sensor" (at 212.09 55.88 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 33f5198c-f377-4366-9d1a-366ddb3dc2cf) + ) + (text "USB 2.0 Full Speed" (at 118.11 49.53 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3d519f37-6a39-4f5c-8676-fc0f1486dfe3) + ) + (text "RTS" (at 143.51 64.77 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 47cab9f2-c9eb-4a9b-923b-8e3ff1e36dee) + ) + (text "USB C Plug" (at 52.07 49.53 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5dd26be3-1eef-4c92-9446-12eb828b4601) + ) + (text "CH552 Microcontroller" (at 120.65 41.91 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7094f3a8-173d-4630-9dfb-9591d3637f76) + ) + (text "RGB LED" (at 212.09 45.72 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 775f83b6-0b87-4b46-bcdf-a0df2ced7880) + ) + (text "USB C\n(load/run apps)" (at 72.39 44.45 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 79e54d6d-4083-4d3c-a71b-3010e0e17f19) + ) + (text "1.2V LDO" (at 111.76 139.7 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8476ae6d-b48c-4734-a683-0e543f1da45b) + ) + (text "GPIO x4" (at 162.56 63.5 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8b5dc560-64a8-4b1d-8a95-5f557dce6f19) + ) + (text "3.3V LDO" (at 54.61 139.7 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 964d2197-877b-4717-89e1-60c2131c77f9) + ) + (text "CTS" (at 143.51 67.31 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9b8b6dcc-3ef8-4ed2-9bc1-691f5601372a) + ) + (text "2.5V LDO" (at 82.55 139.7 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a7d484ca-c4d6-464b-8115-1d9a995a076e) + ) + (text "App FPGA" (at 171.45 41.91 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a9985df4-d96f-4296-b5fa-03e2596859b3) + ) + (text "Config SPI" (at 162.56 87.63 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid af384b37-0d3e-4773-8aa7-c84ea245fb91) + ) + (text "Tillitis TK-1" (at 106.68 24.13 0) + (effects (font (size 6 6) (thickness 0.254) bold) (justify left bottom)) + (uuid b67afca8-98b4-4a81-9edf-4865efd15bb7) + ) + (text "TX" (at 144.78 59.69 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da3c732e-1586-420e-ab01-e319e5371caf) + ) + (text "SPI Flash" (at 132.08 87.63 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e02820d9-8ec2-44a1-a266-d614c0cd8c97) + ) + (text "GPIO" (at 186.69 55.88 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e3df109c-4b22-4811-ac1f-5a711cd2772b) + ) + (text "LED out" (at 184.15 46.99 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e3fca0da-74b7-49f9-bb77-75b9fd387a66) + ) + (text "Test pads\n(for programming)" (at 128.27 100.33 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f2a33390-9fc2-43cd-9608-f4554c6c6533) + ) + + (symbol (lib_id "Mechanical:Fiducial") (at 124.46 163.83 90) (unit 1) + (in_bom no) (on_board yes) (fields_autoplaced) + (uuid 59501395-780b-47e4-8967-9f965674a799) + (property "Reference" "FID1" (id 0) (at 127 162.5599 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "Fiducial" (id 1) (at 127 165.0999 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Fiducial:Fiducial_0.75mm_Mask1.5mm" (id 2) (at 124.46 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 124.46 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "Mechanical:Fiducial") (at 124.46 171.45 90) (unit 1) + (in_bom no) (on_board yes) (fields_autoplaced) + (uuid bf741fd1-5855-4a31-8c21-db9bc5f783af) + (property "Reference" "FID2" (id 0) (at 127 170.1799 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "Fiducial" (id 1) (at 127 172.7199 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Fiducial:Fiducial_0.75mm_Mask1.5mm" (id 2) (at 124.46 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 124.46 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (sheet (at 43.18 180.34) (size 12.7 3.81) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000611a4eb9) + (property "Sheet name" "Power Supply" (id 0) (at 43.18 179.6284 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "powersupply.kicad_sch" (id 1) (at 43.18 184.7346 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + ) + + (sheet (at 43.18 157.48) (size 12.7 3.81) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000611cc101) + (property "Sheet name" "Application FPGA" (id 0) (at 43.18 156.7684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "application_fpga.kicad_sch" (id 1) (at 43.18 161.8746 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + ) + + (sheet (at 43.18 168.91) (size 12.7 3.81) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006161400b) + (property "Sheet name" "USB to Serial converter" (id 0) (at 43.18 168.1984 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "usb_to_serial.kicad_sch" (id 1) (at 43.18 173.3046 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + ) + + (sheet_instances + (path "/" (page "1")) + (path "/00000000-0000-0000-0000-0000611cc101" (page "2")) + (path "/00000000-0000-0000-0000-00006161400b" (page "3")) + (path "/00000000-0000-0000-0000-0000611a4eb9" (page "4")) + ) + + (symbol_instances + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006121cc5b" + (reference "#GND01") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126c45e" + (reference "#GND02") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061244503" + (reference "#GND03") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126c89d" + (reference "#GND04") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061216e11" + (reference "#GND05") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006124d9bc" + (reference "#GND06") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006127a658" + (reference "#GND07") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061223980" + (reference "#GND08") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/b1a11e45-92cc-431d-8cf7-703ad06727e6" + (reference "#GND09") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/63263417-b18d-4afb-baba-9adc94489e6d" + (reference "#GND019") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/b889953b-c916-4107-8112-566c6919744d" + (reference "#GND021") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006155307d" + (reference "#GND022") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006155308a" + (reference "#GND023") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1502" + (reference "#GND024") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-000061681e90" + (reference "#GND025") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1517" + (reference "#GND026") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1516" + (reference "#GND027") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/62d3b20b-9f61-410e-af5d-e367b9b7c6a2" + (reference "#GND028") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/dd7d4f71-4b84-459a-a048-8d2180afb73f" + (reference "#GND029") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/b1b5e825-ddfe-4e1f-ada8-89efd01d7e58" + (reference "#GND030") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/cf4e8e3a-d27f-4432-a03f-eb71f8da5a95" + (reference "#GND032") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006174ea48" + (reference "#GND0101") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/9bfb5d97-4d9f-43df-9b69-688a7feed8da" + (reference "#GND0102") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/bd9ce2d7-a742-4270-aa1d-0ad8b18d7e79" + (reference "#GND0103") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/9a0382d0-d26d-4a62-b0d4-a20c704c5784" + (reference "#GND0104") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/674e8000-f9df-4d4d-936a-0ab19f54245d" + (reference "#GND0105") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-0000617abdbb" + (reference "#GND0106") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/73c581a3-a6dc-4da7-b72f-ac976f15567b" + (reference "#GND0108") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/f372eb15-7bbb-45a7-a3e7-9f1375833b78" + (reference "#GND0109") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-00006168f38a" + (reference "#GND0110") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/b138a11e-912c-4302-843e-d89c34b6f120" + (reference "#GND0111") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000617fd0c6" + (reference "#PWR01") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000617fec8c" + (reference "#PWR02") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000617fdf0a" + (reference "#PWR03") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000616c348b" + (reference "#PWR04") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061383d03" + (reference "#PWR05") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000616c22f5" + (reference "#PWR06") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006138e6db" + (reference "#PWR07") (unit 1) (value "+2V5") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006138c420" + (reference "#PWR08") (unit 1) (value "+1V2") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061806b29" + (reference "#PWR09") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061387554" + (reference "#PWR010") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/49c3622e-071c-4c17-98b8-dcd7768c951d" + (reference "#PWR011") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006138ec8c" + (reference "#PWR012") (unit 1) (value "+2V5") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006138c913" + (reference "#PWR013") (unit 1) (value "+1V2") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000616b0743" + (reference "#PWR014") (unit 1) (value "+2V5") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/cf5d65ff-1235-4993-8f68-bb2914b1df4c" + (reference "#PWR021") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/6c864d85-37de-41d9-a2ed-9e7d556bb188" + (reference "#PWR022") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/727753b4-f966-45cc-89b3-3467a9b495c8" + (reference "#PWR026") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/1f9c54e3-462b-47fe-b9a3-d8744999c184" + (reference "#PWR027") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/108707ea-61b9-473e-b912-26cc352df875" + (reference "#PWR028") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/f2d3dec6-f2ba-4093-96f3-67d1f7fcb35c" + (reference "#PWR029") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006172ecd3" + (reference "#PWR036") (unit 1) (value "+1V2") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615e021d" + (reference "#PWR037") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138fc2a" + (reference "#PWR038") (unit 1) (value "+1V2") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138f580" + (reference "#PWR040") (unit 1) (value "+2V5") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615f9e61" + (reference "#PWR041") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615f7d0f" + (reference "#PWR045") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615f8e26" + (reference "#PWR046") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138f04b" + (reference "#PWR049") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-0000617f1511" + (reference "#PWR0101") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/11c90c6d-0f5e-4182-9eaa-34e024cde134" + (reference "#PWR0102") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/c3be3889-9913-411c-9948-172372d6574f" + (reference "#PWR0103") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006161400b/14cafeba-79fa-4d66-8efb-ecba03042fb0" + (reference "#PWR0104") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611cc101/7e3375ec-ba03-419e-91de-403da04c426c" + (reference "#PWR0113") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612188a6" + (reference "C1") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126b7fb" + (reference "C2") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006124bc7c" + (reference "C3") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612750eb" + (reference "C4") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061222da3" + (reference "C5") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006174ea42" + (reference "C6") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") + ) + (path "/00000000-0000-0000-0000-00006161400b/76a5b2c4-6b71-4a39-a2e8-5088b310a11a" + (reference "C7") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/0f8bd06f-60b1-4be8-90d9-1a7f1cd60020" + (reference "C8") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_1206_3216Metric") + ) + (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-0000617f1504" + (reference "C13") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f14fe" + (reference "C16") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1500" + (reference "C17") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1503" + (reference "C18") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615530a8" + (reference "C19") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f1506" + (reference "C20") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615530a2" + (reference "C21") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f14fd" + (reference "C22") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/17dff2a5-14b1-4b13-b0b5-dee2988a7fcc" + (reference "C23") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617217f5" + (reference "C25") (unit 1) (value "10uF") (footprint "mta1:CAPC1608X09L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/8474ba4a-e004-4036-b038-4ff6552cee7d" + (reference "C26") (unit 1) (value "0.10uF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/391c56db-bc39-4ec7-bb45-dcbe1aaf2b1a" + (reference "C27") (unit 1) (value "1pF") (footprint "mta1:CAPC1005X06L") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000618029cb" + (reference "D1") (unit 1) (value "0402 indicator LED, blue") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615e01fa" + (reference "D3") (unit 1) (value "LED_ARGB") (footprint "mta1:0402rgb-1010") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617217ef" + (reference "FB3") (unit 1) (value "BLM18KG300TN1D") (footprint "mta1:Ferritbead_0603_1608Metric") + ) + (path "/59501395-780b-47e4-8967-9f965674a799" + (reference "FID1") (unit 1) (value "Fiducial") (footprint "Fiducial:Fiducial_0.75mm_Mask1.5mm") + ) + (path "/bf741fd1-5855-4a31-8c21-db9bc5f783af" + (reference "FID2") (unit 1) (value "Fiducial") (footprint "Fiducial:Fiducial_0.75mm_Mask1.5mm") + ) + (path "/00000000-0000-0000-0000-00006161400b/7b422c5e-fd7b-4ac9-8400-966a18c34fdc" + (reference "P1") (unit 1) (value "USB_C_Plug") (footprint "mta1:U261-241N-4BS60") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006121b215" + (reference "R1") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006123854c" + (reference "R2") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000618005cf" + (reference "R3") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000615e0200" + (reference "R16") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f150b" + (reference "R17") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-0000617f150c" + (reference "R18") (unit 1) (value "1k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138f043" + (reference "R19") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006138caff" + (reference "R20") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/c4c2a4ce-d12c-46e7-abc7-438cb069e22d" + (reference "R22") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/fe6cb91d-e57b-4761-a6eb-995b9ad40281" + (reference "R23") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/d3227fe1-e3fb-433e-8be2-5ff5302bdec8" + (reference "R24") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/1dc40799-6ae3-4c4a-b25a-16b0a8f8d3c0" + (reference "R25") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/7732c5e0-271e-4de5-9ee2-962192432222" + (reference "R26") (unit 1) (value "10k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-00006161400b/9858cd2c-db03-45a0-8bc5-55a6c8037bff" + (reference "R29") (unit 1) (value "5.1k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611cc101/6bc1edc9-8890-4578-b994-f654ccefb54e" + (reference "R30") (unit 1) (value "2k") (footprint "mta1:ERJ2G(0402)_L") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061804e06" + (reference "TP1") (unit 1) (value "5V") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061290a70" + (reference "TP2") (unit 1) (value "3V3") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-000061293ed2" + (reference "TP3") (unit 1) (value "2V5") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000612c6885" + (reference "TP4") (unit 1) (value "1V2") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/ab35f289-cbe7-449b-a15d-459a3b4e9240" + (reference "TP5") (unit 1) (value "APP_GND") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-00006161400b/fb64afad-a905-4f4b-98a2-d21a64bd12f3" + (reference "TP6") (unit 1) (value "INT_TX") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-00006161400b/1f54ffea-0a26-464d-98d4-30979db7344f" + (reference "TP7") (unit 1) (value "INT_RX") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-00006161400b/74f30866-0d52-4558-88d9-da85c982a4ac" + (reference "TP8") (unit 1) (value "INT_RTS") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-00006161400b/d9fa1bba-ba87-4376-952a-41bb8392f957" + (reference "TP9") (unit 1) (value "INT_CTS") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/b84f4f68-cc10-43a0-962e-10a1890e7eaf" + (reference "TP12") (unit 1) (value "APP_ICE_SCK") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/f77c0061-34af-41f5-8a00-bb8ae07fe922" + (reference "TP13") (unit 1) (value "APP_ICE_SS") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/3c9864fc-0a77-4fe0-8c96-c0260a516ba1" + (reference "TP14") (unit 1) (value "APP_ICE_MOSI") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/0f9d611d-221f-42fe-a161-07c0ff52c542" + (reference "TP15") (unit 1) (value "APP_ICE_MISO") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/d7bf813c-bb4e-4ed8-b86f-d9ec1d86656d" + (reference "TP16") (unit 1) (value "APP_CRESET") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/32f54cf3-1e0f-4aaa-b508-267b9568217c" + (reference "TP17") (unit 1) (value "APP_CDONE") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/e7d44998-7d27-4371-9f3d-0a968eddee9d" + (reference "TP18") (unit 1) (value "APP_GPIO1") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/855a271d-d14e-4340-88ef-5eb1d2805d77" + (reference "TP19") (unit 1) (value "APP_GPIO2") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/8aa5c85f-46b3-4ac4-bd48-3e3352ebb3f6" + (reference "TP20") (unit 1) (value "APP_GPIO3") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/a6d52094-2dbe-49fa-99c1-8b125d3ae4c6" + (reference "TP21") (unit 1) (value "APP_GPIO4") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/f74c1147-0f6c-4852-95d4-43a254720c79" + (reference "TP28") (unit 1) (value "GND") (footprint "mta1:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006126a5e3" + (reference "U1") (unit 1) (value "MCP1824T-2502EOT") (footprint "Package_TO_SOT_SMD:SOT-23-5") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-00006125d556" + (reference "U2") (unit 1) (value "MIC5258-1.2YM5") (footprint "Package_TO_SOT_SMD:SOT-23-5") + ) + (path "/00000000-0000-0000-0000-00006161400b/b87ef039-9982-4e55-98fc-83a90017fbb0" + (reference "U3") (unit 1) (value "CH552E") (footprint "Package_SO:MSOP-10_3x3mm_P0.5mm") + ) + (path "/00000000-0000-0000-0000-00006161400b/00000000-0000-0000-0000-00006179d455" + (reference "U5") (unit 1) (value "USBLC6-2SC6") (footprint "Package_TO_SOT_SMD:SOT-23-6") + ) + (path "/00000000-0000-0000-0000-0000611cc101/00000000-0000-0000-0000-00006131a243" + (reference "U6") (unit 1) (value "ICE40UP5K-SG48ITR") (footprint "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm") + ) + (path "/00000000-0000-0000-0000-0000611cc101/fb1bfba7-59bf-4387-b0fd-53ae34c08235" + (reference "U8") (unit 1) (value "W25Q80DVUXIE") (footprint "mta1:W25Q80DVUXIE") + ) + (path "/00000000-0000-0000-0000-0000611a4eb9/00000000-0000-0000-0000-0000616b8c22" + (reference "U9") (unit 1) (value "NCP752BSN33T1G") (footprint "mta1:NCP752BSN33T1G") + ) + (path "/00000000-0000-0000-0000-0000611cc101/206cf77e-9615-45a1-84e2-dd89660f9255" + (reference "U10") (unit 1) (value "PT2043AT6") (footprint "Package_TO_SOT_SMD:SOT-23-6") + ) + (path "/00000000-0000-0000-0000-0000611cc101/81172fbc-f24e-4173-965f-d88ed2c48035" + (reference "U11") (unit 1) (value "~") (footprint "") + ) + ) +) diff --git a/hw/boards/tk1/tk1.xml b/hw/boards/tk1/tk1.xml index 0e573ca..ab93d4b 100644 --- a/hw/boards/tk1/tk1.xml +++ b/hw/boards/tk1/tk1.xml @@ -1,8 +1,8 @@ - C:\Users\matt\Other-Repos\tillitis-key1\hw\boards\tk1\tk1.kicad_sch - 11/15/2022 2:04:00 PM + C:\Users\72417946\Documents\GitHub\tillitis-key1\hw\boards\tk1\tk1.kicad_sch + 2023-03-17 11:49:31 Eeschema (6.0.4) @@ -78,27 +78,6 @@ - - 1uF - Capacitor_SMD:C_1206_3216Metric - - 50V,X7R,10%,1.6mm thickness - TDK Corporation - C3216X7R1H105K160AE - Digikey - 445-8904-2-ND - - - - - - - - - - - 0f8bd06f-60b1-4be8-90d9-1a7f1cd60020 - 0.10uF mta1:CAPC1005X06L @@ -511,6 +490,29 @@ 206cf77e-9615-45a1-84e2-dd89660f9255 + + ~ + https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=6-1773460-8_Spring_Fingers&DocType=Data+Sheet&DocLang=English&PartCntxt=1674954-1&DocFormat=pdf + + AMP - TE CONNECTIVITY - 1674954-1 - SPRING FINGER, MOBILE PHONES + TE Connectivity + 1674954-1 + 571-1674954-1 + https://www.mouser.co.uk/ProductDetail/TE-Connectivity/1674954-1?qs=o4qE4s2E%252BcyEbD%252ByxeI18A%3D%3D + + + + + + + + + + + + + 81172fbc-f24e-4173-965f-d88ed2c48035 + 0.10uF mta1:CAPC1005X06L @@ -981,6 +983,24 @@ + + AMP - TE CONNECTIVITY - 1674954-1 - SPRING FINGER, MOBILE PHONES + https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=6-1773460-8_Spring_Fingers&DocType=Data+Sheet&DocLang=English&PartCntxt=1674954-1&DocFormat=pdf + + U + 1674954-1 + 1674954-1 + https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=6-1773460-8_Spring_Fingers&DocType=Data+Sheet&DocLang=English&PartCntxt=1674954-1&DocFormat=pdf + AMP - TE CONNECTIVITY - 1674954-1 - SPRING FINGER, MOBILE PHONES + 571-1674954-1 + https://www.mouser.co.uk/ProductDetail/TE-Connectivity/1674954-1?qs=o4qE4s2E%252BcyEbD%252ByxeI18A%3D%3D + TE Connectivity + 1674954-1 + + + + + 8-bit enhanced USB microcontroller CH552 @@ -1193,7 +1213,7 @@ C:\Program Files\KiCad\6.0\share\kicad\symbols\/Power_Protection.kicad_sym - C:\Users\matt\Other-Repos\tillitis-key1\hw\boards\tk1/../mta1-library/mta1.kicad_sym + C:\Users\72417946\Documents\GitHub\tillitis-key1\hw\boards\tk1/../mta1-library/mta1.kicad_sym @@ -1269,9 +1289,8 @@ - - + diff --git a/hw/boards/tk1/usb_to_serial.kicad_sch b/hw/boards/tk1/usb_to_serial.kicad_sch index e99dcc1..1fedd9a 100644 --- a/hw/boards/tk1/usb_to_serial.kicad_sch +++ b/hw/boards/tk1/usb_to_serial.kicad_sch @@ -1,1668 +1,1668 @@ -(kicad_sch (version 20211123) (generator eeschema) - - (uuid 2de1ffee-2174-41d2-8969-68b8d21e5a7d) - - (paper "A4") - - (title_block - (title "USB-to-Serial Interface") - (date "2021-11-14") - (rev "V1") - (company "Tillitis AB") - (comment 1 "2022") - ) - - (lib_symbols - (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) - (property "Reference" "TP" (id 0) (at 0 6.858 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "TestPoint" (id 1) (at 0 5.08 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 5.08 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 5.08 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "test point" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "TestPoint_0_1" - (circle (center 0 3.302) (radius 0.762) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "TestPoint_1_1" - (pin passive line (at 0 0 90) (length 2.54) - (name "1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Connector:USB_C_Plug" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "P" (id 0) (at -10.16 29.21 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "USB_C_Plug" (id 1) (at 10.16 29.21 0) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (id 2) (at 3.81 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (id 3) (at 3.81 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "usb universal serial bus" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "USB Type-C Plug connector" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "USB*C*Plug*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "USB_C_Plug_0_0" - (rectangle (start -0.254 -35.56) (end 0.254 -34.544) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 -32.766) (end 9.144 -33.274) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 -30.226) (end 9.144 -30.734) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 -25.146) (end 9.144 -25.654) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 -22.606) (end 9.144 -23.114) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 -17.526) (end 9.144 -18.034) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 -14.986) (end 9.144 -15.494) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 -9.906) (end 9.144 -10.414) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 -7.366) (end 9.144 -7.874) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 -2.286) (end 9.144 -2.794) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 0.254) (end 9.144 -0.254) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 7.874) (end 9.144 7.366) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 12.954) (end 9.144 12.446) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 18.034) (end 9.144 17.526) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 20.574) (end 9.144 20.066) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 10.16 25.654) (end 9.144 25.146) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "USB_C_Plug_0_1" - (rectangle (start -10.16 27.94) (end 10.16 -35.56) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (arc (start -8.89 -3.81) (mid -6.985 -5.715) (end -5.08 -3.81) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (arc (start -7.62 -3.81) (mid -6.985 -4.445) (end -6.35 -3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (arc (start -7.62 -3.81) (mid -6.985 -4.445) (end -6.35 -3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (rectangle (start -7.62 -3.81) (end -6.35 3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (arc (start -6.35 3.81) (mid -6.985 4.445) (end -7.62 3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (arc (start -6.35 3.81) (mid -6.985 4.445) (end -7.62 3.81) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (arc (start -5.08 3.81) (mid -6.985 5.715) (end -8.89 3.81) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -8.89 -3.81) - (xy -8.89 3.81) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -5.08 3.81) - (xy -5.08 -3.81) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "USB_C_Plug_1_1" - (circle (center -2.54 1.143) (radius 0.635) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (circle (center 0 -5.842) (radius 1.27) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (polyline - (pts - (xy 0 -5.842) - (xy 0 4.318) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 -3.302) - (xy -2.54 -0.762) - (xy -2.54 0.508) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 -2.032) - (xy 2.54 0.508) - (xy 2.54 1.778) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 4.318) - (xy 0 6.858) - (xy 1.27 4.318) - (xy -1.27 4.318) - ) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (rectangle (start 1.905 1.778) (end 3.175 3.048) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (pin passive line (at 0 -40.64 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "A1" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 -15.24 180) (length 5.08) - (name "RX2-" (effects (font (size 1.27 1.27)))) - (number "A10" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 -17.78 180) (length 5.08) - (name "RX2+" (effects (font (size 1.27 1.27)))) - (number "A11" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -40.64 90) (length 5.08) hide - (name "GND" (effects (font (size 1.27 1.27)))) - (number "A12" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 -10.16 180) (length 5.08) - (name "TX1+" (effects (font (size 1.27 1.27)))) - (number "A2" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 -7.62 180) (length 5.08) - (name "TX1-" (effects (font (size 1.27 1.27)))) - (number "A3" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 15.24 25.4 180) (length 5.08) - (name "VBUS" (effects (font (size 1.27 1.27)))) - (number "A4" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 20.32 180) (length 5.08) - (name "CC" (effects (font (size 1.27 1.27)))) - (number "A5" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 7.62 180) (length 5.08) - (name "D+" (effects (font (size 1.27 1.27)))) - (number "A6" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 12.7 180) (length 5.08) - (name "D-" (effects (font (size 1.27 1.27)))) - (number "A7" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 -30.48 180) (length 5.08) - (name "SBU1" (effects (font (size 1.27 1.27)))) - (number "A8" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 15.24 25.4 180) (length 5.08) hide - (name "VBUS" (effects (font (size 1.27 1.27)))) - (number "A9" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -40.64 90) (length 5.08) hide - (name "GND" (effects (font (size 1.27 1.27)))) - (number "B1" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 0 180) (length 5.08) - (name "RX1-" (effects (font (size 1.27 1.27)))) - (number "B10" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 -2.54 180) (length 5.08) - (name "RX1+" (effects (font (size 1.27 1.27)))) - (number "B11" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -40.64 90) (length 5.08) hide - (name "GND" (effects (font (size 1.27 1.27)))) - (number "B12" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 -25.4 180) (length 5.08) - (name "TX2+" (effects (font (size 1.27 1.27)))) - (number "B2" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 -22.86 180) (length 5.08) - (name "TX2-" (effects (font (size 1.27 1.27)))) - (number "B3" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 15.24 25.4 180) (length 5.08) hide - (name "VBUS" (effects (font (size 1.27 1.27)))) - (number "B4" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 17.78 180) (length 5.08) - (name "VCONN" (effects (font (size 1.27 1.27)))) - (number "B5" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at 15.24 -33.02 180) (length 5.08) - (name "SBU2" (effects (font (size 1.27 1.27)))) - (number "B8" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 15.24 25.4 180) (length 5.08) hide - (name "VBUS" (effects (font (size 1.27 1.27)))) - (number "B9" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -7.62 -40.64 90) (length 5.08) - (name "SHIELD" (effects (font (size 1.27 1.27)))) - (number "S1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) - (property "Reference" "C" (id 0) (at 0.635 2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "C" (id 1) (at 0.635 -2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "C_0_1" - (polyline - (pts - (xy -2.032 -0.762) - (xy 2.032 -0.762) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.032 0.762) - (xy 2.032 0.762) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "C_1_1" - (pin passive line (at 0 3.81 270) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "R" (id 0) (at 2.032 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "R" (id 1) (at 0 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at -1.778 0 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Resistor" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "R_0_1" - (rectangle (start -1.016 -2.54) (end 1.016 2.54) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "R_1_1" - (pin passive line (at 0 3.81 270) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Power_Protection:USBLC6-2SC6" (pin_names hide) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 2.54 8.89 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "USBLC6-2SC6" (id 1) (at 2.54 -8.89 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 0 -12.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.st.com/resource/en/datasheet/usblc6-2.pdf" (id 3) (at 5.08 8.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "usb ethernet video" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Very low capacitance ESD protection diode, 2 data-line, SOT-23-6" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "USBLC6-2SC6_0_1" - (rectangle (start -7.62 -7.62) (end 7.62 7.62) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (circle (center -5.08 0) (radius 0.254) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (circle (center -2.54 0) (radius 0.254) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (rectangle (start -2.54 6.35) (end 2.54 -6.35) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (circle (center 0 -6.35) (radius 0.254) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (polyline - (pts - (xy -5.08 -2.54) - (xy -7.62 -2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -5.08 0) - (xy -5.08 -2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -5.08 2.54) - (xy -7.62 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.524 -2.794) - (xy -3.556 -2.794) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.524 4.826) - (xy -3.556 4.826) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 -7.62) - (xy 0 -6.35) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 -6.35) - (xy 0 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 1.27) - (xy 0 6.35) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 6.35) - (xy 0 7.62) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.524 -2.794) - (xy 3.556 -2.794) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.524 4.826) - (xy 3.556 4.826) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 5.08 -2.54) - (xy 7.62 -2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 5.08 0) - (xy 5.08 -2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 5.08 2.54) - (xy 7.62 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 0) - (xy -5.08 0) - (xy -5.08 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 2.54 0) - (xy 5.08 0) - (xy 5.08 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -3.556 -4.826) - (xy -1.524 -4.826) - (xy -2.54 -2.794) - (xy -3.556 -4.826) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -3.556 2.794) - (xy -1.524 2.794) - (xy -2.54 4.826) - (xy -3.556 2.794) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.016 -1.016) - (xy 1.016 -1.016) - (xy 0 1.016) - (xy -1.016 -1.016) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.016 1.016) - (xy 0.762 1.016) - (xy -1.016 1.016) - (xy -1.016 0.508) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 3.556 -4.826) - (xy 1.524 -4.826) - (xy 2.54 -2.794) - (xy 3.556 -4.826) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 3.556 2.794) - (xy 1.524 2.794) - (xy 2.54 4.826) - (xy 3.556 2.794) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (circle (center 0 6.35) (radius 0.254) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (circle (center 2.54 0) (radius 0.254) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - (circle (center 5.08 0) (radius 0.254) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - ) - (symbol "USBLC6-2SC6_1_1" - (pin passive line (at -10.16 -2.54 0) (length 2.54) - (name "I/O1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -10.16 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 10.16 -2.54 180) (length 2.54) - (name "I/O2" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 10.16 2.54 180) (length 2.54) - (name "I/O2" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 10.16 270) (length 2.54) - (name "VBUS" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -10.16 2.54 0) (length 2.54) - (name "I/O1" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "mta1:CH552E" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -8.89 3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "CH552E" (id 1) (at 7.62 3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 58.42 -17.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 58.42 -17.78 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "8-bit enhanced USB microcontroller CH552" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "CH552E_0_1" - (rectangle (start -10.16 2.54) (end 12.7 -17.78) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "CH552E_1_1" - (pin output line (at 15.24 -5.08 180) (length 2.54) - (name "RTS(P1.4)" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -12.7 -2.54 0) (length 2.54) - (name "V33" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 15.24 -7.62 180) (length 2.54) - (name "CTS(P1.5)" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 15.24 -2.54 180) (length 2.54) - (name "RX(PP1.6)" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 0 180) (length 2.54) - (name "TX(P1.7)" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -12.7 -15.24 0) (length 2.54) - (name "RST" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -12.7 -7.62 0) (length 2.54) - (name "UDP(P3.6)" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -12.7 -10.16 0) (length 2.54) - (name "UDM(P3.7)" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 15.24 -15.24 180) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -12.7 0 0) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+3.3V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+3.3V\"" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+3.3V_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+3.3V_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+3V3" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+5V_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "+5V_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+5V" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 0 -3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "GND_0_1" - (polyline - (pts - (xy 0 0) - (xy 0 -1.27) - (xy 1.27 -1.27) - (xy 0 -2.54) - (xy -1.27 -1.27) - (xy 0 -1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "GND_1_1" - (pin power_in line (at 0 0 270) (length 0) hide - (name "GND" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - ) - - (junction (at 76.2 60.96) (diameter 0) (color 0 0 0 0) - (uuid 25d2815b-757f-4fed-925b-1d143160683a) - ) - (junction (at 76.2 81.28) (diameter 0) (color 0 0 0 0) - (uuid aece34f3-2214-40af-8409-eb14f2b5bbbc) - ) - - (no_connect (at 205.74 92.71) (uuid 0b070896-1cb3-426a-994b-ee4cd80580a7)) - (no_connect (at 205.74 85.09) (uuid 1faea851-a305-4757-ad34-18a0b8d433c8)) - (no_connect (at 205.74 100.33) (uuid 33f0cc91-1c8b-42c8-b58c-5c8b72aa49dd)) - (no_connect (at 205.74 82.55) (uuid 5a102805-0f37-41be-aa32-830227f4a310)) - (no_connect (at 205.74 115.57) (uuid 67133204-98be-435b-ab11-0f32ced3b33b)) - (no_connect (at 101.6 105.41) (uuid 7b3aa4f0-565a-4cee-afd7-795c6c884daa)) - (no_connect (at 205.74 113.03) (uuid 9090d5a0-3ff4-4e83-bec4-c3684f194bb4)) - (no_connect (at 205.74 90.17) (uuid b7c36f38-c302-4d0f-b5d2-fbea2c176d0f)) - (no_connect (at 205.74 105.41) (uuid b94e7e77-31a6-4e66-ab93-cc7db347d383)) - (no_connect (at 205.74 64.77) (uuid d1c7e4e1-efc7-4325-9582-faf84f1846dd)) - (no_connect (at 205.74 97.79) (uuid da0447ef-5830-43fe-904f-bc4d38508320)) - (no_connect (at 205.74 107.95) (uuid dd1583cd-6ec3-478f-85f0-402f1efa117e)) - - (wire (pts (xy 266.7 110.49) (xy 266.7 113.03)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 01024d27-e392-4482-9e67-565b0c294fe8) - ) - (polyline (pts (xy 67.31 134.62) (xy 171.45 134.62)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 06a758d9-3f78-4a9c-868a-6ed7121ca9f2) - ) - (polyline (pts (xy 279.4 43.18) (xy 279.4 134.62)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0e0f9829-27a5-43b2-a0ae-121d3ce72ef4) - ) - - (wire (pts (xy 92.71 100.33) (xy 101.6 100.33)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 157245f1-7c32-4429-a17c-2de30db2068b) - ) - (polyline (pts (xy 171.45 43.18) (xy 171.45 134.62)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 15cd5df0-9470-4915-ba32-58aef5407a1b) - ) - - (wire (pts (xy 99.06 81.28) (xy 76.2 81.28)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 29470a28-4496-4b58-a5ec-aa85125efcd1) - ) - (wire (pts (xy 241.3 113.03) (xy 240.03 113.03)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3457afc5-3e4f-4220-81d1-b079f653a722) - ) - (polyline (pts (xy 175.26 43.18) (xy 279.4 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3579cf2f-29b0-46b6-a07d-483fb5586322) - ) - - (wire (pts (xy 135.89 97.79) (xy 129.54 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 37cddde9-445f-423f-99b0-0711432e9afd) - ) - (wire (pts (xy 99.06 92.71) (xy 99.06 81.28)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 37ede1f7-c284-4a69-9d45-722cd4f55d54) - ) - (polyline (pts (xy 279.4 134.62) (xy 175.26 134.62)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3934b2e9-06c8-499c-a6df-4d7b35cfb894) - ) - - (wire (pts (xy 40.64 68.58) (xy 45.72 68.58)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4ada9644-a0c1-4f67-a635-919c648129fc) - ) - (wire (pts (xy 236.22 125.73) (xy 248.92 125.73)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4d967454-338c-4b89-8534-9457e15bf2f2) - ) - (wire (pts (xy 236.22 100.33) (xy 248.92 100.33)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5eedf685-0df3-4da8-aded-0e6ed1cb2507) - ) - (polyline (pts (xy 67.31 134.62) (xy 67.31 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 66b97a0a-8e08-41e2-b14c-4d2f7400ff6c) - ) - (polyline (pts (xy 175.26 134.62) (xy 175.26 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 73f40fda-e6eb-4f93-9482-56cf47d84a87) - ) - - (wire (pts (xy 254 102.87) (xy 271.78 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 77aa6db5-9b8d-4983-b88e-30fe5af25975) - ) - (wire (pts (xy 248.92 123.19) (xy 248.92 125.73)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7eb32ed1-4320-49ba-8487-1c88e4824fe3) - ) - (wire (pts (xy 248.92 100.33) (xy 248.92 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 90fd611c-300b-48cf-a7c4-0d604953cd00) - ) - (wire (pts (xy 40.64 60.96) (xy 45.72 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 91d911d5-cad3-4a98-ba2b-306af461b4c4) - ) - (polyline (pts (xy 21.59 43.18) (xy 63.5 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9b9cb3bd-7a47-4e28-8a54-3530c05c1456) - ) - - (wire (pts (xy 76.2 60.96) (xy 101.6 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a4a0be49-964a-40fa-b31b-8805cdc102ee) - ) - (wire (pts (xy 266.7 113.03) (xy 261.62 113.03)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid acf5d924-0760-425a-996c-c1d965700be8) - ) - (wire (pts (xy 240.03 67.31) (xy 240.03 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b4d6cbc1-df01-4d42-9015-a779f6eaad1c) - ) - (wire (pts (xy 135.89 92.71) (xy 129.54 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c1cc671b-f599-4d51-9b3a-57f2c0f4ad80) - ) - (wire (pts (xy 40.64 77.47) (xy 45.72 77.47)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c2b11866-3a23-42a2-9f5e-e75ffe537dc7) - ) - (wire (pts (xy 205.74 74.93) (xy 223.52 74.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c2c7c280-4719-41cc-8955-42cee19768b3) - ) - (polyline (pts (xy 67.31 43.18) (xy 171.45 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c3ed5144-0e8a-4fe7-8fa1-2a71ec24e09d) - ) - (polyline (pts (xy 21.59 85.09) (xy 63.5 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c76b9459-7847-4bf1-a214-02f7803e429c) - ) - - (wire (pts (xy 205.74 69.85) (xy 223.52 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c9e03c6e-e236-4e45-b95d-955c55a22e56) - ) - (wire (pts (xy 135.89 90.17) (xy 129.54 90.17)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d0cf480b-43dc-4e8c-bfa4-f3f4368fd53f) - ) - (polyline (pts (xy 63.5 43.18) (xy 63.5 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d4da1486-8a0d-441c-b56e-126ed46b507c) - ) - - (wire (pts (xy 92.71 97.79) (xy 101.6 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid da46f29e-4746-4686-ad3a-d0778b91cfe8) - ) - (wire (pts (xy 205.74 62.23) (xy 240.03 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid de1e66b8-247c-4f96-b59e-96422ec776f4) - ) - (wire (pts (xy 271.78 123.19) (xy 254 123.19)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e77c17df-b20e-4e7d-b937-f281c75a0014) - ) - (wire (pts (xy 240.03 113.03) (xy 240.03 114.3)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e86e4fae-9ca7-4857-a93c-bc6a3048f887) - ) - (wire (pts (xy 99.06 92.71) (xy 101.6 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e8dbbf4c-dab3-4f0b-9c61-724709e2f7b9) - ) - (wire (pts (xy 101.6 60.96) (xy 101.6 90.17)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e97649e9-23b1-44fa-9cb4-3613685242d5) - ) - (wire (pts (xy 135.89 95.25) (xy 129.54 95.25)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f05d77fd-535b-45de-aa5e-7363253861d7) - ) - (polyline (pts (xy 21.59 43.18) (xy 21.59 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f5d7f9e7-61b0-48e9-9dbd-68eb2a9aa1e5) - ) - - (wire (pts (xy 40.64 53.34) (xy 45.72 53.34)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fb94dd87-08cc-4cc5-b9cc-847a5ca6606c) - ) - - (text "USB C plug connector" (at 217.17 46.99 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 2b25e886-ded1-450a-ada1-ece4208052e4) - ) - (text "CH552" (at 109.22 46.99 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 60ec92ff-3c3f-44c2-9df5-f29739fff74e) - ) - (text "USB-to-Serial Interface" (at 76.2 26.67 0) - (effects (font (size 6 6) (thickness 0.254) bold) (justify left bottom)) - (uuid a7f2e97b-29f3-44fd-bf8a-97a3c1528b61) - ) - (text "The CH552 is loaded with a TTY ACM firmware, to act as a USB-to-Serial converter\n\nDue to the processor speed, not all baud rates are accurate. Here are the baud rates\nachieved for common settings:\n\nSetting Actual % error\n9600 9615.38 0.16%\n14400 14492.75 0.64%\n19200 19230.77 0.16%\n38400 38461.54 0.16%\n57600 58823.53 2.12%\n100000 100000 0.00%\n115200 125000 8.51%\n128000 142857.14 11.61%\n256000 333333.33 30.21%\n1000000 1000000 0.00%\n\n\nNote: RTS/CTS lines are not implemented in the device firmware, but are included in the\n hardware design in case they need to be implemented. The intent is to use them in\n the 'modern' sense: Each receiving device asserts it's RTS signal as long as it is\n able to receive at least one byte of data on it's RX line, and clears it when\n it is not able to receive data. Each transmitting device will check their RTS\n input before transmitting on their TX line." - (at 76.2 190.5 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b4f4b01f-39a3-4ccc-914c-95f22ae04805) - ) - (text "Interface test points" (at 26.67 45.72 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b73dcd40-ab3d-4c9d-af86-21665ca78857) - ) - (text "Note: RTS/CTS not implemented" (at 135.89 102.87 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ec6c26b1-a7e9-4296-a00a-3a080d7305d0) - ) - - (label "INT_USB_IN_D-" (at 208.28 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 2cc7cfbf-edd1-48b0-ad4b-f86f2f1aa72e) - ) - (label "INT_USB_IN_D+" (at 256.54 102.87 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 5e755161-24a5-4650-a6e3-9836bf074412) - ) - (label "INT_USB_IN_D+" (at 208.28 74.93 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 62ac7cbe-6c69-4c27-8b17-c3192a9689e6) - ) - (label "INT_USB_IN_D-" (at 256.54 123.19 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a150f0c9-1a23-4200-b489-18791f6d5ce5) - ) - - (global_label "INTERFACE_TX" (shape output) (at 135.89 90.17 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 084238a6-ddc9-408a-beb7-0a70b31d41a9) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 151.2771 90.0906 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "INT_USB_D-" (shape bidirectional) (at 236.22 125.73 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 15699041-ed40-45ee-87d8-f5e206a88536) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 45.72 -29.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "INT_USB_D+" (shape bidirectional) (at 236.22 100.33 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 1bd80cf9-f42a-4aee-a408-9dbf4e81e625) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 45.72 -29.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "INTERFACE_RX" (shape bidirectional) (at 40.64 60.96 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 47aec22b-82e9-4b91-989d-e048280cce6f) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 24.9506 60.8806 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "INTERFACE_CTS" (shape bidirectional) (at 40.64 77.47 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 5160573f-2fea-4874-8a6a-69330c6a53cd) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 23.9829 77.3906 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "INTERFACE_RTS" (shape bidirectional) (at 40.64 68.58 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 5a9579fe-9d03-4a30-8059-20bc2ac34b9c) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 23.9829 68.5006 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "INTERFACE_RX" (shape input) (at 135.89 92.71 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 68518495-00dc-4eb5-91cc-28b636233e44) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 151.5794 92.6306 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "INTERFACE_TX" (shape bidirectional) (at 40.64 53.34 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 7eb525f4-c9fd-4c61-9b22-fdd518f97c1c) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 25.2529 53.2606 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "INT_USB_D-" (shape bidirectional) (at 92.71 100.33 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 9112ddd5-10d5-48b8-954f-f1d5adcacbd9) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 229.87 212.09 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - (global_label "INTERFACE_CTS" (shape input) (at 135.89 97.79 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 9da1ace0-4181-4f12-80f8-16786a9e5c07) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.5471 97.7106 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "INTERFACE_RTS" (shape output) (at 135.89 95.25 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid af186015-d283-4209-aade-a247e5de01df) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.5471 95.1706 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "INT_USB_D+" (shape bidirectional) (at 92.71 97.79 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid d3dd7cdb-b730-487d-804d-99150ba318ef) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 229.87 212.09 0) - (effects (font (size 1.27 1.27)) hide) - ) - ) - - (symbol (lib_id "power:GND") (at 76.2 68.58 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006168f38a) - (property "Reference" "#GND0110" (id 0) (at 76.2 74.93 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 76.327 72.9742 0)) - (property "Footprint" "" (id 2) (at 76.2 68.58 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 76.2 68.58 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b0d728ff-de12-48a0-85a4-24d36eca9566)) - ) - - (symbol (lib_id "Power_Protection:USBLC6-2SC6") (at 251.46 113.03 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006179d455) - (property "Reference" "U5" (id 0) (at 262.7376 111.8616 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "USBLC6-2SC6" (id 1) (at 262.7376 114.173 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 238.76 113.03 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.st.com/resource/en/datasheet/usblc6-2.pdf" (id 3) (at 260.35 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "ST" (id 4) (at 251.46 113.03 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "USBLC6-2SC6" (id 5) (at 251.46 113.03 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "Digikey" (id 6) (at 251.46 113.03 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "497-5235-1-ND" (id 7) (at 251.46 113.03 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 031c03df-28d2-41bf-8eb7-9d0fd06a1a41)) - (pin "2" (uuid b58c68ec-46e0-4c84-900d-afc52c01b41f)) - (pin "3" (uuid 4f7d1b62-b198-44b6-92d2-15a67ec30445)) - (pin "4" (uuid eb924c10-4dcd-4079-9237-b7ee2f39b1dc)) - (pin "5" (uuid d70ab01a-121f-4431-a990-e03d727b7d03)) - (pin "6" (uuid 26068a5e-3380-46d9-bd58-5619c734135a)) - ) - - (symbol (lib_id "power:GND") (at 240.03 114.3 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617abdbb) - (property "Reference" "#GND0106" (id 0) (at 240.03 120.65 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 240.157 118.6942 0)) - (property "Footprint" "" (id 2) (at 240.03 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 240.03 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 41002069-6879-4ad6-acc3-269ad98ee903)) - ) - - (symbol (lib_id "Device:C") (at 76.2 64.77 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f1504) - (property "Reference" "C13" (id 0) (at 79.121 63.6016 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 79.121 65.913 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 77.1652 68.58 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 76.2 64.77 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 76.2 64.77 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 76.2 64.77 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 4b51539d-7a10-43ad-9fbc-21f5baa31625)) - (pin "2" (uuid 0c7918a2-4487-418a-b6a9-5c98a9ea28c7)) - ) - - (symbol (lib_id "power:+3.3V") (at 76.2 60.96 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617f1511) - (property "Reference" "#PWR0101" (id 0) (at 76.2 64.77 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 76.581 56.5658 0)) - (property "Footprint" "" (id 2) (at 76.2 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 76.2 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f9ecb0ec-9c04-43ba-9b62-580ba093e242)) - ) - - (symbol (lib_id "power:+3.3V") (at 76.2 81.28 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 11c90c6d-0f5e-4182-9eaa-34e024cde134) - (property "Reference" "#PWR0102" (id 0) (at 76.2 85.09 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (id 1) (at 76.581 76.8858 0)) - (property "Footprint" "" (id 2) (at 76.2 81.28 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 76.2 81.28 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f0097a74-324a-41b5-8e55-65be2008f27a)) - ) - - (symbol (lib_id "power:+5V") (at 266.7 110.49 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 14cafeba-79fa-4d66-8efb-ecba03042fb0) - (property "Reference" "#PWR0104" (id 0) (at 266.7 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 267.081 106.0958 0)) - (property "Footprint" "" (id 2) (at 266.7 110.49 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 266.7 110.49 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b13417b4-278a-45b8-b2bd-f1d02482e5a9)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 45.72 60.96 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 1f54ffea-0a26-464d-98d4-30979db7344f) - (property "Reference" "TP7" (id 0) (at 47.1932 57.9628 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "INT_RX" (id 1) (at 47.1932 60.2742 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 50.8 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 50.8 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 4c2ca82f-55ac-438b-ac0c-50bf565620af)) - ) - - (symbol (lib_id "power:GND") (at 240.03 74.93 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 674e8000-f9df-4d4d-936a-0ab19f54245d) - (property "Reference" "#GND0105" (id 0) (at 240.03 81.28 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 240.157 79.3242 0)) - (property "Footprint" "" (id 2) (at 240.03 74.93 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 240.03 74.93 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 72b25897-07c7-41aa-b985-dd0f85789abc)) - ) - - (symbol (lib_id "power:GND") (at 76.2 88.9 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 73c581a3-a6dc-4da7-b72f-ac976f15567b) - (property "Reference" "#GND0108" (id 0) (at 76.2 95.25 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 76.327 93.2942 0)) - (property "Footprint" "" (id 2) (at 76.2 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 76.2 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 987385b0-6ccf-41c0-baa8-e64ff4ba8a15)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 45.72 68.58 0) (unit 1) - (in_bom no) (on_board yes) - (uuid 74f30866-0d52-4558-88d9-da85c982a4ac) - (property "Reference" "TP8" (id 0) (at 47.1932 65.5828 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "INT_RTS" (id 1) (at 47.1932 67.8942 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 50.8 68.58 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 50.8 68.58 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 2a242e08-6e95-4316-89da-e716bdbabfd7)) - ) - - (symbol (lib_id "Device:C") (at 76.2 85.09 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 76a5b2c4-6b71-4a39-a2e8-5088b310a11a) - (property "Reference" "C7" (id 0) (at 79.121 83.9216 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.10uF" (id 1) (at 79.121 86.233 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 77.1652 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 76.2 85.09 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 76.2 85.09 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "16V,X5R,20%" (id 5) (at 76.2 85.09 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d1045bd4-9e2d-489f-be98-6d165520099a)) - (pin "2" (uuid 72c9a83e-d01d-49b0-8ae0-6c1fc647e4c9)) - ) - - (symbol (lib_id "Connector:USB_C_Plug") (at 190.5 82.55 0) (unit 1) - (in_bom yes) (on_board yes) (fields_autoplaced) - (uuid 7b422c5e-fd7b-4ac9-8400-966a18c34fdc) - (property "Reference" "P1" (id 0) (at 190.5 49.53 0)) - (property "Value" "USB_C_Plug" (id 1) (at 190.5 52.07 0)) - (property "Footprint" "mta1:U261-241N-4BS60" (id 2) (at 194.31 82.55 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (id 3) (at 194.31 82.55 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "XKB" (id 4) (at 190.5 82.55 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "U261-241N-4BS60" (id 5) (at 190.5 82.55 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "LCSC" (id 6) (at 190.5 82.55 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "C319150" (id 7) (at 190.5 82.55 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A1" (uuid 4d00f75b-3b68-4209-ae37-b8ddb4f62235)) - (pin "A10" (uuid 4990a4e1-e285-4200-9ac9-fd2951cc8af2)) - (pin "A11" (uuid a3985fd8-133d-4c4d-93df-3e183e5bf4ca)) - (pin "A12" (uuid 692a5762-0c2d-428c-a23b-bd456177354a)) - (pin "A2" (uuid 2c93b3b3-71a3-434f-a1ea-bd2923509cb2)) - (pin "A3" (uuid 1b7b16ad-d181-4eea-9fda-88f0bca185e5)) - (pin "A4" (uuid 4615ce30-4072-4f60-8551-83b0b38cf454)) - (pin "A5" (uuid e0d83f09-53b3-4b9e-8284-c7438f1b7efa)) - (pin "A6" (uuid 40327f5c-fa17-456e-9c3f-369be7ad8b47)) - (pin "A7" (uuid 4be3c0cf-82d7-4f03-981e-9a964d71d9cc)) - (pin "A8" (uuid b99cfdd4-1443-4e14-9c8b-a346be8294ac)) - (pin "A9" (uuid c837e188-9ac4-407b-9047-0aa94a979cef)) - (pin "B1" (uuid 994f7892-6e39-4c31-a3c7-e19fd4277128)) - (pin "B10" (uuid 6003492b-cf93-4231-b73c-010648004b26)) - (pin "B11" (uuid 4b0d9dea-2296-4324-8ccd-7c238cee9785)) - (pin "B12" (uuid 60716897-2cf3-4bc9-afa7-6de80a4d80c3)) - (pin "B2" (uuid 9967dada-6a84-4069-8814-bb265a552a98)) - (pin "B3" (uuid c366ed7a-df8f-4043-8844-379d0f419a10)) - (pin "B4" (uuid ead4bcc5-904c-4ff8-bca7-f3947fb3f1a5)) - (pin "B5" (uuid 65f33a1a-03e6-422f-9bf1-0d83b92affd5)) - (pin "B8" (uuid 76e7cd24-190a-42a7-878c-c15e38c51e1b)) - (pin "B9" (uuid 27ccf197-520f-40af-8bbf-e520ab1a0ef3)) - (pin "S1" (uuid eb3c1372-4127-4ae3-b6c8-619dacc69e4a)) - ) - - (symbol (lib_id "Device:R") (at 240.03 71.12 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 9858cd2c-db03-45a0-8bc5-55a6c8037bff) - (property "Reference" "R29" (id 0) (at 241.808 69.9516 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "5.1k" (id 1) (at 241.808 72.263 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 238.252 71.12 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 240.03 71.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Any/not critical" (id 4) (at 240.03 71.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Extended Value" "1/16W,1%" (id 5) (at 240.03 71.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 99b9b6dd-7865-436d-92d7-68fd5c335c51)) - (pin "2" (uuid bfafdceb-8c53-49e7-8c5d-8581ba9dbb0d)) - ) - - (symbol (lib_id "power:GND") (at 182.88 123.19 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 9a0382d0-d26d-4a62-b0d4-a20c704c5784) - (property "Reference" "#GND0104" (id 0) (at 182.88 129.54 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 183.007 127.5842 0)) - (property "Footprint" "" (id 2) (at 182.88 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 182.88 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 99ec8e63-866f-4c86-a665-1d8c60bd4382)) - ) - - (symbol (lib_id "power:GND") (at 190.5 123.19 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 9bfb5d97-4d9f-43df-9b69-688a7feed8da) - (property "Reference" "#GND0102" (id 0) (at 190.5 129.54 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 190.627 127.5842 0)) - (property "Footprint" "" (id 2) (at 190.5 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 190.5 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 443e75ea-6169-41c0-8a6d-8e96985d65c0)) - ) - - (symbol (lib_id "mta1:CH552E") (at 114.3 90.17 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid b87ef039-9982-4e55-98fc-83a90017fbb0) - (property "Reference" "U3" (id 0) (at 106.68 85.09 0)) - (property "Value" "CH552E" (id 1) (at 123.19 85.09 0)) - (property "Footprint" "Package_SO:MSOP-10_3x3mm_P0.5mm" (id 2) (at 172.72 107.95 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 172.72 107.95 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "WCH" (id 4) (at 114.3 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer Part Number" "CH552E" (id 5) (at 114.3 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier" "LCSC" (id 6) (at 114.3 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Supplier Part Number" "C967938" (id 7) (at 114.3 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid c523c93f-df2d-4883-b383-6ef3b306740b)) - (pin "10" (uuid 020c3e0d-82cd-4af3-813f-a291dceaee2d)) - (pin "2" (uuid f5b36efc-1845-4dd4-bbb4-9579234a7525)) - (pin "3" (uuid 1c65fac1-0de4-4ec2-a4d5-19413a039906)) - (pin "4" (uuid 175a6063-6484-42d6-849a-9d70f18ca990)) - (pin "5" (uuid de9c669d-49d9-4488-ad44-77f82f973b04)) - (pin "6" (uuid e7b14337-dfae-403a-87dc-287cfa9d3797)) - (pin "7" (uuid 1f3941fc-17b1-428d-b9e0-beddd4574b11)) - (pin "8" (uuid d6d2b9fa-1610-4fa1-b118-b0eedea55e82)) - (pin "9" (uuid 9f5025f2-afb1-4f14-9940-d7bad54f00f7)) - ) - - (symbol (lib_id "power:+5V") (at 205.74 57.15 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid c3be3889-9913-411c-9948-172372d6574f) - (property "Reference" "#PWR0103" (id 0) (at 205.74 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 206.121 52.7558 0)) - (property "Footprint" "" (id 2) (at 205.74 57.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 205.74 57.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 3dbd2bf0-65d3-4475-a9dc-e402c1fdf765)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 45.72 77.47 0) (unit 1) - (in_bom no) (on_board yes) - (uuid d9fa1bba-ba87-4376-952a-41bb8392f957) - (property "Reference" "TP9" (id 0) (at 47.1932 74.4728 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "INT_CTS" (id 1) (at 47.1932 76.7842 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 50.8 77.47 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 50.8 77.47 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 33106bd2-3e98-41f6-988c-7158791c3c4a)) - ) - - (symbol (lib_id "power:GND") (at 129.54 105.41 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid f372eb15-7bbb-45a7-a3e7-9f1375833b78) - (property "Reference" "#GND0109" (id 0) (at 129.54 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 129.667 109.8042 0)) - (property "Footprint" "" (id 2) (at 129.54 105.41 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 129.54 105.41 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f61b768d-f7dc-4386-8cbc-5fab32e6f348)) - ) - - (symbol (lib_id "Connector:TestPoint") (at 45.72 53.34 0) (unit 1) - (in_bom no) (on_board yes) - (uuid fb64afad-a905-4f4b-98a2-d21a64bd12f3) - (property "Reference" "TP6" (id 0) (at 47.1932 50.3428 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "INT_TX" (id 1) (at 47.1932 52.6542 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 50.8 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 50.8 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a11954b2-c8da-400f-812a-7b2df2ccf5b3)) - ) -) +(kicad_sch (version 20211123) (generator eeschema) + + (uuid 2de1ffee-2174-41d2-8969-68b8d21e5a7d) + + (paper "A4") + + (title_block + (title "USB-to-Serial Interface") + (date "2021-11-14") + (rev "V1") + (company "Tillitis AB") + (comment 1 "2022") + ) + + (lib_symbols + (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "TP" (id 0) (at 0 6.858 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TestPoint" (id 1) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "test point" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TestPoint_0_1" + (circle (center 0 3.302) (radius 0.762) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "TestPoint_1_1" + (pin passive line (at 0 0 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:USB_C_Plug" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "P" (id 0) (at -10.16 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "USB_C_Plug" (id 1) (at 10.16 29.21 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 3.81 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (id 3) (at 3.81 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "usb universal serial bus" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "USB Type-C Plug connector" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "USB*C*Plug*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "USB_C_Plug_0_0" + (rectangle (start -0.254 -35.56) (end 0.254 -34.544) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -32.766) (end 9.144 -33.274) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -30.226) (end 9.144 -30.734) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -25.146) (end 9.144 -25.654) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -22.606) (end 9.144 -23.114) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -17.526) (end 9.144 -18.034) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -14.986) (end 9.144 -15.494) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -9.906) (end 9.144 -10.414) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -7.366) (end 9.144 -7.874) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -2.286) (end 9.144 -2.794) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 0.254) (end 9.144 -0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 7.874) (end 9.144 7.366) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 12.954) (end 9.144 12.446) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 18.034) (end 9.144 17.526) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 20.574) (end 9.144 20.066) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 25.654) (end 9.144 25.146) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "USB_C_Plug_0_1" + (rectangle (start -10.16 27.94) (end 10.16 -35.56) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (arc (start -8.89 -3.81) (mid -6.985 -5.715) (end -5.08 -3.81) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -7.62 -3.81) (mid -6.985 -4.445) (end -6.35 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -7.62 -3.81) (mid -6.985 -4.445) (end -6.35 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 -3.81) (end -6.35 3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (arc (start -6.35 3.81) (mid -6.985 4.445) (end -7.62 3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -6.35 3.81) (mid -6.985 4.445) (end -7.62 3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (arc (start -5.08 3.81) (mid -6.985 5.715) (end -8.89 3.81) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -8.89 -3.81) + (xy -8.89 3.81) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -5.08 3.81) + (xy -5.08 -3.81) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "USB_C_Plug_1_1" + (circle (center -2.54 1.143) (radius 0.635) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 0 -5.842) (radius 1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy 0 -5.842) + (xy 0 4.318) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -3.302) + (xy -2.54 -0.762) + (xy -2.54 0.508) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.032) + (xy 2.54 0.508) + (xy 2.54 1.778) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 4.318) + (xy 0 6.858) + (xy 1.27 4.318) + (xy -1.27 4.318) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 1.905 1.778) (end 3.175 3.048) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (pin passive line (at 0 -40.64 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -15.24 180) (length 5.08) + (name "RX2-" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -17.78 180) (length 5.08) + (name "RX2+" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -40.64 90) (length 5.08) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -10.16 180) (length 5.08) + (name "TX1+" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -7.62 180) (length 5.08) + (name "TX1-" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 25.4 180) (length 5.08) + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 20.32 180) (length 5.08) + (name "CC" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 7.62 180) (length 5.08) + (name "D+" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 12.7 180) (length 5.08) + (name "D-" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -30.48 180) (length 5.08) + (name "SBU1" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 25.4 180) (length 5.08) hide + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -40.64 90) (length 5.08) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 0 180) (length 5.08) + (name "RX1-" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -2.54 180) (length 5.08) + (name "RX1+" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -40.64 90) (length 5.08) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -25.4 180) (length 5.08) + (name "TX2+" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -22.86 180) (length 5.08) + (name "TX2-" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 25.4 180) (length 5.08) hide + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 17.78 180) (length 5.08) + (name "VCONN" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -33.02 180) (length 5.08) + (name "SBU2" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 25.4 180) (length 5.08) hide + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -40.64 90) (length 5.08) + (name "SHIELD" (effects (font (size 1.27 1.27)))) + (number "S1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "C" (id 0) (at 0.635 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C" (id 1) (at 0.635 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) + (xy 2.032 -0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.762) + (xy 2.032 0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "C_1_1" + (pin passive line (at 0 3.81 270) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (rectangle (start -1.016 -2.54) (end 1.016 2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Power_Protection:USBLC6-2SC6" (pin_names hide) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 2.54 8.89 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "USBLC6-2SC6" (id 1) (at 2.54 -8.89 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/usblc6-2.pdf" (id 3) (at 5.08 8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "usb ethernet video" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Very low capacitance ESD protection diode, 2 data-line, SOT-23-6" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "USBLC6-2SC6_0_1" + (rectangle (start -7.62 -7.62) (end 7.62 7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (circle (center -5.08 0) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center -2.54 0) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -2.54 6.35) (end 2.54 -6.35) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 0 -6.35) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy -5.08 -2.54) + (xy -7.62 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -5.08 0) + (xy -5.08 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -5.08 2.54) + (xy -7.62 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 -2.794) + (xy -3.556 -2.794) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 4.826) + (xy -3.556 4.826) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -7.62) + (xy 0 -6.35) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -6.35) + (xy 0 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 0 6.35) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 6.35) + (xy 0 7.62) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.524 -2.794) + (xy 3.556 -2.794) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.524 4.826) + (xy 3.556 4.826) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 -2.54) + (xy 7.62 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 0) + (xy 5.08 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 2.54) + (xy 7.62 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 0) + (xy -5.08 0) + (xy -5.08 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 0) + (xy 5.08 0) + (xy 5.08 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.556 -4.826) + (xy -1.524 -4.826) + (xy -2.54 -2.794) + (xy -3.556 -4.826) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.556 2.794) + (xy -1.524 2.794) + (xy -2.54 4.826) + (xy -3.556 2.794) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 -1.016) + (xy 1.016 -1.016) + (xy 0 1.016) + (xy -1.016 -1.016) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.016 1.016) + (xy 0.762 1.016) + (xy -1.016 1.016) + (xy -1.016 0.508) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.556 -4.826) + (xy 1.524 -4.826) + (xy 2.54 -2.794) + (xy 3.556 -4.826) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.556 2.794) + (xy 1.524 2.794) + (xy 2.54 4.826) + (xy 3.556 2.794) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 0 6.35) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 2.54 0) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 5.08 0) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + ) + (symbol "USBLC6-2SC6_1_1" + (pin passive line (at -10.16 -2.54 0) (length 2.54) + (name "I/O1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -2.54 180) (length 2.54) + (name "I/O2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 2.54 180) (length 2.54) + (name "I/O2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 10.16 270) (length 2.54) + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 2.54 0) (length 2.54) + (name "I/O1" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "mta1:CH552E" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -8.89 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "CH552E" (id 1) (at 7.62 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 58.42 -17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 58.42 -17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-bit enhanced USB microcontroller CH552" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "CH552E_0_1" + (rectangle (start -10.16 2.54) (end 12.7 -17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "CH552E_1_1" + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "RTS(P1.4)" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -12.7 -2.54 0) (length 2.54) + (name "V33" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 15.24 -7.62 180) (length 2.54) + (name "CTS(P1.5)" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 15.24 -2.54 180) (length 2.54) + (name "RX(PP1.6)" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 0 180) (length 2.54) + (name "TX(P1.7)" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -15.24 0) (length 2.54) + (name "RST" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -7.62 0) (length 2.54) + (name "UDP(P3.6)" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -10.16 0) (length 2.54) + (name "UDM(P3.7)" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -15.24 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 0 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3.3V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3.3V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3.3V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+3.3V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 76.2 60.96) (diameter 0) (color 0 0 0 0) + (uuid 25d2815b-757f-4fed-925b-1d143160683a) + ) + (junction (at 76.2 81.28) (diameter 0) (color 0 0 0 0) + (uuid aece34f3-2214-40af-8409-eb14f2b5bbbc) + ) + + (no_connect (at 205.74 92.71) (uuid 0b070896-1cb3-426a-994b-ee4cd80580a7)) + (no_connect (at 205.74 85.09) (uuid 1faea851-a305-4757-ad34-18a0b8d433c8)) + (no_connect (at 205.74 100.33) (uuid 33f0cc91-1c8b-42c8-b58c-5c8b72aa49dd)) + (no_connect (at 205.74 82.55) (uuid 5a102805-0f37-41be-aa32-830227f4a310)) + (no_connect (at 205.74 115.57) (uuid 67133204-98be-435b-ab11-0f32ced3b33b)) + (no_connect (at 101.6 105.41) (uuid 7b3aa4f0-565a-4cee-afd7-795c6c884daa)) + (no_connect (at 205.74 113.03) (uuid 9090d5a0-3ff4-4e83-bec4-c3684f194bb4)) + (no_connect (at 205.74 90.17) (uuid b7c36f38-c302-4d0f-b5d2-fbea2c176d0f)) + (no_connect (at 205.74 105.41) (uuid b94e7e77-31a6-4e66-ab93-cc7db347d383)) + (no_connect (at 205.74 64.77) (uuid d1c7e4e1-efc7-4325-9582-faf84f1846dd)) + (no_connect (at 205.74 97.79) (uuid da0447ef-5830-43fe-904f-bc4d38508320)) + (no_connect (at 205.74 107.95) (uuid dd1583cd-6ec3-478f-85f0-402f1efa117e)) + + (wire (pts (xy 266.7 110.49) (xy 266.7 113.03)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 01024d27-e392-4482-9e67-565b0c294fe8) + ) + (polyline (pts (xy 67.31 134.62) (xy 171.45 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 06a758d9-3f78-4a9c-868a-6ed7121ca9f2) + ) + (polyline (pts (xy 279.4 43.18) (xy 279.4 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0e0f9829-27a5-43b2-a0ae-121d3ce72ef4) + ) + + (wire (pts (xy 92.71 100.33) (xy 101.6 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 157245f1-7c32-4429-a17c-2de30db2068b) + ) + (polyline (pts (xy 171.45 43.18) (xy 171.45 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 15cd5df0-9470-4915-ba32-58aef5407a1b) + ) + + (wire (pts (xy 99.06 81.28) (xy 76.2 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 29470a28-4496-4b58-a5ec-aa85125efcd1) + ) + (wire (pts (xy 241.3 113.03) (xy 240.03 113.03)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3457afc5-3e4f-4220-81d1-b079f653a722) + ) + (polyline (pts (xy 175.26 43.18) (xy 279.4 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3579cf2f-29b0-46b6-a07d-483fb5586322) + ) + + (wire (pts (xy 135.89 97.79) (xy 129.54 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 37cddde9-445f-423f-99b0-0711432e9afd) + ) + (wire (pts (xy 99.06 92.71) (xy 99.06 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 37ede1f7-c284-4a69-9d45-722cd4f55d54) + ) + (polyline (pts (xy 279.4 134.62) (xy 175.26 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3934b2e9-06c8-499c-a6df-4d7b35cfb894) + ) + + (wire (pts (xy 40.64 68.58) (xy 45.72 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4ada9644-a0c1-4f67-a635-919c648129fc) + ) + (wire (pts (xy 236.22 125.73) (xy 248.92 125.73)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4d967454-338c-4b89-8534-9457e15bf2f2) + ) + (wire (pts (xy 236.22 100.33) (xy 248.92 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5eedf685-0df3-4da8-aded-0e6ed1cb2507) + ) + (polyline (pts (xy 67.31 134.62) (xy 67.31 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 66b97a0a-8e08-41e2-b14c-4d2f7400ff6c) + ) + (polyline (pts (xy 175.26 134.62) (xy 175.26 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 73f40fda-e6eb-4f93-9482-56cf47d84a87) + ) + + (wire (pts (xy 254 102.87) (xy 271.78 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77aa6db5-9b8d-4983-b88e-30fe5af25975) + ) + (wire (pts (xy 248.92 123.19) (xy 248.92 125.73)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7eb32ed1-4320-49ba-8487-1c88e4824fe3) + ) + (wire (pts (xy 248.92 100.33) (xy 248.92 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 90fd611c-300b-48cf-a7c4-0d604953cd00) + ) + (wire (pts (xy 40.64 60.96) (xy 45.72 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 91d911d5-cad3-4a98-ba2b-306af461b4c4) + ) + (polyline (pts (xy 21.59 43.18) (xy 63.5 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9b9cb3bd-7a47-4e28-8a54-3530c05c1456) + ) + + (wire (pts (xy 76.2 60.96) (xy 101.6 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a4a0be49-964a-40fa-b31b-8805cdc102ee) + ) + (wire (pts (xy 266.7 113.03) (xy 261.62 113.03)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid acf5d924-0760-425a-996c-c1d965700be8) + ) + (wire (pts (xy 240.03 67.31) (xy 240.03 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b4d6cbc1-df01-4d42-9015-a779f6eaad1c) + ) + (wire (pts (xy 135.89 92.71) (xy 129.54 92.71)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c1cc671b-f599-4d51-9b3a-57f2c0f4ad80) + ) + (wire (pts (xy 40.64 77.47) (xy 45.72 77.47)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c2b11866-3a23-42a2-9f5e-e75ffe537dc7) + ) + (wire (pts (xy 205.74 74.93) (xy 223.52 74.93)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c2c7c280-4719-41cc-8955-42cee19768b3) + ) + (polyline (pts (xy 67.31 43.18) (xy 171.45 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c3ed5144-0e8a-4fe7-8fa1-2a71ec24e09d) + ) + (polyline (pts (xy 21.59 85.09) (xy 63.5 85.09)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c76b9459-7847-4bf1-a214-02f7803e429c) + ) + + (wire (pts (xy 205.74 69.85) (xy 223.52 69.85)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c9e03c6e-e236-4e45-b95d-955c55a22e56) + ) + (wire (pts (xy 135.89 90.17) (xy 129.54 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d0cf480b-43dc-4e8c-bfa4-f3f4368fd53f) + ) + (polyline (pts (xy 63.5 43.18) (xy 63.5 85.09)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d4da1486-8a0d-441c-b56e-126ed46b507c) + ) + + (wire (pts (xy 92.71 97.79) (xy 101.6 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid da46f29e-4746-4686-ad3a-d0778b91cfe8) + ) + (wire (pts (xy 205.74 62.23) (xy 240.03 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid de1e66b8-247c-4f96-b59e-96422ec776f4) + ) + (wire (pts (xy 271.78 123.19) (xy 254 123.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e77c17df-b20e-4e7d-b937-f281c75a0014) + ) + (wire (pts (xy 240.03 113.03) (xy 240.03 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e86e4fae-9ca7-4857-a93c-bc6a3048f887) + ) + (wire (pts (xy 99.06 92.71) (xy 101.6 92.71)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e8dbbf4c-dab3-4f0b-9c61-724709e2f7b9) + ) + (wire (pts (xy 101.6 60.96) (xy 101.6 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e97649e9-23b1-44fa-9cb4-3613685242d5) + ) + (wire (pts (xy 135.89 95.25) (xy 129.54 95.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f05d77fd-535b-45de-aa5e-7363253861d7) + ) + (polyline (pts (xy 21.59 43.18) (xy 21.59 85.09)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f5d7f9e7-61b0-48e9-9dbd-68eb2a9aa1e5) + ) + + (wire (pts (xy 40.64 53.34) (xy 45.72 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fb94dd87-08cc-4cc5-b9cc-847a5ca6606c) + ) + + (text "USB C plug connector" (at 217.17 46.99 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2b25e886-ded1-450a-ada1-ece4208052e4) + ) + (text "CH552" (at 109.22 46.99 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 60ec92ff-3c3f-44c2-9df5-f29739fff74e) + ) + (text "USB-to-Serial Interface" (at 76.2 26.67 0) + (effects (font (size 6 6) (thickness 0.254) bold) (justify left bottom)) + (uuid a7f2e97b-29f3-44fd-bf8a-97a3c1528b61) + ) + (text "The CH552 is loaded with a TTY ACM firmware, to act as a USB-to-Serial converter\n\nDue to the processor speed, not all baud rates are accurate. Here are the baud rates\nachieved for common settings:\n\nSetting Actual % error\n9600 9615.38 0.16%\n14400 14492.75 0.64%\n19200 19230.77 0.16%\n38400 38461.54 0.16%\n57600 58823.53 2.12%\n100000 100000 0.00%\n115200 125000 8.51%\n128000 142857.14 11.61%\n256000 333333.33 30.21%\n1000000 1000000 0.00%\n\n\nNote: RTS/CTS lines are not implemented in the device firmware, but are included in the\n hardware design in case they need to be implemented. The intent is to use them in\n the 'modern' sense: Each receiving device asserts it's RTS signal as long as it is\n able to receive at least one byte of data on it's RX line, and clears it when\n it is not able to receive data. Each transmitting device will check their RTS\n input before transmitting on their TX line." + (at 76.2 190.5 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b4f4b01f-39a3-4ccc-914c-95f22ae04805) + ) + (text "Interface test points" (at 26.67 45.72 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b73dcd40-ab3d-4c9d-af86-21665ca78857) + ) + (text "Note: RTS/CTS not implemented" (at 135.89 102.87 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ec6c26b1-a7e9-4296-a00a-3a080d7305d0) + ) + + (label "INT_USB_IN_D-" (at 208.28 69.85 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2cc7cfbf-edd1-48b0-ad4b-f86f2f1aa72e) + ) + (label "INT_USB_IN_D+" (at 256.54 102.87 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5e755161-24a5-4650-a6e3-9836bf074412) + ) + (label "INT_USB_IN_D+" (at 208.28 74.93 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 62ac7cbe-6c69-4c27-8b17-c3192a9689e6) + ) + (label "INT_USB_IN_D-" (at 256.54 123.19 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a150f0c9-1a23-4200-b489-18791f6d5ce5) + ) + + (global_label "INTERFACE_TX" (shape output) (at 135.89 90.17 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 084238a6-ddc9-408a-beb7-0a70b31d41a9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 151.2771 90.0906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "INT_USB_D-" (shape bidirectional) (at 236.22 125.73 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 15699041-ed40-45ee-87d8-f5e206a88536) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 45.72 -29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "INT_USB_D+" (shape bidirectional) (at 236.22 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1bd80cf9-f42a-4aee-a408-9dbf4e81e625) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 45.72 -29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "INTERFACE_RX" (shape bidirectional) (at 40.64 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 47aec22b-82e9-4b91-989d-e048280cce6f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 24.9506 60.8806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "INTERFACE_CTS" (shape bidirectional) (at 40.64 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5160573f-2fea-4874-8a6a-69330c6a53cd) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 23.9829 77.3906 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "INTERFACE_RTS" (shape bidirectional) (at 40.64 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5a9579fe-9d03-4a30-8059-20bc2ac34b9c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 23.9829 68.5006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "INTERFACE_RX" (shape input) (at 135.89 92.71 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 68518495-00dc-4eb5-91cc-28b636233e44) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 151.5794 92.6306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "INTERFACE_TX" (shape bidirectional) (at 40.64 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7eb525f4-c9fd-4c61-9b22-fdd518f97c1c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 25.2529 53.2606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "INT_USB_D-" (shape bidirectional) (at 92.71 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9112ddd5-10d5-48b8-954f-f1d5adcacbd9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 229.87 212.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "INTERFACE_CTS" (shape input) (at 135.89 97.79 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9da1ace0-4181-4f12-80f8-16786a9e5c07) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.5471 97.7106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "INTERFACE_RTS" (shape output) (at 135.89 95.25 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid af186015-d283-4209-aade-a247e5de01df) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.5471 95.1706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "INT_USB_D+" (shape bidirectional) (at 92.71 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d3dd7cdb-b730-487d-804d-99150ba318ef) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 229.87 212.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "power:GND") (at 76.2 68.58 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006168f38a) + (property "Reference" "#GND0110" (id 0) (at 76.2 74.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 76.327 72.9742 0)) + (property "Footprint" "" (id 2) (at 76.2 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 76.2 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b0d728ff-de12-48a0-85a4-24d36eca9566)) + ) + + (symbol (lib_id "Power_Protection:USBLC6-2SC6") (at 251.46 113.03 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006179d455) + (property "Reference" "U5" (id 0) (at 262.7376 111.8616 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "USBLC6-2SC6" (id 1) (at 262.7376 114.173 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 238.76 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/usblc6-2.pdf" (id 3) (at 260.35 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "ST" (id 4) (at 251.46 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "USBLC6-2SC6" (id 5) (at 251.46 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digikey" (id 6) (at 251.46 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "497-5235-1-ND" (id 7) (at 251.46 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 031c03df-28d2-41bf-8eb7-9d0fd06a1a41)) + (pin "2" (uuid b58c68ec-46e0-4c84-900d-afc52c01b41f)) + (pin "3" (uuid 4f7d1b62-b198-44b6-92d2-15a67ec30445)) + (pin "4" (uuid eb924c10-4dcd-4079-9237-b7ee2f39b1dc)) + (pin "5" (uuid d70ab01a-121f-4431-a990-e03d727b7d03)) + (pin "6" (uuid 26068a5e-3380-46d9-bd58-5619c734135a)) + ) + + (symbol (lib_id "power:GND") (at 240.03 114.3 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617abdbb) + (property "Reference" "#GND0106" (id 0) (at 240.03 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 240.157 118.6942 0)) + (property "Footprint" "" (id 2) (at 240.03 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 240.03 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 41002069-6879-4ad6-acc3-269ad98ee903)) + ) + + (symbol (lib_id "Device:C") (at 76.2 64.77 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f1504) + (property "Reference" "C13" (id 0) (at 79.121 63.6016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 79.121 65.913 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 77.1652 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 76.2 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 76.2 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 76.2 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4b51539d-7a10-43ad-9fbc-21f5baa31625)) + (pin "2" (uuid 0c7918a2-4487-418a-b6a9-5c98a9ea28c7)) + ) + + (symbol (lib_id "power:+3.3V") (at 76.2 60.96 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f1511) + (property "Reference" "#PWR0101" (id 0) (at 76.2 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 76.581 56.5658 0)) + (property "Footprint" "" (id 2) (at 76.2 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 76.2 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f9ecb0ec-9c04-43ba-9b62-580ba093e242)) + ) + + (symbol (lib_id "power:+3.3V") (at 76.2 81.28 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 11c90c6d-0f5e-4182-9eaa-34e024cde134) + (property "Reference" "#PWR0102" (id 0) (at 76.2 85.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 76.581 76.8858 0)) + (property "Footprint" "" (id 2) (at 76.2 81.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 76.2 81.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f0097a74-324a-41b5-8e55-65be2008f27a)) + ) + + (symbol (lib_id "power:+5V") (at 266.7 110.49 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 14cafeba-79fa-4d66-8efb-ecba03042fb0) + (property "Reference" "#PWR0104" (id 0) (at 266.7 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 267.081 106.0958 0)) + (property "Footprint" "" (id 2) (at 266.7 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 266.7 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b13417b4-278a-45b8-b2bd-f1d02482e5a9)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 45.72 60.96 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 1f54ffea-0a26-464d-98d4-30979db7344f) + (property "Reference" "TP7" (id 0) (at 47.1932 57.9628 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "INT_RX" (id 1) (at 47.1932 60.2742 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 50.8 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 50.8 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4c2ca82f-55ac-438b-ac0c-50bf565620af)) + ) + + (symbol (lib_id "power:GND") (at 240.03 74.93 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 674e8000-f9df-4d4d-936a-0ab19f54245d) + (property "Reference" "#GND0105" (id 0) (at 240.03 81.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 240.157 79.3242 0)) + (property "Footprint" "" (id 2) (at 240.03 74.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 240.03 74.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 72b25897-07c7-41aa-b985-dd0f85789abc)) + ) + + (symbol (lib_id "power:GND") (at 76.2 88.9 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 73c581a3-a6dc-4da7-b72f-ac976f15567b) + (property "Reference" "#GND0108" (id 0) (at 76.2 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 76.327 93.2942 0)) + (property "Footprint" "" (id 2) (at 76.2 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 76.2 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 987385b0-6ccf-41c0-baa8-e64ff4ba8a15)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 45.72 68.58 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 74f30866-0d52-4558-88d9-da85c982a4ac) + (property "Reference" "TP8" (id 0) (at 47.1932 65.5828 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "INT_RTS" (id 1) (at 47.1932 67.8942 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 50.8 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 50.8 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2a242e08-6e95-4316-89da-e716bdbabfd7)) + ) + + (symbol (lib_id "Device:C") (at 76.2 85.09 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 76a5b2c4-6b71-4a39-a2e8-5088b310a11a) + (property "Reference" "C7" (id 0) (at 79.121 83.9216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.10uF" (id 1) (at 79.121 86.233 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:CAPC1005X06L" (id 2) (at 77.1652 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 76.2 85.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 76.2 85.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "16V,X5R,20%" (id 5) (at 76.2 85.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d1045bd4-9e2d-489f-be98-6d165520099a)) + (pin "2" (uuid 72c9a83e-d01d-49b0-8ae0-6c1fc647e4c9)) + ) + + (symbol (lib_id "Connector:USB_C_Plug") (at 190.5 82.55 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 7b422c5e-fd7b-4ac9-8400-966a18c34fdc) + (property "Reference" "P1" (id 0) (at 190.5 49.53 0)) + (property "Value" "USB_C_Plug" (id 1) (at 190.5 52.07 0)) + (property "Footprint" "mta1:U261-241N-4BS60" (id 2) (at 194.31 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (id 3) (at 194.31 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "XKB" (id 4) (at 190.5 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "U261-241N-4BS60" (id 5) (at 190.5 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "LCSC" (id 6) (at 190.5 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "C319150" (id 7) (at 190.5 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A1" (uuid 4d00f75b-3b68-4209-ae37-b8ddb4f62235)) + (pin "A10" (uuid 4990a4e1-e285-4200-9ac9-fd2951cc8af2)) + (pin "A11" (uuid a3985fd8-133d-4c4d-93df-3e183e5bf4ca)) + (pin "A12" (uuid 692a5762-0c2d-428c-a23b-bd456177354a)) + (pin "A2" (uuid 2c93b3b3-71a3-434f-a1ea-bd2923509cb2)) + (pin "A3" (uuid 1b7b16ad-d181-4eea-9fda-88f0bca185e5)) + (pin "A4" (uuid 4615ce30-4072-4f60-8551-83b0b38cf454)) + (pin "A5" (uuid e0d83f09-53b3-4b9e-8284-c7438f1b7efa)) + (pin "A6" (uuid 40327f5c-fa17-456e-9c3f-369be7ad8b47)) + (pin "A7" (uuid 4be3c0cf-82d7-4f03-981e-9a964d71d9cc)) + (pin "A8" (uuid b99cfdd4-1443-4e14-9c8b-a346be8294ac)) + (pin "A9" (uuid c837e188-9ac4-407b-9047-0aa94a979cef)) + (pin "B1" (uuid 994f7892-6e39-4c31-a3c7-e19fd4277128)) + (pin "B10" (uuid 6003492b-cf93-4231-b73c-010648004b26)) + (pin "B11" (uuid 4b0d9dea-2296-4324-8ccd-7c238cee9785)) + (pin "B12" (uuid 60716897-2cf3-4bc9-afa7-6de80a4d80c3)) + (pin "B2" (uuid 9967dada-6a84-4069-8814-bb265a552a98)) + (pin "B3" (uuid c366ed7a-df8f-4043-8844-379d0f419a10)) + (pin "B4" (uuid ead4bcc5-904c-4ff8-bca7-f3947fb3f1a5)) + (pin "B5" (uuid 65f33a1a-03e6-422f-9bf1-0d83b92affd5)) + (pin "B8" (uuid 76e7cd24-190a-42a7-878c-c15e38c51e1b)) + (pin "B9" (uuid 27ccf197-520f-40af-8bbf-e520ab1a0ef3)) + (pin "S1" (uuid eb3c1372-4127-4ae3-b6c8-619dacc69e4a)) + ) + + (symbol (lib_id "Device:R") (at 240.03 71.12 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 9858cd2c-db03-45a0-8bc5-55a6c8037bff) + (property "Reference" "R29" (id 0) (at 241.808 69.9516 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "5.1k" (id 1) (at 241.808 72.263 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:ERJ2G(0402)_L" (id 2) (at 238.252 71.12 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 240.03 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Any/not critical" (id 4) (at 240.03 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Extended Value" "1/16W,1%" (id 5) (at 240.03 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 99b9b6dd-7865-436d-92d7-68fd5c335c51)) + (pin "2" (uuid bfafdceb-8c53-49e7-8c5d-8581ba9dbb0d)) + ) + + (symbol (lib_id "power:GND") (at 182.88 123.19 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 9a0382d0-d26d-4a62-b0d4-a20c704c5784) + (property "Reference" "#GND0104" (id 0) (at 182.88 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 183.007 127.5842 0)) + (property "Footprint" "" (id 2) (at 182.88 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 182.88 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 99ec8e63-866f-4c86-a665-1d8c60bd4382)) + ) + + (symbol (lib_id "power:GND") (at 190.5 123.19 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 9bfb5d97-4d9f-43df-9b69-688a7feed8da) + (property "Reference" "#GND0102" (id 0) (at 190.5 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 190.627 127.5842 0)) + (property "Footprint" "" (id 2) (at 190.5 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 190.5 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 443e75ea-6169-41c0-8a6d-8e96985d65c0)) + ) + + (symbol (lib_id "mta1:CH552E") (at 114.3 90.17 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid b87ef039-9982-4e55-98fc-83a90017fbb0) + (property "Reference" "U3" (id 0) (at 106.68 85.09 0)) + (property "Value" "CH552E" (id 1) (at 123.19 85.09 0)) + (property "Footprint" "Package_SO:MSOP-10_3x3mm_P0.5mm" (id 2) (at 172.72 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 172.72 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "WCH" (id 4) (at 114.3 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer Part Number" "CH552E" (id 5) (at 114.3 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "LCSC" (id 6) (at 114.3 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier Part Number" "C967938" (id 7) (at 114.3 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c523c93f-df2d-4883-b383-6ef3b306740b)) + (pin "10" (uuid 020c3e0d-82cd-4af3-813f-a291dceaee2d)) + (pin "2" (uuid f5b36efc-1845-4dd4-bbb4-9579234a7525)) + (pin "3" (uuid 1c65fac1-0de4-4ec2-a4d5-19413a039906)) + (pin "4" (uuid 175a6063-6484-42d6-849a-9d70f18ca990)) + (pin "5" (uuid de9c669d-49d9-4488-ad44-77f82f973b04)) + (pin "6" (uuid e7b14337-dfae-403a-87dc-287cfa9d3797)) + (pin "7" (uuid 1f3941fc-17b1-428d-b9e0-beddd4574b11)) + (pin "8" (uuid d6d2b9fa-1610-4fa1-b118-b0eedea55e82)) + (pin "9" (uuid 9f5025f2-afb1-4f14-9940-d7bad54f00f7)) + ) + + (symbol (lib_id "power:+5V") (at 205.74 57.15 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid c3be3889-9913-411c-9948-172372d6574f) + (property "Reference" "#PWR0103" (id 0) (at 205.74 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 206.121 52.7558 0)) + (property "Footprint" "" (id 2) (at 205.74 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 205.74 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3dbd2bf0-65d3-4475-a9dc-e402c1fdf765)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 45.72 77.47 0) (unit 1) + (in_bom no) (on_board yes) + (uuid d9fa1bba-ba87-4376-952a-41bb8392f957) + (property "Reference" "TP9" (id 0) (at 47.1932 74.4728 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "INT_CTS" (id 1) (at 47.1932 76.7842 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 50.8 77.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 50.8 77.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 33106bd2-3e98-41f6-988c-7158791c3c4a)) + ) + + (symbol (lib_id "power:GND") (at 129.54 105.41 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid f372eb15-7bbb-45a7-a3e7-9f1375833b78) + (property "Reference" "#GND0109" (id 0) (at 129.54 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 129.667 109.8042 0)) + (property "Footprint" "" (id 2) (at 129.54 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 129.54 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f61b768d-f7dc-4386-8cbc-5fab32e6f348)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 45.72 53.34 0) (unit 1) + (in_bom no) (on_board yes) + (uuid fb64afad-a905-4f4b-98a2-d21a64bd12f3) + (property "Reference" "TP6" (id 0) (at 47.1932 50.3428 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "INT_TX" (id 1) (at 47.1932 52.6542 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "mta1:TestPoint_Pad_D1.0mm" (id 2) (at 50.8 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 50.8 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a11954b2-c8da-400f-812a-7b2df2ccf5b3)) + ) +)