From 6d9890d050259605165ce57cdf72d4ba085f94f3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Str=C3=B6mbergson?= Date: Tue, 9 May 2023 12:42:04 +0200 Subject: [PATCH] Add test1: Read out name and version MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit Signed-off-by: Joachim Strömbergson --- hw/application_fpga/core/tk1/tb/tb_tk1.v | 9 +++++---- 1 file changed, 5 insertions(+), 4 deletions(-) diff --git a/hw/application_fpga/core/tk1/tb/tb_tk1.v b/hw/application_fpga/core/tk1/tb/tb_tk1.v index b13d991..7880af3 100644 --- a/hw/application_fpga/core/tk1/tb/tb_tk1.v +++ b/hw/application_fpga/core/tk1/tb/tb_tk1.v @@ -294,17 +294,18 @@ module tb_tk1(); //---------------------------------------------------------------- // test1() + // Read out name and version. //---------------------------------------------------------------- task test1; begin tc_ctr = tc_ctr + 1; - tb_monitor = 1; $display(""); - $display("--- test1: started."); + $display("--- test1: Read out name and version started."); - #(100 * CLK_PERIOD); - tb_monitor = 0; + read_word(ADDR_NAME0, 32'h746B3120); + read_word(ADDR_NAME1, 32'h6d6b6466); + read_word(ADDR_VERSION, 32'h00000005); $display("--- test1: completed."); $display("");