diff --git a/hw/application_fpga/core/tk1/tb/tb_tk1.v b/hw/application_fpga/core/tk1/tb/tb_tk1.v index 7880af3..4bd14a4 100644 --- a/hw/application_fpga/core/tk1/tb/tb_tk1.v +++ b/hw/application_fpga/core/tk1/tb/tb_tk1.v @@ -313,6 +313,26 @@ module tb_tk1(); endtask // test1 + //---------------------------------------------------------------- + // test2() + // Read out UDI. + //---------------------------------------------------------------- + task test2; + begin + tc_ctr = tc_ctr + 1; + + $display(""); + $display("--- test2: Read out UDI."); + + read_word(ADDR_UDI_FIRST, 32'h00010203); + read_word(ADDR_UDI_LAST, 32'h04050607); + + $display("--- test2: completed."); + $display(""); + end + endtask // test2 + + //---------------------------------------------------------------- // tk1_test //---------------------------------------------------------------- @@ -325,7 +345,9 @@ module tb_tk1(); init_sim(); reset_dut(); + test1(); + test2(); display_test_result(); $display("");