portapack-mayhem/firmware/hackrf_cpld_portapack.svf
2016-08-30 21:29:23 -07:00

1123 lines
57 KiB
Plaintext
Executable File

// Created using Xilinx Cse Software [ISE - 14.7]
// Date: Tue Aug 23 23:01:43 2016
TRST OFF;
ENDIR IDLE;
ENDDR IDLE;
STATE RESET;
STATE IDLE;
FREQUENCY 1E6 HZ;
TIR 0 ;
HIR 0 ;
TDR 0 ;
HDR 0 ;
TIR 0 ;
HIR 0 ;
HDR 0 ;
TDR 0 ;
//Loading device with 'idcode' instruction.
SIR 8 TDI (01) SMASK (ff) ;
SDR 32 TDI (00000000) SMASK (ffffffff) TDO (f6e5f093) MASK (0fff8fff) ;
//Check for Read/Write Protect.
SIR 8 TDI (ff) TDO (01) MASK (03) ;
//Boundary Scan Chain Contents
//Position 1: xc2c64a
TIR 0 ;
HIR 0 ;
TDR 0 ;
HDR 0 ;
TIR 0 ;
HIR 0 ;
TDR 0 ;
HDR 0 ;
TIR 0 ;
HIR 0 ;
HDR 0 ;
TDR 0 ;
//Loading device with 'idcode' instruction.
SIR 8 TDI (01) ;
SDR 32 TDI (00000000) TDO (f6e5f093) ;
//Check for Read/Write Protect.
SIR 8 TDI (ff) TDO (01) MASK (03) ;
//Loading device with 'bypass' instruction.
SIR 8 TDI (ff) ;
//Loading device with 'enable' instruction.
SIR 8 TDI (e8) ;
//Loading device with 'enable' instruction.
SIR 8 TDI (e8) ;
// Loading device with a 'erase' instruction.
ENDIR IRPAUSE;
SIR 8 TDI (ed) SMASK (ff) ;
ENDIR IDLE;
STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRPAUSE;
RUNTEST DRPAUSE 20 TCK;
STATE IDLE;
RUNTEST IDLE 100000 TCK;
STATE DRPAUSE;
RUNTEST DRPAUSE 5000 TCK;
ENDIR IRPAUSE;
SIR 8 TDI (f0) SMASK (ff) ;
STATE IDLE;
RUNTEST IDLE 20 TCK;
ENDIR IRPAUSE;
SIR 8 TDI (f0) SMASK (ff) ;
STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
RUNTEST 800 TCK;
ENDIR IDLE;
//Loading device with 'conld' instruction.
SIR 8 TDI (c0) ;
RUNTEST IDLE 100 TCK;
//Loading device with 'enable' instruction.
SIR 8 TDI (e8) ;
// Programming.
// Loading device with a 'program' instruction.
ENDIR IRPAUSE;
SIR 8 TDI (ea) ;
SDR 281 TDI (0003c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
ENDIR IDLE;
RUNTEST 10000 TCK;
SDR 281 TDI (0103f9ffffffffffffffffffffffffffffffff777fffffffffffffffffffffffffdffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0180f97fffffffffffffffffffffffbfffe9de7fffffffffffffffffeffffffffffbfe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0083c1fffffffffffffffffffffffffffbf99e7ffffffffffbffffffffffffffffffde0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00c3f9fffffffffffffffffffffffffffbf99e7fffffffffffffbfffffffffffffff7e7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01c0f93ffffffffffffffffffffffffffff99e7fffffefbefbffffffefbeffffeaab2a7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0143c1bffffffffffffffffffffdfffffffffeeefffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0043f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0060f9fffffffffffffffffffffbfffffff99e7fffffffffffffffffffffffffeaabfc7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0163c1fffffffffffffffffffffffffffbf99e7ffffffffeffffffffffffffffefffde4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01e3f9fffffffffffffffffffffffffffbf87e7fffffffbffffffffffffffffffeffde7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00e0f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff02) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00a3c1fffffffffffffffffffffffffefffbbefffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01a3f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0120f9fffffffffffffffffffffffffffffd7f7ffffffffffffffffffffefffffffffe83) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0023c1fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffeaabf64f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0033f9fffffffffffffffffffffffffffbf87e7fffffeffffffffffffffffffffbffde7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0130f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01b3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00b3f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00f0f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01f3c1ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffabfe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0173f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff9dfe1d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0070f9ffffffffffffffffffffffffffffffe607fffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0053c1ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff544f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0153f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffff07ffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01d0f8bffffffffffffffffffffffffffffffffffffffffffffffffffffffffffdffff03) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00d3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0093f9fffffffffffffffffffffffffffffddf7fffffffffffffffffffbfffffffeffe7d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0190f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0113c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0013f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0018f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) ;
RUNTEST 10000 TCK;
SDR 281 TDI (011bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (019b99fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (009afdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00dbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01db99fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (015af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) ;
RUNTEST 10000 TCK;
SDR 281 TDI (005bc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (007bd9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (017afdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01fbc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00fbd9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00bafdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01bbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (013b99fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (003afdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) ;
RUNTEST 10000 TCK;
SDR 281 TDI (002bc8eabfffffffffffffeffffffffffffffefefbfffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0128feeabf7fffffeffffffffffffffffffffefefbfffffffffffffffffffffffffffe1d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01aa00eab7ffff7ffffffffffffffffffffffefefbfffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00abc1bff77ffffffffffffffffffffffffffeeefffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00ebf8ebffffffffffffffffffffffbffffffefeeffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01e8f9fffbfffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (016bc9ffffbffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0068e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
SDR 281 TDI (004ac5fffffffffffffefffffffffffffffffeeefffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (014bc0fffffffffffffffffffffffffffbfffefeeffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01cbf9fffff7fffffffffffffffffffffffffeeefffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00c8f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (008bc8eaffffffffffffffffbffffffffffffefeeffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0188e0effffffffffffffffffffffffefffffefeeffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
SDR 281 TDI (010ac3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (000bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (000ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
SDR 281 TDI (010cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (018fc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (008ce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00cec5ffffbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01cfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (014ee1ffeffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
SDR 281 TDI (004cf8bffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (006fc9dfcefffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (016ce1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01eec3df7ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00efc993fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00ace1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01aec5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (012fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (002ee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (003cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (013fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01bee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00bcf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00ffc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01fff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (017cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (007fc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (005ce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (015ec5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01dfc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00dce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (009ec5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (019fc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (011ce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (001ec5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0017ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0117ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 10000 TCK;
SIR 8 TDI (f0) SMASK (ff) ;
STATE IDLE;
RUNTEST IDLE 20 TCK;
ENDIR IRPAUSE;
SIR 8 TDI (f0) SMASK (ff) ;
STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
RUNTEST 800 TCK;
ENDIR IDLE;
//Loading device with 'enable' instruction.
SIR 8 TDI (e8) ;
//Loading device with 'enable' instruction.
SIR 8 TDI (e8) ;
// Verification.
// Loading device with a 'verify' instruction.
ENDIR IRPAUSE;
SIR 8 TDI (ee) ;
ENDDR DRPAUSE;
SDR 7 TDI (00) SMASK (7f) ;
ENDIR IDLE;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (40) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffffffffff777fffffffffffffffffffffffffdffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (60) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f97fffffffffffffffffffffffbfffe9de7fffffffffffffffffeffffffffffbfe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (20) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffbf99e7ffffffffffbffffffffffffffffffde0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (30) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffbf99e7fffffffffffffbfffffffffffffff7e7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (70) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f93ffffffffffffffffffffffffffff99e7fffffefbefbffffffefbeffffeaab2a7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (50) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1bffffffffffffffffffffdfffffffffeeefffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (10) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (18) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffbfffffff99e7fffffffffffffffffffffffffeaabfc7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (58) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffbf99e7ffffffffeffffffffffffffffefffde4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (78) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffbf87e7fffffffbffffffffffffffffffeffde7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (38) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff02) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (28) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffefffbbefffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (68) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (48) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffd7f7ffffffffffffffffffffefffffffffe83) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (08) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffeaabf64f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (0c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffbf87e7fffffeffffffffffffffffffffbffde7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (4c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (6c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (2c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (3c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (7c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffabfe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (5c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff9dfe1d) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (1c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffe607fffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe001ff801ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (14) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff544f) MASK (
03fffffffffffffffffffffffffffffe001f8001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (54) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffff07ffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (74) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f8bffffffffffffffffffffffffffffffffffffffffffffffffffffffffffdffff03) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (34) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (24) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffddf7fffffffffffffffffffbfffffffeffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (64) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (44) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (04) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (06) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (46) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (66) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0399fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (26) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (36) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (76) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0399fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (56) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (16) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (1e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (5e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (7e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (3e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (2e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (6e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (4e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0399fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (0e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (0a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c8eabfffffffffffffeffffffffffffffefefbfffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (4a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00feeabf7fffffeffffffffffffffffffffefefbfffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (6a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0200eab7ffff7ffffffffffffffffffffffefefbfffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (2a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1bff77ffffffffffffffffffffffffffeeefffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (3a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f8ebffffffffffffffffffffffbffffffefeeffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (7a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffbfffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (5a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffbffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (1a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (12) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffefffffffffffffffffeeefffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (52) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c0fffffffffffffffffffffffffffbfffefeeffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (72) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffff7fffffffffffffffffffffffffeeefffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (32) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (22) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c8eaffffffffffffffffbffffffffffffefeeffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (62) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e0effffffffffffffffffffffffefffffefeeffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (42) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (02) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (03) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (43) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (63) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (23) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (33) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5ffffbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (73) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (53) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1ffeffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (13) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f8bffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (1b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9dfcefffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (5b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) MASK (
03fffffffffffffffffffffffffffffe00078001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (7b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c3df7ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (3b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c993fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (2b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (6b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (4b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (0b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (0f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (4f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (6f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (2f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (3f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (7f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (5f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (1f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (17) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (57) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (77) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (37) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (27) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (67) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (47) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (07) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (05) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
0000000000000000000000000000000000000000000000000000000000000000000000) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
SDR 7 TDI (45) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
// masking lower UES bits.
SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
0000000000000000000000000000000000000000000000000000000000000000000000) ;
RUNTEST 100 TCK;
SIR 8 TDI (f0) SMASK (ff) ;
STATE IDLE;
RUNTEST IDLE 20 TCK;
ENDIR IRPAUSE;
SIR 8 TDI (f0) SMASK (ff) ;
STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
RUNTEST 800 TCK;
ENDIR IDLE;
//Loading device with 'conld' instruction.
SIR 8 TDI (c0) ;
RUNTEST IDLE 100 TCK;
//Loading device with 'enable' instruction.
SIR 8 TDI (e8) ;
// Setting Done bit ...
// Loading device with a 'program' instruction.
ENDIR IRPAUSE;
SIR 8 TDI (ea) ;
SDR 281 TDI (0017fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
ENDIR IDLE;
RUNTEST 10000 TCK;
SIR 8 TDI (f0) SMASK (ff) ;
STATE IDLE;
RUNTEST IDLE 20 TCK;
ENDIR IRPAUSE;
SIR 8 TDI (f0) SMASK (ff) ;
STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
RUNTEST 800 TCK;
ENDIR IDLE;
//Loading device with 'conld' instruction.
SIR 8 TDI (c0) ;
RUNTEST IDLE 100 TCK;
//Loading device with 'idcode' instruction.
SIR 8 TDI (01) ;
SDR 32 TDI (00000000) SMASK (ffffffff) TDO (f6e5f093) MASK (0fff8fff) ;
//Check for Done bit.
SIR 8 TDI (ff) TDO (05) MASK (07) ;
//Loading device with 'bypass' instruction.
SIR 8 TDI (ff) ;
TIR 0 ;
HIR 0 ;
HDR 0 ;
TDR 0 ;
TIR 0 ;
HIR 0 ;
TDR 0 ;
HDR 0 ;
SIR 8 TDI (ff) ;
SDR 1 TDI (00) SMASK (01) ;