portapack-mayhem/hardware/portapack_h1/portapack_h1.kicad_pcb

7330 lines
455 KiB
Plaintext

(kicad_pcb (version 20171130) (host pcbnew 5.0.1-33cea8e~68~ubuntu18.04.1)
(general
(thickness 1.6)
(drawings 110)
(tracks 1983)
(zones 0)
(modules 111)
(nets 140)
)
(page A4)
(title_block
(title "PortaPack H1")
(date 2018-10-29)
(rev 20181029)
(company "ShareBrained Technology, Inc.")
(comment 1 "License: GNU General Public License, version 2")
(comment 2 "Copyright (c) 2014-2018 Jared Boone")
)
(layers
(0 1_top signal)
(1 2_pwr mixed)
(2 3_gnd mixed)
(31 4_bot signal)
(32 B.Adhes user hide)
(33 F.Adhes user hide)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user)
(49 F.Fab user)
)
(setup
(last_trace_width 0.2)
(user_trace_width 0.2)
(user_trace_width 0.3)
(user_trace_width 0.4)
(user_trace_width 1)
(trace_clearance 0.159)
(zone_clearance 0.2)
(zone_45_only yes)
(trace_min 0.2)
(segment_width 0.1524)
(edge_width 0.1)
(via_size 0.5588)
(via_drill 0.3048)
(via_min_size 0.5588)
(via_min_drill 0.3048)
(user_via 0.5588 0.3048)
(uvia_size 0.508)
(uvia_drill 0.127)
(uvias_allowed no)
(uvia_min_size 0.508)
(uvia_min_drill 0.127)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.1524)
(mod_text_size 0.6 0.6)
(mod_text_width 0.025)
(pad_size 0.85 1.6)
(pad_drill 0)
(pad_to_mask_clearance 0)
(solder_mask_min_width 0.195)
(aux_axis_origin 60 175)
(visible_elements FFFDBDFF)
(pcbplotparams
(layerselection 0x3dfff_ffffffff)
(usegerberextensions true)
(usegerberattributes false)
(usegerberadvancedattributes false)
(creategerberjobfile true)
(excludeedgelayer true)
(linewidth 0.120000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin true)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue false)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory "private/gerber/20181029/"))
)
(net 0 "")
(net 1 /audio/LHPOUT)
(net 2 /audio/RHPOUT)
(net 3 /audio/SCL)
(net 4 /audio/SDA)
(net 5 /hackrf_if/LCD_BACKLIGHT)
(net 6 /hackrf_if/LCD_DB0)
(net 7 /hackrf_if/LCD_DB1)
(net 8 /hackrf_if/LCD_DB10)
(net 9 /hackrf_if/LCD_DB11)
(net 10 /hackrf_if/LCD_DB12)
(net 11 /hackrf_if/LCD_DB13)
(net 12 /hackrf_if/LCD_DB14)
(net 13 /hackrf_if/LCD_DB15)
(net 14 /hackrf_if/LCD_DB2)
(net 15 /hackrf_if/LCD_DB3)
(net 16 /hackrf_if/LCD_DB4)
(net 17 /hackrf_if/LCD_DB5)
(net 18 /hackrf_if/LCD_DB6)
(net 19 /hackrf_if/LCD_DB7)
(net 20 /hackrf_if/LCD_DB8)
(net 21 /hackrf_if/LCD_DB9)
(net 22 /hackrf_if/LCD_RD#)
(net 23 /hackrf_if/LCD_RESET#)
(net 24 /hackrf_if/LCD_RS)
(net 25 /hackrf_if/LCD_TE)
(net 26 /hackrf_if/LCD_WR#)
(net 27 /hackrf_if/P2_8)
(net 28 /hackrf_if/SD_CD)
(net 29 /hackrf_if/SD_CLK)
(net 30 /hackrf_if/SD_CMD)
(net 31 /hackrf_if/SD_DAT0)
(net 32 /hackrf_if/SD_DAT1)
(net 33 /hackrf_if/SD_DAT2)
(net 34 /hackrf_if/SD_DAT3)
(net 35 /hackrf_if/SW_D)
(net 36 /hackrf_if/SW_L)
(net 37 /hackrf_if/SW_R)
(net 38 /hackrf_if/SW_ROT_A)
(net 39 /hackrf_if/SW_ROT_B)
(net 40 /hackrf_if/SW_SEL)
(net 41 /hackrf_if/SW_U)
(net 42 /hackrf_if/TP_D)
(net 43 /hackrf_if/TP_L)
(net 44 /hackrf_if/TP_R)
(net 45 /hackrf_if/TP_U)
(net 46 /hackrf_if/VBAT)
(net 47 GND)
(net 48 "Net-(C39-Pad1)")
(net 49 /hackrf_if/MCU_D1)
(net 50 /hackrf_if/MCU_D0)
(net 51 /hackrf_if/MCU_D3)
(net 52 /hackrf_if/MCU_D2)
(net 53 /hackrf_if/MCU_D5)
(net 54 /hackrf_if/MCU_D4)
(net 55 /hackrf_if/MCU_D7)
(net 56 /hackrf_if/MCU_D6)
(net 57 /hackrf_if/MCU_LCD_TE)
(net 58 /hackrf_if/MCU_LCD_RD)
(net 59 /hackrf_if/MCU_IO_STBX)
(net 60 /hackrf_if/MCU_ADDR)
(net 61 /hackrf_if/MCU_DIR)
(net 62 /hackrf_if/MCU_LCD_WR)
(net 63 /hackrf_if/PP_CPLD_TMS)
(net 64 /hackrf_if/PP_CPLD_TDO)
(net 65 /hackrf_if/H1_CPLD_TCK)
(net 66 /lcd_sw_sd/LEDK2)
(net 67 /lcd_sw_sd/LEDK1)
(net 68 /lcd_sw_sd/LEDK3)
(net 69 /lcd_sw_sd/LEDK4)
(net 70 /hackrf_if/CLKIN)
(net 71 "Net-(R20-Pad1)")
(net 72 /power/AUDIO_SVDD)
(net 73 /audio/CP)
(net 74 /audio/CN)
(net 75 /audio/VEE)
(net 76 /audio/VCOM)
(net 77 /audio/REGFIL)
(net 78 "Net-(C23-Pad2)")
(net 79 "Net-(C25-Pad1)")
(net 80 /hackrf_if/AUDIO_RESET#)
(net 81 /hackrf_if/I2S0_TX_SDA)
(net 82 /audio/BICK)
(net 83 /hackrf_if/I2S0_WS)
(net 84 /hackrf_if/I2S0_MCLK)
(net 85 /hackrf_if/I2S0_RX_SDA)
(net 86 /audio/MIC_X)
(net 87 /audio/GND_X)
(net 88 /audio/LHPOUT_X)
(net 89 /audio/RHPOUT_X)
(net 90 /audio/MIC)
(net 91 "Net-(R18-Pad1)")
(net 92 "Net-(C22-Pad2)")
(net 93 "Net-(TP1-Pad1)")
(net 94 "Net-(TP2-Pad1)")
(net 95 "Net-(TP3-Pad1)")
(net 96 "Net-(TP4-Pad1)")
(net 97 "Net-(TP5-Pad1)")
(net 98 "Net-(TP6-Pad1)")
(net 99 /hackrf_if/VBUS)
(net 100 /hackrf_if/VBUSCTRL)
(net 101 "Net-(R1-Pad1)")
(net 102 "Net-(R4-Pad1)")
(net 103 "Net-(R6-Pad1)")
(net 104 "Net-(R8-Pad1)")
(net 105 "Net-(R9-Pad1)")
(net 106 "Net-(R10-Pad1)")
(net 107 "Net-(R13-Pad2)")
(net 108 "Net-(R14-Pad1)")
(net 109 "Net-(D1-PadA)")
(net 110 "Net-(D2-PadA)")
(net 111 "Net-(D1-PadC)")
(net 112 "Net-(D2-PadC)")
(net 113 /hackrf_if/RESET#)
(net 114 /audio/PDN#_R)
(net 115 /audio/BICK_R)
(net 116 /audio/LRCK_R)
(net 117 /audio/SDTO_R)
(net 118 /power/BBAT)
(net 119 /audio/SPP)
(net 120 /audio/SPN)
(net 121 +3V3)
(net 122 +1V8)
(net 123 "Net-(C9-Pad1)")
(net 124 "Net-(C17-Pad2)")
(net 125 "Net-(C17-Pad1)")
(net 126 /hackrf_if/REF_EN)
(net 127 "Net-(R17-Pad1)")
(net 128 "Net-(C19-Pad1)")
(net 129 "Net-(FB1-Pad2)")
(net 130 "Net-(FB3-Pad2)")
(net 131 "Net-(L1-Pad1)")
(net 132 "Net-(R23-Pad1)")
(net 133 /hackrf_if/GPS_RESET#)
(net 134 "Net-(R26-Pad1)")
(net 135 "Net-(TP7-Pad1)")
(net 136 "Net-(TP8-Pad1)")
(net 137 "Net-(TP9-Pad1)")
(net 138 /hackrf_if/GPS_TIMEPULSE)
(net 139 /hackrf_if/GPS_TX_READY)
(net_class Default "This is the default net class."
(clearance 0.159)
(trace_width 0.2)
(via_dia 0.5588)
(via_drill 0.3048)
(uvia_dia 0.508)
(uvia_drill 0.127)
(add_net +1V8)
(add_net +3V3)
(add_net /audio/BICK)
(add_net /audio/BICK_R)
(add_net /audio/CN)
(add_net /audio/CP)
(add_net /audio/GND_X)
(add_net /audio/LHPOUT)
(add_net /audio/LHPOUT_X)
(add_net /audio/LRCK_R)
(add_net /audio/MIC)
(add_net /audio/MIC_X)
(add_net /audio/PDN#_R)
(add_net /audio/REGFIL)
(add_net /audio/RHPOUT)
(add_net /audio/RHPOUT_X)
(add_net /audio/SCL)
(add_net /audio/SDA)
(add_net /audio/SDTO_R)
(add_net /audio/SPN)
(add_net /audio/SPP)
(add_net /audio/VCOM)
(add_net /audio/VEE)
(add_net /hackrf_if/AUDIO_RESET#)
(add_net /hackrf_if/CLKIN)
(add_net /hackrf_if/GPS_RESET#)
(add_net /hackrf_if/GPS_TIMEPULSE)
(add_net /hackrf_if/GPS_TX_READY)
(add_net /hackrf_if/H1_CPLD_TCK)
(add_net /hackrf_if/I2S0_MCLK)
(add_net /hackrf_if/I2S0_RX_SDA)
(add_net /hackrf_if/I2S0_TX_SDA)
(add_net /hackrf_if/I2S0_WS)
(add_net /hackrf_if/LCD_BACKLIGHT)
(add_net /hackrf_if/LCD_DB0)
(add_net /hackrf_if/LCD_DB1)
(add_net /hackrf_if/LCD_DB10)
(add_net /hackrf_if/LCD_DB11)
(add_net /hackrf_if/LCD_DB12)
(add_net /hackrf_if/LCD_DB13)
(add_net /hackrf_if/LCD_DB14)
(add_net /hackrf_if/LCD_DB15)
(add_net /hackrf_if/LCD_DB2)
(add_net /hackrf_if/LCD_DB3)
(add_net /hackrf_if/LCD_DB4)
(add_net /hackrf_if/LCD_DB5)
(add_net /hackrf_if/LCD_DB6)
(add_net /hackrf_if/LCD_DB7)
(add_net /hackrf_if/LCD_DB8)
(add_net /hackrf_if/LCD_DB9)
(add_net /hackrf_if/LCD_RD#)
(add_net /hackrf_if/LCD_RESET#)
(add_net /hackrf_if/LCD_RS)
(add_net /hackrf_if/LCD_TE)
(add_net /hackrf_if/LCD_WR#)
(add_net /hackrf_if/MCU_ADDR)
(add_net /hackrf_if/MCU_D0)
(add_net /hackrf_if/MCU_D1)
(add_net /hackrf_if/MCU_D2)
(add_net /hackrf_if/MCU_D3)
(add_net /hackrf_if/MCU_D4)
(add_net /hackrf_if/MCU_D5)
(add_net /hackrf_if/MCU_D6)
(add_net /hackrf_if/MCU_D7)
(add_net /hackrf_if/MCU_DIR)
(add_net /hackrf_if/MCU_IO_STBX)
(add_net /hackrf_if/MCU_LCD_RD)
(add_net /hackrf_if/MCU_LCD_TE)
(add_net /hackrf_if/MCU_LCD_WR)
(add_net /hackrf_if/P2_8)
(add_net /hackrf_if/PP_CPLD_TDO)
(add_net /hackrf_if/PP_CPLD_TMS)
(add_net /hackrf_if/REF_EN)
(add_net /hackrf_if/RESET#)
(add_net /hackrf_if/SD_CD)
(add_net /hackrf_if/SD_CLK)
(add_net /hackrf_if/SD_CMD)
(add_net /hackrf_if/SD_DAT0)
(add_net /hackrf_if/SD_DAT1)
(add_net /hackrf_if/SD_DAT2)
(add_net /hackrf_if/SD_DAT3)
(add_net /hackrf_if/SW_D)
(add_net /hackrf_if/SW_L)
(add_net /hackrf_if/SW_R)
(add_net /hackrf_if/SW_ROT_A)
(add_net /hackrf_if/SW_ROT_B)
(add_net /hackrf_if/SW_SEL)
(add_net /hackrf_if/SW_U)
(add_net /hackrf_if/TP_D)
(add_net /hackrf_if/TP_L)
(add_net /hackrf_if/TP_R)
(add_net /hackrf_if/TP_U)
(add_net /hackrf_if/VBAT)
(add_net /hackrf_if/VBUS)
(add_net /hackrf_if/VBUSCTRL)
(add_net /lcd_sw_sd/LEDK1)
(add_net /lcd_sw_sd/LEDK2)
(add_net /lcd_sw_sd/LEDK3)
(add_net /lcd_sw_sd/LEDK4)
(add_net /power/AUDIO_SVDD)
(add_net /power/BBAT)
(add_net GND)
(add_net "Net-(C17-Pad1)")
(add_net "Net-(C17-Pad2)")
(add_net "Net-(C19-Pad1)")
(add_net "Net-(C22-Pad2)")
(add_net "Net-(C23-Pad2)")
(add_net "Net-(C25-Pad1)")
(add_net "Net-(C39-Pad1)")
(add_net "Net-(C9-Pad1)")
(add_net "Net-(D1-PadA)")
(add_net "Net-(D1-PadC)")
(add_net "Net-(D2-PadA)")
(add_net "Net-(D2-PadC)")
(add_net "Net-(FB1-Pad2)")
(add_net "Net-(FB3-Pad2)")
(add_net "Net-(L1-Pad1)")
(add_net "Net-(R1-Pad1)")
(add_net "Net-(R10-Pad1)")
(add_net "Net-(R13-Pad2)")
(add_net "Net-(R14-Pad1)")
(add_net "Net-(R17-Pad1)")
(add_net "Net-(R18-Pad1)")
(add_net "Net-(R20-Pad1)")
(add_net "Net-(R23-Pad1)")
(add_net "Net-(R26-Pad1)")
(add_net "Net-(R4-Pad1)")
(add_net "Net-(R6-Pad1)")
(add_net "Net-(R8-Pad1)")
(add_net "Net-(R9-Pad1)")
(add_net "Net-(TP1-Pad1)")
(add_net "Net-(TP2-Pad1)")
(add_net "Net-(TP3-Pad1)")
(add_net "Net-(TP4-Pad1)")
(add_net "Net-(TP5-Pad1)")
(add_net "Net-(TP6-Pad1)")
(add_net "Net-(TP7-Pad1)")
(add_net "Net-(TP8-Pad1)")
(add_net "Net-(TP9-Pad1)")
)
(module eastrising:ER-CON50HT-1 (layer 4_bot) (tedit 5BD22CE5) (tstamp 58B09183)
(at 87.3 137.5 90)
(path /53A9129D/58AE3A81)
(attr smd)
(fp_text reference J3 (at -13.75 2.95 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value ER-TFT024-3_FPC (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start 12.75 -2.25) (end 12.75 0.5) (layer B.Fab) (width 0.025))
(fp_line (start 12.75 0.5) (end -12.75 0.5) (layer B.Fab) (width 0.025))
(fp_line (start -12.75 0.5) (end -12.75 -2.25) (layer B.Fab) (width 0.025))
(fp_line (start 15.325 -2.25) (end -15.325 -2.25) (layer B.Fab) (width 0.025))
(fp_line (start 14.675 2.25) (end -14.675 2.25) (layer B.Fab) (width 0.025))
(fp_line (start 14.675 -0.85) (end 14.675 2.25) (layer B.Fab) (width 0.025))
(fp_line (start -14.675 -0.85) (end -14.675 2.25) (layer B.Fab) (width 0.025))
(fp_line (start -15.325 -0.85) (end -15.325 -2.25) (layer B.Fab) (width 0.025))
(fp_line (start 15.325 -0.85) (end 15.325 -2.25) (layer B.Fab) (width 0.025))
(fp_line (start 15.325 -0.85) (end 14.675 -0.85) (layer B.Fab) (width 0.025))
(fp_line (start -14.675 -0.85) (end -15.325 -0.85) (layer B.Fab) (width 0.025))
(fp_circle (center -12.85 2.75) (end -12.975 2.75) (layer B.SilkS) (width 0.25))
(fp_line (start 15.385 -0.79) (end 15.385 -2.31) (layer B.SilkS) (width 0.12))
(fp_line (start 15 -0.79) (end 15.385 -0.79) (layer B.SilkS) (width 0.12))
(fp_line (start 14.735 2.31) (end 12.675 2.31) (layer B.SilkS) (width 0.12))
(fp_line (start -14.735 2.31) (end -12.675 2.31) (layer B.SilkS) (width 0.12))
(fp_line (start -15.385 -0.79) (end -15 -0.79) (layer B.SilkS) (width 0.12))
(fp_line (start -15.385 -2.31) (end -15.385 -0.79) (layer B.SilkS) (width 0.12))
(fp_line (start 15.385 -2.31) (end -15.385 -2.31) (layer B.SilkS) (width 0.12))
(fp_line (start 12.65 3.75) (end 12.65 2.5) (layer B.CrtYd) (width 0.05))
(fp_line (start 12.65 2.5) (end 15.05 2.5) (layer B.CrtYd) (width 0.05))
(fp_line (start -15.6 -0.6) (end -15.05 -0.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -15.6 -2.5) (end -15.6 -0.6) (layer B.CrtYd) (width 0.05))
(fp_line (start 15.6 -2.5) (end -15.6 -2.5) (layer B.CrtYd) (width 0.05))
(fp_line (start 15.6 -0.6) (end 15.6 -2.5) (layer B.CrtYd) (width 0.05))
(fp_line (start 15.05 -0.6) (end 15.6 -0.6) (layer B.CrtYd) (width 0.05))
(fp_line (start 15.05 2.5) (end 15.05 -0.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -12.65 3.75) (end 12.65 3.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -12.65 2.5) (end -12.65 3.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -15.05 2.5) (end -12.65 2.5) (layer B.CrtYd) (width 0.05))
(fp_line (start -15.05 -0.6) (end -15.05 2.5) (layer B.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(pad SHLD smd rect (at -13.79 0.55 270) (size 2 3) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad SHLD smd rect (at 13.79 0.55 270) (size 2 3) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 50 smd rect (at 12.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 49 smd rect (at 11.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 48 smd rect (at 11.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 47 smd rect (at 10.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 45 /hackrf_if/TP_U))
(pad 46 smd rect (at 10.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 43 /hackrf_if/TP_L))
(pad 45 smd rect (at 9.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 42 /hackrf_if/TP_D))
(pad 44 smd rect (at 9.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 44 /hackrf_if/TP_R))
(pad 43 smd rect (at 8.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 42 smd rect (at 8.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 41 smd rect (at 7.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 40 smd rect (at 7.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 39 smd rect (at 6.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 25 /hackrf_if/LCD_TE))
(pad 38 smd rect (at 6.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 37 smd rect (at 5.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 24 /hackrf_if/LCD_RS))
(pad 36 smd rect (at 5.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 26 /hackrf_if/LCD_WR#))
(pad 35 smd rect (at 4.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 22 /hackrf_if/LCD_RD#))
(pad 34 smd rect (at 4.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 33 smd rect (at 3.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask))
(pad 32 smd rect (at 3.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 6 /hackrf_if/LCD_DB0))
(pad 31 smd rect (at 2.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 7 /hackrf_if/LCD_DB1))
(pad 30 smd rect (at 2.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 14 /hackrf_if/LCD_DB2))
(pad 29 smd rect (at 1.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 15 /hackrf_if/LCD_DB3))
(pad 28 smd rect (at 1.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 16 /hackrf_if/LCD_DB4))
(pad 27 smd rect (at 0.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 17 /hackrf_if/LCD_DB5))
(pad 26 smd rect (at 0.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 18 /hackrf_if/LCD_DB6))
(pad 25 smd rect (at -0.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 19 /hackrf_if/LCD_DB7))
(pad 24 smd rect (at -0.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 20 /hackrf_if/LCD_DB8))
(pad 23 smd rect (at -1.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 21 /hackrf_if/LCD_DB9))
(pad 22 smd rect (at -1.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 8 /hackrf_if/LCD_DB10))
(pad 21 smd rect (at -2.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 9 /hackrf_if/LCD_DB11))
(pad 20 smd rect (at -2.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 10 /hackrf_if/LCD_DB12))
(pad 19 smd rect (at -3.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 11 /hackrf_if/LCD_DB13))
(pad 18 smd rect (at -3.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 12 /hackrf_if/LCD_DB14))
(pad 17 smd rect (at -4.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 13 /hackrf_if/LCD_DB15))
(pad 16 smd rect (at -4.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 15 smd rect (at -5.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 14 smd rect (at -5.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 13 smd rect (at -6.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 12 smd rect (at -6.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 11 smd rect (at -7.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 10 smd rect (at -7.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 23 /hackrf_if/LCD_RESET#))
(pad 9 smd rect (at -8.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 8 smd rect (at -8.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 7 smd rect (at -9.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 6 smd rect (at -9.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 5 smd rect (at -10.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 69 /lcd_sw_sd/LEDK4))
(pad 4 smd rect (at -10.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 68 /lcd_sw_sd/LEDK3))
(pad 3 smd rect (at -11.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 66 /lcd_sw_sd/LEDK2))
(pad 2 smd rect (at -11.75 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 67 /lcd_sw_sd/LEDK1))
(pad 1 smd rect (at -12.25 2.875 270) (size 0.3 1.25) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(model ${KISBLIB}/eastrising.pretty/ER-CON50HT-1.wrl
(at (xyz 0 0 0))
(scale (xyz 0.3937 0.3937 0.3937))
(rotate (xyz 0 0 180))
)
)
(module alps:ALPS_SCHA4B0419 (layer 4_bot) (tedit 5BD22B53) (tstamp 58FBAA83)
(at 68.4 114.6 180)
(path /53A9129D/53A8C6D0)
(attr smd)
(fp_text reference J2 (at -8.4 -6.5 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value MICROSD_DETSW (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start 0.27 -6.9725) (end -7.66 -6.9725) (layer B.SilkS) (width 0.12))
(fp_line (start -7.6 -6.9125) (end -7.6 6.9125) (layer B.Fab) (width 0.025))
(fp_line (start -7.6 6.9125) (end 7.65 6.9125) (layer B.Fab) (width 0.025))
(fp_line (start 7.65 6.9125) (end 7.65 -6.9125) (layer B.Fab) (width 0.025))
(fp_line (start 7.65 -6.9125) (end -7.6 -6.9125) (layer B.Fab) (width 0.025))
(fp_line (start -7.66 -6.9725) (end -7.66 -5.5) (layer B.SilkS) (width 0.12))
(fp_line (start -7.66 6.9725) (end 6.62 6.9725) (layer B.SilkS) (width 0.12))
(fp_line (start 7.71 5.92) (end 7.71 -5.9) (layer B.SilkS) (width 0.12))
(fp_line (start 6.42 -6.9725) (end 5.26 -6.9725) (layer B.SilkS) (width 0.12))
(fp_line (start 0.95 -6.0125) (end -3.45 -6.0125) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.95 2.8875) (end 0.95 -6.0125) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.45 2.8875) (end 0.95 2.8875) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.45 -6.0125) (end -3.45 2.8875) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.45 1.0375) (end -7.45 -1.4675) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.2 1.0375) (end -7.45 1.0375) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.2 -2.5125) (end -5.2 1.0375) (layer B.CrtYd) (width 0.05))
(fp_line (start -6.6 -2.5125) (end -5.2 -2.5125) (layer B.CrtYd) (width 0.05))
(fp_line (start -6.6 -1.4675) (end -6.6 -2.5125) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.45 -1.4675) (end -6.6 -1.4675) (layer B.CrtYd) (width 0.05))
(fp_line (start 9.05 -5.5) (end 9.06 3.5) (layer B.CrtYd) (width 0.05))
(fp_line (start 9.95 -5.5) (end 9.95 3.5) (layer B.CrtYd) (width 0.05))
(fp_line (start 13.25 -5.5) (end 13.25 3.5) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.66 6.9725) (end -7.66 6.85) (layer B.SilkS) (width 0.12))
(fp_line (start -7.66 -2.97) (end -7.66 2.37) (layer B.SilkS) (width 0.12))
(fp_line (start -7.66 3.38) (end -7.66 5.17) (layer B.SilkS) (width 0.12))
(fp_line (start 7.95 5.85) (end 7.95 7.3) (layer B.CrtYd) (width 0.05))
(fp_line (start 7.9 5.85) (end 7.95 5.85) (layer B.CrtYd) (width 0.05))
(fp_line (start 7.9 -5.8) (end 7.9 5.85) (layer B.CrtYd) (width 0.05))
(fp_line (start 8 -5.8) (end 7.9 -5.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 8 -7.1) (end 8 -5.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 7.9 -7.1) (end 8 -7.1) (layer B.CrtYd) (width 0.05))
(fp_line (start 7.9 -7.1625) (end 7.9 -7.1) (layer B.CrtYd) (width 0.05))
(fp_line (start 5.35 -7.1625) (end 7.9 -7.1625) (layer B.CrtYd) (width 0.05))
(fp_line (start 5.35 -7.6) (end 5.35 -7.1625) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.2 -7.6) (end 5.35 -7.6) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.2 -7.1625) (end 0.2 -7.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.85 -7.1625) (end 0.2 -7.1625) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.85 -5.6) (end -7.85 -7.1625) (layer B.CrtYd) (width 0.05))
(fp_line (start -8.1 -5.6) (end -7.85 -5.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -8.1 -2.9) (end -8.1 -5.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.85 -2.9) (end -8.1 -2.9) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.85 2.3) (end -7.85 -2.9) (layer B.CrtYd) (width 0.05))
(fp_line (start -8.1 2.3) (end -7.85 2.3) (layer B.CrtYd) (width 0.05))
(fp_line (start -8.1 3.45) (end -8.1 2.3) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.85 3.45) (end -8.1 3.45) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.85 5.1) (end -7.85 3.45) (layer B.CrtYd) (width 0.05))
(fp_line (start -8.1 5.1) (end -7.85 5.1) (layer B.CrtYd) (width 0.05))
(fp_line (start -8.1 6.95) (end -8.1 5.1) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.85 6.95) (end -8.1 6.95) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.85 7.1625) (end -7.85 6.95) (layer B.CrtYd) (width 0.05))
(fp_line (start 6.55 7.1625) (end -7.85 7.1625) (layer B.CrtYd) (width 0.05))
(fp_line (start 6.55 7.3) (end 6.55 7.1625) (layer B.CrtYd) (width 0.05))
(fp_line (start 7.95 7.3) (end 6.55 7.3) (layer B.CrtYd) (width 0.05))
(fp_text user OVERSTROKE (at 8.9 -0.9 90) (layer B.CrtYd)
(effects (font (size 0.2 0.2) (thickness 0.05)) (justify mirror))
)
(fp_text user INSERTED (at 9.8 -0.9 90) (layer B.CrtYd)
(effects (font (size 0.2 0.2) (thickness 0.05)) (justify mirror))
)
(fp_text user EJECTED (at 13.1 -0.9 90) (layer B.CrtYd)
(effects (font (size 0.2 0.2) (thickness 0.05)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(pad SH smd rect (at 2.765 -7.1225 90) (size 0.42 4.63) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 8 smd rect (at 4.475 -4.775 90) (size 0.7 0.75) (layers 4_bot B.Paste B.Mask)
(net 32 /hackrf_if/SD_DAT1))
(pad 7 smd rect (at 4.475 -3.775 90) (size 0.7 0.75) (layers 4_bot B.Paste B.Mask)
(net 31 /hackrf_if/SD_DAT0))
(pad 6 smd rect (at 4.475 -2.775 90) (size 0.7 0.75) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 5 smd rect (at 4.475 -1.775 90) (size 0.7 0.75) (layers 4_bot B.Paste B.Mask)
(net 29 /hackrf_if/SD_CLK))
(pad 4 smd rect (at 4.475 -0.775 90) (size 0.7 0.75) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 3 smd rect (at 4.475 0.225 90) (size 0.7 0.75) (layers 4_bot B.Paste B.Mask)
(net 30 /hackrf_if/SD_CMD))
(pad 2 smd rect (at 4.475 1.225 90) (size 0.7 0.75) (layers 4_bot B.Paste B.Mask)
(net 34 /hackrf_if/SD_DAT3))
(pad 1 smd rect (at 4.475 2.225 90) (size 0.7 0.75) (layers 4_bot B.Paste B.Mask)
(net 33 /hackrf_if/SD_DAT2))
(pad 0 smd rect (at 5.675 0.725 90) (size 0.7 0.65) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 0 smd rect (at 5.675 -3.275 90) (size 0.7 0.65) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad SH smd rect (at 7.25 6.575 90) (size 0.95 0.9) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad SH smd rect (at 7.175 -6.46 90) (size 0.745 1.15) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad SH smd rect (at -7.425 6.0125 90) (size 1.3 0.85) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad B smd rect (at -7.475 2.875 90) (size 0.65 0.75) (layers 4_bot B.Paste B.Mask)
(net 28 /hackrf_if/SD_CD))
(pad A smd rect (at -7.475 -3.475 90) (size 0.65 0.75) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad SH smd rect (at -7.425 -4.7125 90) (size 1.2 0.85) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISBLIB}/alps.pretty/SCHA4B0419.STEP
(offset (xyz -7.505 0 1.32))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 -90))
)
)
(module header:HEADER_11X2_REV_SM_254_AP locked (layer 4_bot) (tedit 5BD22CA3) (tstamp 53AA299C)
(at 123.5 143.25 90)
(descr "MLE TSHSM-1")
(path /53A8C780/53A8CDBE)
(attr smd)
(fp_text reference P28 (at -14.85 3.6 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value HACKRF_ONE_P28 (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start 13.39 2.5) (end 13.97 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 13.39 -2.5) (end 13.97 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 10.85 2.5) (end 12.01 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 10.85 -2.5) (end 12.01 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 8.31 2.5) (end 9.47 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 8.31 -2.5) (end 9.47 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 5.77 2.5) (end 6.93 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 5.77 -2.5) (end 6.93 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 3.23 2.5) (end 4.39 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 3.23 -2.5) (end 4.39 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 0.69 2.5) (end 1.85 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 0.69 -2.5) (end 1.85 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -1.85 2.5) (end -0.69 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -1.85 -2.5) (end -0.69 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -4.39 2.5) (end -3.23 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -4.39 -2.5) (end -3.23 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -6.93 2.5) (end -5.77 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -6.93 -2.5) (end -5.77 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -9.47 2.5) (end -8.31 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -9.47 -2.5) (end -8.31 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -12.01 2.5) (end -10.85 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 13.97 -2.5) (end -13.97 -2.5) (layer B.Fab) (width 0.025))
(fp_line (start 13.97 2.5) (end 13.97 -2.5) (layer B.Fab) (width 0.025))
(fp_line (start -13.97 2.5) (end 13.97 2.5) (layer B.Fab) (width 0.025))
(fp_line (start -13.97 -2.5) (end -13.97 2.5) (layer B.Fab) (width 0.025))
(fp_line (start 13.97 2.5) (end 13.97 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -13.97 -2.5) (end -13.97 2.5) (layer B.SilkS) (width 0.12))
(fp_circle (center -14 3.025) (end -14 2.9) (layer B.SilkS) (width 0.25))
(fp_line (start -14.47 4.82) (end 14.47 4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start 14.47 4.82) (end 14.47 -4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start 14.47 -4.82) (end -14.47 -4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start -14.47 -4.82) (end -14.47 4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start -13.97 2.5) (end -13.39 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -12.01 -2.5) (end -10.85 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -13.97 -2.5) (end -13.39 -2.5) (layer B.SilkS) (width 0.12))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(pad "" np_thru_hole circle (at 11.43 0) (size 1.95 1.95) (drill 1.95) (layers *.Cu *.Mask)
(clearance 0.4))
(pad "" np_thru_hole circle (at -11.43 0) (size 1.95 1.95) (drill 1.95) (layers *.Cu *.Mask)
(clearance 0.4))
(pad 21 smd rect (at 12.7 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 22 smd rect (at 12.7 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 19 smd rect (at 10.16 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 85 /hackrf_if/I2S0_RX_SDA))
(pad 20 smd rect (at 10.16 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 17 smd rect (at 7.62 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 65 /hackrf_if/H1_CPLD_TCK))
(pad 18 smd rect (at 7.62 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 15 smd rect (at 5.08 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 16 smd rect (at 5.08 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 13 smd rect (at 2.54 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 14 smd rect (at 2.54 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 11 smd rect (at 0 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 29 /hackrf_if/SD_CLK))
(pad 12 smd rect (at 0 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 9 smd rect (at -2.54 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 30 /hackrf_if/SD_CMD))
(pad 10 smd rect (at -2.54 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 64 /hackrf_if/PP_CPLD_TDO))
(pad 7 smd rect (at -5.08 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 31 /hackrf_if/SD_DAT0))
(pad 8 smd rect (at -5.08 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 63 /hackrf_if/PP_CPLD_TMS))
(pad 5 smd rect (at -7.62 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 33 /hackrf_if/SD_DAT2))
(pad 6 smd rect (at -7.62 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 32 /hackrf_if/SD_DAT1))
(pad 3 smd rect (at -10.16 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 28 /hackrf_if/SD_CD))
(pad 4 smd rect (at -10.16 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 34 /hackrf_if/SD_DAT3))
(pad 1 smd rect (at -12.7 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at -12.7 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISBLIB}/header.pretty/TSM-111-01-L-DV-A-P-TR.stp
(offset (xyz 0 0 2.54))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)
(module header:HEADER_11X2_REV_SM_254_AP locked (layer 4_bot) (tedit 5BD22C3E) (tstamp 53AA295A)
(at 171.76 143.25 90)
(descr "MLE TSHSM-1")
(path /53A8C780/53A8CDAE)
(attr smd)
(fp_text reference P20 (at -14.05 4.34 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value HACKRF_ONE_P20 (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start 13.39 2.5) (end 13.97 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 13.39 -2.5) (end 13.97 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 10.85 2.5) (end 12.01 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 10.85 -2.5) (end 12.01 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 8.31 2.5) (end 9.47 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 8.31 -2.5) (end 9.47 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 5.77 2.5) (end 6.93 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 5.77 -2.5) (end 6.93 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 3.23 2.5) (end 4.39 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 3.23 -2.5) (end 4.39 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 0.69 2.5) (end 1.85 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 0.69 -2.5) (end 1.85 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -1.85 2.5) (end -0.69 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -1.85 -2.5) (end -0.69 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -4.39 2.5) (end -3.23 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -4.39 -2.5) (end -3.23 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -6.93 2.5) (end -5.77 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -6.93 -2.5) (end -5.77 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -9.47 2.5) (end -8.31 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -9.47 -2.5) (end -8.31 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -12.01 2.5) (end -10.85 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 13.97 -2.5) (end -13.97 -2.5) (layer B.Fab) (width 0.025))
(fp_line (start 13.97 2.5) (end 13.97 -2.5) (layer B.Fab) (width 0.025))
(fp_line (start -13.97 2.5) (end 13.97 2.5) (layer B.Fab) (width 0.025))
(fp_line (start -13.97 -2.5) (end -13.97 2.5) (layer B.Fab) (width 0.025))
(fp_line (start 13.97 2.5) (end 13.97 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -13.97 -2.5) (end -13.97 2.5) (layer B.SilkS) (width 0.12))
(fp_circle (center -14 3.025) (end -14 2.9) (layer B.SilkS) (width 0.25))
(fp_line (start -14.47 4.82) (end 14.47 4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start 14.47 4.82) (end 14.47 -4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start 14.47 -4.82) (end -14.47 -4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start -14.47 -4.82) (end -14.47 4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start -13.97 2.5) (end -13.39 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -12.01 -2.5) (end -10.85 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -13.97 -2.5) (end -13.39 -2.5) (layer B.SilkS) (width 0.12))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(pad "" np_thru_hole circle (at 11.43 0) (size 1.95 1.95) (drill 1.95) (layers *.Cu *.Mask)
(clearance 0.4))
(pad "" np_thru_hole circle (at -11.43 0) (size 1.95 1.95) (drill 1.95) (layers *.Cu *.Mask)
(clearance 0.4))
(pad 21 smd rect (at 12.7 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 99 /hackrf_if/VBUS))
(pad 22 smd rect (at 12.7 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 72 /power/AUDIO_SVDD))
(pad 19 smd rect (at 10.16 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 20 smd rect (at 10.16 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 45 /hackrf_if/TP_U))
(pad 17 smd rect (at 7.62 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 100 /hackrf_if/VBUSCTRL))
(pad 18 smd rect (at 7.62 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 43 /hackrf_if/TP_L))
(pad 15 smd rect (at 5.08 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 16 smd rect (at 5.08 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 42 /hackrf_if/TP_D))
(pad 13 smd rect (at 2.54 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 14 smd rect (at 2.54 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 44 /hackrf_if/TP_R))
(pad 11 smd rect (at 0 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 56 /hackrf_if/MCU_D6))
(pad 12 smd rect (at 0 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 55 /hackrf_if/MCU_D7))
(pad 9 smd rect (at -2.54 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 54 /hackrf_if/MCU_D4))
(pad 10 smd rect (at -2.54 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 53 /hackrf_if/MCU_D5))
(pad 7 smd rect (at -5.08 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 52 /hackrf_if/MCU_D2))
(pad 8 smd rect (at -5.08 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 51 /hackrf_if/MCU_D3))
(pad 5 smd rect (at -7.62 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 50 /hackrf_if/MCU_D0))
(pad 6 smd rect (at -7.62 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 49 /hackrf_if/MCU_D1))
(pad 3 smd rect (at -10.16 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 4 smd rect (at -10.16 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 1 smd rect (at -12.7 2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 46 /hackrf_if/VBAT))
(pad 2 smd rect (at -12.7 -2.73) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(model ${KISBLIB}/header.pretty/TSM-111-01-L-DV-A-P-TR.stp
(offset (xyz 0 0 2.54))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)
(module header:HEADER_13X2_REV_SM_254_AP locked (layer 4_bot) (tedit 5BD22C70) (tstamp 53AA297D)
(at 152.71 164.84 180)
(descr "MLE TSHSM-1")
(path /53A8C780/53A8CDB6)
(attr smd)
(fp_text reference P22 (at -16.59 4.29 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value HACKRF_ONE_P22 (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start 13.39 2.5) (end 14.55 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 13.39 -2.5) (end 14.55 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 10.85 2.5) (end 12.01 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 10.85 -2.5) (end 12.01 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 8.31 2.5) (end 9.47 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 8.31 -2.5) (end 9.47 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 5.77 2.5) (end 6.93 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 5.77 -2.5) (end 6.93 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 3.23 2.5) (end 4.39 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 3.23 -2.5) (end 4.39 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 0.69 2.5) (end 1.85 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 0.69 -2.5) (end 1.85 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -1.85 2.5) (end -0.69 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -1.85 -2.5) (end -0.69 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -4.39 2.5) (end -3.23 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -4.39 -2.5) (end -3.23 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -6.93 2.5) (end -5.77 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -6.93 -2.5) (end -5.77 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -9.47 2.5) (end -8.31 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -9.47 -2.5) (end -8.31 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -12.01 2.5) (end -10.85 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -12.01 -2.5) (end -10.85 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -14.55 2.5) (end -13.39 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 16.51 -2.5) (end -16.51 -2.5) (layer B.Fab) (width 0.025))
(fp_line (start 16.51 2.5) (end 16.51 -2.5) (layer B.Fab) (width 0.025))
(fp_line (start -16.51 2.5) (end 16.51 2.5) (layer B.Fab) (width 0.025))
(fp_line (start -16.51 -2.5) (end -16.51 2.5) (layer B.Fab) (width 0.025))
(fp_line (start -15.93 -2.5) (end -16.51 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 16.51 2.5) (end 16.51 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -16.51 2.5) (end -15.93 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -16.51 -2.5) (end -16.51 2.5) (layer B.SilkS) (width 0.12))
(fp_circle (center -16.6 3.025) (end -16.6 2.9) (layer B.SilkS) (width 0.25))
(fp_line (start -17.01 4.82) (end 17.01 4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start 17.01 4.82) (end 17.01 -4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start 17.01 -4.82) (end -17.01 -4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start -17.01 -4.82) (end -17.01 4.82) (layer B.CrtYd) (width 0.05))
(fp_line (start 15.93 -2.5) (end 16.51 -2.5) (layer B.SilkS) (width 0.12))
(fp_line (start 16.51 2.5) (end 15.93 2.5) (layer B.SilkS) (width 0.12))
(fp_line (start -14.55 -2.5) (end -13.39 -2.5) (layer B.SilkS) (width 0.12))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(pad "" np_thru_hole circle (at 13.97 0 90) (size 1.95 1.95) (drill 1.95) (layers *.Cu *.Mask)
(clearance 0.4))
(pad "" np_thru_hole circle (at -13.97 0 90) (size 1.95 1.95) (drill 1.95) (layers *.Cu *.Mask)
(clearance 0.4))
(pad 25 smd rect (at 15.24 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 26 smd rect (at 15.24 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 3 /audio/SCL))
(pad 23 smd rect (at 12.7 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 27 /hackrf_if/P2_8))
(pad 24 smd rect (at 12.7 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 4 /audio/SDA))
(pad 21 smd rect (at 10.16 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 62 /hackrf_if/MCU_LCD_WR))
(pad 22 smd rect (at 10.16 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 61 /hackrf_if/MCU_DIR))
(pad 19 smd rect (at 7.62 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 60 /hackrf_if/MCU_ADDR))
(pad 20 smd rect (at 7.62 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 59 /hackrf_if/MCU_IO_STBX))
(pad 17 smd rect (at 5.08 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 84 /hackrf_if/I2S0_MCLK))
(pad 18 smd rect (at 5.08 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 15 smd rect (at 2.54 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 83 /hackrf_if/I2S0_WS))
(pad 16 smd rect (at 2.54 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 82 /audio/BICK))
(pad 13 smd rect (at 0 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 81 /hackrf_if/I2S0_TX_SDA))
(pad 14 smd rect (at 0 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 11 smd rect (at -2.54 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 12 smd rect (at -2.54 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 9 smd rect (at -5.08 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 10 smd rect (at -5.08 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 7 smd rect (at -7.62 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 8 smd rect (at -7.62 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 5 smd rect (at -10.16 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 58 /hackrf_if/MCU_LCD_RD))
(pad 6 smd rect (at -10.16 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 57 /hackrf_if/MCU_LCD_TE))
(pad 3 smd rect (at -12.7 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 113 /hackrf_if/RESET#))
(pad 4 smd rect (at -12.7 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -15.24 2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask))
(pad 2 smd rect (at -15.24 -2.73 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)
(net 70 /hackrf_if/CLKIN))
(model ${KISBLIB}/header.pretty/TSM-113-01-L-DV-A-P-TR.stp
(offset (xyz 0 0 2.54))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)
(module bat_coin:MPD_BU2032SM-BT-G (layer 4_bot) (tedit 5BD22CB3) (tstamp 53AA25F0)
(at 96 162)
(path /58CFF3E3/58D008D0)
(attr smd)
(fp_text reference BT1 (at 13.6 -4.05) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value BATTERY (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start 14.3 3.5) (end 10.639 3.5) (layer B.Fab) (width 0.025))
(fp_line (start 14.3 -3.5) (end 14.3 3.5) (layer B.Fab) (width 0.025))
(fp_line (start 10.639 -3.5) (end 14.3 -3.5) (layer B.Fab) (width 0.025))
(fp_line (start -14.3 3.5) (end -10.639 3.5) (layer B.Fab) (width 0.025))
(fp_line (start -14.3 -3.5) (end -14.3 3.5) (layer B.Fab) (width 0.025))
(fp_line (start -10.639 -3.5) (end -14.3 -3.5) (layer B.Fab) (width 0.025))
(fp_line (start 7.838 -8) (end -7.838 -8) (layer B.Fab) (width 0.025))
(fp_line (start 7.838 8) (end -7.838 8) (layer B.Fab) (width 0.025))
(fp_arc (start 0 0) (end -7.838 -8) (angle -91.1) (layer B.Fab) (width 0.025))
(fp_arc (start 0 0) (end 7.838 8) (angle -91.1) (layer B.Fab) (width 0.025))
(fp_line (start 11.5 4.5) (end 11.5 5.5) (layer B.Fab) (width 0.025))
(fp_line (start 12 5) (end 11 5) (layer B.Fab) (width 0.025))
(fp_line (start -14.8 2.6) (end -14.8 4) (layer B.CrtYd) (width 0.05))
(fp_line (start 14.8 4) (end 10.995 4) (layer B.CrtYd) (width 0.05))
(fp_line (start 14.8 -4) (end 14.8 -2.6) (layer B.CrtYd) (width 0.05))
(fp_line (start 10.995 -4) (end 14.8 -4) (layer B.CrtYd) (width 0.05))
(fp_line (start -14.8 4) (end -10.995 4) (layer B.CrtYd) (width 0.05))
(fp_line (start -14.8 -4) (end -14.8 -2.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -10.995 -4) (end -14.8 -4) (layer B.CrtYd) (width 0.05))
(fp_line (start 6.164 -8.5) (end 8.04 -8.5) (layer B.CrtYd) (width 0.05))
(fp_line (start -8.04 -8.5) (end -6.164 -8.5) (layer B.CrtYd) (width 0.05))
(fp_line (start -6.164 8.5) (end -8.04 8.5) (layer B.CrtYd) (width 0.05))
(fp_line (start 8.04 8.5) (end 6.164 8.5) (layer B.CrtYd) (width 0.05))
(fp_arc (start 0 0) (end -10.995 4) (angle -26.5) (layer B.CrtYd) (width 0.05))
(fp_arc (start 0 0) (end 10.995 -4) (angle -26.5) (layer B.CrtYd) (width 0.05))
(fp_arc (start 0 0) (end -8.04 -8.5) (angle -26.6) (layer B.CrtYd) (width 0.05))
(fp_arc (start 0 0) (end 8.04 8.5) (angle -26.6) (layer B.CrtYd) (width 0.05))
(fp_arc (start 0 0) (end -6.164 8.5) (angle -71.8) (layer B.CrtYd) (width 0.05))
(fp_arc (start 0 0) (end 6.164 -8.5) (angle -71.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 14.3 3.5) (end 10.639 3.5) (layer B.SilkS) (width 0.12))
(fp_line (start 10.639 -3.5) (end 14.3 -3.5) (layer B.SilkS) (width 0.12))
(fp_line (start -14.3 3.5) (end -10.639 3.5) (layer B.SilkS) (width 0.12))
(fp_line (start -10.639 -3.5) (end -14.3 -3.5) (layer B.SilkS) (width 0.12))
(fp_line (start 7.838 -8) (end -7.838 -8) (layer B.SilkS) (width 0.12))
(fp_line (start 7.838 8) (end -7.838 8) (layer B.SilkS) (width 0.12))
(fp_arc (start 0 0) (end -7.838 -8) (angle -91.1) (layer B.SilkS) (width 0.12))
(fp_arc (start 0 0) (end 7.838 8) (angle -91.1) (layer B.SilkS) (width 0.12))
(fp_line (start 11.5 4.5) (end 11.5 5.5) (layer B.SilkS) (width 0.12))
(fp_line (start 12 5) (end 11 5) (layer B.SilkS) (width 0.12))
(fp_line (start 16.65 -2.6) (end 14.8 -2.6) (layer B.CrtYd) (width 0.05))
(fp_line (start 16.65 2.6) (end 16.65 -2.6) (layer B.CrtYd) (width 0.05))
(fp_line (start 14.8 2.6) (end 16.65 2.6) (layer B.CrtYd) (width 0.05))
(fp_line (start 14.8 2.6) (end 14.8 4) (layer B.CrtYd) (width 0.05))
(fp_line (start -14.8 -2.6) (end -16.75 -2.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -16.75 -2.6) (end -16.75 2.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -16.75 2.6) (end -14.8 2.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -14.3 -3.5) (end -14.3 -2.28) (layer B.SilkS) (width 0.12))
(fp_line (start -14.3 3.5) (end -14.3 2.28) (layer B.SilkS) (width 0.12))
(fp_line (start 14.3 -3.5) (end 14.3 -2.28) (layer B.SilkS) (width 0.12))
(fp_line (start 14.3 3.5) (end 14.3 2.28) (layer B.SilkS) (width 0.12))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at -14.65 0 180) (size 3.2 4.2) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at 14.65 0 180) (size 3.2 4.2) (layers 4_bot B.Paste B.Mask)
(net 46 /hackrf_if/VBAT))
(model ${KISBLIB}/bat_coin.pretty/BU2032SM-BT-GTR.STEP
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 90 180 0))
)
)
(module ipc_osccc:IPC_OSCCC320X250X110L75X100N (layer 4_bot) (tedit 5BD22C65) (tstamp 5B7B01BE)
(at 175 163.65 270)
(path /58CFF3E3/5B682C80)
(attr smd)
(fp_text reference X1 (at -1.2 -2.1 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10.00000M (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1.6 1.25) (end 1.6 1.25) (layer B.Fab) (width 0.025))
(fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer B.Fab) (width 0.025))
(fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer B.Fab) (width 0.025))
(fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer B.Fab) (width 0.025))
(fp_line (start -1.66 0.295) (end -1.66 -0.295) (layer B.SilkS) (width 0.12))
(fp_line (start -0.395 -1.31) (end 0.395 -1.31) (layer B.SilkS) (width 0.12))
(fp_line (start 1.66 -0.295) (end 1.66 0.295) (layer B.SilkS) (width 0.12))
(fp_line (start 0.395 1.31) (end -0.395 1.31) (layer B.SilkS) (width 0.12))
(fp_line (start -1.955 1.605) (end 1.955 1.605) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.955 1.605) (end 1.955 -1.605) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.955 -1.605) (end -1.955 -1.605) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.955 -1.605) (end -1.955 1.605) (layer B.CrtYd) (width 0.05))
(fp_circle (center -0.4 -1.95) (end -0.275 -1.95) (layer B.SilkS) (width 0.25))
(pad 1 smd rect (at -1.14 -0.915 270) (size 1.13 0.88) (layers 4_bot B.Paste B.Mask)
(net 126 /hackrf_if/REF_EN))
(pad 2 smd rect (at 1.14 -0.915 270) (size 1.13 0.88) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 3 smd rect (at 1.14 0.915 270) (size 1.13 0.88) (layers 4_bot B.Paste B.Mask)
(net 125 "Net-(C17-Pad1)"))
(pad 4 smd rect (at -1.14 0.915 270) (size 1.13 0.88) (layers 4_bot B.Paste B.Mask)
(net 123 "Net-(C9-Pad1)"))
(model ${KISBLIB}/ipc_osccc.pretty/ASTX-H11.STEP
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ublox:MAX-M8 (layer 4_bot) (tedit 5BD22CCB) (tstamp 5B7AF914)
(at 73.8 162.55 270)
(path /5B7E0B2A/5B7E0C1A)
(attr smd)
(fp_text reference U8 (at -7.25 4.35 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value MAX-M8 (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(fp_line (start -4.85 5.05) (end 4.85 5.05) (layer B.Fab) (width 0.025))
(fp_line (start -4.85 -5.05) (end -4.85 5.05) (layer B.Fab) (width 0.025))
(fp_line (start 4.85 -5.05) (end -4.85 -5.05) (layer B.Fab) (width 0.025))
(fp_line (start 4.85 5.05) (end 4.85 -5.05) (layer B.Fab) (width 0.025))
(fp_line (start -4.91 5.11) (end 4.91 5.11) (layer B.SilkS) (width 0.12))
(fp_line (start -4.91 -5.11) (end 4.91 -5.11) (layer B.SilkS) (width 0.12))
(fp_line (start -4.91 5.11) (end -4.91 4.93) (layer B.SilkS) (width 0.12))
(fp_line (start 4.91 5.11) (end 4.91 4.93) (layer B.SilkS) (width 0.12))
(fp_line (start 4.91 -5.11) (end 4.91 -4.93) (layer B.SilkS) (width 0.12))
(fp_line (start -4.91 -5.11) (end -4.91 -4.93) (layer B.SilkS) (width 0.12))
(fp_line (start -6.5 5.3) (end 6.5 5.3) (layer B.CrtYd) (width 0.05))
(fp_line (start -6.5 -5.3) (end -6.5 5.3) (layer B.CrtYd) (width 0.05))
(fp_line (start 6.5 -5.3) (end -6.5 -5.3) (layer B.CrtYd) (width 0.05))
(fp_line (start 6.5 5.3) (end 6.5 -5.3) (layer B.CrtYd) (width 0.05))
(fp_circle (center -5.34 5.12) (end -5.215 5.12) (layer B.SilkS) (width 0.25))
(pad 18 smd rect (at 4.75 4.4 270) (size 1.8 0.7) (layers 4_bot B.Paste B.Mask)
(net 135 "Net-(TP7-Pad1)"))
(pad 17 smd rect (at 4.75 3.3 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 130 "Net-(FB3-Pad2)"))
(pad 16 smd rect (at 4.75 2.2 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 129 "Net-(FB1-Pad2)"))
(pad 15 smd rect (at 4.75 1.1 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 14 smd rect (at 4.75 0 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 134 "Net-(R26-Pad1)"))
(pad 13 smd rect (at 4.75 -1.1 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask))
(pad 12 smd rect (at 4.75 -2.2 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 11 smd rect (at 4.75 -3.3 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 131 "Net-(L1-Pad1)"))
(pad 10 smd rect (at 4.75 -4.4 270) (size 1.8 0.7) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 9 smd rect (at -4.75 -4.4 270) (size 1.8 0.7) (layers 4_bot B.Paste B.Mask)
(net 133 /hackrf_if/GPS_RESET#))
(pad 8 smd rect (at -4.75 -3.3 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 7 smd rect (at -4.75 -2.2 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 6 smd rect (at -4.75 -1.1 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 132 "Net-(R23-Pad1)"))
(pad 5 smd rect (at -4.75 0 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 136 "Net-(TP8-Pad1)"))
(pad 4 smd rect (at -4.75 1.1 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 138 /hackrf_if/GPS_TIMEPULSE))
(pad 3 smd rect (at -4.75 2.2 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 137 "Net-(TP9-Pad1)"))
(pad 2 smd rect (at -4.75 3.3 270) (size 1.8 0.8) (layers 4_bot B.Paste B.Mask)
(net 139 /hackrf_if/GPS_TX_READY))
(pad 1 smd rect (at -4.75 4.4 270) (size 1.8 0.7) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISBLIB}/ublox.pretty/max_ap214.step
(offset (xyz 0.15 -0.05 0.44))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_son:IPC_SON9P50_200X200X100L30X25T80X120N (layer 4_bot) (tedit 5BD22FF5) (tstamp 58E5E2FF)
(at 165 109.6)
(path /53A8BFC3/58D9A047)
(attr smd)
(fp_text reference U5 (at -1.9 1.6) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value NUF4220MN (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1.615 1.25) (end 1.615 1.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.615 1.25) (end 1.615 -1.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.615 -1.25) (end -1.615 -1.25) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.615 -1.25) (end -1.615 1.25) (layer B.CrtYd) (width 0.05))
(fp_line (start -1 1.065) (end 1 1.065) (layer B.SilkS) (width 0.12))
(fp_line (start 1 -1.065) (end -1 -1.065) (layer B.SilkS) (width 0.12))
(fp_circle (center -1.735 0.75) (end -1.61 0.75) (layer B.SilkS) (width 0.25))
(fp_line (start -1 1) (end 1 1) (layer B.Fab) (width 0.025))
(fp_line (start 1 1) (end 1 -1) (layer B.Fab) (width 0.025))
(fp_line (start 1 -1) (end -1 -1) (layer B.Fab) (width 0.025))
(fp_line (start -1 -1) (end -1 1) (layer B.Fab) (width 0.025))
(pad 1 smd rect (at -0.995 0.75 90) (size 0.27 0.74) (layers 4_bot B.Paste B.Mask)
(net 1 /audio/LHPOUT))
(pad 2 smd rect (at -0.995 0.25 90) (size 0.27 0.74) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 3 smd rect (at -0.995 -0.25 90) (size 0.27 0.74) (layers 4_bot B.Paste B.Mask)
(net 90 /audio/MIC))
(pad 4 smd rect (at -0.995 -0.75 90) (size 0.27 0.74) (layers 4_bot B.Paste B.Mask)
(net 2 /audio/RHPOUT))
(pad 5 smd rect (at 0.995 -0.75 270) (size 0.27 0.74) (layers 4_bot B.Paste B.Mask)
(net 89 /audio/RHPOUT_X))
(pad 6 smd rect (at 0.995 -0.25 270) (size 0.27 0.74) (layers 4_bot B.Paste B.Mask)
(net 86 /audio/MIC_X))
(pad 7 smd rect (at 0.995 0.25 270) (size 0.27 0.74) (layers 4_bot B.Paste B.Mask)
(net 87 /audio/GND_X))
(pad 8 smd rect (at 0.995 0.75 270) (size 0.27 0.74) (layers 4_bot B.Paste B.Mask)
(net 88 /audio/LHPOUT_X))
(pad 9 smd rect (at 0 0) (size 0.8 1.2) (layers 4_bot B.Paste B.Mask)
(net 47 GND) (solder_paste_margin -0.1))
(model ${KISYS3DMOD}/Package_DFN_QFN.3dshapes/DFN-8-1EP_2x2mm_P0.5mm_EP1.05x1.75mm.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_qfn:IPC_QFN33P40_400X400X80L35X20T280N (layer 4_bot) (tedit 5BD6998F) (tstamp 58D2EC5D)
(at 153.25 110.95 180)
(path /53A8BFC3/58CC98F8)
(attr smd)
(fp_text reference U1 (at -3.35 0.65 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value AK4951EN (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_poly (pts (xy 0.35 -0.35) (xy 1.25 -0.35) (xy 1.25 -1.25) (xy 0.35 -1.25)) (layer B.Paste) (width 0))
(fp_poly (pts (xy -1.25 -0.35) (xy -0.35 -0.35) (xy -0.35 -1.25) (xy -1.25 -1.25)) (layer B.Paste) (width 0))
(fp_poly (pts (xy -1.25 1.25) (xy -0.35 1.25) (xy -0.35 0.35) (xy -1.25 0.35)) (layer B.Paste) (width 0))
(fp_poly (pts (xy 0.35 1.25) (xy 1.25 1.25) (xy 1.25 0.35) (xy 0.35 0.35)) (layer B.Paste) (width 0))
(fp_poly (pts (xy 1.35 -0.25) (xy 0.25 -0.25) (xy 0.25 -1.35) (xy 1.35 -1.35)) (layer B.Mask) (width 0))
(fp_poly (pts (xy -0.25 -0.25) (xy -1.35 -0.25) (xy -1.35 -1.35) (xy -0.25 -1.35)) (layer B.Mask) (width 0))
(fp_poly (pts (xy -0.25 1.35) (xy -1.35 1.35) (xy -1.35 0.25) (xy -0.25 0.25)) (layer B.Mask) (width 0))
(fp_poly (pts (xy 1.35 1.35) (xy 0.25 1.35) (xy 0.25 0.25) (xy 1.35 0.25)) (layer B.Mask) (width 0))
(fp_line (start -2.61 2.61) (end 2.61 2.61) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.61 2.61) (end 2.61 -2.61) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.61 -2.61) (end -2.61 -2.61) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.61 -2.61) (end -2.61 2.61) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.06 2.06) (end -2.06 1.69) (layer B.SilkS) (width 0.12))
(fp_line (start -2.06 -1.69) (end -2.06 -2.06) (layer B.SilkS) (width 0.12))
(fp_line (start -2.06 -2.06) (end -1.69 -2.06) (layer B.SilkS) (width 0.12))
(fp_line (start 1.69 -2.06) (end 2.06 -2.06) (layer B.SilkS) (width 0.12))
(fp_line (start 2.06 -2.06) (end 2.06 -1.69) (layer B.SilkS) (width 0.12))
(fp_line (start 2.06 1.69) (end 2.06 2.06) (layer B.SilkS) (width 0.12))
(fp_line (start 2.06 2.06) (end 1.69 2.06) (layer B.SilkS) (width 0.12))
(fp_line (start -1.69 2.06) (end -2.06 2.06) (layer B.SilkS) (width 0.12))
(fp_circle (center -2.73 1.4) (end -2.605 1.4) (layer B.SilkS) (width 0.25))
(fp_line (start -2 2) (end 2 2) (layer B.Fab) (width 0.025))
(fp_line (start 2 2) (end 2 -2) (layer B.Fab) (width 0.025))
(fp_line (start 2 -2) (end -2 -2) (layer B.Fab) (width 0.025))
(fp_line (start -2 -2) (end -2 2) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(pad 33 thru_hole circle (at 0 0 180) (size 0.5 0.5) (drill 0.3048) (layers *.Cu F.Mask)
(net 47 GND) (zone_connect 2))
(pad 33 thru_hole circle (at 1.15 0 180) (size 0.5 0.5) (drill 0.3048) (layers *.Cu F.Mask)
(net 47 GND) (zone_connect 2))
(pad 33 thru_hole circle (at -1.15 0 180) (size 0.5 0.5) (drill 0.3048) (layers *.Cu F.Mask)
(net 47 GND) (zone_connect 2))
(pad 33 thru_hole circle (at 0 -1.15 180) (size 0.5 0.5) (drill 0.3048) (layers *.Cu F.Mask)
(net 47 GND) (zone_connect 2))
(pad 33 smd rect (at 0 0 180) (size 2.8 2.8) (layers 4_bot)
(net 47 GND) (solder_paste_margin -0.2))
(pad 33 thru_hole circle (at 0 1.15 180) (size 0.5 0.5) (drill 0.3048) (layers *.Cu F.Mask)
(net 47 GND) (zone_connect 2))
(pad 32 smd oval (at -1.4 1.99) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 93 "Net-(TP1-Pad1)"))
(pad 31 smd oval (at -1 1.99) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 77 /audio/REGFIL))
(pad 30 smd oval (at -0.6 1.99) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 76 /audio/VCOM))
(pad 29 smd oval (at -0.2 1.99) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 28 smd oval (at 0.2 1.99) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 27 smd oval (at 0.6 1.99) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 74 /audio/CN))
(pad 26 smd oval (at 1 1.99) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 73 /audio/CP))
(pad 25 smd oval (at 1.4 1.99) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 24 smd oval (at 1.99 1.4 90) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 75 /audio/VEE))
(pad 23 smd oval (at 1.99 1 90) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 2 /audio/RHPOUT))
(pad 22 smd oval (at 1.99 0.6 90) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 1 /audio/LHPOUT))
(pad 21 smd oval (at 1.99 0.2 90) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 20 smd oval (at 1.99 -0.2 90) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 119 /audio/SPP))
(pad 19 smd oval (at 1.99 -0.6 90) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 120 /audio/SPN))
(pad 18 smd oval (at 1.99 -1 90) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 72 /power/AUDIO_SVDD))
(pad 17 smd oval (at 1.99 -1.4 90) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 16 smd oval (at 1.4 -1.99 180) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 15 smd oval (at 1 -1.99 180) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 84 /hackrf_if/I2S0_MCLK))
(pad 14 smd oval (at 0.6 -1.99 180) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 115 /audio/BICK_R))
(pad 13 smd oval (at 0.2 -1.99 180) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 116 /audio/LRCK_R))
(pad 12 smd oval (at -0.2 -1.99 180) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 117 /audio/SDTO_R))
(pad 11 smd oval (at -0.6 -1.99 180) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 81 /hackrf_if/I2S0_TX_SDA))
(pad 10 smd oval (at -1 -1.99 180) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 4 /audio/SDA))
(pad 9 smd oval (at -1.4 -1.99 180) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 3 /audio/SCL))
(pad 8 smd oval (at -1.99 -1.4 270) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 114 /audio/PDN#_R))
(pad 7 smd oval (at -1.99 -1 270) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 98 "Net-(TP6-Pad1)"))
(pad 6 smd oval (at -1.99 -0.6 270) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 97 "Net-(TP5-Pad1)"))
(pad 5 smd oval (at -1.99 -0.2 270) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 96 "Net-(TP4-Pad1)"))
(pad 4 smd oval (at -1.99 0.2 270) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 91 "Net-(R18-Pad1)"))
(pad 3 smd oval (at -1.99 0.6 270) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 79 "Net-(C25-Pad1)"))
(pad 2 smd oval (at -1.99 1 270) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 95 "Net-(TP3-Pad1)"))
(pad 1 smd oval (at -1.99 1.4 270) (size 0.2 0.74) (layers 4_bot B.Paste B.Mask)
(net 94 "Net-(TP2-Pad1)"))
(model ${KISYS3DMOD}/Package_DFN_QFN.3dshapes/QFN-32-1EP_4x4mm_P0.4mm_EP2.65x2.65mm.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_son:IPC_SON9P50_200X200X55L32X24T90X160N (layer 4_bot) (tedit 5BD22CF5) (tstamp 58D20D16)
(at 94.2 148.5 90)
(path /53A9129D/58B747DD)
(attr smd)
(fp_text reference U4 (at -2.7 1 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value CAT4004[_AB] (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1.61 1.25) (end 1.61 1.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.61 1.25) (end 1.61 -1.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.61 -1.25) (end -1.61 -1.25) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.61 -1.25) (end -1.61 1.25) (layer B.CrtYd) (width 0.05))
(fp_line (start -1 1.06) (end 1 1.06) (layer B.SilkS) (width 0.12))
(fp_line (start 1 -1.06) (end -1 -1.06) (layer B.SilkS) (width 0.12))
(fp_circle (center -1.73 0.75) (end -1.605 0.75) (layer B.SilkS) (width 0.25))
(fp_line (start -1 1) (end 1 1) (layer B.Fab) (width 0.025))
(fp_line (start 1 1) (end 1 -1) (layer B.Fab) (width 0.025))
(fp_line (start 1 -1) (end -1 -1) (layer B.Fab) (width 0.025))
(fp_line (start -1 -1) (end -1 1) (layer B.Fab) (width 0.025))
(pad 1 smd rect (at -1.005 0.75 180) (size 0.26 0.71) (layers 4_bot B.Paste B.Mask)
(net 5 /hackrf_if/LCD_BACKLIGHT))
(pad 2 smd rect (at -1.005 0.25 180) (size 0.26 0.71) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 3 smd rect (at -1.005 -0.25 180) (size 0.26 0.71) (layers 4_bot B.Paste B.Mask)
(net 67 /lcd_sw_sd/LEDK1))
(pad 4 smd rect (at -1.005 -0.75 180) (size 0.26 0.71) (layers 4_bot B.Paste B.Mask)
(net 66 /lcd_sw_sd/LEDK2))
(pad 5 smd rect (at 1.005 -0.75) (size 0.26 0.71) (layers 4_bot B.Paste B.Mask)
(net 68 /lcd_sw_sd/LEDK3))
(pad 6 smd rect (at 1.005 -0.25) (size 0.26 0.71) (layers 4_bot B.Paste B.Mask)
(net 69 /lcd_sw_sd/LEDK4))
(pad 7 smd rect (at 1.005 0.25) (size 0.26 0.71) (layers 4_bot B.Paste B.Mask)
(net 71 "Net-(R20-Pad1)"))
(pad 8 smd rect (at 1.005 0.75) (size 0.26 0.71) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 9 smd rect (at 0 0 90) (size 0.85 1.6) (layers 4_bot B.Paste B.Mask)
(net 47 GND) (solder_paste_margin -0.1))
(model ${KISYS3DMOD}/Package_DFN_QFN.3dshapes/DFN-8-1EP_2x2mm_P0.5mm_EP1.05x1.75mm.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_qfn:IPC_QFN17P50_300X300X100L40X25T160N (layer 4_bot) (tedit 5BD22C21) (tstamp 58F1AF31)
(at 170.575 123.875 90)
(path /58CFF3E3/58F1AA75)
(attr smd)
(fp_text reference U6 (at -4.225 -1.275 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value BQ24075RGT (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(fp_line (start -2.115 2.115) (end 2.115 2.115) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.115 2.115) (end 2.115 -2.115) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.115 -2.115) (end -2.115 -2.115) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.115 -2.115) (end -2.115 2.115) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.56 1.56) (end -1.56 1.065) (layer B.SilkS) (width 0.12))
(fp_line (start -1.56 -1.065) (end -1.56 -1.56) (layer B.SilkS) (width 0.12))
(fp_line (start -1.56 -1.56) (end -1.065 -1.56) (layer B.SilkS) (width 0.12))
(fp_line (start 1.065 -1.56) (end 1.56 -1.56) (layer B.SilkS) (width 0.12))
(fp_line (start 1.56 -1.56) (end 1.56 -1.065) (layer B.SilkS) (width 0.12))
(fp_line (start 1.56 1.065) (end 1.56 1.56) (layer B.SilkS) (width 0.12))
(fp_line (start 1.56 1.56) (end 1.065 1.56) (layer B.SilkS) (width 0.12))
(fp_line (start -1.065 1.56) (end -1.56 1.56) (layer B.SilkS) (width 0.12))
(fp_circle (center -2.235 0.75) (end -2.11 0.75) (layer B.SilkS) (width 0.25))
(fp_line (start -1.5 1.5) (end 1.5 1.5) (layer B.Fab) (width 0.025))
(fp_line (start 1.5 1.5) (end 1.5 -1.5) (layer B.Fab) (width 0.025))
(fp_line (start 1.5 -1.5) (end -1.5 -1.5) (layer B.Fab) (width 0.025))
(fp_line (start -1.5 -1.5) (end -1.5 1.5) (layer B.Fab) (width 0.025))
(pad 1 smd rect (at -1.435 0.75 180) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 104 "Net-(R8-Pad1)"))
(pad 2 smd rect (at -1.435 0.25 180) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 118 /power/BBAT))
(pad 3 smd rect (at -1.435 -0.25 180) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 118 /power/BBAT))
(pad 4 smd rect (at -1.435 -0.75 180) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 105 "Net-(R9-Pad1)"))
(pad 5 smd rect (at -0.75 -1.435 90) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 108 "Net-(R14-Pad1)"))
(pad 6 smd rect (at -0.25 -1.435 90) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 107 "Net-(R13-Pad2)"))
(pad 7 smd rect (at 0.25 -1.435 90) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 111 "Net-(D1-PadC)"))
(pad 8 smd rect (at 0.75 -1.435 90) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 9 smd rect (at 1.435 -0.75) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 112 "Net-(D2-PadC)"))
(pad 10 smd rect (at 1.435 -0.25) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 72 /power/AUDIO_SVDD))
(pad 11 smd rect (at 1.435 0.25) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 72 /power/AUDIO_SVDD))
(pad 12 smd rect (at 1.435 0.75) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 102 "Net-(R4-Pad1)"))
(pad 13 smd rect (at 0.75 1.435 270) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 99 /hackrf_if/VBUS))
(pad 14 smd rect (at 0.25 1.435 270) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 101 "Net-(R1-Pad1)"))
(pad 15 smd rect (at -0.25 1.435 270) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 106 "Net-(R10-Pad1)"))
(pad 16 smd rect (at -0.75 1.435 270) (size 0.27 0.86) (layers 4_bot B.Paste B.Mask)
(net 103 "Net-(R6-Pad1)"))
(pad 17 smd rect (at 0 0 90) (size 0.9 1.6) (layers 4_bot B.Paste B.Mask)
(net 47 GND) (solder_paste_margin -0.15))
(pad 17 smd rect (at 0 0 90) (size 1.6 0.9) (layers 4_bot B.Paste B.Mask)
(net 47 GND) (solder_paste_margin -0.15))
(pad 17 thru_hole rect (at -0.55 0.55 90) (size 0.5 0.5) (drill 0.3048) (layers *.Cu B.Mask)
(net 47 GND) (zone_connect 2))
(pad 17 thru_hole rect (at 0.55 0.55 90) (size 0.5 0.5) (drill 0.3048) (layers *.Cu B.Mask)
(net 47 GND) (zone_connect 2))
(pad 17 thru_hole rect (at 0.55 -0.55 90) (size 0.5 0.5) (drill 0.3048) (layers *.Cu B.Mask)
(net 47 GND) (zone_connect 2))
(pad 17 thru_hole rect (at -0.55 -0.55 90) (size 0.5 0.5) (drill 0.3048) (layers *.Cu B.Mask)
(net 47 GND) (zone_connect 2))
(model ${KISYS3DMOD}/Package_DFN_QFN.3dshapes/QFN-16-1EP_3x3mm_P0.5mm_EP1.8x1.8mm.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module jst:JST_S3B-PH-SM4-TB (layer 4_bot) (tedit 5BD22B6A) (tstamp 58F8093C)
(at 137.9 115.25 270)
(path /53A8BFC3/58F82DA0)
(attr smd)
(fp_text reference J1 (at 4.6 -4.45 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value HEADER_1X3 (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(fp_line (start -2.75 -4.05) (end -2.75 -5.45) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.2 -4.05) (end -2.75 -4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.2 0.35) (end -5.2 -4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.35 0.35) (end -5.2 0.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.35 4.25) (end -5.35 0.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.35 4.25) (end -5.35 4.25) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.35 4.05) (end -3.35 4.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 3.35 4.05) (end -3.35 4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start 3.35 4.25) (end 3.35 4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start 5.35 4.25) (end 3.35 4.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 5.35 0.35) (end 5.35 4.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 5.2 0.35) (end 5.35 0.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 5.2 -4.05) (end 5.2 0.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.75 -4.05) (end 5.2 -4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.75 -5.45) (end 2.75 -4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.75 -5.45) (end 2.75 -5.45) (layer B.CrtYd) (width 0.05))
(fp_line (start -4.95 3.8) (end 4.95 3.8) (layer B.Fab) (width 0.025))
(fp_line (start 4.95 3.8) (end 4.95 -3.8) (layer B.Fab) (width 0.025))
(fp_line (start 4.95 -3.8) (end -4.95 -3.8) (layer B.Fab) (width 0.025))
(fp_line (start -4.95 -3.8) (end -4.95 3.8) (layer B.Fab) (width 0.025))
(fp_line (start -3.4 3.86) (end 3.4 3.86) (layer B.SilkS) (width 0.12))
(fp_line (start 5.01 0.4) (end 5.01 -3.86) (layer B.SilkS) (width 0.12))
(fp_line (start -2.7 -3.86) (end -5.01 -3.86) (layer B.SilkS) (width 0.12))
(fp_line (start -5.01 -3.86) (end -5.01 0.4) (layer B.SilkS) (width 0.12))
(fp_line (start -1.3 -3.86) (end -0.7 -3.86) (layer B.SilkS) (width 0.12))
(fp_line (start 0.7 -3.86) (end 1.3 -3.86) (layer B.SilkS) (width 0.12))
(fp_line (start 5.01 -3.86) (end 2.7 -3.86) (layer B.SilkS) (width 0.12))
(pad FRM smd rect (at -4.35 2.3 270) (size 1.5 3.4) (layers 4_bot B.Paste B.Mask))
(pad FRM smd rect (at 4.35 2.3 270) (size 1.5 3.4) (layers 4_bot B.Paste B.Mask))
(pad 1 smd rect (at 2 -3.45 270) (size 1 3.5) (layers 4_bot B.Paste B.Mask)
(net 120 /audio/SPN))
(pad 2 smd rect (at 0 -3.45 270) (size 1 3.5) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 3 smd rect (at -2 -3.45 270) (size 1 3.5) (layers 4_bot B.Paste B.Mask)
(net 119 /audio/SPP))
(model ${KISBLIB}/jst.pretty/S3B-PH-SM4-TB.STEP
(offset (xyz 9.9 -13.2 0))
(scale (xyz 1 1 1))
(rotate (xyz 90 180 0))
)
)
(module jst:JST_S2B-PH-SM4-TB (layer 4_bot) (tedit 5BD22BF3) (tstamp 58F1AEEF)
(at 151.1 123.9 90)
(path /58CFF3E3/58F1DD77)
(attr smd)
(fp_text reference J4 (at 3.55 -4.4 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value HEADER_1X2 (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1.75 -4.05) (end -1.75 -5.45) (layer B.CrtYd) (width 0.05))
(fp_line (start -4.2 -4.05) (end -1.75 -4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start -4.2 0.35) (end -4.2 -4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start -4.35 0.35) (end -4.2 0.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -4.35 4.25) (end -4.35 0.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.35 4.25) (end -4.35 4.25) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.35 4.05) (end -2.35 4.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.35 4.05) (end -2.35 4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.35 4.25) (end 2.35 4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.35 4.25) (end 2.35 4.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.35 0.35) (end 4.35 4.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.2 0.35) (end 4.35 0.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.2 -4.05) (end 4.2 0.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.75 -4.05) (end 4.2 -4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.75 -5.45) (end 1.75 -4.05) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.75 -5.45) (end 1.75 -5.45) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.95 3.8) (end 3.95 3.8) (layer B.Fab) (width 0.025))
(fp_line (start 3.95 3.8) (end 3.95 -3.8) (layer B.Fab) (width 0.025))
(fp_line (start 3.95 -3.8) (end -3.95 -3.8) (layer B.Fab) (width 0.025))
(fp_line (start -3.95 -3.8) (end -3.95 3.8) (layer B.Fab) (width 0.025))
(fp_line (start -2.4 3.86) (end 2.4 3.86) (layer B.SilkS) (width 0.12))
(fp_line (start 4.01 0.4) (end 4.01 -3.86) (layer B.SilkS) (width 0.12))
(fp_line (start -1.7 -3.86) (end -4.01 -3.86) (layer B.SilkS) (width 0.12))
(fp_line (start -4.01 -3.86) (end -4.01 0.4) (layer B.SilkS) (width 0.12))
(fp_line (start 0.3 -3.86) (end -0.3 -3.86) (layer B.SilkS) (width 0.12))
(fp_line (start 4.01 -3.86) (end 1.7 -3.86) (layer B.SilkS) (width 0.12))
(pad FRM smd rect (at -3.35 2.3 90) (size 1.5 3.4) (layers 4_bot B.Paste B.Mask))
(pad FRM smd rect (at 3.35 2.3 90) (size 1.5 3.4) (layers 4_bot B.Paste B.Mask))
(pad 1 smd rect (at 1 -3.45 90) (size 1 3.5) (layers 4_bot B.Paste B.Mask)
(net 118 /power/BBAT))
(pad 2 smd rect (at -1 -3.45 90) (size 1 3.5) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISBLIB}/jst.pretty/S2B-PH-SM4-TB.STEP
(offset (xyz 7.9 -13.2 0))
(scale (xyz 1 1 1))
(rotate (xyz 90 180 0))
)
)
(module cui:CUI_SJ-43516-SMT locked (layer 4_bot) (tedit 5BD22BC0) (tstamp 53AA3C96)
(at 172.1 114.8 180)
(path /53A8BFC3/53A8C2C6)
(attr smd)
(fp_text reference P2 (at 9.4 -2.7 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value TRRS_SW_JACK (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(fp_line (start -7.75 -2.75) (end -7.75 -5.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -8.75 -2.75) (end -7.75 -2.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -8.75 2.75) (end -8.75 -2.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.25 2.75) (end -8.75 2.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.25 3.25) (end -7.25 2.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.75 3.25) (end -7.25 3.25) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.75 5.35) (end -5.75 3.25) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.45 5.35) (end -5.75 5.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.45 3.25) (end -0.45 5.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 8.75 3.25) (end -0.45 3.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 8.75 2.4) (end 8.75 3.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 11.55 2.4) (end 8.75 2.4) (layer B.CrtYd) (width 0.05))
(fp_line (start 11.55 -0.9) (end 11.55 2.4) (layer B.CrtYd) (width 0.05))
(fp_line (start 8.75 -0.9) (end 11.55 -0.9) (layer B.CrtYd) (width 0.05))
(fp_line (start 8.75 -3.25) (end 8.75 -0.9) (layer B.CrtYd) (width 0.05))
(fp_line (start 7.45 -3.25) (end 8.75 -3.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 7.45 -5.35) (end 7.45 -3.25) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.15 -5.35) (end 7.45 -5.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.15 -3.25) (end 4.15 -5.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.25 -3.25) (end 4.15 -3.25) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.25 -3.95) (end -1.25 -3.25) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.25 -3.95) (end -1.25 -3.95) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.25 -5.35) (end -2.25 -3.95) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.75 -5.35) (end -2.25 -5.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 8.56 -3.06) (end 7.4 -3.06) (layer B.SilkS) (width 0.12))
(fp_line (start -1.44 -3.06) (end -1.44 -3.76) (layer B.SilkS) (width 0.12))
(fp_line (start -4.9 -3.76) (end -5.1 -3.76) (layer B.SilkS) (width 0.12))
(fp_line (start 8.56 -0.85) (end 8.56 -3.06) (layer B.SilkS) (width 0.12))
(fp_line (start -7.06 3.06) (end -5.7 3.06) (layer B.SilkS) (width 0.12))
(fp_line (start -7.06 3.06) (end -7.06 2.56) (layer B.SilkS) (width 0.12))
(fp_line (start -7.06 2.56) (end -8.56 2.56) (layer B.SilkS) (width 0.12))
(fp_line (start -8.56 2.56) (end -8.56 -2.56) (layer B.SilkS) (width 0.12))
(fp_line (start -8.56 -2.56) (end -7.7 -2.56) (layer B.SilkS) (width 0.12))
(fp_line (start -1.44 -3.76) (end -2.3 -3.76) (layer B.SilkS) (width 0.12))
(fp_line (start 4.2 -3.06) (end -1.44 -3.06) (layer B.SilkS) (width 0.12))
(fp_line (start 8.56 3.06) (end 8.56 2.35) (layer B.SilkS) (width 0.12))
(fp_line (start -3.3 3.06) (end -2.9 3.06) (layer B.SilkS) (width 0.12))
(fp_line (start -0.5 3.06) (end 8.56 3.06) (layer B.SilkS) (width 0.12))
(fp_line (start 8.5 -3) (end -1.5 -3) (layer B.Fab) (width 0.025))
(fp_line (start -1.5 -3) (end -1.5 -3.7) (layer B.Fab) (width 0.025))
(fp_line (start -1.5 -3.7) (end -7 -3.7) (layer B.Fab) (width 0.025))
(fp_line (start 8.5 3) (end 8.5 -3) (layer B.Fab) (width 0.025))
(fp_line (start -7 3) (end 8.5 3) (layer B.Fab) (width 0.025))
(fp_line (start -7 3) (end -7 -3.7) (layer B.Fab) (width 0.025))
(fp_line (start -7 2.5) (end -8.5 2.5) (layer B.Fab) (width 0.025))
(fp_line (start -8.5 2.5) (end -8.5 -2.5) (layer B.Fab) (width 0.025))
(fp_line (start -8.5 -2.5) (end -7 -2.5) (layer B.Fab) (width 0.025))
(pad 1 smd rect (at -6.4 -3.7 180) (size 2.2 2.8) (layers 4_bot B.Paste B.Mask)
(net 86 /audio/MIC_X))
(pad 2 smd rect (at 5.8 -3.7 180) (size 2.8 2.8) (layers 4_bot B.Paste B.Mask)
(net 88 /audio/LHPOUT_X))
(pad 3 smd rect (at -1.7 3.7 180) (size 2 2.8) (layers 4_bot B.Paste B.Mask)
(net 89 /audio/RHPOUT_X))
(pad 4 smd rect (at -3.6 -3.7 180) (size 2.2 2.8) (layers 4_bot B.Paste B.Mask)
(net 87 /audio/GND_X))
(pad 5 smd rect (at 9.9 0.75 180) (size 2.8 2.8) (layers 4_bot B.Paste B.Mask))
(pad 6 smd rect (at -4.5 3.7 180) (size 2 2.8) (layers 4_bot B.Paste B.Mask))
(pad "" np_thru_hole circle (at -2.5 0 180) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask B.SilkS)
(clearance 0.4))
(pad "" np_thru_hole circle (at 4.5 0 180) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask B.SilkS)
(clearance 0.4))
(model ${KISBLIB}/cui.pretty/sj-43514-smt.wrl
(offset (xyz -7.000011294870376 0 2.599994760951996))
(scale (xyz 0.393701 0.393701 0.393701))
(rotate (xyz 270 0 180))
)
)
(module eastrising:ER-TFT024-3 locked (layer 1_top) (tedit 5BD22D17) (tstamp 58A5FE6D)
(at 95.25 137.5 270)
(path /53A9129D/58A60E03)
(fp_text reference LCD1 (at 16.5 -23.25 270) (layer F.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)))
)
(fp_text value ER-TFT024-3_PANEL (at 0 0 270) (layer F.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)))
)
(fp_text user %R (at 0 0 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.025)))
)
(fp_line (start -18.5 20.78) (end -18.5 32.38) (layer B.CrtYd) (width 0.12))
(fp_line (start 18.5 20.78) (end 18.5 32.38) (layer B.CrtYd) (width 0.12))
(fp_line (start -12.75 20.78) (end -18.5 20.78) (layer B.CrtYd) (width 0.12))
(fp_line (start 12.75 20.78) (end 18.5 20.78) (layer B.CrtYd) (width 0.12))
(fp_circle (center 12 8) (end 12 7.8) (layer B.CrtYd) (width 0.12))
(fp_line (start -12.75 10.78) (end 12.75 10.78) (layer B.CrtYd) (width 0.12))
(fp_line (start -12.75 7.28) (end -12.75 20.78) (layer B.CrtYd) (width 0.12))
(fp_line (start 12.75 7.28) (end 12.75 20.78) (layer B.CrtYd) (width 0.12))
(fp_line (start -12.75 7.28) (end 12.75 7.28) (layer B.CrtYd) (width 0.12))
(fp_line (start -22.16 22.28) (end -21.36 22.28) (layer F.SilkS) (width 0.12))
(fp_line (start -22.16 14.28) (end -22.16 22.28) (layer F.SilkS) (width 0.12))
(fp_line (start -21.36 14.28) (end -22.16 14.28) (layer F.SilkS) (width 0.12))
(fp_line (start 22.16 22.28) (end 21.36 22.28) (layer F.SilkS) (width 0.12))
(fp_line (start 22.16 14.28) (end 22.16 22.28) (layer F.SilkS) (width 0.12))
(fp_line (start 21.36 14.28) (end 22.16 14.28) (layer F.SilkS) (width 0.12))
(fp_line (start 22.16 -7.98) (end 21.36 -7.98) (layer F.SilkS) (width 0.12))
(fp_line (start 22.16 -15.98) (end 22.16 -7.98) (layer F.SilkS) (width 0.12))
(fp_line (start 21.36 -15.98) (end 22.16 -15.98) (layer F.SilkS) (width 0.12))
(fp_line (start -22.16 -7.98) (end -21.36 -7.98) (layer F.SilkS) (width 0.12))
(fp_line (start -22.16 -15.98) (end -22.16 -7.98) (layer F.SilkS) (width 0.12))
(fp_line (start -21.36 -15.98) (end -22.16 -15.98) (layer F.SilkS) (width 0.12))
(fp_line (start -18.36 24.48) (end -18.36 -24.48) (layer F.SilkS) (width 0.12))
(fp_line (start 18.36 24.48) (end -18.36 24.48) (layer F.SilkS) (width 0.12))
(fp_line (start 18.36 -24.48) (end 18.36 24.48) (layer F.SilkS) (width 0.12))
(fp_line (start -18.36 -24.48) (end 18.36 -24.48) (layer F.SilkS) (width 0.12))
(fp_line (start -21.36 32.28) (end -21.36 -26.98) (layer F.SilkS) (width 0.12))
(fp_line (start 21.36 32.28) (end -21.36 32.28) (layer F.SilkS) (width 0.12))
(fp_line (start 21.36 -26.98) (end 21.36 32.28) (layer F.SilkS) (width 0.12))
(fp_line (start -21.36 -26.98) (end 21.36 -26.98) (layer F.SilkS) (width 0.12))
(fp_line (start -12.75 12.78) (end 12.75 12.78) (layer B.CrtYd) (width 0.12))
(model ${KISBLIB}/eastrising.pretty/ER-TFT024-3.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ck:CK_TSWB-3N-CB222_LFS locked (layer 1_top) (tedit 5BD22D44) (tstamp 53AA2AAD)
(at 147.5 137.5 90)
(path /53A9129D/53A8C6FD)
(attr smd)
(fp_text reference SW1 (at 0 -5 90) (layer F.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)))
)
(fp_text value CK_TSWB-3N-CB (at 0 0 90) (layer F.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)))
)
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.025)))
)
(fp_circle (center 0 0) (end 11.45 0) (layer F.SilkS) (width 0.12))
(fp_circle (center 0 0) (end 4.05 0) (layer F.SilkS) (width 0.12))
(fp_circle (center 0 0) (end 16 0) (layer F.SilkS) (width 0.12))
(fp_circle (center 0 0) (end 17.2 0) (layer F.SilkS) (width 0.12))
(pad "" np_thru_hole circle (at -3.182 -3.182 90) (size 1.6 1.6) (drill 1.6) (layers *.Cu *.Mask)
(clearance 0.4))
(pad "" np_thru_hole circle (at 3.182 3.182 90) (size 1.6 1.6) (drill 1.6) (layers *.Cu *.Mask)
(clearance 0.4))
(pad CA thru_hole circle (at -6.548 -6.548 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS)
(net 47 GND))
(pad A thru_hole circle (at 6.548 6.548 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS)
(net 38 /hackrf_if/SW_ROT_A))
(pad "" np_thru_hole circle (at -10.607 -10.607 90) (size 4 4) (drill 4) (layers *.Cu *.Mask)
(clearance 0.4))
(pad "" np_thru_hole circle (at 10.607 -10.607 90) (size 4 4) (drill 4) (layers *.Cu *.Mask)
(clearance 0.4))
(pad "" np_thru_hole circle (at 10.607 10.607 90) (size 4 4) (drill 4) (layers *.Cu *.Mask)
(clearance 0.4))
(pad "" np_thru_hole circle (at -10.607 10.607 90) (size 4 4) (drill 4) (layers *.Cu *.Mask)
(clearance 0.4))
(pad S1 thru_hole circle (at -1.11 1.11 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS)
(net 40 /hackrf_if/SW_SEL))
(pad B thru_hole circle (at 6.548 -6.548 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS)
(net 39 /hackrf_if/SW_ROT_B))
(pad S3 thru_hole circle (at 16.15 -3.606 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS)
(net 37 /hackrf_if/SW_R))
(pad S2 thru_hole circle (at 7.75 15.104 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS)
(net 35 /hackrf_if/SW_D))
(pad CB thru_hole circle (at -3.253 16.08 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS)
(net 47 GND))
(pad S5 thru_hole circle (at -16.15 3.606 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS)
(net 36 /hackrf_if/SW_L))
(pad S4 thru_hole circle (at -7.75 -15.104 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS)
(net 41 /hackrf_if/SW_U))
)
(module ipc_sot:IPC_SOT23-5P95_275X135L40X40N (layer 4_bot) (tedit 5BD23001) (tstamp 58FBD8CB)
(at 143.2 108.2)
(path /58CFF3E3/58CFF91A)
(attr smd)
(fp_text reference U2 (at -4.75 1.4) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value "TCR2EF18,LM(CT" (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -2.105 1.7) (end 2.105 1.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.105 1.7) (end 2.105 -1.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.105 -1.7) (end -2.105 -1.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.105 -1.7) (end -2.105 1.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.825 1.51) (end 0.825 1.51) (layer B.SilkS) (width 0.12))
(fp_line (start 0.825 -1.51) (end -0.825 -1.51) (layer B.SilkS) (width 0.12))
(fp_circle (center -1.6 1.7) (end -1.475 1.7) (layer B.SilkS) (width 0.25))
(fp_line (start -0.825 1.45) (end 0.825 1.45) (layer B.Fab) (width 0.025))
(fp_line (start 0.825 1.45) (end 0.825 -1.45) (layer B.Fab) (width 0.025))
(fp_line (start 0.825 -1.45) (end -0.825 -1.45) (layer B.Fab) (width 0.025))
(fp_line (start -0.825 -1.45) (end -0.825 1.45) (layer B.Fab) (width 0.025))
(pad 1 smd rect (at -1.165 0.95 90) (size 0.59 1.38) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at -1.165 0 90) (size 0.59 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 3 smd rect (at -1.165 -0.95 90) (size 0.59 1.38) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 4 smd rect (at 1.165 -0.95 270) (size 0.59 1.38) (layers 4_bot B.Paste B.Mask)
(net 48 "Net-(C39-Pad1)"))
(pad 5 smd rect (at 1.165 0.95 270) (size 0.59 1.38) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_rescax:IPC_RESCAXS8P80_320X160X60L30X45N (layer 4_bot) (tedit 5BD22BE0) (tstamp 5BD11DB9)
(at 154.1 116.7 270)
(path /53A8BFC3/58F9564A)
(attr smd)
(fp_text reference RP1 (at 0.9 -2.4 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 220R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 1.6) (end 0.8 1.6) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 1.6) (end 0.8 -1.6) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -1.6) (end -0.8 -1.6) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -1.6) (end -0.8 1.6) (layer B.Fab) (width 0.025))
(fp_line (start -0.175 -1.66) (end 0.175 -1.66) (layer B.SilkS) (width 0.12))
(fp_line (start 0.175 1.66) (end -0.175 1.66) (layer B.SilkS) (width 0.12))
(fp_line (start -1.485 1.92) (end 1.485 1.92) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.485 1.92) (end 1.485 -1.92) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.485 -1.92) (end -1.485 -1.92) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.485 -1.92) (end -1.485 1.92) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.795 1.3) (size 0.74 0.88) (layers 4_bot B.Paste B.Mask)
(net 115 /audio/BICK_R))
(pad 2 smd rect (at -0.795 0.4) (size 0.54 0.88) (layers 4_bot B.Paste B.Mask)
(net 116 /audio/LRCK_R))
(pad 3 smd rect (at -0.795 -0.4) (size 0.54 0.88) (layers 4_bot B.Paste B.Mask)
(net 117 /audio/SDTO_R))
(pad 4 smd rect (at -0.795 -1.3) (size 0.74 0.88) (layers 4_bot B.Paste B.Mask)
(net 114 /audio/PDN#_R))
(pad 5 smd rect (at 0.795 -1.3 180) (size 0.74 0.88) (layers 4_bot B.Paste B.Mask)
(net 80 /hackrf_if/AUDIO_RESET#))
(pad 6 smd rect (at 0.795 -0.4 180) (size 0.54 0.88) (layers 4_bot B.Paste B.Mask)
(net 85 /hackrf_if/I2S0_RX_SDA))
(pad 7 smd rect (at 0.795 0.4 180) (size 0.54 0.88) (layers 4_bot B.Paste B.Mask)
(net 83 /hackrf_if/I2S0_WS))
(pad 8 smd rect (at 0.795 1.3 180) (size 0.74 0.88) (layers 4_bot B.Paste B.Mask)
(net 82 /audio/BICK))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_Array_Convex_4x0603.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC160X80X55L25N (layer 4_bot) (tedit 5BD22BD7) (tstamp 5BD11CD1)
(at 157.05 114.5 270)
(path /53A8BFC3/58CF5E5F)
(attr smd)
(fp_text reference R2 (at 0 -1.25 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10K (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.245 0.46) (end -0.245 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.245 -0.46) (end 0.245 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.795 0 270) (size 0.74 0.93) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.795 0 270) (size 0.74 0.93) (layers 4_bot B.Paste B.Mask)
(net 114 /audio/PDN#_R))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_ledc:IPC_LEDC1608X90L40N (layer 4_bot) (tedit 5BD22C06) (tstamp 58F5B137)
(at 166.6 121.8 180)
(path /58CFF3E3/58F5BA0B)
(fp_text reference D2 (at 1 1.2 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value "LED 0603 yellow" (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 0.645) (end 0.8 0.645) (layer B.SilkS) (width 0.12))
(fp_line (start 0.8 -0.645) (end -0.8 -0.645) (layer B.SilkS) (width 0.12))
(fp_circle (center -1.595 0) (end -1.47 0) (layer B.SilkS) (width 0.25))
(fp_line (start -1.465 0.715) (end 1.465 0.715) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.465 0.715) (end 1.465 -0.715) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.465 -0.715) (end -1.465 -0.715) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.465 -0.715) (end -1.465 0.715) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.2 0.3) (end -0.2 -0.3) (layer B.Fab) (width 0.025))
(fp_line (start 0.2 0.3) (end -0.2 0) (layer B.Fab) (width 0.025))
(fp_line (start -0.2 0) (end 0.2 -0.3) (layer B.Fab) (width 0.025))
(fp_line (start 0.2 -0.3) (end 0.2 0.3) (layer B.Fab) (width 0.025))
(pad C smd rect (at -0.76 0 180) (size 0.91 0.93) (layers 4_bot B.Paste B.Mask)
(net 112 "Net-(D2-PadC)"))
(pad A smd rect (at 0.76 0 180) (size 0.91 0.93) (layers 4_bot B.Paste B.Mask)
(net 110 "Net-(D2-PadA)"))
(model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_ledc:IPC_LEDC1608X90L40N (layer 4_bot) (tedit 5BD22C09) (tstamp 58F5B131)
(at 166.6 123.3 180)
(path /58CFF3E3/58F5B932)
(fp_text reference D1 (at 1 -1.2 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value "LED 0603 green" (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 0.645) (end 0.8 0.645) (layer B.SilkS) (width 0.12))
(fp_line (start 0.8 -0.645) (end -0.8 -0.645) (layer B.SilkS) (width 0.12))
(fp_circle (center -1.595 0) (end -1.47 0) (layer B.SilkS) (width 0.25))
(fp_line (start -1.465 0.715) (end 1.465 0.715) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.465 0.715) (end 1.465 -0.715) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.465 -0.715) (end -1.465 -0.715) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.465 -0.715) (end -1.465 0.715) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.2 0.3) (end -0.2 -0.3) (layer B.Fab) (width 0.025))
(fp_line (start 0.2 0.3) (end -0.2 0) (layer B.Fab) (width 0.025))
(fp_line (start -0.2 0) (end 0.2 -0.3) (layer B.Fab) (width 0.025))
(fp_line (start 0.2 -0.3) (end 0.2 0.3) (layer B.Fab) (width 0.025))
(pad C smd rect (at -0.76 0 180) (size 0.91 0.93) (layers 4_bot B.Paste B.Mask)
(net 111 "Net-(D1-PadC)"))
(pad A smd rect (at 0.76 0 180) (size 0.91 0.93) (layers 4_bot B.Paste B.Mask)
(net 109 "Net-(D1-PadA)"))
(model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC160X80X55L25N (layer 4_bot) (tedit 5B60D758) (tstamp 5BD11D8D)
(at 161 110.2)
(path /53A8BFC3/53A8C29C)
(attr smd)
(fp_text reference R18 (at 0.1 1.3) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 2K2 (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.245 -0.46) (end 0.245 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start 0.245 0.46) (end -0.245 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.795 0) (size 0.74 0.93) (layers 4_bot B.Paste B.Mask)
(net 91 "Net-(R18-Pad1)"))
(pad 2 smd rect (at 0.795 0) (size 0.74 0.93) (layers 4_bot B.Paste B.Mask)
(net 90 /audio/MIC))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22CF1) (tstamp 5BD11DAB)
(at 94 145.8 180)
(path /53A9129D/58B751E2)
(attr smd)
(fp_text reference R20 (at 0 1 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 3K9 (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 71 "Net-(R20-Pad1)"))
(pad 2 smd rect (at 0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22CED) (tstamp 5BD11D9D)
(at 92.6 145.35 270)
(path /53A9129D/53A91657)
(attr smd)
(fp_text reference R19 (at -1.8 0 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10K (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 23 /hackrf_if/LCD_RESET#))
(pad 2 smd rect (at 0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C19) (tstamp 5BD11D7F)
(at 167.9 125.15 270)
(path /58CFF3E3/58F44903)
(attr smd)
(fp_text reference R14 (at 1.75 1.8 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10K (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 108 "Net-(R14-Pad1)"))
(pad 2 smd rect (at 0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C2B) (tstamp 5BD11D43)
(at 174.35 125.15 270)
(path /58CFF3E3/58F44562)
(attr smd)
(fp_text reference R10 (at -0.05 -2.95 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10K (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 106 "Net-(R10-Pad1)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C1E) (tstamp 5BD11D35)
(at 168.2 126.55 180)
(path /58CFF3E3/58F443CF)
(attr smd)
(fp_text reference R9 (at 0 -1.05 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10K (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 105 "Net-(R9-Pad1)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5B60D758) (tstamp 5BD11D27)
(at 173.9 128.2)
(path /58CFF3E3/58F1E372)
(attr smd)
(fp_text reference R8 (at 1.3 -1) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10K (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 104 "Net-(R8-Pad1)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C38) (tstamp 5BD11D19)
(at 176.25 128.2)
(path /58CFF3E3/58F1F0EF)
(attr smd)
(fp_text reference R7 (at 0.55 -1) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 0R (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 99 /hackrf_if/VBUS))
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 100 /hackrf_if/VBUSCTRL))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C28) (tstamp 5BD11D0B)
(at 173.25 125.15 270)
(path /58CFF3E3/58F1CC38)
(attr smd)
(fp_text reference R6 (at -0.05 -3.25 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 1K8 (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 103 "Net-(R6-Pad1)"))
(pad 2 smd rect (at 0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C0E) (tstamp 5BD206B5)
(at 164.55 121.65 270)
(path /58CFF3E3/58F1D207)
(attr smd)
(fp_text reference R5 (at 0 1.05 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 1K5 (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 72 /power/AUDIO_SVDD))
(pad 2 smd rect (at 0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 110 "Net-(D2-PadA)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C00) (tstamp 5BD11CEF)
(at 172.15 120.85 90)
(path /58CFF3E3/58F1CBFA)
(attr smd)
(fp_text reference R4 (at 1.6 1.7 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 1K91 (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 102 "Net-(R4-Pad1)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C12) (tstamp 5BD11CE1)
(at 164.55 123.45 90)
(path /58CFF3E3/58F1D0EB)
(attr smd)
(fp_text reference R3 (at 0 -1.05 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 1K5 (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 109 "Net-(D1-PadA)"))
(pad 1 smd rect (at -0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 72 /power/AUDIO_SVDD))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C2E) (tstamp 5BD11CC3)
(at 175.45 125.15 270)
(path /58CFF3E3/58F1CB6B)
(attr smd)
(fp_text reference R1 (at -0.05 -2.65 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 101 "Net-(R1-Pad1)"))
(pad 2 smd rect (at 0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22BD4) (tstamp 5BD11AA9)
(at 150.4 114.9 270)
(path /53A8BFC3/53A8C6A5)
(attr smd)
(fp_text reference C2 (at -1.5 0 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22BC9) (tstamp 5BD11AB7)
(at 148.4 111.4 270)
(path /53A8BFC3/53A8C69F)
(attr smd)
(fp_text reference C3 (at -0.05 -1.05 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0 270) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22B85) (tstamp 5BD11AC5)
(at 153.6 105.3)
(path /53A8BFC3/53A8C6B1)
(attr smd)
(fp_text reference C4 (at 0 -1) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22BCE) (tstamp 5BD11AD3)
(at 148.4 114.2 180)
(path /53A8BFC3/53A8C6AB)
(attr smd)
(fp_text reference C5 (at 0 0.95 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 72 /power/AUDIO_SVDD))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22B58) (tstamp 5BD11BC1)
(at 77.5 116.4)
(path /53A9129D/53AA73CE)
(attr smd)
(fp_text reference C27 (at 0 -1) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5B60D756) (tstamp 5BD11BCF)
(at 112.8 143.8)
(path /53A8C780/53A8D527)
(attr smd)
(fp_text reference C28 (at 0 1.1) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22D1D) (tstamp 5BD11BDD)
(at 98 134 180)
(path /53A8C780/53A8D548)
(attr smd)
(fp_text reference C29 (at 0 1 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 2 smd rect (at 0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22B0E) (tstamp 5BD11BEB)
(at 110.45 132.35 315)
(path /53A8C780/53A8D542)
(attr smd)
(fp_text reference C30 (at -1.838478 0 315) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0 315) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 315) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 315) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0 315) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22B27) (tstamp 5BD11BF9)
(at 101.5 145.2 315)
(path /53A8C780/53A8D54E)
(attr smd)
(fp_text reference C31 (at 0 1.131371 315) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0 315) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 315) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 315) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0 315) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22B06) (tstamp 5BD11C17)
(at 109.35 133.45 135)
(path /53A8C780/53A8D56C)
(attr smd)
(fp_text reference C33 (at 1.838478 0 135) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0 135) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 135) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 135) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 2 smd rect (at 0.46 0 135) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22CE9) (tstamp 5BD11C35)
(at 92.8 129.6 90)
(path /53A8C780/53A8D572)
(attr smd)
(fp_text reference C35 (at 0 1.1 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 2 smd rect (at 0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22C49) (tstamp 5BD11C93)
(at 173.6 158.7 180)
(path /58CFF3E3/58D008E2)
(attr smd)
(fp_text reference C41 (at -2.6 0 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.865 0 180) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.865 0 180) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 46 /hackrf_if/VBAT))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22C68) (tstamp 5BD11CB3)
(at 165.6 154.3 270)
(path /53A8C780/53B1A065)
(attr smd)
(fp_text reference C43 (at 0 1.45 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.865 0 270) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.865 0 270) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22C6C) (tstamp 5BD11CA3)
(at 155.2 157.9 180)
(path /53A8C780/53B1911F)
(attr smd)
(fp_text reference C42 (at 0 1.4 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.865 0 180) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.865 0 180) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22B9C) (tstamp 5BD11C83)
(at 143.65 110.85 180)
(path /58CFF3E3/58CFF92C)
(attr smd)
(fp_text reference C40 (at -0.65 -1.45 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.865 0 180) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 2 smd rect (at 0.865 0 180) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22B6F) (tstamp 5BD11C63)
(at 140.1 108.2 90)
(path /58CFF3E3/58CFF923)
(attr smd)
(fp_text reference C38 (at 2.65 0 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.865 0 90) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.865 0 90) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22CFD) (tstamp 5BD11C53)
(at 97.4 144.6 270)
(path /53A8C780/53A8D5E0)
(attr smd)
(fp_text reference C37 (at 0 1.4 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.865 0 270) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.865 0 270) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22B16) (tstamp 5BD11C43)
(at 103.1 131.1 135)
(path /53A8C780/53A8D5DA)
(attr smd)
(fp_text reference C36 (at -0.070711 1.343503 135) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 135) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 135) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.865 0 135) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.865 0 135) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22C92) (tstamp 5BD11C07)
(at 123.5 158.9 180)
(path /53A8C780/53A8D5C2)
(attr smd)
(fp_text reference C32 (at 0 -1.5 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.865 0 180) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.865 0 180) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22B5C) (tstamp 5BD11BB1)
(at 80.1 116)
(path /53A9129D/53A8C71C)
(attr smd)
(fp_text reference C26 (at 0 -1.4) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.865 0) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.865 0) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22BE7) (tstamp 5BD11B41)
(at 148.1 115.7 180)
(path /53A8BFC3/53A8C720)
(attr smd)
(fp_text reference C13 (at 2.6 0.05 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.865 0 180) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 72 /power/AUDIO_SVDD))
(pad 2 smd rect (at 0.865 0 180) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22B95) (tstamp 5BD11B21)
(at 158.5 106.7 90)
(path /53A8BFC3/53A8C72C)
(attr smd)
(fp_text reference C11 (at 0.8 1.9 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.865 0 90) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.865 0 90) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22BE3) (tstamp 5BD11AF1)
(at 150.75 117.45 270)
(path /53A8BFC3/53A8C70E)
(attr smd)
(fp_text reference C7 (at 1.25 1.4 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.865 0 270) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.865 0 270) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22C24) (tstamp 5BD11B61)
(at 171.35 126.95)
(path /58CFF3E3/58F1B1AB)
(attr smd)
(fp_text reference C15 (at -0.05 1.45) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 4U7 (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.865 0) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 118 /power/BBAT))
(pad 2 smd rect (at 0.865 0) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC160X80X90L35N (layer 4_bot) (tedit 5BD22B77) (tstamp 5BD11C73)
(at 143.8 105.8 180)
(path /58CFF3E3/58CFF945)
(attr smd)
(fp_text reference C39 (at 0.05 1.2 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.145 0.46) (end -0.145 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.145 -0.46) (end 0.145 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.745 0 180) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.745 0 180) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 48 "Net-(C39-Pad1)"))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC160X80X90L35N (layer 4_bot) (tedit 5BD22B92) (tstamp 5BD11B31)
(at 156.8 106.2 270)
(path /53A8BFC3/53A8C6F0)
(attr smd)
(fp_text reference C12 (at -3 0 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 2U2 (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.145 0.46) (end -0.145 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.145 -0.46) (end 0.145 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.745 0 270) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 77 /audio/REGFIL))
(pad 1 smd rect (at -0.745 0 270) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC160X80X90L35N (layer 4_bot) (tedit 5BD22B8E) (tstamp 5BD11B11)
(at 155.3 106.2 270)
(path /53A8BFC3/53A8C68D)
(attr smd)
(fp_text reference C10 (at -3 0 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 2U2 (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.145 -0.46) (end 0.145 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start 0.145 0.46) (end -0.145 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.745 0 270) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 2 smd rect (at 0.745 0 270) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 76 /audio/VCOM))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC160X80X90L35N (layer 4_bot) (tedit 5BD22BA7) (tstamp 5BD11B01)
(at 149.9 108.4 90)
(path /53A8BFC3/53A8C6F6)
(attr smd)
(fp_text reference C8 (at 2.05 0.8 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 2U2 (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.145 -0.46) (end 0.145 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start 0.145 0.46) (end -0.145 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.745 0 90) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 75 /audio/VEE))
(pad 2 smd rect (at 0.745 0 90) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC160X80X90L35N (layer 4_bot) (tedit 5BD22B8A) (tstamp 5BD11AE1)
(at 151.9 106.4 90)
(path /53A8BFC3/53A8C687)
(attr smd)
(fp_text reference C6 (at 2.05 0 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 2U2 (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.145 0.46) (end -0.145 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.145 -0.46) (end 0.145 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.745 0 90) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 74 /audio/CN))
(pad 1 smd rect (at -0.745 0 90) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 73 /audio/CP))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC160X80X90L35N (layer 4_bot) (tedit 5BD22BA2) (tstamp 5BD11B91)
(at 148.4 108.4 90)
(path /53A8BFC3/53A8C284)
(attr smd)
(fp_text reference C23 (at 2.3 1.45 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 220N (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.145 0.46) (end -0.145 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.145 -0.46) (end 0.145 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.745 0 90) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 78 "Net-(C23-Pad2)"))
(pad 1 smd rect (at -0.745 0 90) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 2 /audio/RHPOUT))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC160X80X90L35N (layer 4_bot) (tedit 5BD22B9F) (tstamp 5BD11B81)
(at 146.05 108.4 90)
(path /53A8BFC3/53A8C27E)
(attr smd)
(fp_text reference C22 (at -2.3 0.3 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 220N (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.145 -0.46) (end 0.145 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start 0.145 0.46) (end -0.145 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.745 0 90) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 1 /audio/LHPOUT))
(pad 2 smd rect (at 0.745 0 90) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 92 "Net-(C22-Pad2)"))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC160X80X90L35N (layer 4_bot) (tedit 5B60D757) (tstamp 5BD11BA1)
(at 161 108.7)
(path /53A8BFC3/53A8C2A2)
(attr smd)
(fp_text reference C25 (at 0.1 -1.2) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 1U (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.145 -0.46) (end 0.145 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start 0.145 0.46) (end -0.145 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.745 0) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 79 "Net-(C25-Pad1)"))
(pad 2 smd rect (at 0.745 0) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 90 /audio/MIC))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC160X80X90L35N (layer 4_bot) (tedit 5BD22CF9) (tstamp 5BD11B51)
(at 97.2 148.5 270)
(path /53A9129D/58D0DFA2)
(attr smd)
(fp_text reference C14 (at 0 -1.7 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 1U (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.145 -0.46) (end 0.145 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start 0.145 0.46) (end -0.145 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.745 0 270) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.745 0 270) (size 0.84 0.93) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module tp:TP_1MM (layer 4_bot) (tedit 58EEF4F3) (tstamp 58EDBFF5)
(at 157 112.4)
(path /53A8BFC3/58EDDD10)
(fp_text reference TP6 (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value TP (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 1 1) (layers 4_bot B.Mask)
(net 98 "Net-(TP6-Pad1)"))
)
(module tp:TP_1MM (layer 4_bot) (tedit 58EEF4EE) (tstamp 58EDBFF0)
(at 158.2 112)
(path /53A8BFC3/58EDDCA8)
(fp_text reference TP5 (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value TP (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 1 1) (layers 4_bot B.Mask)
(net 97 "Net-(TP5-Pad1)"))
)
(module tp:TP_1MM (layer 4_bot) (tedit 58EEF4EA) (tstamp 58EDBFEB)
(at 159.4 111.6)
(path /53A8BFC3/58EDDC3F)
(fp_text reference TP4 (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value TP (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 1 1) (layers 4_bot B.Mask)
(net 96 "Net-(TP4-Pad1)"))
)
(module tp:TP_1MM (layer 4_bot) (tedit 58EEF4E6) (tstamp 58EDBFE6)
(at 158.8 109)
(path /53A8BFC3/58EDDB69)
(fp_text reference TP3 (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value TP (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 1 1) (layers 4_bot B.Mask)
(net 95 "Net-(TP3-Pad1)"))
)
(module tp:TP_1MM (layer 4_bot) (tedit 58EEF4E2) (tstamp 58EDBFE1)
(at 157.6 109)
(path /53A8BFC3/58EDDAEE)
(fp_text reference TP2 (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value TP (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 1 1) (layers 4_bot B.Mask)
(net 94 "Net-(TP2-Pad1)"))
)
(module tp:TP_1MM (layer 4_bot) (tedit 58EEF4DE) (tstamp 58EDBFDC)
(at 156.4 108.5)
(path /53A8BFC3/58EDDA01)
(fp_text reference TP1 (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value TP (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 1 1) (layers 4_bot B.Mask)
(net 93 "Net-(TP1-Pad1)"))
)
(module ipc_resc:IPC_RESC160X80X55L25N (layer 4_bot) (tedit 5BD22B80) (tstamp 5BD11D61)
(at 148.4 105.4 90)
(path /53A8BFC3/53A8C26A)
(attr smd)
(fp_text reference R12 (at 2.35 0 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 33R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.245 0.46) (end -0.245 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.245 -0.46) (end 0.245 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.795 0 90) (size 0.74 0.93) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.795 0 90) (size 0.74 0.93) (layers 4_bot B.Paste B.Mask)
(net 78 "Net-(C23-Pad2)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC160X80X55L25N (layer 4_bot) (tedit 5BD22B7C) (tstamp 5BD11D51)
(at 146.05 105.4 90)
(path /53A8BFC3/53A8C264)
(attr smd)
(fp_text reference R11 (at 2.35 0 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 33R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.245 -0.46) (end 0.245 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start 0.245 0.46) (end -0.245 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -1.365 0.665) (end 1.365 0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 0.665) (end 1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.365 -0.665) (end -1.365 -0.665) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.365 -0.665) (end -1.365 0.665) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.795 0 90) (size 0.74 0.93) (layers 4_bot B.Paste B.Mask)
(net 92 "Net-(C22-Pad2)"))
(pad 2 smd rect (at 0.795 0 90) (size 0.74 0.93) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module fiducial:FIDUCIAL_65MIL (layer 4_bot) (tedit 53B2E496) (tstamp 53B2EF40)
(at 64.2 161.2)
(path /53B30CEC)
(solder_mask_margin 2.99974)
(fp_text reference FID3 (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value FIDUCIAL (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror))
)
(pad "" smd circle (at 0 0) (size 1.651 1.651) (layers 4_bot B.Mask)
(solder_mask_margin 0.8255) (clearance 1.0795))
)
(module fiducial:FIDUCIAL_65MIL (layer 4_bot) (tedit 53B2E496) (tstamp 53B2EF3B)
(at 168.8 104.2)
(path /53B30B4C)
(solder_mask_margin 2.99974)
(fp_text reference FID2 (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value FIDUCIAL (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror))
)
(pad "" smd circle (at 0 0) (size 1.651 1.651) (layers 4_bot B.Mask)
(solder_mask_margin 0.8255) (clearance 1.0795))
)
(module fiducial:FIDUCIAL_65MIL (layer 4_bot) (tedit 53B2E496) (tstamp 53B2EF36)
(at 71.2 104.2)
(path /53B309AC)
(solder_mask_margin 2.99974)
(fp_text reference FID1 (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value FIDUCIAL (at 0 0) (layer B.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror))
)
(pad "" smd circle (at 0 0) (size 1.651 1.651) (layers 4_bot B.Mask)
(solder_mask_margin 0.8255) (clearance 1.0795))
)
(module hole:HOLE_3200UM_VIAS locked (layer 1_top) (tedit 5BD2303B) (tstamp 53AA27FC)
(at 64 104)
(path /5369BC14)
(fp_text reference H5 (at 0 0) (layer F.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)))
)
(fp_text value HOLE1 (at 0 0) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.1524)))
)
(pad 1 thru_hole circle (at 0 0) (size 5.6 5.6) (drill 3.2) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 0 -2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 0 2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
)
(module hole:HOLE_3200UM_VIAS locked (layer 1_top) (tedit 5BD2304A) (tstamp 53AA27EF)
(at 64 171)
(path /5369BC00)
(fp_text reference H4 (at 0 0) (layer F.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)))
)
(fp_text value HOLE1 (at 0 0) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.1524)))
)
(pad 1 thru_hole circle (at 0 0) (size 5.6 5.6) (drill 3.2) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 0 -2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 0 2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
)
(module hole:HOLE_3200UM_VIAS locked (layer 1_top) (tedit 5BD23045) (tstamp 53AA27E2)
(at 176 171)
(path /5369BBEC)
(fp_text reference H3 (at 0 0) (layer F.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)))
)
(fp_text value HOLE1 (at 0 0) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.1524)))
)
(pad 1 thru_hole circle (at 0 0) (size 5.6 5.6) (drill 3.2) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 0 -2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 0 2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
)
(module hole:HOLE_3200UM_VIAS locked (layer 1_top) (tedit 5BD23040) (tstamp 53AA27D5)
(at 176 104)
(path /5369BBD8)
(fp_text reference H2 (at 0 0) (layer F.SilkS) hide
(effects (font (size 0.6096 0.6096) (thickness 0.12)))
)
(fp_text value HOLE1 (at 0 0) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.1524)))
)
(pad 1 thru_hole circle (at 0 0) (size 5.6 5.6) (drill 3.2) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 0 -2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 0 2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at -1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
(pad 1 thru_hole circle (at 1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask)
(net 47 GND))
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22C03) (tstamp 5BD11A99)
(at 173.65 121.85 90)
(path /58CFF3E3/58F1AC44)
(attr smd)
(fp_text reference C1 (at -0.05 2.85 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 1U (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.865 0 90) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 99 /hackrf_if/VBUS))
(pad 2 smd rect (at 0.865 0 90) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5BD22BFB) (tstamp 5BD11B71)
(at 170.6 120 90)
(path /58CFF3E3/58F1AE50)
(attr smd)
(fp_text reference C16 (at 1 2.35 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 4U7 (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.865 0 90) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.865 0 90) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 72 /power/AUDIO_SVDD))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C16) (tstamp 5BD11D71)
(at 166.8 125.15 90)
(path /58CFF3E3/58F4495E)
(attr smd)
(fp_text reference R13 (at -1.75 -1.6 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10K (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 107 "Net-(R13-Pad2)"))
(pad 1 smd rect (at -0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 72 /power/AUDIO_SVDD))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC200X125X135L45N (layer 4_bot) (tedit 5B60D756) (tstamp 5BD11C25)
(at 114.1 139.9)
(path /53A8C780/53A8D5C8)
(attr smd)
(fp_text reference C34 (at 0 -1.9) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10U (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.565 -0.89) (end -1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 -0.89) (end -1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.565 0.89) (end 1.565 -0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.565 0.89) (end 1.565 0.89) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.185 0.685) (end -0.185 0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -0.185 -0.685) (end 0.185 -0.685) (layer B.SilkS) (width 0.12))
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.025))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.865 0) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.865 0) (size 1 1.38) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_qfp:IPC_QFP65P40_900X900X120L60X18T450N (layer 4_bot) (tedit 5BD749F4) (tstamp 58F11820)
(at 105 139 225)
(path /53A8C780/53A8D11B)
(attr smd)
(fp_text reference U3 (at -4.454773 3.889087 225) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 5M40ZE64 (at 0 0 225) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 225) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.025)) (justify mirror))
)
(fp_poly (pts (xy 1.1 -1.1) (xy 2.1 -1.1) (xy 2.1 -2.1) (xy 1.1 -2.1)) (layer B.Paste) (width 0))
(fp_poly (pts (xy -0.5 -1.1) (xy 0.5 -1.1) (xy 0.5 -2.1) (xy -0.5 -2.1)) (layer B.Paste) (width 0))
(fp_poly (pts (xy -2.1 -1.1) (xy -1.1 -1.1) (xy -1.1 -2.1) (xy -2.1 -2.1)) (layer B.Paste) (width 0))
(fp_poly (pts (xy -2.1 0.5) (xy -1.1 0.5) (xy -1.1 -0.5) (xy -2.1 -0.5)) (layer B.Paste) (width 0))
(fp_poly (pts (xy -0.5 0.5) (xy 0.5 0.5) (xy 0.5 -0.5) (xy -0.5 -0.5)) (layer B.Paste) (width 0))
(fp_poly (pts (xy 1.1 0.5) (xy 2.1 0.5) (xy 2.1 -0.5) (xy 1.1 -0.5)) (layer B.Paste) (width 0))
(fp_poly (pts (xy 1.1 2.1) (xy 2.1 2.1) (xy 2.1 1.1) (xy 1.1 1.1)) (layer B.Paste) (width 0))
(fp_poly (pts (xy -0.5 2.1) (xy 0.5 2.1) (xy 0.5 1.1) (xy -0.5 1.1)) (layer B.Paste) (width 0))
(fp_poly (pts (xy -0.6 0.6) (xy 0.6 0.6) (xy 0.6 -0.6) (xy -0.6 -0.6)) (layer B.Mask) (width 0))
(fp_poly (pts (xy 1 0.6) (xy 2.2 0.6) (xy 2.2 -0.6) (xy 1 -0.6)) (layer B.Mask) (width 0))
(fp_poly (pts (xy 1 -1) (xy 2.2 -1) (xy 2.2 -2.2) (xy 1 -2.2)) (layer B.Mask) (width 0))
(fp_poly (pts (xy -0.6 -1) (xy 0.6 -1) (xy 0.6 -2.2) (xy -0.6 -2.2)) (layer B.Mask) (width 0))
(fp_poly (pts (xy -2.2 -1) (xy -1 -1) (xy -1 -2.2) (xy -2.2 -2.2)) (layer B.Mask) (width 0))
(fp_poly (pts (xy -2.2 0.6) (xy -1 0.6) (xy -1 -0.6) (xy -2.2 -0.6)) (layer B.Mask) (width 0))
(fp_poly (pts (xy 1 2.2) (xy 2.2 2.2) (xy 2.2 1) (xy 1 1)) (layer B.Mask) (width 0))
(fp_poly (pts (xy -0.6 2.2) (xy 0.6 2.2) (xy 0.6 1) (xy -0.6 1)) (layer B.Mask) (width 0))
(fp_poly (pts (xy -2.2 2.2) (xy -1 2.2) (xy -1 1) (xy -2.2 1)) (layer B.Mask) (width 0))
(fp_line (start -3.5 -3.5) (end -3.5 3.5) (layer B.Fab) (width 0.025))
(fp_line (start 3.5 -3.5) (end -3.5 -3.5) (layer B.Fab) (width 0.025))
(fp_line (start 3.5 3.5) (end 3.5 -3.5) (layer B.Fab) (width 0.025))
(fp_line (start -3.5 3.5) (end 3.5 3.5) (layer B.Fab) (width 0.025))
(fp_circle (center -5.33 3) (end -5.205 3) (layer B.SilkS) (width 0.25))
(fp_line (start -3.3 3.560001) (end -3.56 3.56) (layer B.SilkS) (width 0.12))
(fp_line (start 3.56 3.56) (end 3.3 3.560001) (layer B.SilkS) (width 0.12))
(fp_line (start 3.560001 3.3) (end 3.56 3.56) (layer B.SilkS) (width 0.12))
(fp_line (start 3.56 -3.56) (end 3.560001 -3.3) (layer B.SilkS) (width 0.12))
(fp_line (start 3.3 -3.560001) (end 3.56 -3.56) (layer B.SilkS) (width 0.12))
(fp_line (start -3.56 -3.56) (end -3.3 -3.560001) (layer B.SilkS) (width 0.12))
(fp_line (start -3.560001 -3.3) (end -3.56 -3.56) (layer B.SilkS) (width 0.12))
(fp_line (start -3.56 3.56) (end -3.560001 3.3) (layer B.SilkS) (width 0.12))
(fp_line (start -5.21 -5.21) (end -5.21 5.21) (layer B.CrtYd) (width 0.05))
(fp_line (start 5.21 -5.21) (end -5.21 -5.21) (layer B.CrtYd) (width 0.05))
(fp_line (start 5.21 5.21) (end 5.21 -5.21) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.21 5.21) (end 5.21 5.21) (layer B.CrtYd) (width 0.05))
(fp_poly (pts (xy -2.1 2.1) (xy -1.1 2.1) (xy -1.1 1.1) (xy -2.1 1.1)) (layer B.Paste) (width 0))
(pad 1 smd rect (at -4.18 3 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 42 /hackrf_if/TP_D))
(pad 2 smd rect (at -4.18 2.6 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 43 /hackrf_if/TP_L))
(pad 3 smd rect (at -4.18 2.2 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 45 /hackrf_if/TP_U))
(pad 4 smd rect (at -4.18 1.8 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 80 /hackrf_if/AUDIO_RESET#))
(pad 5 smd rect (at -4.18 1.4 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 126 /hackrf_if/REF_EN))
(pad 6 smd rect (at -4.18 1 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 7 smd rect (at -4.18 0.6 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 133 /hackrf_if/GPS_RESET#))
(pad 8 smd rect (at -4.18 0.2 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 9 smd rect (at -4.18 -0.2 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 37 /hackrf_if/SW_R))
(pad 10 smd rect (at -4.18 -0.6 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 35 /hackrf_if/SW_D))
(pad 11 smd rect (at -4.18 -1 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 38 /hackrf_if/SW_ROT_A))
(pad 12 smd rect (at -4.18 -1.4 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 39 /hackrf_if/SW_ROT_B))
(pad 13 smd rect (at -4.18 -1.8 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 40 /hackrf_if/SW_SEL))
(pad 14 smd rect (at -4.18 -2.2 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 63 /hackrf_if/PP_CPLD_TMS))
(pad 15 smd rect (at -4.18 -2.6 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 85 /hackrf_if/I2S0_RX_SDA))
(pad 16 smd rect (at -4.18 -3 315) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 65 /hackrf_if/H1_CPLD_TCK))
(pad 17 smd rect (at -3 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 64 /hackrf_if/PP_CPLD_TDO))
(pad 18 smd rect (at -2.6 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 55 /hackrf_if/MCU_D7))
(pad 19 smd rect (at -2.2 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 56 /hackrf_if/MCU_D6))
(pad 20 smd rect (at -1.8 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 54 /hackrf_if/MCU_D4))
(pad 21 smd rect (at -1.4 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 53 /hackrf_if/MCU_D5))
(pad 22 smd rect (at -1 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 51 /hackrf_if/MCU_D3))
(pad 23 smd rect (at -0.6 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 24 smd rect (at -0.2 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 52 /hackrf_if/MCU_D2))
(pad 25 smd rect (at 0.2 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 41 /hackrf_if/SW_U))
(pad 26 smd rect (at 0.6 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 50 /hackrf_if/MCU_D0))
(pad 27 smd rect (at 1 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 49 /hackrf_if/MCU_D1))
(pad 28 smd rect (at 1.4 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 36 /hackrf_if/SW_L))
(pad 29 smd rect (at 1.8 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 113 /hackrf_if/RESET#))
(pad 30 smd rect (at 2.2 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 58 /hackrf_if/MCU_LCD_RD))
(pad 31 smd rect (at 2.6 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 57 /hackrf_if/MCU_LCD_TE))
(pad 32 smd rect (at 3 -4.18 225) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 59 /hackrf_if/MCU_IO_STBX))
(pad 33 smd rect (at 4.18 -3 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 60 /hackrf_if/MCU_ADDR))
(pad 34 smd rect (at 4.18 -2.6 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 27 /hackrf_if/P2_8))
(pad 35 smd rect (at 4.18 -2.2 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 138 /hackrf_if/GPS_TIMEPULSE))
(pad 36 smd rect (at 4.18 -1.8 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 139 /hackrf_if/GPS_TX_READY))
(pad 37 smd rect (at 4.18 -1.4 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 5 /hackrf_if/LCD_BACKLIGHT))
(pad 38 smd rect (at 4.18 -1 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 23 /hackrf_if/LCD_RESET#))
(pad 39 smd rect (at 4.18 -0.6 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 40 smd rect (at 4.18 -0.2 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 62 /hackrf_if/MCU_LCD_WR))
(pad 41 smd rect (at 4.18 0.2 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 42 smd rect (at 4.18 0.6 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 61 /hackrf_if/MCU_DIR))
(pad 43 smd rect (at 4.18 1 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 13 /hackrf_if/LCD_DB15))
(pad 44 smd rect (at 4.18 1.4 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 12 /hackrf_if/LCD_DB14))
(pad 45 smd rect (at 4.18 1.8 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 11 /hackrf_if/LCD_DB13))
(pad 46 smd rect (at 4.18 2.2 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 10 /hackrf_if/LCD_DB12))
(pad 47 smd rect (at 4.18 2.6 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 9 /hackrf_if/LCD_DB11))
(pad 48 smd rect (at 4.18 3 135) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 8 /hackrf_if/LCD_DB10))
(pad 49 smd rect (at 3 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 21 /hackrf_if/LCD_DB9))
(pad 50 smd rect (at 2.6 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 20 /hackrf_if/LCD_DB8))
(pad 51 smd rect (at 2.2 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 19 /hackrf_if/LCD_DB7))
(pad 52 smd rect (at 1.8 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 18 /hackrf_if/LCD_DB6))
(pad 53 smd rect (at 1.4 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 17 /hackrf_if/LCD_DB5))
(pad 54 smd rect (at 1 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 16 /hackrf_if/LCD_DB4))
(pad 55 smd rect (at 0.6 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 15 /hackrf_if/LCD_DB3))
(pad 56 smd rect (at 0.2 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 14 /hackrf_if/LCD_DB2))
(pad 57 smd rect (at -0.2 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 122 +1V8))
(pad 58 smd rect (at -0.6 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 7 /hackrf_if/LCD_DB1))
(pad 59 smd rect (at -1 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 6 /hackrf_if/LCD_DB0))
(pad 60 smd rect (at -1.4 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 22 /hackrf_if/LCD_RD#))
(pad 61 smd rect (at -1.8 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 26 /hackrf_if/LCD_WR#))
(pad 62 smd rect (at -2.2 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 24 /hackrf_if/LCD_RS))
(pad 63 smd rect (at -2.6 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 25 /hackrf_if/LCD_TE))
(pad 64 smd rect (at -3 4.18 45) (size 0.2 1.56) (layers 4_bot B.Paste B.Mask)
(net 44 /hackrf_if/TP_R))
(pad 65 smd rect (at 0 0 225) (size 4.5 4.5) (layers 4_bot)
(net 47 GND))
(pad 65 thru_hole circle (at 0.8 0.8 225) (size 0.5 0.5) (drill 0.3048) (layers *.Cu F.Mask)
(net 47 GND) (zone_connect 2))
(pad 65 thru_hole circle (at 0.8 -0.8 225) (size 0.5 0.5) (drill 0.3048) (layers *.Cu F.Mask)
(net 47 GND) (zone_connect 2))
(pad 65 thru_hole circle (at -0.8 -0.8 225) (size 0.5 0.5) (drill 0.3048) (layers *.Cu F.Mask)
(net 47 GND) (zone_connect 2))
(pad 65 thru_hole circle (at -0.8 0.8 225) (size 0.5 0.5) (drill 0.3048) (layers *.Cu F.Mask)
(net 47 GND) (zone_connect 2))
(model ${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-64_7x7mm_P0.4mm.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22C57) (tstamp 5B75C8BC)
(at 172.95 162.05 90)
(path /58CFF3E3/5B682C91)
(attr smd)
(fp_text reference C9 (at 3.2 -1.45 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 123 "Net-(C9-Pad1)"))
(pad 2 smd rect (at 0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22C7E) (tstamp 5B6C18C3)
(at 172.45 166.05 180)
(path /58CFF3E3/5B682CB9)
(attr smd)
(fp_text reference C17 (at 0.3 -3.05 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 1N (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 124 "Net-(C17-Pad2)"))
(pad 1 smd rect (at -0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 125 "Net-(C17-Pad1)"))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22C4D) (tstamp 5B75C7E2)
(at 170.2 162.1 90)
(path /58CFF3E3/5B697E1B)
(attr smd)
(fp_text reference C18 (at 1.85 0 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_beadc:IPC_BEADC160X80X95L40N (layer 4_bot) (tedit 5BD22C52) (tstamp 5B75C82F)
(at 171.8 161.6 270)
(path /58CFF3E3/5B682CCB)
(attr smd)
(fp_text reference FB2 (at -3 1.15 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value FBEAD (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.055 -0.46) (end 0.055 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start 0.055 0.46) (end -0.055 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -1.385 0.685) (end 1.385 0.685) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.385 0.685) (end 1.385 -0.685) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.385 -0.685) (end -1.385 -0.685) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.385 -0.685) (end -1.385 0.685) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.71 0 270) (size 0.95 0.97) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.71 0 270) (size 0.95 0.97) (layers 4_bot B.Paste B.Mask)
(net 123 "Net-(C9-Pad1)"))
(model ${KISYS3DMOD}/Inductor_SMD.3dshapes/L_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C5B) (tstamp 5B6C1903)
(at 174.3 161.25)
(path /58CFF3E3/5B6E2EB4)
(attr smd)
(fp_text reference R15 (at 1.35 -0.9) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10K (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 126 /hackrf_if/REF_EN))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C5E) (tstamp 5B75C883)
(at 176.1 161.25)
(path /58CFF3E3/5B6D148B)
(attr smd)
(fp_text reference R16 (at 1.55 -0.9) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10K (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 126 /hackrf_if/REF_EN))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C79) (tstamp 5B6C3AC6)
(at 170.65 166.05)
(path /58CFF3E3/5B682CA9)
(attr smd)
(fp_text reference R17 (at -0.55 3.05) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 1M (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 127 "Net-(R17-Pad1)"))
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 124 "Net-(C17-Pad2)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C83) (tstamp 5B6C1930)
(at 172.45 166.95)
(path /58CFF3E3/5B682CD3)
(attr smd)
(fp_text reference R21 (at -0.35 3) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100R (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 70 /hackrf_if/CLKIN))
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 125 "Net-(C17-Pad1)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22C88) (tstamp 5B6C39D3)
(at 170.65 166.95 180)
(path /58CFF3E3/5B682CA2)
(attr smd)
(fp_text reference R22 (at 0.55 -3 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 33R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 127 "Net-(R17-Pad1)"))
(pad 1 smd rect (at -0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 70 /hackrf_if/CLKIN))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_sot:IPC_SOT23-5P65_212X110L33X22N (layer 4_bot) (tedit 5BD22FC7) (tstamp 5B6BABEA)
(at 171.4 164.3 180)
(path /58CFF3E3/5B682CC3)
(attr smd)
(fp_text reference U7 (at 0 -3.9 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 74HC1G04GW (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.625 1.025) (end 0.625 1.025) (layer B.Fab) (width 0.025))
(fp_line (start 0.625 1.025) (end 0.625 -1.025) (layer B.Fab) (width 0.025))
(fp_line (start 0.625 -1.025) (end -0.625 -1.025) (layer B.Fab) (width 0.025))
(fp_line (start -0.625 -1.025) (end -0.625 1.025) (layer B.Fab) (width 0.025))
(fp_line (start -0.685 1.085) (end -0.685 1.02) (layer B.SilkS) (width 0.12))
(fp_line (start -0.685 -1.02) (end -0.685 -1.085) (layer B.SilkS) (width 0.12))
(fp_line (start -0.685 -1.085) (end 0.685 -1.085) (layer B.SilkS) (width 0.12))
(fp_line (start 0.685 -1.085) (end 0.685 -1.02) (layer B.SilkS) (width 0.12))
(fp_line (start 0.685 -0.28) (end 0.685 0.28) (layer B.SilkS) (width 0.12))
(fp_line (start 0.685 1.02) (end 0.685 1.085) (layer B.SilkS) (width 0.12))
(fp_line (start 0.685 1.085) (end -0.685 1.085) (layer B.SilkS) (width 0.12))
(fp_line (start -1.635 1.275) (end 1.635 1.275) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.635 1.275) (end 1.635 -1.275) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.635 -1.275) (end -1.635 -1.275) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.635 -1.275) (end -1.635 1.275) (layer B.CrtYd) (width 0.05))
(fp_circle (center -1.115 1.33) (end -0.99 1.33) (layer B.SilkS) (width 0.25))
(pad 1 smd rect (at -0.925 0.65 270) (size 0.38 0.92) (layers 4_bot B.Paste B.Mask))
(pad 2 smd rect (at -0.925 0 270) (size 0.38 0.92) (layers 4_bot B.Paste B.Mask)
(net 124 "Net-(C17-Pad2)"))
(pad 3 smd rect (at -0.925 -0.65 270) (size 0.38 0.92) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 4 smd rect (at 0.925 -0.65 90) (size 0.38 0.92) (layers 4_bot B.Paste B.Mask)
(net 127 "Net-(R17-Pad1)"))
(pad 5 smd rect (at 0.925 0.65 90) (size 0.38 0.92) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22CC8) (tstamp 5B7883B5)
(at 75.65 170.45 180)
(path /5B7E0B2A/5B7E0D14)
(attr smd)
(fp_text reference C19 (at -0.95 -0.95 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10N (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at -0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 128 "Net-(C19-Pad1)"))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_capc:IPC_CAPC100X50X55L25N (layer 4_bot) (tedit 5BD22CD6) (tstamp 5B78702B)
(at 77.65 155.6)
(path /5B7E0B2A/5B7E512B)
(attr smd)
(fp_text reference C20 (at 0 -1.4) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100N (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_beadc:IPC_BEADC160X80X95L40N (layer 4_bot) (tedit 5BD22C99) (tstamp 5B788D2D)
(at 135.95 171.8 180)
(path /5B7E0B2A/5B7E9718)
(attr smd)
(fp_text reference FB1 (at 0 1.2 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value FBEAD (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -1.385 -0.685) (end -1.385 0.685) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.385 -0.685) (end -1.385 -0.685) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.385 0.685) (end 1.385 -0.685) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.385 0.685) (end 1.385 0.685) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.055 0.46) (end -0.055 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.055 -0.46) (end 0.055 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.71 0 180) (size 0.95 0.97) (layers 4_bot B.Paste B.Mask)
(net 129 "Net-(FB1-Pad2)"))
(pad 1 smd rect (at -0.71 0 180) (size 0.95 0.97) (layers 4_bot B.Paste B.Mask)
(net 4 /audio/SDA))
(model ${KISYS3DMOD}/Inductor_SMD.3dshapes/L_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_beadc:IPC_BEADC160X80X95L40N (layer 4_bot) (tedit 5BD22C9D) (tstamp 5B78990B)
(at 133.15 171.2 180)
(path /5B7E0B2A/5B7E9798)
(attr smd)
(fp_text reference FB3 (at 0.05 1.2 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value FBEAD (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.45 0.45) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.025))
(fp_line (start -0.055 -0.46) (end 0.055 -0.46) (layer B.SilkS) (width 0.12))
(fp_line (start 0.055 0.46) (end -0.055 0.46) (layer B.SilkS) (width 0.12))
(fp_line (start -1.385 0.685) (end 1.385 0.685) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.385 0.685) (end 1.385 -0.685) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.385 -0.685) (end -1.385 -0.685) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.385 -0.685) (end -1.385 0.685) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.71 0 180) (size 0.95 0.97) (layers 4_bot B.Paste B.Mask)
(net 3 /audio/SCL))
(pad 2 smd rect (at 0.71 0 180) (size 0.95 0.97) (layers 4_bot B.Paste B.Mask)
(net 130 "Net-(FB3-Pad2)"))
(model ${KISYS3DMOD}/Inductor_SMD.3dshapes/L_0603_1608Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_indc:IPC_INDC100X50X60L20N (layer 4_bot) (tedit 5BD22CC0) (tstamp 5B7AFBF8)
(at 76.6 169.55 180)
(path /5B7E0B2A/5B7E0E02)
(attr smd)
(fp_text reference L1 (at -1 -0.95 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 27N (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.035 -0.31) (end 0.035 -0.31) (layer B.SilkS) (width 0.12))
(fp_line (start 0.035 0.31) (end -0.035 0.31) (layer B.SilkS) (width 0.12))
(fp_line (start -0.915 0.455) (end 0.915 0.455) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.915 0.455) (end 0.915 -0.455) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.915 -0.455) (end -0.915 -0.455) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.915 -0.455) (end -0.915 0.455) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.49 0 180) (size 0.55 0.61) (layers 4_bot B.Paste B.Mask)
(net 131 "Net-(L1-Pad1)"))
(pad 2 smd rect (at 0.49 0 180) (size 0.55 0.61) (layers 4_bot B.Paste B.Mask)
(net 128 "Net-(C19-Pad1)"))
(model ${KISYS3DMOD}/Inductor_SMD.3dshapes/L_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module amp_te:1909763-1 (layer 4_bot) (tedit 5BD23017) (tstamp 5B7AFCBE)
(at 81.4 169.55 90)
(descr http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=1909763&DocType=Customer+Drawing&DocLang=English)
(tags "AMP TE U.FL RF Connector")
(path /5B7E0B2A/5B78522B)
(fp_text reference P1 (at 0 2.1 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value CONN_COAX (at 0 0 90) (layer B.Fab) hide
(effects (font (size 0.5 0.5) (thickness 0.025)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6 0.6) (thickness 0.025)) (justify mirror))
)
(fp_circle (center 0 0) (end 1 0) (layer B.Fab) (width 0.025))
(fp_line (start -1.3 1.3) (end 0.9 1.3) (layer B.Fab) (width 0.025))
(fp_line (start 0.9 1.3) (end 1.3 0.9) (layer B.Fab) (width 0.025))
(fp_line (start 1.3 0.9) (end 1.3 -1.3) (layer B.Fab) (width 0.025))
(fp_line (start 1.3 -1.3) (end -1.3 -1.3) (layer B.Fab) (width 0.025))
(fp_line (start -1.3 -1.3) (end -1.3 1.3) (layer B.Fab) (width 0.025))
(fp_line (start -2.25 1.55) (end 2.25 1.55) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.25 1.55) (end 2.25 -2.3) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.25 -2.3) (end -2.25 -2.3) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.25 -2.3) (end -2.25 1.55) (layer B.CrtYd) (width 0.05))
(pad 2 smd rect (at -1.475 0 90) (size 1.05 2.2) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 2 smd rect (at 1.475 0 90) (size 1.05 2.2) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(pad 1 smd rect (at 0 -1.525 90) (size 1 1.05) (layers 4_bot B.Paste B.Mask)
(net 131 "Net-(L1-Pad1)"))
(model ${KISBLIB}/amp_te.pretty/c-1909763-1-b-3d.stp
(offset (xyz 0 0 1.22))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22CD2) (tstamp 5B7AF3F2)
(at 75.45 155.6)
(path /5B7E0B2A/5B7ED5AD)
(attr smd)
(fp_text reference R23 (at 0 -1.4) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 0R (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 121 +3V3))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 132 "Net-(R23-Pad1)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22CAA) (tstamp 5B78708E)
(at 111.7 158.95 180)
(path /5B7E0B2A/5B7EC300)
(attr smd)
(fp_text reference R24 (at -0.1 0.95 180) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 0R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 132 "Net-(R23-Pad1)"))
(pad 1 smd rect (at -0.46 0 180) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 46 /hackrf_if/VBAT))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22CDA) (tstamp 5B787677)
(at 79.55 157.3 90)
(path /5B7E0B2A/5B7E62C8)
(attr smd)
(fp_text reference R25 (at 0 0.95 90) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 100K (at 0 0 90) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 133 /hackrf_if/GPS_RESET#))
(pad 2 smd rect (at 0.46 0 90) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 47 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module ipc_resc:IPC_RESC100X50X40L25N (layer 4_bot) (tedit 5BD22CC4) (tstamp 5B7884EB)
(at 74.75 169.55)
(path /5B7E0B2A/5B78A3E5)
(attr smd)
(fp_text reference R26 (at -1.85 0) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value 10R (at 0 0) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_line (start -0.9 -0.44) (end -0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 -0.44) (end -0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.9 0.44) (end 0.9 -0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.9 0.44) (end 0.9 0.44) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 -0.25) (end -0.5 0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 -0.25) (end -0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start 0.5 0.25) (end 0.5 -0.25) (layer B.Fab) (width 0.025))
(fp_line (start -0.5 0.25) (end 0.5 0.25) (layer B.Fab) (width 0.025))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.3 0.3) (thickness 0.025)) (justify mirror))
)
(pad 2 smd rect (at 0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 128 "Net-(C19-Pad1)"))
(pad 1 smd rect (at -0.46 0) (size 0.58 0.58) (layers 4_bot B.Paste B.Mask)
(net 134 "Net-(R26-Pad1)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module tp:TP_1MM (layer 4_bot) (tedit 58EEF29F) (tstamp 5B7870B1)
(at 67.5 165.95 270)
(path /5B7E0B2A/5B7E1777)
(fp_text reference TP7 (at 0 1.1 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value TP (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(pad 1 smd circle (at 0 0 270) (size 1 1) (layers 4_bot B.Mask)
(net 135 "Net-(TP7-Pad1)"))
)
(module tp:TP_1MM (layer 4_bot) (tedit 58EEF29F) (tstamp 5B7870B6)
(at 67.5 161.15 270)
(path /5B7E0B2A/5B7E20D6)
(fp_text reference TP8 (at 1.5 0 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value TP (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(pad 1 smd circle (at 0 0 270) (size 1 1) (layers 4_bot B.Mask)
(net 136 "Net-(TP8-Pad1)"))
)
(module tp:TP_1MM (layer 4_bot) (tedit 58EEF29F) (tstamp 5B78952C)
(at 67.5 159.15 270)
(path /5B7E0B2A/5B7E27BE)
(fp_text reference TP9 (at 0 1.1 270) (layer B.SilkS)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(fp_text value TP (at 0 0 270) (layer B.Fab)
(effects (font (size 0.6096 0.6096) (thickness 0.12)) (justify mirror))
)
(pad 1 smd circle (at 0 0 270) (size 1 1) (layers 4_bot B.Mask)
(net 137 "Net-(TP9-Pad1)"))
)
(gr_text "Drills are in a single .drl file, which includes both PTH and NPTH." (at 20 61) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.1)) (justify left))
)
(gr_text "Dimensions in inches, unless otherwise specified." (at 205 168) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.1)) (justify left))
)
(gr_text "Notes, unless otherwise specified:\n\n1. Corner radii, inside: .047 min, unless otherwise stated.\n Corner radii, outside: break all sharp edges and corners.\n\n2. Tolerances:\n Warpage: .010/inch max along longest diag.\n Etching: +/-20% of master pattern.\n\n PTH hole diameter:\n < .100 +/- .003\n > .100 +/- .005\n < .016 + .003, -(hole diameter)\n NPTH hole diameter:\n < .100 +/- .002\n > .100 +/- .003\n\n Front-to-back reg: .005 max.\n (Do not use top-to-bottom pad alignment.)\n Hole plating: .001 min, .003 max.\n Hole diameters are finished sizes.\n\n3. Material: glass-epoxy resin sheet. Refer to board\n stackup for weight of Cu. 2 sides, flame-retardant.\n NEMA grade high temp FR4, TG requirement >= 150C.\n\n4. Finish: Soldermask over bare copper using LPI mask.\n Mask thickness: .0004 min, .0013 max.\n Color: Blue\n\n5. Silkscreen legend to board using non-conductive,\n epoxy paint. Remove silkscreen from pads.\n Color: White\n\n6. Acceptability based on IPC-A-600 class 2 (latest revision).\n\n7. Test all boards for opens and shorts.\n\n8. No silkscreen on pads or test points.\n\n9. Do not block mask fine pitch SMD pads.\n\n10. No solder mask on fiducials.\n\n11. No solder mask may cover any gold fingers, if applicable.\n\n12. Do not use top-to-bottom pad alignment.\n Via alignment is recommended.\n\n13. Do not modify solder mask via flooding design.\n Do not modify solder mask chimney designs for test points.\n\n14. No Gerber modifications allowed without prior written\n approval, except for impedance trace width modifications\n to meet requirements, and the addition of tear drops where\n required.\n\n15. Raw material and finished PCB must be RoHS-compliant." (at 200 90) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.1)) (justify left))
)
(dimension 75 (width 0.1) (layer Dwgs.User)
(gr_text "75.000 mm" (at 53.65 137.5 90) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.1)))
)
(feature1 (pts (xy 60 100) (xy 52.3 100)))
(feature2 (pts (xy 60 175) (xy 52.3 175)))
(crossbar (pts (xy 55 175) (xy 55 100)))
(arrow1a (pts (xy 55 100) (xy 55.586421 101.126504)))
(arrow1b (pts (xy 55 100) (xy 54.413579 101.126504)))
(arrow2a (pts (xy 55 175) (xy 55.586421 173.873496)))
(arrow2b (pts (xy 55 175) (xy 54.413579 173.873496)))
)
(dimension 120 (width 0.1) (layer Dwgs.User)
(gr_text "120.000 mm" (at 120 93.65) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.1)))
)
(feature1 (pts (xy 180 100) (xy 180 92.3)))
(feature2 (pts (xy 60 100) (xy 60 92.3)))
(crossbar (pts (xy 60 95) (xy 180 95)))
(arrow1a (pts (xy 180 95) (xy 178.873496 95.586421)))
(arrow1b (pts (xy 180 95) (xy 178.873496 94.413579)))
(arrow2a (pts (xy 60 95) (xy 61.126504 95.586421)))
(arrow2b (pts (xy 60 95) (xy 61.126504 94.413579)))
)
(gr_line (start 90.5 25) (end 101.5 25) (layer Dwgs.User) (width 0.1))
(gr_line (start 45 25) (end 54 25) (layer Dwgs.User) (width 0.1))
(gr_line (start 28 25) (end 33 25) (layer Dwgs.User) (width 0.1))
(gr_line (start 20 25) (end 24 25) (layer Dwgs.User) (width 0.1))
(gr_text "1.6 mm +/- 10%\nBoard Thickness" (at 107.5 41) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.1)) (justify left))
)
(gr_line (start 105 49) (end 105.5 47.5) (layer Dwgs.User) (width 0.1))
(gr_line (start 105 49) (end 104.5 47.5) (layer Dwgs.User) (width 0.1))
(gr_line (start 105 33) (end 105.5 34.5) (layer Dwgs.User) (width 0.1))
(gr_line (start 105 33) (end 104.5 34.5) (layer Dwgs.User) (width 0.1))
(gr_line (start 105 33) (end 105 49) (layer Dwgs.User) (width 0.1))
(gr_line (start 102.5 49) (end 107.5 49) (layer Dwgs.User) (width 0.1))
(gr_line (start 102.5 33) (end 107.5 33) (layer Dwgs.User) (width 0.1))
(gr_line (start 72.5 39.7) (end 72.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A17))
(gr_line (start 72.5 38.7) (end 73.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A16))
(gr_line (start 72.5 39.7) (end 74.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A15))
(gr_line (start 73.5 39.7) (end 75.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A14))
(gr_line (start 76.5 39.7) (end 78.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A13))
(gr_line (start 75.5 39.7) (end 77.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A12))
(gr_line (start 74.5 39.7) (end 76.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A11))
(gr_line (start 72.5 39.7) (end 87.5 39.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A10))
(gr_line (start 72.5 37.7) (end 87.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A0F))
(gr_line (start 81.5 39.7) (end 83.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A0E))
(gr_line (start 80.5 39.7) (end 82.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A0D))
(gr_line (start 79.5 39.7) (end 81.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A0C))
(gr_line (start 78.5 39.7) (end 80.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A0B))
(gr_line (start 77.5 39.7) (end 79.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A0A))
(gr_line (start 87.5 39.7) (end 87.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A09))
(gr_line (start 86.5 39.7) (end 87.5 38.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A08))
(gr_line (start 85.5 39.7) (end 87.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A07))
(gr_line (start 84.5 39.7) (end 86.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A06))
(gr_line (start 83.5 39.7) (end 85.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A05))
(gr_line (start 82.5 39.7) (end 84.5 37.7) (layer Dwgs.User) (width 0.1) (tstamp 55E38A04))
(gr_line (start 86.5 44.3) (end 87.5 43.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 85.5 44.3) (end 87.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 84.5 44.3) (end 86.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 83.5 44.3) (end 85.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 82.5 44.3) (end 84.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 81.5 44.3) (end 83.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 80.5 44.3) (end 82.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 79.5 44.3) (end 81.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 78.5 44.3) (end 80.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 77.5 44.3) (end 79.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 76.5 44.3) (end 78.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 75.5 44.3) (end 77.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 74.5 44.3) (end 76.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 73.5 44.3) (end 75.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 72.5 44.3) (end 72.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 87.5 44.3) (end 87.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 82 35.2) (end 82.5 34.7) (layer Dwgs.User) (width 0.1))
(gr_line (start 81 35.2) (end 82.5 33.7) (layer Dwgs.User) (width 0.1))
(gr_line (start 80 35.2) (end 81.5 33.7) (layer Dwgs.User) (width 0.1))
(gr_line (start 79 35.2) (end 80.5 33.7) (layer Dwgs.User) (width 0.1))
(gr_line (start 78 35.2) (end 79.5 33.7) (layer Dwgs.User) (width 0.1))
(gr_line (start 77.5 34.7) (end 78.5 33.7) (layer Dwgs.User) (width 0.1))
(gr_line (start 82 48.3) (end 82.5 47.8) (layer Dwgs.User) (width 0.1))
(gr_line (start 81 48.3) (end 82.5 46.8) (layer Dwgs.User) (width 0.1))
(gr_line (start 80 48.3) (end 81.5 46.8) (layer Dwgs.User) (width 0.1))
(gr_line (start 79 48.3) (end 80.5 46.8) (layer Dwgs.User) (width 0.1))
(gr_line (start 78 48.3) (end 79.5 46.8) (layer Dwgs.User) (width 0.1))
(gr_line (start 77.5 47.8) (end 78.5 46.8) (layer Dwgs.User) (width 0.1))
(gr_line (start 72.5 44.3) (end 74.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 72.5 43.3) (end 73.5 42.3) (layer Dwgs.User) (width 0.1))
(gr_line (start 72.5 51.2) (end 87.5 51.2) (layer Dwgs.User) (width 0.1) (tstamp 55E38631))
(gr_line (start 72.5 30.8) (end 87.5 30.8) (layer Dwgs.User) (width 0.1) (tstamp 55E385FB))
(gr_line (start 72.5 49) (end 87.5 49) (layer Dwgs.User) (width 0.1) (tstamp 55E38573))
(gr_line (start 77.5 48.3) (end 82.5 48.3) (layer Dwgs.User) (width 0.1) (tstamp 55E3856C))
(gr_line (start 77.5 46.8) (end 77.5 48.3) (layer Dwgs.User) (width 0.1) (tstamp 55E38565))
(gr_line (start 82.5 46.8) (end 82.5 48.3) (layer Dwgs.User) (width 0.1) (tstamp 55E38563))
(gr_line (start 72.5 46.8) (end 87.5 46.8) (layer Dwgs.User) (width 0.1) (tstamp 55E3855C))
(gr_line (start 72.5 44.3) (end 87.5 44.3) (layer Dwgs.User) (width 0.1) (tstamp 55E38536))
(gr_line (start 72.5 42.3) (end 87.5 42.3) (layer Dwgs.User) (width 0.1) (tstamp 55E38531))
(gr_line (start 72.5 33) (end 87.5 33) (layer Dwgs.User) (width 0.1) (tstamp 55E384EF))
(gr_line (start 82.5 33.7) (end 82.5 35.2) (layer Dwgs.User) (width 0.1))
(gr_line (start 77.5 33.7) (end 82.5 33.7) (layer Dwgs.User) (width 0.1))
(gr_line (start 77.5 35.2) (end 77.5 33.7) (layer Dwgs.User) (width 0.1))
(gr_line (start 72.5 35.2) (end 87.5 35.2) (layer Dwgs.User) (width 0.1))
(gr_text "Material\n\n\nWhite\nBlue\n1.0 oz Copper + ENIG\nFR-4 TG150\n1.0 oz Copper\nFR-4 TG150\n1.0 oz Copper\nFR-4 TG150\n1.0 oz Copper + ENIG\nBlue\nWhite\n\nCenter line is finished edge\n" (at 45 40) (layer Dwgs.User) (tstamp 55E3838A)
(effects (font (size 1.5 1.5) (thickness 0.1)) (justify left))
)
(gr_text "Thickness\n\n\n\n0.8 mil\n35 um\n110 um\n35 um\n\n35 um\n110 um\n35 um\n0.8 mil\n\n\n\n" (at 101 40) (layer Dwgs.User) (tstamp 55E381F6)
(effects (font (size 1.5 1.5) (thickness 0.1)) (justify right))
)
(gr_text "Type\n\nSolder paste\nSilkscreen\nSolder mask\nSignal\nPrepreg\nPower\nCore\nPower\nPrepreg\nSignal\nSolder mask\nSilkscreen\nSolder paste\nBoard outline" (at 28 40) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.1)) (justify left))
)
(gr_text "File\n\n.GTP\n.GTO\n.GTS\n.GTL\n\n.G2\n\n.G3\n\n.GBL\n.GBS\n.GBO\n.GBP\n.GM1\n" (at 20 40) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.1)) (justify left))
)
(gr_text 20181029 (at 75 164 180) (layer F.SilkS)
(effects (font (size 1.524 0.762) (thickness 0.1524)))
)
(gr_text 4 (at 64.025 156.325) (layer 4_bot)
(effects (font (size 1.016 1.016) (thickness 0.2032)) (justify mirror))
)
(gr_text 3 (at 65.025 156.325) (layer 3_gnd)
(effects (font (size 1.016 1.016) (thickness 0.2032)) (justify mirror))
)
(gr_text 2 (at 66.025 156.325) (layer 2_pwr) (tstamp 5B788E30)
(effects (font (size 1.016 1.016) (thickness 0.2032)) (justify mirror))
)
(gr_text 1 (at 67.025 156.325) (layer 1_top) (tstamp 5B788DE7)
(effects (font (size 1.016 1.016) (thickness 0.2032)) (justify mirror))
)
(gr_line (start 60 104) (end 60 121.5) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_line (start 60 171) (end 60 153.5) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_line (start 62 151.5) (end 84 151.5) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_line (start 85 150.5) (end 85 124.5) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_line (start 62 123.5) (end 84 123.5) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_arc (start 84 150.5) (end 85 150.5) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_arc (start 84 124.5) (end 84 123.5) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_arc (start 62 153.5) (end 60 153.5) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_arc (start 62 121.5) (end 62 123.5) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_line (start 180 171) (end 180 104) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_line (start 176 100) (end 64 100) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_line (start 64 175) (end 176 175) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_arc (start 176 171) (end 180 171) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_arc (start 176 104) (end 176 100) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_arc (start 64 171) (end 64 175) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_arc (start 64 104) (end 60 104) (angle 90) (layer Edge.Cuts) (width 0.381))
(gr_line (start 87.94 126.74) (end 108.26 126.74) (layer B.CrtYd) (width 0.1))
(gr_line (start 108.26 126.74) (end 108.26 131.82) (layer B.CrtYd) (width 0.1))
(gr_line (start 108.26 131.82) (end 87.94 131.82) (layer B.CrtYd) (width 0.1))
(gr_line (start 87.94 131.82) (end 87.94 126.74) (layer B.CrtYd) (width 0.1))
(segment (start 164.005 110.35) (end 163.35 110.35) (width 0.2) (layer 4_bot) (net 1) (status 10))
(segment (start 147.5 103.9) (end 147.7 103.7) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90BAB))
(segment (start 147.7 103.7) (end 158.5 103.7) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90BAD))
(segment (start 158.5 103.7) (end 161 106.2) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90BB1))
(segment (start 161 106.2) (end 161 110.9) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90BBB))
(segment (start 161 110.9) (end 161.2 111.1) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90BC2))
(segment (start 161.2 111.1) (end 162.6 111.1) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90BC4))
(segment (start 162.6 111.1) (end 163.35 110.35) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90BC9))
(segment (start 146.98 109.17) (end 146.05 109.17) (width 0.2) (layer 4_bot) (net 1) (status 20))
(segment (start 147.5 108.65) (end 147.5 103.9) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90A73))
(segment (start 146.98 109.17) (end 147.5 108.65) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90A70))
(segment (start 151.26 110.35) (end 146.65 110.35) (width 0.2) (layer 4_bot) (net 1) (status 10))
(segment (start 146.05 109.75) (end 146.05 109.17) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90A33) (status 20))
(segment (start 146.65 110.35) (end 146.05 109.75) (width 0.2) (layer 4_bot) (net 1) (tstamp 58F90A32))
(segment (start 164.005 108.85) (end 163.45 108.85) (width 0.2) (layer 4_bot) (net 2) (status 10))
(segment (start 146.95 103.75) (end 147.5 103.2) (width 0.2) (layer 4_bot) (net 2) (tstamp 58F90C1A))
(segment (start 147.5 103.2) (end 158.7 103.2) (width 0.2) (layer 4_bot) (net 2) (tstamp 58F90C1D))
(segment (start 158.7 103.2) (end 163.2 107.7) (width 0.2) (layer 4_bot) (net 2) (tstamp 58F90C1E))
(segment (start 163.2 107.7) (end 163.2 108.6) (width 0.2) (layer 4_bot) (net 2) (tstamp 58F90C23))
(segment (start 147.55 109.95) (end 147.25 109.65) (width 0.2) (layer 4_bot) (net 2) (tstamp 58F90A3F))
(via (at 147.25 109.65) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 2))
(segment (start 147.25 109.65) (end 146.95 109.35) (width 0.2) (layer 1_top) (net 2) (tstamp 58F90A48))
(segment (start 146.95 109.35) (end 146.95 108.4) (width 0.2) (layer 1_top) (net 2) (tstamp 58F90A49))
(via (at 146.95 108.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 2))
(segment (start 147.55 109.95) (end 148.4 109.95) (width 0.2) (layer 4_bot) (net 2) (tstamp 58F90B7B))
(segment (start 146.95 108.4) (end 146.95 103.75) (width 0.2) (layer 4_bot) (net 2) (tstamp 58F90A7E))
(segment (start 163.45 108.85) (end 163.2 108.6) (width 0.2) (layer 4_bot) (net 2) (tstamp 58F90C49))
(segment (start 148.4 109.17) (end 148.4 109.95) (width 0.2) (layer 4_bot) (net 2) (status 10))
(segment (start 151.26 109.95) (end 148.4 109.95) (width 0.2) (layer 4_bot) (net 2) (status 10))
(segment (start 155.6 113.3) (end 156.05 113.3) (width 0.2) (layer 1_top) (net 3))
(segment (start 154.65 113.5) (end 154.8 113.65) (width 0.2) (layer 4_bot) (net 3) (tstamp 58F95B30))
(segment (start 154.8 113.65) (end 155.25 113.65) (width 0.2) (layer 4_bot) (net 3) (tstamp 58F95B31))
(segment (start 155.25 113.65) (end 155.6 113.3) (width 0.2) (layer 4_bot) (net 3) (tstamp 58F95B32))
(via (at 155.6 113.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 3))
(segment (start 154.65 112.94) (end 154.65 113.5) (width 0.2) (layer 4_bot) (net 3) (status 10))
(via (at 138.45 168.05) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 3))
(segment (start 138.45 168.05) (end 137.95 168.05) (width 0.2) (layer 4_bot) (net 3) (tstamp 58F95C26) (status 20))
(segment (start 141.5 168.05) (end 138.45 168.05) (width 0.2) (layer 1_top) (net 3) (tstamp 58F95C3F))
(segment (start 155.15 154.4) (end 141.5 168.05) (width 0.2) (layer 1_top) (net 3) (tstamp 58F95C3D))
(segment (start 155.15 146.85) (end 155.15 154.4) (width 0.2) (layer 1_top) (net 3) (tstamp 58F95C3B))
(segment (start 156.75 145.25) (end 155.15 146.85) (width 0.2) (layer 1_top) (net 3) (tstamp 58F95C39))
(segment (start 156.75 129.45) (end 156.75 145.25) (width 0.2) (layer 1_top) (net 3) (tstamp 58F95C37))
(segment (start 155.45 128.15) (end 156.75 129.45) (width 0.2) (layer 1_top) (net 3) (tstamp 58F95C35))
(segment (start 155.45 125.65) (end 155.45 128.15) (width 0.2) (layer 1_top) (net 3) (tstamp 58F95C33))
(segment (start 156.2 124.9) (end 155.45 125.65) (width 0.2) (layer 1_top) (net 3) (tstamp 58F95C32))
(segment (start 156.2 113.45) (end 156.2 124.9) (width 0.2) (layer 1_top) (net 3) (tstamp 58F95C31))
(segment (start 156.05 113.3) (end 156.2 113.45) (width 0.2) (layer 1_top) (net 3) (tstamp 58F95C30))
(segment (start 137.47 167.57) (end 137.95 168.05) (width 0.2) (layer 4_bot) (net 3) (status 30))
(via (at 138.45 170.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 3))
(segment (start 138.45 168.05) (end 138.45 170.9) (width 0.2) (layer 1_top) (net 3))
(segment (start 134.16 170.9) (end 138.45 170.9) (width 0.2) (layer 4_bot) (net 3) (status 10))
(segment (start 133.86 171.2) (end 134.16 170.9) (width 0.2) (layer 4_bot) (net 3) (status 30))
(segment (start 154.25 113.65) (end 154.65 114.05) (width 0.2) (layer 4_bot) (net 4) (tstamp 58F95C2B))
(segment (start 154.65 114.05) (end 155.65 114.05) (width 0.2) (layer 4_bot) (net 4) (tstamp 58F95C2C))
(via (at 155.65 114.05) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 4))
(segment (start 154.25 112.94) (end 154.25 113.65) (width 0.2) (layer 4_bot) (net 4) (status 10))
(segment (start 141.8 167.1) (end 154.55 154.35) (width 0.2) (layer 1_top) (net 4) (tstamp 58F95C43))
(segment (start 154.55 154.35) (end 154.55 146.6) (width 0.2) (layer 1_top) (net 4) (tstamp 58F95C45))
(segment (start 154.55 146.6) (end 156.35 144.8) (width 0.2) (layer 1_top) (net 4) (tstamp 58F95C47))
(segment (start 156.35 144.8) (end 156.35 130.05) (width 0.2) (layer 1_top) (net 4) (tstamp 58F95C49))
(segment (start 156.35 130.05) (end 155.05 128.75) (width 0.2) (layer 1_top) (net 4) (tstamp 58F95C4B))
(segment (start 155.05 128.75) (end 155.05 125.25) (width 0.2) (layer 1_top) (net 4) (tstamp 58F95C4C))
(segment (start 155.05 125.25) (end 155.65 124.65) (width 0.2) (layer 1_top) (net 4) (tstamp 58F95C4D))
(segment (start 155.65 124.65) (end 155.65 114.05) (width 0.2) (layer 1_top) (net 4) (tstamp 58F95C4F))
(segment (start 139.05 167.1) (end 139.54 167.1) (width 0.2) (layer 4_bot) (net 4) (tstamp 58F95C22) (status 20))
(via (at 139.05 167.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 4))
(segment (start 139.05 167.1) (end 141.8 167.1) (width 0.2) (layer 1_top) (net 4))
(segment (start 140.01 167.57) (end 139.54 167.1) (width 0.2) (layer 4_bot) (net 4) (status 30))
(segment (start 138.3 167.1) (end 139.05 167.1) (width 0.2) (layer 1_top) (net 4))
(segment (start 137.65 167.75) (end 138.3 167.1) (width 0.2) (layer 1_top) (net 4))
(via (at 137.65 171.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 4))
(segment (start 137.65 171.45) (end 137.65 167.75) (width 0.2) (layer 1_top) (net 4))
(segment (start 137.01 171.45) (end 136.66 171.8) (width 0.2) (layer 4_bot) (net 4) (status 30))
(segment (start 137.65 171.45) (end 137.01 171.45) (width 0.2) (layer 4_bot) (net 4) (status 20))
(segment (start 94.95 149.505) (end 94.95 150.05) (width 0.2) (layer 4_bot) (net 5) (status 10))
(segment (start 102.3 143.679899) (end 102.3 144) (width 0.2) (layer 4_bot) (net 5) (tstamp 58FD0B60))
(segment (start 102.3 144) (end 102.6 144.3) (width 0.2) (layer 4_bot) (net 5) (tstamp 58FD0B66))
(via (at 102.6 144.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 5))
(segment (start 102.3 143.679899) (end 103.034243 142.945656) (width 0.2) (layer 4_bot) (net 5) (status 20))
(segment (start 103.3 145) (end 102.6 144.3) (width 0.2) (layer 1_top) (net 5) (tstamp 58FD164E))
(segment (start 103.3 146.4) (end 103.3 145) (width 0.2) (layer 1_top) (net 5) (tstamp 58FD164C))
(segment (start 102.5 147.2) (end 103.3 146.4) (width 0.2) (layer 1_top) (net 5) (tstamp 58FD1647))
(segment (start 101 147.2) (end 102.5 147.2) (width 0.2) (layer 1_top) (net 5) (tstamp 58FD163B))
(via (at 101 147.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 5))
(segment (start 97.9 150.3) (end 101 147.2) (width 0.2) (layer 4_bot) (net 5) (tstamp 58FD1E6D))
(segment (start 95.2 150.3) (end 97.9 150.3) (width 0.2) (layer 4_bot) (net 5) (tstamp 58FD1E6B))
(segment (start 94.95 150.05) (end 95.2 150.3) (width 0.2) (layer 4_bot) (net 5) (tstamp 58FD1E69))
(segment (start 102.7514 135.337187) (end 100.414213 133) (width 0.2) (layer 4_bot) (net 6) (status 10))
(segment (start 95.25 134.25) (end 90.175 134.25) (width 0.2) (layer 4_bot) (net 6) (tstamp 58FC2E34) (status 20))
(segment (start 96.5 133) (end 95.25 134.25) (width 0.2) (layer 4_bot) (net 6) (tstamp 58FC2E33))
(segment (start 100.414213 133) (end 96.5 133) (width 0.2) (layer 4_bot) (net 6) (tstamp 58FC2E2E))
(segment (start 102.468558 135.62003) (end 100.248528 133.4) (width 0.2) (layer 4_bot) (net 7) (status 10))
(segment (start 100.248528 133.4) (end 96.7 133.4) (width 0.2) (layer 4_bot) (net 7) (tstamp 58FC2E22))
(segment (start 95.35 134.75) (end 90.175 134.75) (width 0.2) (layer 4_bot) (net 7) (tstamp 58FC2E27) (status 20))
(segment (start 96.7 133.4) (end 95.35 134.75) (width 0.2) (layer 4_bot) (net 7) (tstamp 58FC2E26))
(segment (start 99.922973 139.834386) (end 99.157359 140.6) (width 0.2) (layer 4_bot) (net 8) (status 10))
(segment (start 97.65 139.25) (end 90.175 139.25) (width 0.2) (layer 4_bot) (net 8) (tstamp 58FC2E54) (status 20))
(segment (start 99 140.6) (end 97.65 139.25) (width 0.2) (layer 4_bot) (net 8) (tstamp 58FC2E53))
(segment (start 99.157359 140.6) (end 99 140.6) (width 0.2) (layer 4_bot) (net 8) (tstamp 58FC2E51))
(segment (start 100.205816 140.117229) (end 99.323045 141) (width 0.2) (layer 4_bot) (net 9) (status 10))
(segment (start 97.55 139.75) (end 90.175 139.75) (width 0.2) (layer 4_bot) (net 9) (tstamp 58FC2E60) (status 20))
(segment (start 98.8 141) (end 97.55 139.75) (width 0.2) (layer 4_bot) (net 9) (tstamp 58FC2E5D))
(segment (start 99.323045 141) (end 98.8 141) (width 0.2) (layer 4_bot) (net 9) (tstamp 58FC2E5A))
(segment (start 100.488659 140.400071) (end 99.48873 141.4) (width 0.2) (layer 4_bot) (net 10) (status 10))
(segment (start 97.45 140.25) (end 90.175 140.25) (width 0.2) (layer 4_bot) (net 10) (tstamp 58FC2E68) (status 20))
(segment (start 98.6 141.4) (end 97.45 140.25) (width 0.2) (layer 4_bot) (net 10) (tstamp 58FC2E66))
(segment (start 99.48873 141.4) (end 98.6 141.4) (width 0.2) (layer 4_bot) (net 10) (tstamp 58FC2E65))
(segment (start 100.771501 140.682914) (end 99.654415 141.8) (width 0.2) (layer 4_bot) (net 11) (status 10))
(segment (start 97.35 140.75) (end 90.175 140.75) (width 0.2) (layer 4_bot) (net 11) (tstamp 58FC2E71) (status 20))
(segment (start 98.4 141.8) (end 97.35 140.75) (width 0.2) (layer 4_bot) (net 11) (tstamp 58FC2E70))
(segment (start 99.654415 141.8) (end 98.4 141.8) (width 0.2) (layer 4_bot) (net 11) (tstamp 58FC2E6E))
(segment (start 101.054344 140.965757) (end 99.820101 142.2) (width 0.2) (layer 4_bot) (net 12) (status 10))
(segment (start 97.25 141.25) (end 90.175 141.25) (width 0.2) (layer 4_bot) (net 12) (tstamp 58FC2E7C) (status 20))
(segment (start 98.2 142.2) (end 97.25 141.25) (width 0.2) (layer 4_bot) (net 12) (tstamp 58FC2E7B))
(segment (start 99.820101 142.2) (end 98.2 142.2) (width 0.2) (layer 4_bot) (net 12) (tstamp 58FC2E77))
(segment (start 101.337187 141.2486) (end 99.985787 142.6) (width 0.2) (layer 4_bot) (net 13) (status 10))
(segment (start 97.15 141.75) (end 90.175 141.75) (width 0.2) (layer 4_bot) (net 13) (tstamp 58FC2E83) (status 20))
(segment (start 98 142.6) (end 97.15 141.75) (width 0.2) (layer 4_bot) (net 13) (tstamp 58FC2E81))
(segment (start 99.985787 142.6) (end 98 142.6) (width 0.2) (layer 4_bot) (net 13) (tstamp 58FC2E80))
(segment (start 101.902872 136.185715) (end 100.317157 134.6) (width 0.2) (layer 4_bot) (net 14) (status 10))
(segment (start 96.95 135.25) (end 90.175 135.25) (width 0.2) (layer 4_bot) (net 14) (tstamp 58FC2E1C) (status 20))
(segment (start 97.6 134.6) (end 96.95 135.25) (width 0.2) (layer 4_bot) (net 14) (tstamp 58FC2E1A))
(segment (start 100.317157 134.6) (end 97.6 134.6) (width 0.2) (layer 4_bot) (net 14) (tstamp 58FC2E16))
(segment (start 101.62003 136.468558) (end 100.151472 135) (width 0.2) (layer 4_bot) (net 15) (status 10))
(segment (start 97.05 135.75) (end 90.175 135.75) (width 0.2) (layer 4_bot) (net 15) (tstamp 58FC2E12) (status 20))
(segment (start 97.8 135) (end 97.05 135.75) (width 0.2) (layer 4_bot) (net 15) (tstamp 58FC2E11))
(segment (start 100.151472 135) (end 97.8 135) (width 0.2) (layer 4_bot) (net 15) (tstamp 58FC2E0F))
(segment (start 101.337187 136.7514) (end 99.985787 135.4) (width 0.2) (layer 4_bot) (net 16) (status 10))
(segment (start 97.15 136.25) (end 90.175 136.25) (width 0.2) (layer 4_bot) (net 16) (tstamp 58FC2E09) (status 20))
(segment (start 98 135.4) (end 97.15 136.25) (width 0.2) (layer 4_bot) (net 16) (tstamp 58FC2E07))
(segment (start 99.985787 135.4) (end 98 135.4) (width 0.2) (layer 4_bot) (net 16) (tstamp 58FC2E05))
(segment (start 101.054344 137.034243) (end 99.820101 135.8) (width 0.2) (layer 4_bot) (net 17) (status 10))
(segment (start 97.25 136.75) (end 90.175 136.75) (width 0.2) (layer 4_bot) (net 17) (tstamp 58FC2DFF) (status 20))
(segment (start 98.2 135.8) (end 97.25 136.75) (width 0.2) (layer 4_bot) (net 17) (tstamp 58FC2DFE))
(segment (start 99.820101 135.8) (end 98.2 135.8) (width 0.2) (layer 4_bot) (net 17) (tstamp 58FC2DFC))
(segment (start 100.771501 137.317086) (end 99.654415 136.2) (width 0.2) (layer 4_bot) (net 18) (status 10))
(segment (start 97.35 137.25) (end 90.175 137.25) (width 0.2) (layer 4_bot) (net 18) (tstamp 58FC2DF6) (status 20))
(segment (start 98.4 136.2) (end 97.35 137.25) (width 0.2) (layer 4_bot) (net 18) (tstamp 58FC2DF5))
(segment (start 99.654415 136.2) (end 98.4 136.2) (width 0.2) (layer 4_bot) (net 18) (tstamp 58FC2DF2))
(segment (start 100.488659 137.599929) (end 99.48873 136.6) (width 0.2) (layer 4_bot) (net 19) (status 10))
(segment (start 97.45 137.75) (end 90.175 137.75) (width 0.2) (layer 4_bot) (net 19) (tstamp 58FC2DED) (status 20))
(segment (start 98.6 136.6) (end 97.45 137.75) (width 0.2) (layer 4_bot) (net 19) (tstamp 58FC2DE9))
(segment (start 99.48873 136.6) (end 98.6 136.6) (width 0.2) (layer 4_bot) (net 19) (tstamp 58FC2DE8))
(segment (start 100.205816 137.882771) (end 99.323045 137) (width 0.2) (layer 4_bot) (net 20) (status 10))
(segment (start 97.55 138.25) (end 90.175 138.25) (width 0.2) (layer 4_bot) (net 20) (tstamp 58FC2DE3) (status 20))
(segment (start 98.8 137) (end 97.55 138.25) (width 0.2) (layer 4_bot) (net 20) (tstamp 58FC2DE0))
(segment (start 99.323045 137) (end 98.8 137) (width 0.2) (layer 4_bot) (net 20) (tstamp 58FC2DDD))
(segment (start 99.922973 138.165614) (end 99.157359 137.4) (width 0.2) (layer 4_bot) (net 21) (status 10))
(segment (start 97.65 138.75) (end 90.175 138.75) (width 0.2) (layer 4_bot) (net 21) (tstamp 58FC2DD2) (status 20))
(segment (start 99 137.4) (end 97.65 138.75) (width 0.2) (layer 4_bot) (net 21) (tstamp 58FC2DD0))
(segment (start 99.157359 137.4) (end 99 137.4) (width 0.2) (layer 4_bot) (net 21) (tstamp 58FC2DCE))
(segment (start 103.034243 135.054344) (end 100.579899 132.6) (width 0.2) (layer 4_bot) (net 22) (status 10))
(segment (start 96.15 132.75) (end 90.175 132.75) (width 0.2) (layer 4_bot) (net 22) (tstamp 58FCD848) (status 20))
(segment (start 96.3 132.6) (end 96.15 132.75) (width 0.2) (layer 4_bot) (net 22) (tstamp 58FCD846))
(segment (start 100.579899 132.6) (end 96.3 132.6) (width 0.2) (layer 4_bot) (net 22) (tstamp 58FCD844))
(segment (start 90.175 145.25) (end 91.55 145.25) (width 0.2) (layer 4_bot) (net 23) (status 10))
(segment (start 91.55 145.25) (end 91.83 144.97) (width 0.2) (layer 4_bot) (net 23) (tstamp 58FD76E9))
(segment (start 91.83 144.97) (end 92.6 144.97) (width 0.2) (layer 4_bot) (net 23) (tstamp 58FD76ED) (status 20))
(segment (start 92.6 144.97) (end 94.77 144.97) (width 0.2) (layer 4_bot) (net 23) (tstamp 58FD76EE) (status 10))
(segment (start 94.77 144.97) (end 96.4 146.6) (width 0.2) (layer 4_bot) (net 23) (tstamp 58FD76F1))
(segment (start 100.4 146.6) (end 96.4 146.6) (width 0.2) (layer 4_bot) (net 23))
(segment (start 101.9 143.514213) (end 102.7514 142.662813) (width 0.2) (layer 4_bot) (net 23) (status 20))
(via (at 102.5 145.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 23))
(segment (start 101.9 144.5) (end 102.5 145.1) (width 0.2) (layer 4_bot) (net 23) (tstamp 58FD0B71))
(segment (start 101.9 143.514213) (end 101.9 144.5) (width 0.2) (layer 4_bot) (net 23) (tstamp 58FD0B6E))
(segment (start 102.9 145.5) (end 102.9 146.2) (width 0.2) (layer 1_top) (net 23) (tstamp 58FD183A))
(segment (start 102.9 146.2) (end 102.5 146.6) (width 0.2) (layer 1_top) (net 23) (tstamp 58FD183B))
(segment (start 102.5 146.6) (end 100.4 146.6) (width 0.2) (layer 1_top) (net 23) (tstamp 58FD183C))
(via (at 100.4 146.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 23))
(segment (start 102.5 145.1) (end 102.9 145.5) (width 0.2) (layer 1_top) (net 23))
(segment (start 103.599929 134.488659) (end 100.86127 131.75) (width 0.2) (layer 4_bot) (net 24) (status 10))
(segment (start 100.86127 131.75) (end 90.175 131.75) (width 0.2) (layer 4_bot) (net 24) (tstamp 58FC32BF) (status 20))
(segment (start 103.882771 134.205816) (end 100.426955 130.75) (width 0.2) (layer 4_bot) (net 25) (status 10))
(segment (start 100.426955 130.75) (end 90.175 130.75) (width 0.2) (layer 4_bot) (net 25) (tstamp 58FC32C3) (status 20))
(segment (start 103.317086 134.771501) (end 100.745585 132.2) (width 0.2) (layer 4_bot) (net 26) (status 10))
(segment (start 96.05 132.25) (end 90.175 132.25) (width 0.2) (layer 4_bot) (net 26) (tstamp 58FCD854) (status 20))
(segment (start 96.1 132.2) (end 96.05 132.25) (width 0.2) (layer 4_bot) (net 26) (tstamp 58FCD851))
(segment (start 100.745585 132.2) (end 96.1 132.2) (width 0.2) (layer 4_bot) (net 26) (tstamp 58FCD84D))
(segment (start 103.882771 143.794184) (end 103.1 144.576955) (width 0.2) (layer 4_bot) (net 27) (status 10))
(segment (start 103.1 145.3) (end 118.7 160.9) (width 0.2) (layer 4_bot) (net 27) (tstamp 58FD0B4F))
(segment (start 103.1 144.576955) (end 103.1 145.3) (width 0.2) (layer 4_bot) (net 27) (tstamp 58FD0B4D))
(segment (start 135.6 160.9) (end 136.6 159.9) (width 0.2) (layer 4_bot) (net 27))
(segment (start 135.6 160.9) (end 118.7 160.9) (width 0.2) (layer 4_bot) (net 27))
(segment (start 136.6 159.9) (end 139.8 159.9) (width 0.2) (layer 4_bot) (net 27))
(segment (start 140.01 162.315) (end 140.01 160.11) (width 0.2) (layer 4_bot) (net 27) (status 10))
(segment (start 139.8 159.9) (end 140.01 160.11) (width 0.2) (layer 4_bot) (net 27))
(segment (start 129.2 152.6) (end 129.2 133.15) (width 0.2) (layer 1_top) (net 28))
(segment (start 126.24 153.4) (end 128.4 153.4) (width 0.2) (layer 4_bot) (net 28) (tstamp 53F39D26) (status 10))
(via (at 128.4 153.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 28))
(segment (start 75.875 110.825) (end 75.875 111.725) (width 0.2) (layer 4_bot) (net 28) (status 20))
(via (at 75.9 110.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 28))
(segment (start 75.875 110.825) (end 75.9 110.8) (width 0.2) (layer 4_bot) (net 28) (tstamp 53BC71D9))
(segment (start 129.2 152.6) (end 128.4 153.4) (width 0.2) (layer 1_top) (net 28))
(segment (start 75.9 110.8) (end 106.85 110.8) (width 0.2) (layer 1_top) (net 28))
(segment (start 129.2 133.15) (end 106.85 110.8) (width 0.2) (layer 1_top) (net 28) (tstamp 58FCE047))
(segment (start 126.23 153.41) (end 126.24 153.4) (width 0.2) (layer 4_bot) (net 28) (status 30))
(segment (start 64.8 116.4) (end 64.1 116.4) (width 0.2) (layer 4_bot) (net 29) (status 20))
(segment (start 123.8 135.4) (end 104.8 116.4) (width 0.2) (layer 1_top) (net 29) (tstamp 53ADB89C))
(segment (start 104.8 116.4) (end 64.8 116.4) (width 0.2) (layer 1_top) (net 29))
(segment (start 126.025 143.25) (end 123.85 143.25) (width 0.2) (layer 4_bot) (net 29) (status 10))
(via (at 123.8 143.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 29))
(segment (start 123.85 143.25) (end 123.8 143.2) (width 0.2) (layer 4_bot) (net 29) (tstamp 53ADB7D9))
(segment (start 123.8 143.2) (end 123.8 135.4) (width 0.2) (layer 1_top) (net 29))
(via (at 64.8 116.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 29))
(segment (start 64.1 116.4) (end 64.075 116.375) (width 0.2) (layer 4_bot) (net 29) (tstamp 53BC7193) (status 30))
(segment (start 126.23 145.79) (end 124.11 145.79) (width 0.2) (layer 4_bot) (net 30) (status 10))
(segment (start 64.1 114.4) (end 64.075 114.375) (width 0.2) (layer 4_bot) (net 30) (tstamp 53BC7190) (status 30))
(segment (start 124.6 145.3) (end 124.6 135.3) (width 0.2) (layer 1_top) (net 30) (tstamp 53ADB7CE))
(via (at 64.8 114.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 30))
(segment (start 64.8 114.4) (end 103.7 114.4) (width 0.2) (layer 1_top) (net 30))
(segment (start 124.6 135.3) (end 103.7 114.4) (width 0.2) (layer 1_top) (net 30) (tstamp 53ADB8A5))
(segment (start 64.8 114.4) (end 64.1 114.4) (width 0.2) (layer 4_bot) (net 30) (status 20))
(segment (start 124.1 145.8) (end 124.6 145.3) (width 0.2) (layer 1_top) (net 30) (tstamp 53F39E8B))
(via (at 124.1 145.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 30))
(segment (start 124.11 145.79) (end 124.1 145.8) (width 0.2) (layer 4_bot) (net 30) (tstamp 53F39E89))
(segment (start 126.23 148.33) (end 126.2 148.3) (width 0.2) (layer 4_bot) (net 31) (status 30))
(segment (start 124 148.3) (end 123 147.3) (width 0.2) (layer 1_top) (net 31) (tstamp 53F39E41))
(via (at 124 148.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 31))
(segment (start 126.2 148.3) (end 124 148.3) (width 0.2) (layer 4_bot) (net 31) (tstamp 53F39E3F) (status 10))
(segment (start 64.8 118.4) (end 64.1 118.4) (width 0.2) (layer 4_bot) (net 31) (status 20))
(segment (start 123 135.5) (end 105.9 118.4) (width 0.2) (layer 1_top) (net 31) (tstamp 53ADB482))
(segment (start 105.9 118.4) (end 64.8 118.4) (width 0.2) (layer 1_top) (net 31))
(segment (start 123 147.3) (end 123 135.5) (width 0.2) (layer 1_top) (net 31) (tstamp 53F39E44))
(via (at 64.8 118.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 31))
(segment (start 64.1 118.4) (end 64.075 118.375) (width 0.2) (layer 4_bot) (net 31) (tstamp 53BC7197) (status 30))
(segment (start 120.77 150.87) (end 122.83 150.87) (width 0.2) (layer 4_bot) (net 32) (status 10))
(segment (start 64.1 119.4) (end 64.075 119.375) (width 0.2) (layer 4_bot) (net 32) (tstamp 53BC719A) (status 30))
(via (at 64.8 119.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 32))
(segment (start 122.2 150.1) (end 122.2 135.6) (width 0.2) (layer 1_top) (net 32))
(segment (start 106 119.4) (end 64.8 119.4) (width 0.2) (layer 1_top) (net 32))
(segment (start 122.2 135.6) (end 106 119.4) (width 0.2) (layer 1_top) (net 32) (tstamp 53ADB882))
(segment (start 64.8 119.4) (end 64.1 119.4) (width 0.2) (layer 4_bot) (net 32) (status 20))
(segment (start 122.9 150.8) (end 122.2 150.1) (width 0.2) (layer 1_top) (net 32) (tstamp 53F39D37))
(via (at 122.9 150.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 32))
(segment (start 122.83 150.87) (end 122.9 150.8) (width 0.2) (layer 4_bot) (net 32) (tstamp 53F39D35))
(segment (start 126.23 150.87) (end 128.33 150.87) (width 0.2) (layer 4_bot) (net 33) (status 10))
(segment (start 128.4 150.8) (end 126.2 148.6) (width 0.2) (layer 1_top) (net 33) (tstamp 53F39E7C))
(via (at 128.4 150.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 33))
(segment (start 128.33 150.87) (end 128.4 150.8) (width 0.2) (layer 4_bot) (net 33) (tstamp 53F39E7A))
(segment (start 107.4 112.4) (end 126.2 131.2) (width 0.2) (layer 1_top) (net 33))
(segment (start 64.8 112.4) (end 64.1 112.4) (width 0.2) (layer 4_bot) (net 33) (status 20))
(via (at 64.8 112.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 33))
(segment (start 64.8 112.4) (end 107.4 112.4) (width 0.2) (layer 1_top) (net 33))
(segment (start 64.075 112.375) (end 64.1 112.4) (width 0.2) (layer 4_bot) (net 33) (tstamp 53BC718A) (status 30))
(segment (start 126.2 131.2) (end 126.2 148.6) (width 0.2) (layer 1_top) (net 33) (tstamp 53F39DA0))
(segment (start 125.4 151) (end 125.4 131.3) (width 0.2) (layer 1_top) (net 34))
(segment (start 125.4 131.3) (end 107.5 113.4) (width 0.2) (layer 1_top) (net 34) (tstamp 53F39DA3))
(segment (start 123.5 152.9) (end 122.4 152.9) (width 0.2) (layer 4_bot) (net 34))
(segment (start 64.075 113.375) (end 64.1 113.4) (width 0.2) (layer 4_bot) (net 34) (tstamp 53BC718D) (status 30))
(segment (start 64.8 113.4) (end 107.5 113.4) (width 0.2) (layer 1_top) (net 34))
(via (at 64.8 113.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 34))
(segment (start 64.8 113.4) (end 64.1 113.4) (width 0.2) (layer 4_bot) (net 34) (status 20))
(via (at 123.5 152.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 34))
(segment (start 123.5 152.9) (end 125.4 151) (width 0.2) (layer 1_top) (net 34) (tstamp 53F39031))
(segment (start 121.89 153.41) (end 120.77 153.41) (width 0.2) (layer 4_bot) (net 34) (tstamp 53F39D2F) (status 30))
(segment (start 122.4 152.9) (end 121.89 153.41) (width 0.2) (layer 4_bot) (net 34) (tstamp 53F39D2D) (status 20))
(segment (start 108.37997 136.468558) (end 116.548528 128.3) (width 0.2) (layer 4_bot) (net 35) (status 10))
(segment (start 116.548528 128.3) (end 133.3 128.3) (width 0.2) (layer 4_bot) (net 35) (tstamp 58FD0EFE))
(segment (start 154.5 128.8) (end 140.1 128.8) (width 0.2) (layer 4_bot) (net 35))
(segment (start 135.1 130.1) (end 133.3 128.3) (width 0.2) (layer 4_bot) (net 35) (tstamp 53AB3B14))
(segment (start 138.8 130.1) (end 135.1 130.1) (width 0.2) (layer 4_bot) (net 35) (tstamp 53AB3B12))
(segment (start 140.1 128.8) (end 138.8 130.1) (width 0.2) (layer 4_bot) (net 35) (tstamp 53AB3B10))
(segment (start 162.604 129.75) (end 155.45 129.75) (width 0.2) (layer 4_bot) (net 35) (status 10))
(segment (start 155.45 129.75) (end 154.5 128.8) (width 0.2) (layer 4_bot) (net 35) (tstamp 53AB3846))
(segment (start 106.965757 142.945656) (end 113.220101 149.2) (width 0.2) (layer 4_bot) (net 36) (status 10))
(segment (start 133.95 153.65) (end 129.5 149.2) (width 0.2) (layer 4_bot) (net 36) (tstamp 58FC2AA0))
(segment (start 129.5 149.2) (end 113.220101 149.2) (width 0.2) (layer 4_bot) (net 36) (tstamp 58FC2AA4))
(segment (start 133.95 153.65) (end 151.106 153.65) (width 0.2) (layer 4_bot) (net 36) (status 20))
(segment (start 108.097128 136.185715) (end 116.382843 127.9) (width 0.2) (layer 4_bot) (net 37) (status 10))
(segment (start 143.894 121.35) (end 143.894 124.406) (width 0.2) (layer 4_bot) (net 37) (status 10))
(segment (start 143.894 124.406) (end 138.6 129.7) (width 0.2) (layer 4_bot) (net 37) (tstamp 58FCD606))
(segment (start 138.6 129.7) (end 135.3 129.7) (width 0.2) (layer 4_bot) (net 37) (tstamp 58FC3282))
(segment (start 133.5 127.9) (end 116.382843 127.9) (width 0.2) (layer 4_bot) (net 37) (tstamp 58FC3296))
(segment (start 135.3 129.7) (end 133.5 127.9) (width 0.2) (layer 4_bot) (net 37) (tstamp 58FC3295))
(segment (start 108.662813 136.7514) (end 116.714213 128.7) (width 0.2) (layer 4_bot) (net 38) (status 10))
(segment (start 133.1 128.7) (end 116.714213 128.7) (width 0.2) (layer 4_bot) (net 38) (tstamp 53AB3AFB))
(segment (start 134.9 130.5) (end 133.1 128.7) (width 0.2) (layer 4_bot) (net 38) (tstamp 53AB3AF9))
(segment (start 139 130.5) (end 134.9 130.5) (width 0.2) (layer 4_bot) (net 38) (tstamp 53AB3AF6))
(segment (start 140.3 129.2) (end 139 130.5) (width 0.2) (layer 4_bot) (net 38) (tstamp 53AB3AF4))
(segment (start 152.296 129.2) (end 140.3 129.2) (width 0.2) (layer 4_bot) (net 38) (tstamp 53AB3B47))
(segment (start 152.296 129.2) (end 154.048 130.952) (width 0.2) (layer 4_bot) (net 38) (status 20))
(segment (start 108.945656 137.034243) (end 116.879899 129.1) (width 0.2) (layer 4_bot) (net 39) (status 10))
(segment (start 134.752 130.952) (end 132.9 129.1) (width 0.2) (layer 4_bot) (net 39) (tstamp 53AB3ADB))
(segment (start 132.9 129.1) (end 116.879899 129.1) (width 0.2) (layer 4_bot) (net 39) (tstamp 53AB3ADE))
(segment (start 134.752 130.952) (end 140.952 130.952) (width 0.2) (layer 4_bot) (net 39) (status 20))
(segment (start 109.228499 137.317086) (end 117.045585 129.5) (width 0.2) (layer 4_bot) (net 40) (status 10))
(segment (start 142.7 132.7) (end 135.9 132.7) (width 0.2) (layer 4_bot) (net 40) (tstamp 53AB6448))
(segment (start 142.7 132.7) (end 148.61 138.61) (width 0.2) (layer 4_bot) (net 40) (status 20))
(segment (start 117.045585 129.5) (end 132.7 129.5) (width 0.2) (layer 4_bot) (net 40) (tstamp 58FD0EDD))
(segment (start 132.7 129.5) (end 135.9 132.7) (width 0.2) (layer 4_bot) (net 40) (tstamp 53F39D61))
(segment (start 107.814285 142.097128) (end 112.417157 146.7) (width 0.2) (layer 4_bot) (net 41) (status 10))
(segment (start 130.946 146.7) (end 132.396 145.25) (width 0.2) (layer 4_bot) (net 41) (tstamp 58FC2A17) (status 20))
(segment (start 112.417157 146.7) (end 130.946 146.7) (width 0.2) (layer 4_bot) (net 41) (tstamp 58FD0F82))
(segment (start 90.175 127.75) (end 105.95 127.75) (width 0.2) (layer 4_bot) (net 42) (status 10))
(segment (start 105.95 127.75) (end 106.5 128.3) (width 0.2) (layer 4_bot) (net 42) (tstamp 58FD3CD8))
(segment (start 106.5 133.257359) (end 106.5 128.3) (width 0.2) (layer 4_bot) (net 42))
(segment (start 105.834386 133.922973) (end 106.5 133.257359) (width 0.2) (layer 4_bot) (net 42) (tstamp 58FD0D68) (status 10))
(segment (start 118.1 137.3) (end 116 135.2) (width 0.2) (layer 4_bot) (net 42) (tstamp 58FD133A))
(via (at 116 135.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 42))
(segment (start 163.13 138.17) (end 169.03 138.17) (width 0.2) (layer 4_bot) (net 42) (status 20))
(segment (start 147.55 141.3) (end 143.55 137.3) (width 0.2) (layer 4_bot) (net 42) (tstamp 58FC3158))
(segment (start 160 141.3) (end 147.55 141.3) (width 0.2) (layer 4_bot) (net 42) (tstamp 58FC3156))
(segment (start 163.13 138.17) (end 160 141.3) (width 0.2) (layer 4_bot) (net 42) (tstamp 58FC3153))
(segment (start 143.55 137.3) (end 118.1 137.3) (width 0.2) (layer 4_bot) (net 42))
(segment (start 109.1 128.3) (end 116 135.2) (width 0.2) (layer 1_top) (net 42) (tstamp 58FD3C6B))
(segment (start 106.5 128.3) (end 109.1 128.3) (width 0.2) (layer 1_top) (net 42) (tstamp 58FD3C6A))
(via (at 106.5 128.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 42))
(segment (start 90.175 127.25) (end 106.65 127.25) (width 0.2) (layer 4_bot) (net 43) (status 10))
(segment (start 106.65 127.25) (end 107.1 127.7) (width 0.2) (layer 4_bot) (net 43) (tstamp 58FD3CDF))
(segment (start 106.117229 134.205816) (end 107.1 133.223045) (width 0.2) (layer 4_bot) (net 43) (status 10))
(segment (start 118.5 136.9) (end 116.8 135.2) (width 0.2) (layer 4_bot) (net 43) (tstamp 58FD133E))
(via (at 116.8 135.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 43))
(segment (start 165.07 135.63) (end 169.03 135.63) (width 0.2) (layer 4_bot) (net 43) (status 20))
(segment (start 147.7 140.9) (end 143.7 136.9) (width 0.2) (layer 4_bot) (net 43) (tstamp 58FC3136))
(segment (start 159.8 140.9) (end 147.7 140.9) (width 0.2) (layer 4_bot) (net 43) (tstamp 58FC3133))
(segment (start 165.07 135.63) (end 159.8 140.9) (width 0.2) (layer 4_bot) (net 43) (tstamp 58FC312F))
(segment (start 143.7 136.9) (end 118.5 136.9) (width 0.2) (layer 4_bot) (net 43))
(segment (start 109.3 127.7) (end 116.8 135.2) (width 0.2) (layer 1_top) (net 43) (tstamp 58FD3C91))
(segment (start 107.1 127.7) (end 109.3 127.7) (width 0.2) (layer 1_top) (net 43) (tstamp 58FD3C90))
(via (at 107.1 127.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 43))
(segment (start 107.1 133.223045) (end 107.1 127.7) (width 0.2) (layer 4_bot) (net 43) (tstamp 58FD3C81))
(segment (start 169.235 135.63) (end 169.065 135.8) (width 0.2) (layer 4_bot) (net 43) (status 30))
(segment (start 90.175 128.25) (end 100.05 128.25) (width 0.2) (layer 4_bot) (net 44) (status 10))
(segment (start 100.05 128.25) (end 100.7 128.9) (width 0.2) (layer 4_bot) (net 44) (tstamp 58FD3CD1))
(segment (start 104.165614 133.922973) (end 100.7 130.457359) (width 0.2) (layer 4_bot) (net 44) (status 10))
(via (at 115.2 135.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 44))
(segment (start 165.91 140.71) (end 169.03 140.71) (width 0.2) (layer 4_bot) (net 44) (status 20))
(segment (start 160.2 141.7) (end 147.4 141.7) (width 0.2) (layer 4_bot) (net 44) (tstamp 58FCD5CD))
(segment (start 162.9 139) (end 160.2 141.7) (width 0.2) (layer 4_bot) (net 44) (tstamp 58FCD5C9))
(segment (start 164.2 139) (end 162.9 139) (width 0.2) (layer 4_bot) (net 44) (tstamp 58FCD5C5))
(segment (start 165.91 140.71) (end 164.2 139) (width 0.2) (layer 4_bot) (net 44) (tstamp 58FCD5C1))
(segment (start 144.8 139.1) (end 119.1 139.1) (width 0.2) (layer 4_bot) (net 44))
(segment (start 147.4 141.7) (end 144.8 139.1) (width 0.2) (layer 4_bot) (net 44) (tstamp 58FCD5D8))
(segment (start 115.2 135.2) (end 119.1 139.1) (width 0.2) (layer 4_bot) (net 44))
(segment (start 108.9 128.9) (end 115.2 135.2) (width 0.2) (layer 1_top) (net 44) (tstamp 58FD3C36))
(segment (start 100.7 128.9) (end 108.9 128.9) (width 0.2) (layer 1_top) (net 44) (tstamp 58FD3C35))
(via (at 100.7 128.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 44))
(segment (start 100.7 130.457359) (end 100.7 128.9) (width 0.2) (layer 4_bot) (net 44) (tstamp 58FD3C30))
(segment (start 90.175 126.75) (end 107.35 126.75) (width 0.2) (layer 4_bot) (net 45) (status 10))
(segment (start 107.35 126.75) (end 107.7 127.1) (width 0.2) (layer 4_bot) (net 45) (tstamp 58FD3CE2))
(segment (start 106.400071 134.488659) (end 107.7 133.18873) (width 0.2) (layer 4_bot) (net 45) (status 10))
(segment (start 118.9 136.5) (end 117.6 135.2) (width 0.2) (layer 4_bot) (net 45) (tstamp 58FD1342))
(via (at 117.6 135.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 45))
(segment (start 167.01 133.09) (end 169.03 133.09) (width 0.2) (layer 4_bot) (net 45) (status 20))
(segment (start 147.85 140.5) (end 143.85 136.5) (width 0.2) (layer 4_bot) (net 45) (tstamp 58FC310C))
(segment (start 159.6 140.5) (end 147.85 140.5) (width 0.2) (layer 4_bot) (net 45) (tstamp 58FC3109))
(segment (start 167.01 133.09) (end 159.6 140.5) (width 0.2) (layer 4_bot) (net 45) (tstamp 58FC3104))
(segment (start 143.85 136.5) (end 118.9 136.5) (width 0.2) (layer 4_bot) (net 45))
(segment (start 109.5 127.1) (end 117.6 135.2) (width 0.2) (layer 1_top) (net 45) (tstamp 58FD3CA5))
(segment (start 107.7 127.1) (end 109.5 127.1) (width 0.2) (layer 1_top) (net 45) (tstamp 58FD3CA4))
(via (at 107.7 127.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 45))
(segment (start 107.7 133.18873) (end 107.7 127.1) (width 0.2) (layer 4_bot) (net 45) (tstamp 58FD3C9B))
(segment (start 174.49 158.7) (end 174.5 158.71) (width 0.2) (layer 4_bot) (net 46) (status 30))
(segment (start 174.5 158.71) (end 174.5 159.9) (width 0.2) (layer 4_bot) (net 46) (tstamp 58FD822E) (status 10))
(segment (start 174.49 155.95) (end 174.49 158.7) (width 0.2) (layer 4_bot) (net 46) (status 30))
(segment (start 174.49 159.89) (end 174.5 159.9) (width 0.4) (layer 4_bot) (net 46) (tstamp 58FD42C6))
(segment (start 112.7 162) (end 110.65 162) (width 0.4) (layer 4_bot) (net 46) (status 20))
(via (at 174.5 159.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 46))
(segment (start 174.5 164.9) (end 174.5 159.9) (width 0.4) (layer 2_pwr) (net 46) (tstamp 58FD42A9))
(segment (start 166.8 172.6) (end 174.5 164.9) (width 0.4) (layer 2_pwr) (net 46) (tstamp 58FD42A1))
(segment (start 123.3 172.6) (end 166.8 172.6) (width 0.4) (layer 2_pwr) (net 46) (tstamp 58FD4290))
(segment (start 112.7 162) (end 123.3 172.6) (width 0.4) (layer 2_pwr) (net 46) (tstamp 58FD428F))
(via (at 112.7 162) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 46))
(segment (start 174.49 155.95) (end 174.29916 156.14084) (width 0.4) (layer 4_bot) (net 46) (status 30))
(segment (start 112.16 158.95) (end 112.05 159.06) (width 0.2) (layer 4_bot) (net 46) (status 30))
(segment (start 112.05 160.6) (end 110.65 162) (width 0.2) (layer 4_bot) (net 46) (status 30))
(segment (start 112.05 159.06) (end 112.05 160.6) (width 0.2) (layer 4_bot) (net 46) (status 30))
(segment (start 172.71 158.7) (end 172.7 158.71) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 172.7 158.71) (end 172.7 159.9) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD8222) (status 10))
(via (at 172.7 159.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 165.6 155.19) (end 166.01 155.6) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 166.01 155.6) (end 166.8 155.6) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD8101) (status 10))
(via (at 166.8 155.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 165.6 155.19) (end 165.99 154.8) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 165.99 154.8) (end 166.8 154.8) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD80FD) (status 10))
(via (at 166.8 154.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 154.31 157.9) (end 154.7 158.29) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 154.7 158.29) (end 154.7 159.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD807E) (status 10))
(via (at 154.7 159.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 154.31 157.9) (end 153.9 158.31) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 153.9 158.31) (end 153.9 159.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD807A) (status 10))
(via (at 153.9 159.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 165.41 167.57) (end 166.47 167.57) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 166.47 167.57) (end 166.5 167.6) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7AD7))
(via (at 166.5 167.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 166.5 167.6) (end 166.5 169.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7ADC))
(via (at 166.5 169.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 166.5 169.7) (end 165.4 169.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7AE1))
(via (at 165.4 169.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 165.4 169.7) (end 164.4 169.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7AE4))
(via (at 164.4 169.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 164.4 169.7) (end 164.4 167.6) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7AE7))
(via (at 164.4 167.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 164.4 167.6) (end 164.4 165.4) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7AEA))
(via (at 164.4 165.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 148.7 165.4) (end 147.6 165.4) (width 0.2) (layer 4_bot) (net 47))
(segment (start 157.79 167.57) (end 156.73 167.57) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 156.73 167.57) (end 156.7 167.6) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A87))
(via (at 156.7 167.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 156.7 167.6) (end 156.7 169.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A8B))
(via (at 156.7 169.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 156.7 169.7) (end 157.8 169.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A90))
(via (at 157.8 169.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 157.8 169.7) (end 158.8 169.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A93))
(via (at 158.8 169.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 158.8 169.7) (end 158.8 167.6) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A96))
(via (at 158.8 167.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 158.8 167.6) (end 158.8 165.4) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A99))
(via (at 158.8 165.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 158.8 165.4) (end 157.8 165.4) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A9C))
(via (at 157.8 165.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 157.8 165.4) (end 156.7 165.4) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A9F))
(via (at 156.7 165.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 148.7 167.6) (end 148.7 165.4) (width 0.2) (layer 4_bot) (net 47))
(via (at 148.7 165.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 147.63 165.43) (end 147.6 165.4) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A46))
(via (at 147.6 165.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 147.6 165.4) (end 146.6 165.4) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A49))
(via (at 146.6 165.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 147.63 167.57) (end 146.63 167.57) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 146.63 167.57) (end 146.6 167.6) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A2D))
(via (at 146.6 167.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 146.6 167.6) (end 146.6 169.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A31))
(via (at 146.6 169.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 146.6 169.7) (end 147.6 169.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A39))
(via (at 147.6 169.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 147.6 169.7) (end 148.7 169.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A3C))
(via (at 148.7 169.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 148.7 169.7) (end 148.7 167.6) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7A3F))
(via (at 148.7 167.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 92.6 145.83) (end 91.83 145.83) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 91.83 145.83) (end 91.8 145.8) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD78CA))
(via (at 91.8 145.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 91.8 145.8) (end 91.75 145.75) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD78D0))
(segment (start 91.75 145.75) (end 91.15 145.75) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD78D1))
(segment (start 143.03 105.8) (end 142.1 105.8) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 142.1 105.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 140.1 107.31) (end 138.91 107.31) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 138.91 107.31) (end 138.9 107.3) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7320))
(segment (start 138.9 107.3) (end 138.9 106.9) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7321))
(via (at 138.9 106.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 138.9 106.9) (end 138.1 106.9) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7325))
(via (at 138.1 106.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 138.1 107.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 138.1 107.7) (end 138.9 107.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD732B))
(via (at 138.9 107.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 138.9 107.7) (end 138.9 107.3) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD732E))
(segment (start 143.7 108.2) (end 143.2 107.7) (width 0.2) (layer 4_bot) (net 47))
(segment (start 143.2 107.7) (end 143.2 108.2) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD7263))
(via (at 143.2 107.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 143.7 108.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 143.2 108.2) (end 143.2 108.7) (width 0.2) (layer 4_bot) (net 47))
(via (at 143.2 108.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 142.035 108.2) (end 143.2 108.2) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 142.76 110.85) (end 141.75 110.85) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 141.7 110.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 141.75 110.85) (end 141.7 110.8) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD708B))
(segment (start 148.4 111.83) (end 147.63 111.83) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 147.63 111.83) (end 147.6 111.8) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD4B5B))
(via (at 147.6 111.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 105 141.828427) (end 105.088388 141.740039) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 105.088388 141.740039) (end 105.088388 140.502602) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD490B) (status 30))
(segment (start 105.088388 140.502602) (end 104.911612 140.502602) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD4913) (status 30))
(segment (start 103.497398 139.088388) (end 104.911612 140.502602) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 104.911612 140.502602) (end 106.502602 138.911612) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD48F2) (status 30))
(segment (start 106.502602 138.911612) (end 106.325826 138.911612) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD48F5) (status 30))
(segment (start 106.325826 138.911612) (end 104.911612 137.497398) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD48F6) (status 30))
(segment (start 106.414214 140.414214) (end 105 139) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 106.414214 137.585786) (end 105 139) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 103.585786 140.414214) (end 105 139) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 103.585786 137.585786) (end 105 139) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 102.171573 139) (end 105 139) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 105 141.828427) (end 105 139) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 107.828427 139) (end 105 139) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 105 136.171573) (end 105 139) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 80.99 116) (end 81.4 116.41) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 81.4 116.41) (end 81.4 117.2) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD47C0) (status 10))
(via (at 81.4 117.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 80.99 116) (end 80.6 116.39) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 80.6 116.39) (end 80.6 117.2) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD47BC) (status 10))
(via (at 80.6 117.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 77.93 116.4) (end 77.93 117.17) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 77.9 117.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 77.93 117.17) (end 77.9 117.2) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD4794))
(segment (start 65.635 121.7225) (end 65.635 122.3) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 75.825 108.5875) (end 75.825 107.425) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 75.8 107.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 75.825 107.425) (end 75.8 107.4) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD46F5))
(segment (start 75.825 108.5875) (end 76.7875 108.5875) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 76.8 108.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 76.7875 108.5875) (end 76.8 108.6) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD46F0))
(segment (start 75.825 119.3125) (end 75.825 120.375) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 75.8 120.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 75.825 120.375) (end 75.8 120.4) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD46D7))
(segment (start 75.875 118.075) (end 75.875 117.325) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 75.9 117.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 75.875 117.325) (end 75.9 117.3) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD46D1))
(segment (start 87.85 123.71) (end 85.7 123.71) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 87.85 151.29) (end 85.7 151.29) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 81.35 162) (end 79.3 162) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 79.3 162) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 62.725 113.875) (end 62.725 113.075) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 62.75 113.05) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 62.725 113.075) (end 62.75 113.05) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD4440))
(segment (start 62.725 117.875) (end 62.725 118.675) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 62.75 118.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 62.725 118.675) (end 62.75 118.7) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD441C))
(segment (start 114.99 139.9) (end 115.4 139.49) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 115.4 139.49) (end 115.4 138.8) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD3A25) (status 10))
(via (at 115.4 138.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 114.99 139.9) (end 114.6 139.51) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 114.6 139.51) (end 114.6 138.8) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD3A20) (status 10))
(via (at 114.6 138.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 113.23 143.8) (end 113.9 143.8) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 113.9 143.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 97.2 149.27) (end 97.23 149.3) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 97.23 149.3) (end 98.1 149.3) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD1E89) (status 10))
(via (at 98.1 149.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 94.45 149.505) (end 94.45 150.4) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 94.45 150.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 97.2 149.27) (end 97.17 149.3) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 97.17 149.3) (end 96.3 149.3) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD1E1D) (status 10))
(via (at 96.3 149.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 92.8 129.17) (end 92.77 129.2) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 92.77 129.2) (end 92.1 129.2) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD1D4D) (status 10))
(via (at 92.1 129.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 97.4 145.49) (end 97.81 145.9) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 97.81 145.9) (end 98.6 145.9) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD1D05) (status 10))
(via (at 98.6 145.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 97.4 145.49) (end 97.79 145.1) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 97.79 145.1) (end 98.6 145.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD1CFF) (status 10))
(via (at 98.6 145.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 93.57 145.8) (end 93.54 145.83) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 93.54 145.83) (end 92.6 145.83) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD1C22) (status 30))
(segment (start 92.6 145.83) (end 92.53 145.9) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 102.470675 130.470675) (end 102.470675 129.870675) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 102.470675 129.870675) (end 102.1 129.5) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD13D1) (status 10))
(via (at 102.1 129.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 102.470675 130.470675) (end 101.870675 130.470675) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 101.870675 130.470675) (end 101.5 130.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD13C9) (status 10))
(via (at 101.5 130.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 110.804056 132.704056) (end 110.795944 132.704056) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 110.795944 132.704056) (end 110.3 133.2) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD131C) (status 10))
(via (at 110.3 133.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 109.095944 133.195944) (end 109.095944 133.204056) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 109.095944 133.204056) (end 108.6 133.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FD12EF) (status 10))
(via (at 108.6 133.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 97.57 134) (end 96.9 134) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 96.9 134) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 101.804056 145.504056) (end 102.3 146) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 102.3 146) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.33 128.2) (end 175 128.2) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 175 128.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 89.1 131.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 90.175 131.25) (end 89.1 131.25) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 90.175 133.25) (end 89.1 133.25) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 89.1 133.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 90.175 128.75) (end 89.1 128.75) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 89.1 128.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 90.175 125.75) (end 91.25 125.75) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 91.25 125.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 90.175 125.25) (end 89.1 125.25) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 89.1 125.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 90.175 126.25) (end 89.1 126.25) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 89.1 126.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 91.15 143.5) (end 91.7 143.5) (width 0.2) (layer 4_bot) (net 47))
(via (at 91.7 143.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 90.175 144.25) (end 91.15 144.25) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 90.175 143.75) (end 91.15 143.75) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 90.175 143.25) (end 91.15 143.25) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 90.175 142.75) (end 91.15 142.75) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 90.175 144.75) (end 91.15 144.75) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 91.15 142.25) (end 90.175 142.25) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF1F8) (status 20))
(segment (start 91.15 144.75) (end 91.15 144.25) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF1F3))
(segment (start 91.15 144.25) (end 91.15 143.75) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF213))
(segment (start 91.15 143.75) (end 91.15 143.5) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF20F))
(segment (start 91.15 143.5) (end 91.15 143.25) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF215))
(segment (start 91.15 143.25) (end 91.15 142.75) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF20B))
(segment (start 91.15 142.75) (end 91.15 142.25) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF205))
(segment (start 95.1 148.5) (end 95.6 149) (width 0.2) (layer 4_bot) (net 47))
(via (at 96.1 148.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 95.6 149) (end 96.1 148.5) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF0A2))
(via (at 95.6 149) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 94.2 148.5) (end 95.1 148.5) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 95.6 148) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 95.1 148.5) (end 95.6 148) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF090))
(segment (start 93.3 148.5) (end 92.8 149) (width 0.2) (layer 4_bot) (net 47))
(via (at 92.3 148.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 92.8 149) (end 92.3 148.5) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF087))
(via (at 92.8 149) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 94.2 148.5) (end 93.3 148.5) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 92.8 148) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 93.3 148.5) (end 92.8 148) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBF07B))
(segment (start 91.15 145.8) (end 91.15 145.75) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBEFC5))
(segment (start 122.61 158.9) (end 122.61 157.81) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 122.6 157.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 122.61 157.81) (end 122.6 157.8) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBE479))
(segment (start 122.61 158.9) (end 121.6 158.9) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 121.6 158.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 120.75 157) (end 122.9 157) (width 0.2) (layer 4_bot) (net 47))
(via (at 120.75 157) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 122.9 157) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 120.77 155.95) (end 120.77 156.98) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 118.65 157) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 120.75 157) (end 118.65 157) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBE36E))
(segment (start 120.77 156.98) (end 120.75 157) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FBE36C))
(segment (start 120.77 155.95) (end 118.65 155.95) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 118.65 155.95) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 86.2 125.5) (end 85.7 125) (width 0.4) (layer 4_bot) (net 47))
(segment (start 85.7 125) (end 85.7 123.71) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FBBA68))
(segment (start 85.7 123.71) (end 85.7 122.8) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD469E))
(segment (start 86.2 149.5) (end 85.7 150) (width 0.4) (layer 4_bot) (net 47))
(segment (start 85.7 150) (end 85.7 151.29) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FBBA4E))
(segment (start 85.7 151.29) (end 85.7 152.2) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD4696))
(segment (start 141.35 115.25) (end 139.15 115.25) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 139.15 115.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 141.35 115.25) (end 143.55 115.25) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 143.55 115.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 61.25 121.75) (end 61.5 122) (width 0.4) (layer 4_bot) (net 47))
(via (at 83 122.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 83 122.3) (end 85.2 122.3) (width 0.4) (layer 4_bot) (net 47))
(via (at 85.7 122.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 85.2 122.3) (end 85.7 122.8) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FA7159))
(segment (start 86.2 146.5) (end 86.2 149.5) (width 0.4) (layer 4_bot) (net 47))
(segment (start 85.7 152.2) (end 85.2 152.7) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FA70D8))
(via (at 85.7 152.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 77 152.7) (end 80 152.7) (width 0.4) (layer 4_bot) (net 47))
(via (at 80 152.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 80 152.7) (end 83 152.7) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98EFF))
(via (at 83 152.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 83 152.7) (end 85.2 152.7) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98F02))
(segment (start 65 152.7) (end 68 152.7) (width 0.4) (layer 4_bot) (net 47))
(via (at 61.25 158) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 61.25 158) (end 61.25 155) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98EB1))
(via (at 61.25 155) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 61.25 155) (end 61.25 153.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98EB4))
(segment (start 61.25 153.25) (end 61.8 152.7) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98EB5))
(via (at 61.8 152.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 61.8 152.7) (end 65 152.7) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98EC7))
(via (at 65 152.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 68 173.75) (end 68 170.75) (width 0.4) (layer 4_bot) (net 47))
(via (at 68 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 61.25 161) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 61.25 164) (end 61.25 161) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5530))
(via (at 61.25 164) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 61.25 167) (end 61.25 164) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE552C))
(via (at 61.25 167) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 64.25 167) (end 61.25 167) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5529))
(via (at 64.25 167) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 65.5 167) (end 64.25 167) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5526))
(segment (start 66.75 168.25) (end 65.5 167) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5525))
(via (at 66.75 168.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 68 169.5) (end 66.75 168.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5520))
(segment (start 68 170.75) (end 68 169.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE551F))
(via (at 68 170.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 61.25 161) (end 61.25 158) (width 0.4) (layer 4_bot) (net 47))
(via (at 77 152.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 74 152.7) (end 77 152.7) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98EE5))
(via (at 74 152.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 71 152.7) (end 74 152.7) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98EE2))
(via (at 71 152.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 68 152.7) (end 71 152.7) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98EDF))
(via (at 68 152.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 86.2 128.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 86.2 128.5) (end 86.2 131.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98E50))
(via (at 86.2 131.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 86.2 131.5) (end 86.2 134.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98E53))
(via (at 86.2 134.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 86.2 134.5) (end 86.2 137.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98E56))
(via (at 86.2 137.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 86.2 137.5) (end 86.2 140.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98E61))
(via (at 86.2 140.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 86.2 140.5) (end 86.2 143.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98E64))
(via (at 86.2 143.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 86.2 143.5) (end 86.2 146.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98E67))
(via (at 86.2 146.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 71 122.3) (end 74 122.3) (width 0.4) (layer 4_bot) (net 47))
(segment (start 61.8 122.3) (end 65 122.3) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98DFD))
(segment (start 68 122.3) (end 71 122.3) (width 0.4) (layer 4_bot) (net 47))
(via (at 65 122.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 65 122.3) (end 65.635 122.3) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98D95))
(segment (start 65.635 122.3) (end 68 122.3) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FD470D))
(via (at 68 122.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 68 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 64 104) (end 66.75 101.25) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 66.75 101.25) (end 68 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE53A4))
(segment (start 127.25 101.25) (end 124.75 101.25) (width 0.4) (layer 4_bot) (net 47))
(segment (start 124.75 101.25) (end 122 101.25) (width 0.4) (layer 4_bot) (net 47))
(segment (start 130 101.25) (end 127.25 101.25) (width 0.4) (layer 4_bot) (net 47))
(via (at 127.25 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47) (tstamp 588D1B46))
(segment (start 86 101.25) (end 89 101.25) (width 0.4) (layer 4_bot) (net 47))
(segment (start 83 101.25) (end 86 101.25) (width 0.4) (layer 4_bot) (net 47))
(via (at 86 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 110 101.25) (end 113 101.25) (width 0.4) (layer 4_bot) (net 47))
(segment (start 107 101.25) (end 110 101.25) (width 0.4) (layer 4_bot) (net 47))
(via (at 110 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 89 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 83 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 107 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 113 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 113 101.25) (end 116 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5439))
(via (at 116 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 116 101.25) (end 119 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE543C))
(via (at 119 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 119 101.25) (end 122 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE543F))
(via (at 122 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 124.75 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 130 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 130 101.25) (end 133 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5471))
(via (at 133 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 133 101.25) (end 136 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5476))
(via (at 136 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 136 101.25) (end 139 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5479))
(via (at 139 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 139 101.25) (end 142 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE547C))
(via (at 142 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 142 101.25) (end 145 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE547F))
(via (at 145 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 145 101.25) (end 148 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5482))
(via (at 148 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 148 101.25) (end 151 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5486))
(via (at 151 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 151 101.25) (end 154 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5489))
(via (at 154 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 154 101.25) (end 157 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE548D))
(via (at 157 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 71 101.25) (end 68 101.25) (width 0.4) (layer 4_bot) (net 47))
(segment (start 71 101.25) (end 74 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5403))
(via (at 71 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 74 101.25) (end 77 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5407))
(via (at 74 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 77 101.25) (end 80 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5411))
(via (at 77 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 80 101.25) (end 83 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5414))
(via (at 80 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 89 101.25) (end 92 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE541F))
(segment (start 92 101.25) (end 95 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5423))
(via (at 92 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 95 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 104 101.25) (end 107 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5430))
(via (at 104 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 101 101.25) (end 104 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE542D))
(via (at 101 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 98 101.25) (end 101 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5429))
(via (at 98 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 95 101.25) (end 98 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5426))
(segment (start 157 101.25) (end 160 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5490))
(via (at 160 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 160 101.25) (end 163 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5493))
(via (at 163 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 163 101.25) (end 166 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5496))
(via (at 166 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 166 101.25) (end 169 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE5499))
(via (at 169 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 169 101.25) (end 172 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE549C))
(via (at 178.75 108) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 172 101.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 172 104.25) (end 172 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B91))
(via (at 172 104.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 172 105.5) (end 172 104.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B8A))
(segment (start 173.25 106.75) (end 172 105.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B89))
(via (at 173.25 106.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.5 108) (end 173.25 106.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B83))
(segment (start 175.75 108) (end 174.5 108) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B82))
(via (at 175.75 108) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 108) (end 175.75 108) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B7A))
(segment (start 62.75 108) (end 61.25 109.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE53E6))
(via (at 61.25 109.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 61.25 109.5) (end 61.25 112.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE53EE))
(via (at 61.25 112.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 61.25 112.5) (end 61.25 115.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE53F2))
(via (at 61.25 115.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 61.25 115.5) (end 61.25 118.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE53F5))
(via (at 61.25 118.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 64 108) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 65.5 108) (end 64 108) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE53C7))
(segment (start 66.75 106.75) (end 65.5 108) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE53C6))
(via (at 66.75 106.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 68 105.5) (end 66.75 106.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE53BC))
(segment (start 68 104.25) (end 68 105.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE53BB))
(via (at 68 104.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 68 104.25) (end 68 101.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE53B7))
(segment (start 64 108) (end 62.75 108) (width 0.4) (layer 4_bot) (net 47))
(segment (start 61.25 118.5) (end 61.25 121.75) (width 0.4) (layer 4_bot) (net 47))
(via (at 71 122.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 86.2 125.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 80 122.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 77 122.3) (end 80 122.3) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98E0D))
(via (at 77 122.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 74 122.3) (end 77 122.3) (width 0.4) (layer 4_bot) (net 47) (tstamp 58F98E0A))
(via (at 74 122.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 86.2 125.5) (end 86.2 128.5) (width 0.4) (layer 4_bot) (net 47))
(via (at 86.2 149.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 80 122.3) (end 83 122.3) (width 0.4) (layer 4_bot) (net 47))
(segment (start 61.5 122) (end 61.8 122.3) (width 0.4) (layer 4_bot) (net 47) (tstamp 58FAAEFC))
(via (at 61.5 122) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 175.45 125.58) (end 175.45 126.3) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 175.45 126.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.5 141.75) (end 176.6 141.75) (width 0.2) (layer 4_bot) (net 47))
(via (at 174.5 141.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 176.6 141.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 172.4 141.75) (end 174.5 141.75) (width 0.2) (layer 4_bot) (net 47))
(segment (start 174.49 141.74) (end 174.5 141.75) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA7816))
(segment (start 174.49 141.74) (end 174.49 140.71) (width 0.2) (layer 4_bot) (net 47) (status 20))
(via (at 172.4 141.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.5 139.45) (end 172.4 139.45) (width 0.2) (layer 4_bot) (net 47))
(via (at 174.5 139.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 172.4 139.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.5 139.45) (end 176.6 139.45) (width 0.2) (layer 4_bot) (net 47))
(via (at 176.6 139.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.5 137.15) (end 176.6 137.15) (width 0.2) (layer 4_bot) (net 47))
(via (at 174.5 137.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 176.6 137.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 172.4 137.15) (end 174.5 137.15) (width 0.2) (layer 4_bot) (net 47))
(segment (start 174.49 137.16) (end 174.5 137.15) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA77D5))
(segment (start 174.49 137.16) (end 174.49 138.17) (width 0.2) (layer 4_bot) (net 47) (status 20))
(via (at 172.4 137.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.5 134.15) (end 172.4 134.15) (width 0.2) (layer 4_bot) (net 47))
(via (at 174.5 134.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 172.4 134.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.49 133.09) (end 174.49 134.14) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 176.6 134.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.5 134.15) (end 176.6 134.15) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA7A32))
(segment (start 174.49 134.14) (end 174.5 134.15) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA7A29))
(segment (start 174.49 140.71) (end 176.59 140.71) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 176.6 140.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 176.59 140.71) (end 176.6 140.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA781B))
(segment (start 174.49 140.71) (end 172.41 140.71) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 172.4 140.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 172.41 140.71) (end 172.4 140.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA7810))
(segment (start 174.49 138.17) (end 174.49 139.44) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 174.49 139.46) (end 174.49 140.71) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA780C) (status 20))
(segment (start 174.5 139.45) (end 174.49 139.46) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA780B))
(segment (start 174.49 139.44) (end 174.5 139.45) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA77F9))
(segment (start 174.49 138.17) (end 172.42 138.17) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 172.4 138.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 172.42 138.17) (end 172.4 138.15) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA77E1))
(segment (start 174.49 138.17) (end 176.58 138.17) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 176.6 138.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 176.58 138.17) (end 176.6 138.15) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA77DB))
(segment (start 174.49 133.09) (end 176.59 133.09) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 176.6 133.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 176.59 133.09) (end 176.6 133.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA77BF))
(segment (start 174.35 125.58) (end 174.35 126.3) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 174.1 126.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.35 126.3) (end 174.1 126.55) (width 0.2) (layer 4_bot) (net 47) (tstamp 58FA75F3))
(via (at 74 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 71 173.75) (end 74 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE54F3))
(via (at 71 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 68 173.75) (end 71 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE54EF))
(segment (start 64 171) (end 66.75 173.75) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 66.75 173.75) (end 68 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE54E4))
(segment (start 90.175 145.75) (end 91.15 145.75) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 91.15 145.75) (end 91.15 146.25) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F7C6E3))
(segment (start 90.175 146.75) (end 91.15 146.75) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 91.15 146.25) (end 90.175 146.25) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F7C6DD) (status 20))
(segment (start 91.15 146.75) (end 91.15 146.25) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F7C6D8))
(segment (start 147.65 124.9) (end 149.9 124.9) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 149.9 124.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 147.65 125.9) (end 149.9 125.9) (width 0.2) (layer 4_bot) (net 47))
(via (at 147.65 125.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 149.9 125.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 147.65 125.9) (end 145.4 125.9) (width 0.2) (layer 4_bot) (net 47))
(segment (start 147.65 124.9) (end 147.65 125.9) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 145.4 125.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 147.65 124.9) (end 145.4 124.9) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 145.4 124.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 157.05 115.32) (end 157.05 116.25) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 157.05 116.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 150.75 118.34) (end 150.99 118.1) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 150.99 118.1) (end 151.95 118.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F95AF8) (status 10))
(via (at 151.95 118.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 164.005 109.85) (end 163.1 109.85) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 163.1 109.85) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 148.4 104.58) (end 148.42 104.6) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 148.42 104.6) (end 149.4 104.6) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F90B96) (status 10))
(via (at 149.4 104.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 146.05 104.58) (end 146.03 104.6) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 146.03 104.6) (end 145.05 104.6) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F90B8A) (status 10))
(via (at 145.05 104.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 165 111) (end 165.8 111) (width 0.2) (layer 4_bot) (net 47))
(via (at 165 111) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 165.8 111) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 165 109.6) (end 165 111) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 164.2 111) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 165 111) (end 164.2 111) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F90298))
(segment (start 165 108.2) (end 165.8 108.2) (width 0.2) (layer 4_bot) (net 47))
(via (at 165 108.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 165.8 108.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 165 109.6) (end 165 108.2) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 164.2 108.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 165 108.2) (end 164.2 108.2) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F9023E))
(segment (start 147.21 115.7) (end 147.21 116.89) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 147.21 116.89) (end 147.2 116.9) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F8475A))
(segment (start 147.2 116.9) (end 146.8 116.9) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F8475F))
(via (at 146.8 116.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 146.8 116.9) (end 146.8 117.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F8476B))
(via (at 146.8 117.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 146.8 117.7) (end 147.6 117.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F8476F))
(via (at 147.6 117.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 147.6 117.7) (end 147.6 116.9) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F84774))
(via (at 147.6 116.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 147.6 116.9) (end 147.2 116.9) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F8477A))
(segment (start 172.15 120.47) (end 172.15 119.8) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 172.15 119.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 170.6 119.11) (end 169.41 119.11) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 169.41 119.11) (end 169.4 119.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80BEF))
(segment (start 169.4 119.1) (end 169.4 118.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80C02))
(via (at 169.4 118.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 169.4 118.7) (end 168.6 118.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80C06))
(via (at 168.6 118.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 168.6 118.7) (end 168.6 119.5) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80C0C))
(via (at 168.6 119.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 168.6 119.5) (end 169.4 119.5) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80C10))
(via (at 169.4 119.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 169.4 119.5) (end 169.4 119.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80C13))
(via (at 172.55 125.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 168.3 122.85) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 167.1 126.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 173.25 125.58) (end 172.58 125.58) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 172.58 125.58) (end 172.55 125.55) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80B60))
(segment (start 172.24 126.95) (end 173.3 126.95) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 173.3 126.95) (end 173.3 126.55) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80B41))
(via (at 173.3 126.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 173.3 126.55) (end 174.1 126.55) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80B44))
(via (at 174.1 127.35) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.1 127.35) (end 173.3 127.35) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80B50))
(via (at 173.3 127.35) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 173.3 127.35) (end 173.3 126.95) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80B53))
(segment (start 173.65 120.96) (end 174.85 120.96) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 174.85 120.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.85 120.55) (end 175.65 120.55) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80A2A))
(via (at 175.65 120.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 175.65 121.35) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 175.65 121.35) (end 174.85 121.35) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80A30))
(via (at 174.85 121.35) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.85 121.35) (end 174.85 120.96) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80A33))
(segment (start 174.85 120.96) (end 174.85 120.55) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F80A3A))
(segment (start 156.8 105.43) (end 156.8 104.5) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 156.8 104.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 155.3 105.43) (end 155.3 104.5) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 155.3 104.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 149.9 107.63) (end 149.97 107.7) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 149.97 107.7) (end 150.8 107.7) (width 0.2) (layer 4_bot) (net 47) (tstamp 58ED62CF) (status 10))
(via (at 150.8 107.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 151.26 112.35) (end 150.75 112.35) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 150.6 112.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 150.6 112.5) (end 150.6 112.9) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F6E813))
(segment (start 150.75 112.35) (end 150.6 112.5) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F6E810))
(segment (start 147.97 114.2) (end 147.3 114.2) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 147.3 114.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 153.825 111.625) (end 153.775 111.625) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 153.775 111.625) (end 153.3 112.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F5A073) (status 30))
(segment (start 153.3 112.1) (end 153.825 111.625) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F5A076) (status 30))
(segment (start 152.675 111.625) (end 152.725 111.625) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 152.725 111.625) (end 153.2 112.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F5A06F) (status 30))
(segment (start 153.2 112.1) (end 152.675 111.625) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F5A071) (status 30))
(segment (start 152.675 110.275) (end 152.725 110.275) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 152.725 110.275) (end 153.2 109.8) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F5A068) (status 30))
(segment (start 153.2 109.8) (end 152.675 110.275) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F5A06A) (status 30))
(segment (start 153.825 110.275) (end 152.725 110.275) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 152.725 110.275) (end 152.6 110.4) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F5A05D) (status 30))
(segment (start 152.6 110.4) (end 152.675 110.275) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F5A062) (status 30))
(segment (start 171.075 123.375) (end 171.075 123.8) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 171.075 123.8) (end 171.15 123.875) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F55C84) (status 30))
(segment (start 171.15 123.875) (end 171.125 123.875) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F55C86) (status 30))
(segment (start 171.125 123.875) (end 170.6 123.875) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 170.6 123.875) (end 170.575 123.875) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F55C83) (status 30))
(segment (start 170.075 123.375) (end 171.075 123.375) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 171.075 123.375) (end 171.075 124.35) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F55C7D) (status 30))
(segment (start 171.075 124.35) (end 171.05 124.375) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F55C7E) (status 30))
(segment (start 171.05 124.375) (end 170.075 124.375) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F55C7F) (status 30))
(segment (start 169.14 123.125) (end 168.575 123.125) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 168.575 123.125) (end 168.3 122.85) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F45E48))
(segment (start 167.77 126.55) (end 167.1 126.55) (width 0.2) (layer 4_bot) (net 47) (status 10))
(segment (start 167.9 125.58) (end 168.62 125.58) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 168.65 125.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 168.62 125.58) (end 168.65 125.55) (width 0.2) (layer 4_bot) (net 47) (tstamp 58F45E12))
(segment (start 158.5 105.81) (end 158.69 106) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 158.69 106) (end 159.7 106) (width 0.2) (layer 4_bot) (net 47) (tstamp 58EDB973) (status 10))
(via (at 159.7 106) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 154.03 105.3) (end 154 105.33) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 154 105.33) (end 154 106) (width 0.2) (layer 4_bot) (net 47) (tstamp 58ED6614) (status 10))
(via (at 154 106) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 150.4 115.33) (end 150.43 115.3) (width 0.2) (layer 4_bot) (net 47) (status 30))
(segment (start 150.43 115.3) (end 151.1 115.3) (width 0.2) (layer 4_bot) (net 47) (tstamp 58ED6303) (status 10))
(via (at 151.1 115.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 151.85 108.96) (end 151.85 108.35) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 151.6 108.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 151.85 108.35) (end 151.6 108.1) (width 0.2) (layer 4_bot) (net 47) (tstamp 58ED62B4))
(segment (start 153.45 108.96) (end 153.45 107.75) (width 0.2) (layer 4_bot) (net 47) (status 10))
(via (at 153.7 107.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 153.45 107.75) (end 153.7 107.5) (width 0.2) (layer 4_bot) (net 47) (tstamp 58EBC203))
(segment (start 120.77 143.25) (end 118.6 143.25) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 118.6 143.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.5 140.7) (end 174.49 140.71) (width 0.4) (layer 4_bot) (net 47) (tstamp 53F39CE8) (status 30))
(segment (start 75.825 108.575) (end 75.9 108.65) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 75.825 108.575) (end 75.8 108.6) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 64.075 117.375) (end 64.1 117.4) (width 0.4) (layer 4_bot) (net 47) (status 30))
(via (at 64.8 117.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 64.1 117.4) (end 64.8 117.4) (width 0.4) (layer 4_bot) (net 47) (tstamp 53BC713A) (status 10))
(segment (start 176 104) (end 178.75 106.75) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 79 173.75) (end 76 173.75) (width 0.4) (layer 4_bot) (net 47))
(via (at 169 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 169 173.75) (end 166 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C07))
(via (at 166 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 166 173.75) (end 163 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C0B))
(via (at 163 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 163 173.75) (end 160 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C0E))
(via (at 160 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 160 173.75) (end 157 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C12))
(via (at 157 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 157 173.75) (end 154 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C15))
(via (at 154 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 154 173.75) (end 151 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C18))
(via (at 151 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 151 173.75) (end 148 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C1C))
(via (at 148 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 148 173.75) (end 145 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C1F))
(via (at 145 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 145 173.75) (end 142 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C29))
(via (at 142 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 142 173.75) (end 139 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C2C))
(via (at 139 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 139 173.75) (end 136 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C35))
(via (at 136 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 136 173.75) (end 133 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C38))
(via (at 133 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 133 173.75) (end 130 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C3B))
(via (at 130 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 130 173.75) (end 127 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C43))
(via (at 127 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 127 173.75) (end 124 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C47))
(via (at 124 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 124 173.75) (end 121 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C4A))
(via (at 121 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 121 173.75) (end 118 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C4E))
(via (at 118 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 118 173.75) (end 115 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C52))
(via (at 115 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 115 173.75) (end 112 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C56))
(via (at 112 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 112 173.75) (end 109 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C59))
(via (at 109 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 109 173.75) (end 106 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C5D))
(via (at 106 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 106 173.75) (end 103 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C60))
(via (at 103 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 103 173.75) (end 100 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C63))
(via (at 100 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 100 173.75) (end 97 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C67))
(via (at 97 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 97 173.75) (end 94 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C6B))
(via (at 94 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 94 173.75) (end 91 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C6E))
(via (at 91 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 91 173.75) (end 88 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C71))
(via (at 88 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 88 173.75) (end 85 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C75))
(via (at 85 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 85 173.75) (end 82 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C78))
(via (at 82 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 82 173.75) (end 79 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4C7B))
(via (at 79 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 172 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 172 173.75) (end 169 173.75) (width 0.4) (layer 4_bot) (net 47))
(via (at 76 173.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 176 171) (end 173.25 173.75) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 178.75 122) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 125) (end 178.75 122) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B31))
(via (at 178.75 125) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 128) (end 178.75 125) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B2D))
(via (at 178.75 128) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 131) (end 178.75 128) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B29))
(via (at 178.75 131) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 134) (end 178.75 131) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B1E))
(via (at 178.75 134) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 137) (end 178.75 134) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B1A))
(via (at 178.75 137) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 140) (end 178.75 137) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B16))
(via (at 178.75 140) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 143) (end 178.75 140) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B12))
(via (at 178.75 143) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 146) (end 178.75 143) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B0E))
(via (at 178.75 146) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 149) (end 178.75 146) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B0A))
(via (at 178.75 149) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 152) (end 178.75 149) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B04))
(via (at 178.75 152) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 155) (end 178.75 152) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4AF5))
(via (at 178.75 155) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 158) (end 178.75 155) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4AF0))
(via (at 178.75 158) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 161) (end 178.75 158) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4ADF))
(via (at 178.75 161) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 164) (end 178.75 161) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4ADB))
(via (at 178.75 164) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 167) (end 178.75 164) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4AD7))
(via (at 178.75 167) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 175.75 167) (end 178.75 167) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4AD3))
(via (at 175.75 167) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 174.5 167) (end 175.75 167) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4ACA))
(segment (start 173.25 168.25) (end 174.5 167) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4AC9))
(via (at 173.25 168.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 172 169.5) (end 173.25 168.25) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4ABB))
(segment (start 172 170.75) (end 172 169.5) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4ABA))
(via (at 172 170.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 172 173.75) (end 172 170.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4AB5))
(segment (start 173.25 173.75) (end 172 173.75) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4A9D))
(segment (start 122.60084 158.9) (end 122.9 158.60084) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 122.60084 158.9) (end 122.9 159.19916) (width 0.4) (layer 4_bot) (net 47) (status 30))
(segment (start 178.75 108) (end 178.75 111) (width 0.4) (layer 4_bot) (net 47))
(via (at 178.75 114) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 111) (end 178.75 114) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B9D))
(via (at 178.75 111) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 178.75 106.75) (end 178.75 108) (width 0.4) (layer 4_bot) (net 47) (tstamp 53AE4B53))
(via (at 169.55 161.65) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 170.2 161.64) (end 169.56 161.64) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 169.56 161.64) (end 169.55 161.65) (width 0.4) (layer 4_bot) (net 47))
(segment (start 78.11 155.6) (end 78.11 154.91) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 78.11 154.91) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 78.8 155.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 78.11 155.6) (end 78.8 155.6) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 69.4 156.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 69.4 157.8) (end 69.4 156.45) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 68.6 157.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 69.4 157.8) (end 68.6 157.8) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 79.55 156.84) (end 79.55 156.15) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 79.55 156.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 78.2 165.95) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 78.2 167.3) (end 78.2 165.95) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 79 167.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 78.2 167.3) (end 79 167.3) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 76 165.95) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 76 167.3) (end 76 165.95) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 72.7 168.65) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 72.7 167.3) (end 72.7 168.65) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 76 168.65) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 76 167.3) (end 76 168.65) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 72.7 165.95) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 72.7 167.3) (end 72.7 165.95) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 74.5 170.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 75.19 170.45) (end 74.5 170.45) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 75.2 171.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 75.19 170.45) (end 75.19 171.14) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 75.19 171.14) (end 75.2 171.15) (width 0.4) (layer 4_bot) (net 47))
(via (at 81.4 167.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 81.4 168.075) (end 81.4 167.1) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 82.95 168.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 81.4 168.075) (end 82.925 168.075) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 82.925 168.075) (end 82.95 168.1) (width 0.4) (layer 4_bot) (net 47))
(via (at 82.95 171) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 81.4 171.025) (end 82.925 171.025) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 82.925 171.025) (end 82.95 171) (width 0.4) (layer 4_bot) (net 47))
(via (at 81.4 172) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 81.4 171.025) (end 81.4 172) (width 0.4) (layer 4_bot) (net 47) (status 10))
(segment (start 172.325 164.95) (end 173.2 164.95) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 173.2 164.95) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(via (at 172.95 160.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 172.95 161.59) (end 172.95 160.9) (width 0.4) (layer 4_bot) (net 47) (status 10))
(via (at 177.25 161.25) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 176.56 161.25) (end 177.25 161.25) (width 0.4) (layer 4_bot) (net 47))
(via (at 175.9 163.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 175.915 164.79) (end 175.915 163.815) (width 0.4) (layer 4_bot) (net 47))
(segment (start 175.915 163.815) (end 175.9 163.8) (width 0.4) (layer 4_bot) (net 47))
(via (at 176.8 164.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 47))
(segment (start 175.915 164.79) (end 176.76 164.79) (width 0.4) (layer 4_bot) (net 47))
(segment (start 176.76 164.79) (end 176.8 164.75) (width 0.4) (layer 4_bot) (net 47))
(segment (start 144.57 105.8) (end 144.57 107.045) (width 0.2) (layer 4_bot) (net 48) (status 30))
(segment (start 144.57 107.045) (end 144.365 107.25) (width 0.2) (layer 4_bot) (net 48) (tstamp 58FD70B4) (status 30))
(segment (start 107.2486 142.662813) (end 112.085787 147.5) (width 0.2) (layer 4_bot) (net 49) (status 10))
(segment (start 112.085787 147.5) (end 129.1 147.5) (width 0.2) (layer 4_bot) (net 49) (tstamp 58FD0FA7))
(segment (start 129.1 147.5) (end 133.7 152.1) (width 0.2) (layer 4_bot) (net 49) (tstamp 58FC29A8))
(segment (start 133.7 152.1) (end 159.9 152.1) (width 0.2) (layer 4_bot) (net 49))
(segment (start 159.9 152.1) (end 161.1 150.9) (width 0.2) (layer 4_bot) (net 49))
(segment (start 161.1 150.9) (end 169.205 150.9) (width 0.2) (layer 4_bot) (net 49) (status 20))
(segment (start 169.205 150.9) (end 169.235 150.87) (width 0.2) (layer 4_bot) (net 49) (status 30))
(segment (start 107.531442 142.37997) (end 112.251472 147.1) (width 0.2) (layer 4_bot) (net 50) (status 10))
(segment (start 112.251472 147.1) (end 129.3 147.1) (width 0.2) (layer 4_bot) (net 50) (tstamp 58FD0F9F))
(segment (start 129.3 147.1) (end 133.9 151.7) (width 0.2) (layer 4_bot) (net 50) (tstamp 58FC299C))
(segment (start 133.9 151.7) (end 159.7 151.7) (width 0.2) (layer 4_bot) (net 50))
(segment (start 159.7 151.7) (end 161.5 149.9) (width 0.2) (layer 4_bot) (net 50))
(segment (start 161.5 149.9) (end 171.3 149.9) (width 0.2) (layer 4_bot) (net 50))
(segment (start 172.27 150.87) (end 174.285 150.87) (width 0.2) (layer 4_bot) (net 50) (status 20))
(segment (start 171.3 149.9) (end 172.27 150.87) (width 0.2) (layer 4_bot) (net 50))
(segment (start 110.607107 143.192894) (end 114.192894 143.192894) (width 0.2) (layer 4_bot) (net 51))
(segment (start 114.192894 143.192894) (end 115.5 144.5) (width 0.2) (layer 4_bot) (net 51) (tstamp 58FD1FB2))
(segment (start 108.662813 141.2486) (end 110.607107 143.192894) (width 0.2) (layer 4_bot) (net 51) (status 10))
(segment (start 129.3 144.5) (end 115.5 144.5) (width 0.2) (layer 4_bot) (net 51))
(segment (start 136.9 143.2) (end 144.6 150.9) (width 0.2) (layer 4_bot) (net 51) (tstamp 58FC2932))
(segment (start 130.6 143.2) (end 136.9 143.2) (width 0.2) (layer 4_bot) (net 51) (tstamp 58FC292E))
(segment (start 129.3 144.5) (end 130.6 143.2) (width 0.2) (layer 4_bot) (net 51) (tstamp 58FC2929))
(segment (start 169.205 148.3) (end 161.9 148.3) (width 0.2) (layer 4_bot) (net 51) (status 10))
(segment (start 159.3 150.9) (end 161.9 148.3) (width 0.2) (layer 4_bot) (net 51) (tstamp 58FC2762))
(segment (start 144.6 150.9) (end 159.3 150.9) (width 0.2) (layer 4_bot) (net 51) (tstamp 58FC2940))
(segment (start 169.205 148.3) (end 169.235 148.33) (width 0.2) (layer 4_bot) (net 51) (status 30))
(segment (start 108.097128 141.814285) (end 111.182843 144.9) (width 0.2) (layer 4_bot) (net 52) (status 10))
(segment (start 129.5 144.9) (end 111.182843 144.9) (width 0.2) (layer 4_bot) (net 52))
(segment (start 161.5 149.3) (end 159.5 151.3) (width 0.2) (layer 4_bot) (net 52) (tstamp 58FC282C))
(segment (start 159.5 151.3) (end 144.4 151.3) (width 0.2) (layer 4_bot) (net 52) (tstamp 58FC282E))
(segment (start 171.3 149.3) (end 172.27 148.33) (width 0.2) (layer 4_bot) (net 52))
(segment (start 161.5 149.3) (end 171.3 149.3) (width 0.2) (layer 4_bot) (net 52))
(segment (start 172.27 148.33) (end 174.285 148.33) (width 0.2) (layer 4_bot) (net 52) (status 20))
(segment (start 129.5 144.9) (end 130.8 143.6) (width 0.2) (layer 4_bot) (net 52) (tstamp 58FC294D))
(segment (start 130.8 143.6) (end 136.7 143.6) (width 0.2) (layer 4_bot) (net 52) (tstamp 58FC2953))
(segment (start 136.7 143.6) (end 144.4 151.3) (width 0.2) (layer 4_bot) (net 52) (tstamp 58FC2955))
(segment (start 110.78995 142.810051) (end 114.410051 142.810051) (width 0.2) (layer 4_bot) (net 53))
(segment (start 114.410051 142.810051) (end 115.7 144.1) (width 0.2) (layer 4_bot) (net 53) (tstamp 58FD1FA9))
(segment (start 108.945656 140.965757) (end 110.78995 142.810051) (width 0.2) (layer 4_bot) (net 53) (status 10))
(segment (start 129.1 144.1) (end 115.7 144.1) (width 0.2) (layer 4_bot) (net 53))
(segment (start 169.225 145.8) (end 159.5 145.8) (width 0.2) (layer 4_bot) (net 53) (status 10))
(segment (start 159.1 145.4) (end 159.5 145.8) (width 0.2) (layer 4_bot) (net 53) (tstamp 58FC2739))
(segment (start 157.1 145.4) (end 159.1 145.4) (width 0.2) (layer 4_bot) (net 53) (tstamp 58FC2737))
(segment (start 156.7 145.8) (end 157.1 145.4) (width 0.2) (layer 4_bot) (net 53) (tstamp 58FC2734))
(segment (start 140.1 145.8) (end 156.7 145.8) (width 0.2) (layer 4_bot) (net 53) (tstamp 58FC2732))
(segment (start 137.1 142.8) (end 140.1 145.8) (width 0.2) (layer 4_bot) (net 53) (tstamp 58FC272D))
(segment (start 130.4 142.8) (end 137.1 142.8) (width 0.2) (layer 4_bot) (net 53) (tstamp 58FC272C))
(segment (start 129.1 144.1) (end 130.4 142.8) (width 0.2) (layer 4_bot) (net 53) (tstamp 58FC2729))
(segment (start 169.225 145.8) (end 169.235 145.79) (width 0.2) (layer 4_bot) (net 53) (status 30))
(segment (start 109.228499 140.682914) (end 110.945585 142.4) (width 0.2) (layer 4_bot) (net 54) (status 10))
(segment (start 172.29 145.79) (end 174.285 145.79) (width 0.2) (layer 4_bot) (net 54) (status 20))
(segment (start 171.3 144.8) (end 172.29 145.79) (width 0.2) (layer 4_bot) (net 54))
(segment (start 144.5 144.8) (end 171.3 144.8) (width 0.2) (layer 4_bot) (net 54) (tstamp 58FC26EC))
(segment (start 110.945585 142.4) (end 142.1 142.4) (width 0.2) (layer 4_bot) (net 54) (tstamp 58FC26E0))
(segment (start 142.1 142.4) (end 144.5 144.8) (width 0.2) (layer 4_bot) (net 54) (tstamp 58FC26E2))
(segment (start 109.794184 140.117229) (end 111.276955 141.6) (width 0.2) (layer 4_bot) (net 55) (status 10))
(segment (start 111.276955 141.6) (end 142.5 141.6) (width 0.2) (layer 4_bot) (net 55) (tstamp 58FC2676))
(segment (start 142.5 141.6) (end 144.1 143.2) (width 0.2) (layer 4_bot) (net 55) (tstamp 58FC2679))
(segment (start 144.1 143.2) (end 169.185 143.2) (width 0.2) (layer 4_bot) (net 55) (status 20))
(segment (start 169.235 143.25) (end 169.185 143.2) (width 0.2) (layer 4_bot) (net 55) (status 30))
(segment (start 109.511341 140.400071) (end 111.11127 142) (width 0.2) (layer 4_bot) (net 56) (status 10))
(segment (start 111.11127 142) (end 142.3 142) (width 0.2) (layer 4_bot) (net 56) (tstamp 58FC26A0))
(segment (start 144.5 144.2) (end 171.3 144.2) (width 0.2) (layer 4_bot) (net 56))
(segment (start 171.3 144.2) (end 172.25 143.25) (width 0.2) (layer 4_bot) (net 56))
(segment (start 172.25 143.25) (end 174.285 143.25) (width 0.2) (layer 4_bot) (net 56) (status 20))
(segment (start 142.3 142) (end 144.5 144.2) (width 0.2) (layer 4_bot) (net 56))
(segment (start 106.117229 143.794184) (end 114.223045 151.9) (width 0.2) (layer 4_bot) (net 57) (status 10))
(segment (start 129.3 151.9) (end 114.223045 151.9) (width 0.2) (layer 4_bot) (net 57) (tstamp 58FC2B3A))
(segment (start 162.87 165.57) (end 162.87 167.365) (width 0.2) (layer 4_bot) (net 57) (status 20))
(segment (start 161.6 160.1) (end 157.4 155.9) (width 0.2) (layer 4_bot) (net 57))
(segment (start 157.4 155.9) (end 133.3 155.9) (width 0.2) (layer 4_bot) (net 57))
(segment (start 161.6 164.3) (end 161.6 160.1) (width 0.2) (layer 4_bot) (net 57))
(segment (start 162.87 165.57) (end 161.6 164.3) (width 0.2) (layer 4_bot) (net 57))
(segment (start 133.3 155.9) (end 129.3 151.9) (width 0.2) (layer 4_bot) (net 57))
(segment (start 106.400071 143.511341) (end 112.88873 150) (width 0.2) (layer 4_bot) (net 58) (status 10))
(segment (start 158.3 155.5) (end 162.87 160.07) (width 0.2) (layer 4_bot) (net 58) (tstamp 58FCD6F7))
(segment (start 162.87 160.07) (end 162.87 162.11) (width 0.2) (layer 4_bot) (net 58) (tstamp 58FCD6FB) (status 20))
(segment (start 129.1 150) (end 112.88873 150) (width 0.2) (layer 4_bot) (net 58) (tstamp 58FC2B29))
(segment (start 134.6 155.5) (end 129.1 150) (width 0.2) (layer 4_bot) (net 58) (tstamp 58FC2B25))
(segment (start 134.6 155.5) (end 158.3 155.5) (width 0.2) (layer 4_bot) (net 58))
(segment (start 162.8 162.245) (end 162.87 162.315) (width 0.2) (layer 4_bot) (net 58) (tstamp 53ACF42A) (status 30))
(segment (start 105.834386 144.077027) (end 114.057359 152.3) (width 0.2) (layer 4_bot) (net 59) (status 10))
(segment (start 129.1 152.3) (end 114.057359 152.3) (width 0.2) (layer 4_bot) (net 59) (tstamp 58FC2B57))
(segment (start 145.09 165.31) (end 145.09 167.365) (width 0.2) (layer 4_bot) (net 59) (status 20))
(segment (start 146.4 159.6) (end 146.4 164) (width 0.2) (layer 4_bot) (net 59))
(segment (start 143.1 156.3) (end 146.4 159.6) (width 0.2) (layer 4_bot) (net 59))
(segment (start 146.4 164) (end 145.09 165.31) (width 0.2) (layer 4_bot) (net 59))
(segment (start 133.1 156.3) (end 143.1 156.3) (width 0.2) (layer 4_bot) (net 59))
(segment (start 133.1 156.3) (end 129.1 152.3) (width 0.2) (layer 4_bot) (net 59))
(segment (start 104.165614 144.077027) (end 103.5 144.742641) (width 0.2) (layer 4_bot) (net 60) (status 10))
(segment (start 103.5 145.1) (end 118.9 160.5) (width 0.2) (layer 4_bot) (net 60) (tstamp 58FD0B37))
(segment (start 103.5 144.742641) (end 103.5 145.1) (width 0.2) (layer 4_bot) (net 60) (tstamp 58FD0B36))
(segment (start 145.09 162.315) (end 145.09 159.99) (width 0.2) (layer 4_bot) (net 60) (status 10))
(segment (start 144.4 159.3) (end 145.09 159.99) (width 0.2) (layer 4_bot) (net 60))
(segment (start 136.15 159.3) (end 144.4 159.3) (width 0.2) (layer 4_bot) (net 60))
(segment (start 134.95 160.5) (end 136.15 159.3) (width 0.2) (layer 4_bot) (net 60) (tstamp 58FC2C5C))
(segment (start 118.9 160.5) (end 134.95 160.5) (width 0.2) (layer 4_bot) (net 60) (tstamp 58FD0B41))
(segment (start 101.62003 141.531442) (end 100.151472 143) (width 0.2) (layer 4_bot) (net 61) (status 10))
(segment (start 141.9 170.3) (end 124.9 170.3) (width 0.2) (layer 4_bot) (net 61))
(segment (start 142.55 169.65) (end 141.9 170.3) (width 0.2) (layer 4_bot) (net 61))
(segment (start 142.55 169.65) (end 142.55 167.365) (width 0.2) (layer 4_bot) (net 61) (status 20))
(segment (start 99.2 144.6) (end 124.9 170.3) (width 0.2) (layer 4_bot) (net 61) (tstamp 58FD0BD7))
(segment (start 99.2 143.3) (end 99.2 144.6) (width 0.2) (layer 4_bot) (net 61) (tstamp 58FD0BF8))
(segment (start 99.5 143) (end 99.2 143.3) (width 0.2) (layer 4_bot) (net 61) (tstamp 58FD0BF7))
(segment (start 100.151472 143) (end 99.5 143) (width 0.2) (layer 4_bot) (net 61) (tstamp 58FD0BF5))
(segment (start 102.185715 142.097128) (end 100.1 144.182843) (width 0.2) (layer 4_bot) (net 62) (status 10))
(segment (start 100.1 144.9) (end 124.9 169.7) (width 0.2) (layer 4_bot) (net 62) (tstamp 58FD0B87))
(segment (start 100.1 144.182843) (end 100.1 144.9) (width 0.2) (layer 4_bot) (net 62) (tstamp 58FD0B85))
(segment (start 140.8 169.7) (end 124.9 169.7) (width 0.2) (layer 4_bot) (net 62))
(segment (start 142.55 162.315) (end 142.55 164.45) (width 0.2) (layer 4_bot) (net 62) (status 10))
(segment (start 142.55 164.45) (end 141.3 165.7) (width 0.2) (layer 4_bot) (net 62))
(segment (start 141.3 165.7) (end 141.3 169.2) (width 0.2) (layer 4_bot) (net 62))
(segment (start 141.3 169.2) (end 140.8 169.7) (width 0.2) (layer 4_bot) (net 62))
(segment (start 113.61127 133.5) (end 116.7 133.5) (width 0.2) (layer 4_bot) (net 63))
(segment (start 109.511341 137.599929) (end 113.61127 133.5) (width 0.2) (layer 4_bot) (net 63) (status 10))
(segment (start 119.6 147.3) (end 118.6 148.3) (width 0.2) (layer 1_top) (net 63) (tstamp 58FD1026))
(segment (start 119.6 136.4) (end 119.6 147.3) (width 0.2) (layer 1_top) (net 63) (tstamp 58FD101D))
(segment (start 118.63 148.33) (end 118.6 148.3) (width 0.2) (layer 4_bot) (net 63) (tstamp 53F39E2A))
(via (at 118.6 148.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 63))
(segment (start 118.63 148.33) (end 120.77 148.33) (width 0.2) (layer 4_bot) (net 63) (status 20))
(segment (start 116.7 133.5) (end 119.6 136.4) (width 0.2) (layer 1_top) (net 63))
(via (at 116.7 133.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 63))
(segment (start 118.6 145.8) (end 119.2 145.2) (width 0.2) (layer 1_top) (net 64))
(segment (start 119.2 142.4) (end 117.8 141) (width 0.2) (layer 1_top) (net 64) (tstamp 58FD1042))
(segment (start 119.2 145.2) (end 119.2 142.4) (width 0.2) (layer 1_top) (net 64) (tstamp 58FD1040))
(segment (start 118.61 145.79) (end 118.6 145.8) (width 0.2) (layer 4_bot) (net 64) (tstamp 53F39E92))
(via (at 118.6 145.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 64))
(segment (start 120.77 145.79) (end 118.61 145.79) (width 0.2) (layer 4_bot) (net 64) (status 10))
(segment (start 111.242641 141) (end 117.8 141) (width 0.2) (layer 4_bot) (net 64) (tstamp 58FD0E52))
(via (at 117.8 141) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 64))
(segment (start 111.242641 141) (end 110.077027 139.834386) (width 0.2) (layer 4_bot) (net 64) (status 20))
(segment (start 110.077027 138.165614) (end 113.642641 134.6) (width 0.2) (layer 4_bot) (net 65) (status 10))
(segment (start 126.23 135.63) (end 124.43 135.63) (width 0.2) (layer 4_bot) (net 65) (status 10))
(segment (start 123.4 134.6) (end 113.642641 134.6) (width 0.2) (layer 4_bot) (net 65) (tstamp 58FC25CD))
(segment (start 124.43 135.63) (end 123.4 134.6) (width 0.2) (layer 4_bot) (net 65) (tstamp 58FC25CA))
(segment (start 93.45 149.505) (end 93.45 150.05) (width 0.2) (layer 4_bot) (net 66) (status 10))
(segment (start 91.65 148.75) (end 93.1 150.2) (width 0.2) (layer 4_bot) (net 66) (tstamp 58D2BF04))
(segment (start 91.65 148.75) (end 90.375 148.75) (width 0.2) (layer 4_bot) (net 66) (status 20))
(segment (start 93.3 150.2) (end 93.1 150.2) (width 0.2) (layer 4_bot) (net 66) (tstamp 58FBEF0B))
(segment (start 93.45 150.05) (end 93.3 150.2) (width 0.2) (layer 4_bot) (net 66) (tstamp 58FBEF0A))
(segment (start 93.95 149.505) (end 93.95 150.15) (width 0.2) (layer 4_bot) (net 67) (status 10))
(segment (start 91.55 149.25) (end 92.9 150.6) (width 0.2) (layer 4_bot) (net 67) (tstamp 58D2BF08))
(segment (start 91.55 149.25) (end 90.375 149.25) (width 0.2) (layer 4_bot) (net 67) (status 20))
(segment (start 93.5 150.6) (end 92.9 150.6) (width 0.2) (layer 4_bot) (net 67) (tstamp 58FBEF15))
(segment (start 93.95 150.15) (end 93.5 150.6) (width 0.2) (layer 4_bot) (net 67) (tstamp 58FBEF14))
(segment (start 93.45 147.495) (end 93.45 146.95) (width 0.2) (layer 4_bot) (net 68) (status 10))
(segment (start 91.65 148.25) (end 93.1 146.8) (width 0.2) (layer 4_bot) (net 68) (tstamp 58D2BF0F))
(segment (start 91.65 148.25) (end 90.375 148.25) (width 0.2) (layer 4_bot) (net 68) (status 20))
(segment (start 93.3 146.8) (end 93.1 146.8) (width 0.2) (layer 4_bot) (net 68) (tstamp 58FBEF06))
(segment (start 93.45 146.95) (end 93.3 146.8) (width 0.2) (layer 4_bot) (net 68) (tstamp 58FBEF05))
(segment (start 93.95 147.495) (end 93.95 146.85) (width 0.2) (layer 4_bot) (net 69) (status 10))
(segment (start 91.55 147.75) (end 92.9 146.4) (width 0.2) (layer 4_bot) (net 69) (tstamp 58D2BF1B))
(segment (start 91.55 147.75) (end 90.375 147.75) (width 0.2) (layer 4_bot) (net 69) (status 20))
(segment (start 93.5 146.4) (end 92.9 146.4) (width 0.2) (layer 4_bot) (net 69) (tstamp 58FBEF10))
(segment (start 93.95 146.85) (end 93.5 146.4) (width 0.2) (layer 4_bot) (net 69) (tstamp 58FBEF0F))
(segment (start 171.11 167.44) (end 171.11 166.95) (width 0.2) (layer 4_bot) (net 70) (status 20))
(segment (start 170.98 167.57) (end 171.11 167.44) (width 0.2) (layer 4_bot) (net 70))
(segment (start 167.95 167.57) (end 170.98 167.57) (width 0.2) (layer 4_bot) (net 70) (status 10))
(segment (start 171.11 166.95) (end 171.99 166.95) (width 0.2) (layer 4_bot) (net 70) (status 30))
(segment (start 94.45 147.495) (end 94.45 145.82) (width 0.2) (layer 4_bot) (net 71) (status 30))
(segment (start 94.45 145.82) (end 94.43 145.8) (width 0.2) (layer 4_bot) (net 71) (tstamp 58FD1C25) (status 30))
(segment (start 169 129.5) (end 166.9 129.5) (width 0.2) (layer 4_bot) (net 72))
(via (at 169 129.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(via (at 166.9 129.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 169.03 130.55) (end 169.03 129.53) (width 0.2) (layer 4_bot) (net 72) (status 10))
(via (at 171.1 129.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 169 129.5) (end 171.1 129.5) (width 0.2) (layer 4_bot) (net 72) (tstamp 58FA7DA1))
(segment (start 169.03 129.53) (end 169 129.5) (width 0.2) (layer 4_bot) (net 72) (tstamp 58FA7D96))
(segment (start 169.03 130.55) (end 166.9 130.55) (width 0.2) (layer 4_bot) (net 72) (status 10))
(via (at 166.9 130.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(via (at 169 131.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 169.03 130.55) (end 169.03 131.57) (width 0.2) (layer 4_bot) (net 72) (status 10))
(via (at 166.9 131.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 169 131.6) (end 166.9 131.6) (width 0.2) (layer 4_bot) (net 72) (tstamp 58FA76E9))
(segment (start 169.03 131.57) (end 169 131.6) (width 0.2) (layer 4_bot) (net 72) (tstamp 58FA76D0))
(segment (start 164.55 123.83) (end 164.55 124.5) (width 0.2) (layer 4_bot) (net 72) (status 10))
(via (at 164.55 124.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 164.55 121.27) (end 164.55 120.6) (width 0.2) (layer 4_bot) (net 72) (status 10))
(via (at 164.55 120.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 148.99 115.7) (end 148.99 116.89) (width 0.2) (layer 4_bot) (net 72) (status 10))
(segment (start 148.99 116.89) (end 149 116.9) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F846EB))
(segment (start 149 116.9) (end 149.4 116.9) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F846F0))
(via (at 149.4 116.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 149.4 116.9) (end 149.4 117.7) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F846F7))
(via (at 149.4 117.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 149.4 117.7) (end 148.6 117.7) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F846FD))
(via (at 148.6 117.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 148.6 117.7) (end 148.6 116.9) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F84701))
(via (at 148.6 116.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 148.6 116.9) (end 149 116.9) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F84706))
(segment (start 166.8 125.58) (end 166.13 125.58) (width 0.2) (layer 4_bot) (net 72) (status 10))
(segment (start 166.13 125.58) (end 166.1 125.55) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F80E1F))
(via (at 166.1 125.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 170.6 120.89) (end 169.41 120.89) (width 0.2) (layer 4_bot) (net 72) (status 10))
(segment (start 169.41 120.89) (end 169.4 120.88) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F80CE3))
(segment (start 169.4 120.88) (end 169.4 120.5) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F80CE7))
(via (at 169.4 120.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 169.4 120.5) (end 168.6 120.5) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F80CEF))
(via (at 168.6 120.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 168.6 120.5) (end 168.6 121.3) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F80CF4))
(via (at 168.6 121.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 168.6 121.3) (end 169.4 121.3) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F80CF9))
(via (at 169.4 121.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 169.4 121.3) (end 169.4 120.9) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F80CFE))
(segment (start 169.03 130.55) (end 169 130.58) (width 0.4) (layer 4_bot) (net 72) (status 30))
(segment (start 169.03 130.55) (end 169 130.52) (width 0.4) (layer 4_bot) (net 72) (status 30))
(segment (start 170.825 122.44) (end 170.825 121.115) (width 0.2) (layer 4_bot) (net 72) (status 30))
(segment (start 170.825 121.115) (end 170.6 120.89) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F6EA53) (status 30))
(segment (start 170.325 122.44) (end 170.325 121.165) (width 0.2) (layer 4_bot) (net 72) (status 30))
(segment (start 170.325 121.165) (end 170.6 120.89) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F6EA4F) (status 30))
(segment (start 151.26 111.95) (end 150.45 111.95) (width 0.2) (layer 4_bot) (net 72) (status 10))
(via (at 150 112.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 150.45 111.95) (end 150 112.4) (width 0.2) (layer 4_bot) (net 72) (tstamp 58F6E81B))
(segment (start 148.83 114.2) (end 149.5 114.2) (width 0.2) (layer 4_bot) (net 72) (status 10))
(via (at 149.5 114.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 72))
(segment (start 152.25 108.96) (end 152.25 107.52) (width 0.2) (layer 4_bot) (net 73) (status 30))
(segment (start 152.25 107.52) (end 151.9 107.17) (width 0.2) (layer 4_bot) (net 73) (tstamp 58ED62C8) (status 30))
(segment (start 152.65 108.96) (end 152.65 106.38) (width 0.2) (layer 4_bot) (net 74) (status 10))
(segment (start 152.65 106.38) (end 151.9 105.63) (width 0.2) (layer 4_bot) (net 74) (tstamp 58ED62CB) (status 20))
(segment (start 150.5 109.4) (end 150.13 109.4) (width 0.2) (layer 4_bot) (net 75) (tstamp 58EBC4C5) (status 20))
(segment (start 150.13 109.4) (end 149.9 109.17) (width 0.2) (layer 4_bot) (net 75) (tstamp 58EBC4CD) (status 30))
(segment (start 151.26 109.55) (end 150.65 109.55) (width 0.2) (layer 4_bot) (net 75) (status 10))
(segment (start 150.65 109.55) (end 150.5 109.4) (width 0.2) (layer 4_bot) (net 75) (tstamp 58EBC4BE))
(segment (start 154.6 107.4) (end 154.87 107.4) (width 0.2) (layer 4_bot) (net 76) (tstamp 58EDC05E) (status 20))
(segment (start 154.87 107.4) (end 155.3 106.97) (width 0.2) (layer 4_bot) (net 76) (tstamp 58EDC05F) (status 30))
(segment (start 155.07 107.2) (end 155.3 106.97) (width 0.2) (layer 4_bot) (net 76) (tstamp 58EBC31F) (status 30))
(segment (start 153.85 108.96) (end 153.85 108.15) (width 0.2) (layer 4_bot) (net 76) (status 10))
(segment (start 153.85 108.15) (end 154.6 107.4) (width 0.2) (layer 4_bot) (net 76) (tstamp 58EDC05D))
(segment (start 155.97 107.8) (end 156.8 106.97) (width 0.2) (layer 4_bot) (net 77) (tstamp 58EDC064) (status 20))
(segment (start 154.8 107.8) (end 155.97 107.8) (width 0.2) (layer 4_bot) (net 77) (tstamp 58EDC063))
(segment (start 154.25 108.35) (end 154.8 107.8) (width 0.2) (layer 4_bot) (net 77) (tstamp 58EDC062))
(segment (start 154.25 108.96) (end 154.25 108.35) (width 0.2) (layer 4_bot) (net 77) (status 10))
(segment (start 148.4 107.63) (end 148.4 106.22) (width 0.2) (layer 4_bot) (net 78) (status 30))
(segment (start 155.24 110.35) (end 159.15 110.35) (width 0.2) (layer 4_bot) (net 79) (status 10))
(segment (start 159.5 109.43) (end 160.23 108.7) (width 0.2) (layer 4_bot) (net 79) (tstamp 58EDB954) (status 20))
(segment (start 159.5 110) (end 159.5 109.43) (width 0.2) (layer 4_bot) (net 79) (tstamp 58EDB94E))
(segment (start 159.15 110.35) (end 159.5 110) (width 0.2) (layer 4_bot) (net 79) (tstamp 58EDB94A))
(segment (start 108.15 133.304415) (end 106.682914 134.771501) (width 0.2) (layer 4_bot) (net 80))
(segment (start 155.4 117.57) (end 155.4 118.7) (width 0.2) (layer 4_bot) (net 80))
(segment (start 155.4 118.7) (end 154.7 119.4) (width 0.2) (layer 4_bot) (net 80))
(segment (start 154.7 119.4) (end 143.6 119.4) (width 0.2) (layer 4_bot) (net 80))
(segment (start 143.6 119.4) (end 138.9 124.1) (width 0.2) (layer 4_bot) (net 80))
(segment (start 108.15 133.05) (end 108.15 133.304415) (width 0.2) (layer 4_bot) (net 80))
(segment (start 117.1 124.1) (end 108.15 133.05) (width 0.2) (layer 4_bot) (net 80))
(segment (start 138.9 124.1) (end 117.1 124.1) (width 0.2) (layer 4_bot) (net 80))
(segment (start 154 146.35) (end 155.95 144.4) (width 0.2) (layer 1_top) (net 81))
(segment (start 154.65 129.3) (end 154.65 124.85) (width 0.2) (layer 1_top) (net 81) (tstamp 58F9828F))
(segment (start 155.95 130.6) (end 154.65 129.3) (width 0.2) (layer 1_top) (net 81) (tstamp 58F98289))
(segment (start 155.95 144.4) (end 155.95 130.6) (width 0.2) (layer 1_top) (net 81) (tstamp 58F98281))
(segment (start 155.1 114.6) (end 155.1 124.4) (width 0.2) (layer 1_top) (net 81))
(segment (start 155.1 124.4) (end 154.65 124.85) (width 0.2) (layer 1_top) (net 81) (tstamp 58F95C63))
(segment (start 153.85 113.85) (end 153.85 112.94) (width 0.2) (layer 4_bot) (net 81) (status 20))
(segment (start 153.85 113.85) (end 154.6 114.6) (width 0.2) (layer 4_bot) (net 81) (tstamp 58F95B71))
(segment (start 154.6 114.6) (end 155.1 114.6) (width 0.2) (layer 4_bot) (net 81) (tstamp 58F95B72))
(via (at 155.1 114.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 81))
(segment (start 152.71 160.11) (end 151.5 158.9) (width 0.2) (layer 4_bot) (net 81))
(segment (start 151.5 158.9) (end 151.5 156.5) (width 0.2) (layer 4_bot) (net 81))
(segment (start 152.71 162.11) (end 152.71 160.11) (width 0.2) (layer 4_bot) (net 81) (status 10))
(via (at 151.5 156.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 81))
(segment (start 154 154) (end 154 146.35) (width 0.2) (layer 1_top) (net 81) (tstamp 53AE081F))
(segment (start 151.5 156.5) (end 154 154) (width 0.2) (layer 1_top) (net 81))
(segment (start 152.8 117.57) (end 152.8 118.45) (width 0.2) (layer 4_bot) (net 82) (status 10))
(segment (start 152.8 118.45) (end 151.7 119.55) (width 0.2) (layer 1_top) (net 82) (tstamp 58F95C75))
(via (at 152.8 118.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 82))
(segment (start 148.9 157.65) (end 149.4 157.15) (width 0.2) (layer 4_bot) (net 82))
(segment (start 149.4 156.5) (end 149.4 152.6) (width 0.2) (layer 1_top) (net 82) (tstamp 58F00410))
(via (at 149.4 156.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 82))
(segment (start 149.4 157.15) (end 149.4 156.5) (width 0.2) (layer 4_bot) (net 82) (tstamp 58F0040B))
(segment (start 151.7 119.55) (end 151.7 132.3) (width 0.2) (layer 1_top) (net 82) (tstamp 58F95C78))
(segment (start 152.6 149.4) (end 149.4 152.6) (width 0.2) (layer 1_top) (net 82) (tstamp 58EFE7DD))
(segment (start 152.6 133.2) (end 152.6 149.4) (width 0.2) (layer 1_top) (net 82) (tstamp 58EFE7DC))
(segment (start 151.7 132.3) (end 152.6 133.2) (width 0.2) (layer 1_top) (net 82) (tstamp 58EFE7D9))
(segment (start 150.17 167.365) (end 150.17 165.37) (width 0.2) (layer 4_bot) (net 82) (status 10))
(segment (start 150.17 165.37) (end 148.9 164.1) (width 0.2) (layer 4_bot) (net 82))
(segment (start 148.9 164.1) (end 148.9 157.65) (width 0.2) (layer 4_bot) (net 82))
(segment (start 153.7 117.57) (end 153.7 118.45) (width 0.2) (layer 4_bot) (net 83) (status 10))
(segment (start 153.7 118.45) (end 152.1 120.05) (width 0.2) (layer 1_top) (net 83) (tstamp 58F95C6D))
(via (at 153.7 118.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 83))
(segment (start 150.17 156.95) (end 150.6 156.52) (width 0.2) (layer 4_bot) (net 83))
(segment (start 150.6 156.52) (end 150.6 156.5) (width 0.2) (layer 4_bot) (net 83) (tstamp 58F00656))
(segment (start 150.17 162.11) (end 150.17 156.95) (width 0.2) (layer 4_bot) (net 83) (status 10))
(via (at 150.6 156.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 83))
(segment (start 153 154.1) (end 150.6 156.5) (width 0.2) (layer 1_top) (net 83) (tstamp 58EFE76F))
(segment (start 153 132.85) (end 153 154.1) (width 0.2) (layer 1_top) (net 83) (tstamp 58EFE76D))
(segment (start 152.1 131.95) (end 153 132.85) (width 0.2) (layer 1_top) (net 83) (tstamp 58EFE769))
(segment (start 152.1 120.05) (end 152.1 131.95) (width 0.2) (layer 1_top) (net 83) (tstamp 58F95C70))
(segment (start 150.2 162.1) (end 150.17 162.11) (width 0.2) (layer 4_bot) (net 83) (tstamp 53F39C9D) (status 30))
(segment (start 151.85 114.5) (end 151.85 115.7) (width 0.2) (layer 1_top) (net 84))
(segment (start 151.3 116.25) (end 151.3 132.65) (width 0.2) (layer 1_top) (net 84) (tstamp 58F96891))
(segment (start 151.85 115.7) (end 151.3 116.25) (width 0.2) (layer 1_top) (net 84) (tstamp 58F9688C))
(segment (start 152.25 114.1) (end 151.85 114.5) (width 0.2) (layer 4_bot) (net 84) (tstamp 58F95AF0))
(via (at 151.85 114.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 84))
(segment (start 152.25 112.94) (end 152.25 114.1) (width 0.2) (layer 4_bot) (net 84) (status 10))
(segment (start 147.63 157.45) (end 148.6 156.48) (width 0.2) (layer 4_bot) (net 84))
(segment (start 148.6 156.5) (end 148.6 152.8) (width 0.2) (layer 1_top) (net 84) (tstamp 58F0042B))
(via (at 148.6 156.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 84))
(segment (start 148.6 156.48) (end 148.6 156.5) (width 0.2) (layer 4_bot) (net 84) (tstamp 58F00425))
(segment (start 151.3 132.65) (end 152.2 133.55) (width 0.2) (layer 1_top) (net 84) (tstamp 58EFE83D))
(segment (start 152.2 133.55) (end 152.2 149.2) (width 0.2) (layer 1_top) (net 84) (tstamp 58EFE840))
(segment (start 152.2 149.2) (end 148.6 152.8) (width 0.2) (layer 1_top) (net 84) (tstamp 58EFE844))
(segment (start 147.63 162.11) (end 147.63 157.45) (width 0.2) (layer 4_bot) (net 84) (status 10))
(segment (start 147.6 162.1) (end 147.63 162.11) (width 0.2) (layer 4_bot) (net 84) (tstamp 53F39CA0) (status 30))
(segment (start 141 133.1) (end 148 140.1) (width 0.2) (layer 4_bot) (net 85))
(via (at 154.5 118.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 85))
(segment (start 154.5 118.45) (end 152.5 120.45) (width 0.2) (layer 1_top) (net 85) (tstamp 58FD3ABD))
(segment (start 152.5 120.45) (end 152.5 131.589998) (width 0.2) (layer 1_top) (net 85) (tstamp 58FD3ABE))
(segment (start 154.5 117.57) (end 154.5 118.45) (width 0.2) (layer 4_bot) (net 85) (status 10))
(segment (start 141 133.1) (end 126.2 133.1) (width 0.2) (layer 4_bot) (net 85) (status 20))
(segment (start 153.55 132.639998) (end 152.5 131.589998) (width 0.2) (layer 1_top) (net 85) (tstamp 58FD3B63))
(segment (start 153.55 139.7) (end 153.55 132.639998) (width 0.2) (layer 1_top) (net 85) (tstamp 58FD3B62))
(via (at 153.55 139.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 85))
(segment (start 153.15 140.1) (end 153.55 139.7) (width 0.2) (layer 4_bot) (net 85) (tstamp 58FD3B59))
(segment (start 148 140.1) (end 153.15 140.1) (width 0.2) (layer 4_bot) (net 85) (tstamp 58FD3B57))
(segment (start 109.794184 137.882771) (end 113.576955 134.1) (width 0.2) (layer 4_bot) (net 85) (status 10))
(segment (start 126.23 133.09) (end 124.41 133.09) (width 0.2) (layer 4_bot) (net 85) (status 10))
(segment (start 123.4 134.1) (end 113.576955 134.1) (width 0.2) (layer 4_bot) (net 85) (tstamp 58FC25AF))
(segment (start 124.41 133.09) (end 123.4 134.1) (width 0.2) (layer 4_bot) (net 85) (tstamp 58FC25AD))
(segment (start 126.23 133.09) (end 125.11 133.09) (width 0.2) (layer 4_bot) (net 85) (status 30))
(segment (start 126.2 133.1) (end 126.23 133.09) (width 0.2) (layer 4_bot) (net 85) (tstamp 53F39D73) (status 30))
(segment (start 165.995 109.35) (end 166.95 109.35) (width 0.2) (layer 4_bot) (net 86) (status 10))
(segment (start 177 116.7) (end 178.5 118.2) (width 0.2) (layer 4_bot) (net 86) (tstamp 58F8FF96) (status 20))
(segment (start 174.3 116.7) (end 177 116.7) (width 0.2) (layer 4_bot) (net 86) (tstamp 58F8FF94))
(segment (start 166.95 109.35) (end 174.3 116.7) (width 0.2) (layer 4_bot) (net 86) (tstamp 58F8FF8F))
(segment (start 178.5 118.2) (end 178.5 118.5) (width 0.2) (layer 4_bot) (net 86) (tstamp 58F8FF98) (status 30))
(segment (start 165.995 109.85) (end 166.85 109.85) (width 0.2) (layer 4_bot) (net 87) (status 10))
(segment (start 174.1 117.1) (end 174.6 117.1) (width 0.2) (layer 4_bot) (net 87) (tstamp 58F8FF9D) (status 20))
(segment (start 166.85 109.85) (end 174.1 117.1) (width 0.2) (layer 4_bot) (net 87) (tstamp 58F8FF9B))
(segment (start 174.6 117.1) (end 175.7 118.2) (width 0.2) (layer 4_bot) (net 87) (tstamp 58F8FFA0) (status 30))
(segment (start 175.7 118.2) (end 175.7 118.5) (width 0.2) (layer 4_bot) (net 87) (tstamp 58F8FFA4) (status 30))
(segment (start 165.995 110.35) (end 166.55 110.35) (width 0.2) (layer 4_bot) (net 88) (status 10))
(segment (start 166.7 111.55) (end 165.995 112.255) (width 0.2) (layer 4_bot) (net 88) (tstamp 58F90087))
(segment (start 166.7 110.5) (end 166.7 111.55) (width 0.2) (layer 4_bot) (net 88) (tstamp 58F90085))
(segment (start 166.55 110.35) (end 166.7 110.5) (width 0.2) (layer 4_bot) (net 88) (tstamp 58F90083))
(segment (start 165.995 112.255) (end 165.995 118.195) (width 0.2) (layer 4_bot) (net 88) (tstamp 58F9008C) (status 20))
(segment (start 165.995 118.195) (end 166.3 118.5) (width 0.2) (layer 4_bot) (net 88) (tstamp 58F8FF69) (status 30))
(segment (start 165.995 108.85) (end 171.95 108.85) (width 0.2) (layer 4_bot) (net 89) (status 10))
(segment (start 171.95 108.85) (end 173.8 110.7) (width 0.2) (layer 4_bot) (net 89) (tstamp 58F8FFC6) (status 20))
(segment (start 173.8 110.7) (end 173.8 111.1) (width 0.2) (layer 4_bot) (net 89) (tstamp 58F8FFCC) (status 30))
(segment (start 162.7 108.7) (end 161.77 108.7) (width 0.2) (layer 4_bot) (net 90) (tstamp 58F90C64) (status 20))
(segment (start 163.35 109.35) (end 162.7 108.7) (width 0.2) (layer 4_bot) (net 90) (tstamp 58F90C62))
(segment (start 164.005 109.35) (end 163.35 109.35) (width 0.2) (layer 4_bot) (net 90) (status 10))
(segment (start 161.77 108.7) (end 161.77 110.15) (width 0.2) (layer 4_bot) (net 90) (status 30))
(segment (start 161.77 110.15) (end 161.82 110.2) (width 0.2) (layer 4_bot) (net 90) (tstamp 58F90038) (status 30))
(segment (start 155.24 110.75) (end 159.63 110.75) (width 0.2) (layer 4_bot) (net 91) (status 10))
(segment (start 159.63 110.75) (end 160.18 110.2) (width 0.2) (layer 4_bot) (net 91) (tstamp 58EDB943) (status 20))
(segment (start 146.05 107.63) (end 146.05 106.22) (width 0.2) (layer 4_bot) (net 92) (status 30))
(segment (start 154.65 108.96) (end 154.65 108.530002) (width 0.2) (layer 4_bot) (net 93) (status 10))
(segment (start 155.7 108.5) (end 156.4 108.5) (width 0.2) (layer 4_bot) (net 93) (tstamp 58EDC080) (status 20))
(segment (start 155.4 108.2) (end 155.7 108.5) (width 0.2) (layer 4_bot) (net 93) (tstamp 58EDC07F))
(segment (start 154.980002 108.2) (end 155.4 108.2) (width 0.2) (layer 4_bot) (net 93) (tstamp 58EDC07E))
(segment (start 154.65 108.530002) (end 154.980002 108.2) (width 0.2) (layer 4_bot) (net 93) (tstamp 58EDC07D))
(segment (start 155.24 109.55) (end 157.05 109.55) (width 0.2) (layer 4_bot) (net 94) (status 10))
(segment (start 157.05 109.55) (end 157.6 109) (width 0.2) (layer 4_bot) (net 94) (tstamp 58EDC083) (status 20))
(segment (start 155.24 109.95) (end 157.85 109.95) (width 0.2) (layer 4_bot) (net 95) (status 10))
(segment (start 157.85 109.95) (end 158.8 109) (width 0.2) (layer 4_bot) (net 95) (tstamp 58EDC06E) (status 20))
(segment (start 155.34 111.15) (end 158.95 111.15) (width 0.2) (layer 4_bot) (net 96) (status 10))
(segment (start 158.95 111.15) (end 159.4 111.6) (width 0.2) (layer 4_bot) (net 96) (tstamp 58EDC0C5) (status 20))
(segment (start 155.34 111.55) (end 157.75 111.55) (width 0.2) (layer 4_bot) (net 97) (status 10))
(segment (start 157.75 111.55) (end 158.2 112) (width 0.2) (layer 4_bot) (net 97) (tstamp 58EDC0C8) (status 20))
(segment (start 155.34 111.95) (end 156.55 111.95) (width 0.2) (layer 4_bot) (net 98) (status 10))
(segment (start 156.55 111.95) (end 157 112.4) (width 0.2) (layer 4_bot) (net 98) (tstamp 58EDC0CB) (status 20))
(via (at 174.5 131.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 99))
(segment (start 174.49 130.55) (end 174.49 131.59) (width 0.2) (layer 4_bot) (net 99) (status 10))
(via (at 176.6 131.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 99))
(segment (start 174.5 131.6) (end 176.6 131.6) (width 0.2) (layer 4_bot) (net 99) (tstamp 58FA79FB))
(segment (start 174.49 131.59) (end 174.5 131.6) (width 0.2) (layer 4_bot) (net 99) (tstamp 58FA79F0))
(segment (start 175.82 128.2) (end 175.82 129.23) (width 0.2) (layer 4_bot) (net 99) (status 10))
(segment (start 175.82 129.23) (end 175.55 129.5) (width 0.2) (layer 4_bot) (net 99) (tstamp 58FA7645))
(segment (start 174.5 129.5) (end 172.4 129.5) (width 0.2) (layer 4_bot) (net 99))
(via (at 174.5 129.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 99))
(via (at 172.4 129.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 99))
(segment (start 175.55 129.5) (end 176.6 129.5) (width 0.2) (layer 4_bot) (net 99))
(via (at 176.6 130.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 99))
(via (at 176.6 129.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 99))
(segment (start 174.49 130.55) (end 176.6 130.55) (width 0.2) (layer 4_bot) (net 99) (status 10))
(segment (start 174.49 129.51) (end 174.5 129.5) (width 0.2) (layer 4_bot) (net 99) (tstamp 58FA74FC))
(segment (start 174.5 129.5) (end 175.55 129.5) (width 0.2) (layer 4_bot) (net 99) (tstamp 58FA7504))
(segment (start 174.49 130.55) (end 174.49 129.51) (width 0.2) (layer 4_bot) (net 99) (status 10))
(segment (start 173.65 122.74) (end 174.84 122.74) (width 0.2) (layer 4_bot) (net 99) (status 10))
(segment (start 174.84 122.74) (end 174.85 122.73) (width 0.2) (layer 4_bot) (net 99) (tstamp 58F80ADB))
(segment (start 174.85 122.73) (end 174.85 122.35) (width 0.2) (layer 4_bot) (net 99) (tstamp 58F80ADC))
(via (at 174.85 122.35) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 99))
(segment (start 174.85 122.35) (end 175.65 122.35) (width 0.2) (layer 4_bot) (net 99) (tstamp 58F80ADE))
(via (at 175.65 122.35) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 99))
(segment (start 175.65 122.35) (end 175.65 123.15) (width 0.2) (layer 4_bot) (net 99) (tstamp 58F80AE1))
(via (at 175.65 123.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 99))
(segment (start 175.65 123.15) (end 174.85 123.15) (width 0.2) (layer 4_bot) (net 99) (tstamp 58F80AE4))
(via (at 174.85 123.15) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 99))
(segment (start 174.85 123.15) (end 174.85 122.75) (width 0.2) (layer 4_bot) (net 99) (tstamp 58F80AE7))
(segment (start 174.49 130.55) (end 174.5 130.54) (width 0.4) (layer 4_bot) (net 99) (status 30))
(segment (start 172.01 123.125) (end 173.275 123.125) (width 0.2) (layer 4_bot) (net 99) (status 30))
(segment (start 173.275 123.125) (end 173.65 122.75) (width 0.2) (layer 4_bot) (net 99) (tstamp 58F45C5B) (status 30))
(segment (start 173.65 122.75) (end 173.65 122.74) (width 0.2) (layer 4_bot) (net 99) (tstamp 58F45C5D) (status 30))
(segment (start 176.68 128.2) (end 177.2 128.2) (width 0.2) (layer 4_bot) (net 100) (status 10))
(segment (start 177.45 128.45) (end 177.45 135.25) (width 0.2) (layer 4_bot) (net 100) (tstamp 58FA7640))
(segment (start 177.2 128.2) (end 177.45 128.45) (width 0.2) (layer 4_bot) (net 100) (tstamp 58FA763D))
(segment (start 174.49 135.63) (end 177.07 135.63) (width 0.2) (layer 4_bot) (net 100) (status 10))
(segment (start 177.07 135.63) (end 177.45 135.25) (width 0.2) (layer 4_bot) (net 100) (tstamp 58FA7624))
(segment (start 175.05 123.9) (end 175.45 124.3) (width 0.2) (layer 4_bot) (net 101))
(segment (start 175.45 124.3) (end 175.45 124.72) (width 0.2) (layer 4_bot) (net 101) (status 20))
(segment (start 174.5 123.9) (end 175.05 123.9) (width 0.2) (layer 4_bot) (net 101))
(segment (start 174.225 123.625) (end 174.5 123.9) (width 0.2) (layer 4_bot) (net 101))
(segment (start 172.01 123.625) (end 174.225 123.625) (width 0.2) (layer 4_bot) (net 101) (status 10))
(segment (start 171.325 122.44) (end 171.325 121.875) (width 0.2) (layer 4_bot) (net 102) (status 10))
(segment (start 171.8 121.7) (end 172.15 121.35) (width 0.2) (layer 4_bot) (net 102) (tstamp 58F45E54) (status 20))
(segment (start 171.5 121.7) (end 171.8 121.7) (width 0.2) (layer 4_bot) (net 102) (tstamp 58F45E53))
(segment (start 171.325 121.875) (end 171.5 121.7) (width 0.2) (layer 4_bot) (net 102) (tstamp 58F45E52))
(segment (start 172.15 121.35) (end 172.15 121.33) (width 0.2) (layer 4_bot) (net 102) (tstamp 58F45E56) (status 30))
(segment (start 172.01 124.625) (end 173.155 124.625) (width 0.2) (layer 4_bot) (net 103) (status 30))
(segment (start 173.155 124.625) (end 173.25 124.72) (width 0.2) (layer 4_bot) (net 103) (status 30))
(segment (start 171.325 125.31) (end 171.325 127.725) (width 0.2) (layer 4_bot) (net 104) (status 10))
(segment (start 171.8 128.2) (end 173.47 128.2) (width 0.2) (layer 4_bot) (net 104) (tstamp 58FCE039) (status 20))
(segment (start 171.325 127.725) (end 171.8 128.2) (width 0.2) (layer 4_bot) (net 104) (tstamp 58FCE038))
(segment (start 169.825 125.31) (end 169.825 125.875) (width 0.2) (layer 4_bot) (net 105) (status 10))
(segment (start 169.15 126.55) (end 168.65 126.55) (width 0.2) (layer 4_bot) (net 105) (tstamp 58F45D22) (status 20))
(segment (start 169.825 125.875) (end 169.15 126.55) (width 0.2) (layer 4_bot) (net 105) (tstamp 58F45D21))
(segment (start 168.65 126.55) (end 168.63 126.55) (width 0.2) (layer 4_bot) (net 105) (tstamp 58F45D24) (status 30))
(segment (start 174.175 124.125) (end 174.35 124.3) (width 0.2) (layer 4_bot) (net 106))
(segment (start 174.35 124.3) (end 174.35 124.72) (width 0.2) (layer 4_bot) (net 106) (status 20))
(segment (start 172.01 124.125) (end 174.175 124.125) (width 0.2) (layer 4_bot) (net 106) (status 10))
(segment (start 169.14 124.125) (end 166.925 124.125) (width 0.2) (layer 4_bot) (net 107) (status 10))
(segment (start 166.925 124.125) (end 166.8 124.25) (width 0.2) (layer 4_bot) (net 107) (tstamp 58F80B23))
(segment (start 166.8 124.25) (end 166.8 124.72) (width 0.2) (layer 4_bot) (net 107) (tstamp 58F80B24) (status 20))
(segment (start 169.14 124.625) (end 168.025 124.625) (width 0.2) (layer 4_bot) (net 108) (status 30))
(segment (start 168.025 124.625) (end 167.9 124.75) (width 0.2) (layer 4_bot) (net 108) (tstamp 58F45D03) (status 30))
(segment (start 167.9 124.75) (end 167.9 124.72) (width 0.2) (layer 4_bot) (net 108) (tstamp 58F45D05) (status 30))
(segment (start 164.55 122.97) (end 165.51 122.97) (width 0.2) (layer 4_bot) (net 109) (status 30))
(segment (start 165.51 122.97) (end 165.84 123.3) (width 0.2) (layer 4_bot) (net 109) (tstamp 58F93CB5) (status 30))
(segment (start 164.55 122.13) (end 165.51 122.13) (width 0.2) (layer 4_bot) (net 110) (status 30))
(segment (start 165.51 122.13) (end 165.84 121.8) (width 0.2) (layer 4_bot) (net 110) (tstamp 58F93CB2) (status 30))
(segment (start 169.14 123.625) (end 167.685 123.625) (width 0.2) (layer 4_bot) (net 111) (status 30))
(segment (start 167.685 123.625) (end 167.36 123.3) (width 0.2) (layer 4_bot) (net 111) (tstamp 58F93C65) (status 30))
(segment (start 169.825 122.44) (end 169.825 122.175) (width 0.2) (layer 4_bot) (net 112) (status 30))
(segment (start 169.825 122.175) (end 169.6 121.95) (width 0.2) (layer 4_bot) (net 112) (tstamp 58F93C51) (status 10))
(segment (start 169.6 121.95) (end 167.51 121.95) (width 0.2) (layer 4_bot) (net 112) (tstamp 58F93C54) (status 20))
(segment (start 167.51 121.95) (end 167.36 121.8) (width 0.2) (layer 4_bot) (net 112) (tstamp 58F93C56) (status 30))
(segment (start 106.682914 143.228499) (end 113.054415 149.6) (width 0.2) (layer 4_bot) (net 113) (status 10))
(segment (start 113.054415 149.6) (end 129.3 149.6) (width 0.2) (layer 4_bot) (net 113) (tstamp 58FD0FB9))
(segment (start 129.3 149.6) (end 134.8 155.1) (width 0.2) (layer 4_bot) (net 113) (tstamp 58FC2ADA))
(segment (start 165.41 160.11) (end 160.4 155.1) (width 0.2) (layer 4_bot) (net 113) (tstamp 53ADAB6D))
(segment (start 134.8 155.1) (end 160.4 155.1) (width 0.2) (layer 4_bot) (net 113) (tstamp 53ADBDAC))
(segment (start 165.41 160.11) (end 165.41 162.315) (width 0.2) (layer 4_bot) (net 113) (status 20))
(segment (start 157.05 113.68) (end 156.2 113.68) (width 0.2) (layer 4_bot) (net 114) (status 10))
(segment (start 155.24 112.35) (end 155.8 112.35) (width 0.2) (layer 4_bot) (net 114) (status 10))
(segment (start 155.4 115.15) (end 155.4 115.83) (width 0.2) (layer 4_bot) (net 114) (tstamp 58F95BA4) (status 20))
(segment (start 156.2 114.35) (end 155.4 115.15) (width 0.2) (layer 4_bot) (net 114) (tstamp 58F95BA2))
(segment (start 156.2 112.75) (end 156.2 113.68) (width 0.2) (layer 4_bot) (net 114) (tstamp 58F95BA1))
(segment (start 156.2 113.68) (end 156.2 114.35) (width 0.2) (layer 4_bot) (net 114) (tstamp 58F95BCA))
(segment (start 155.8 112.35) (end 156.2 112.75) (width 0.2) (layer 4_bot) (net 114) (tstamp 58F95BA0))
(segment (start 152.65 112.94) (end 152.65 115) (width 0.2) (layer 4_bot) (net 115) (status 10))
(segment (start 152.8 115.15) (end 152.8 115.83) (width 0.2) (layer 4_bot) (net 115) (tstamp 58F95BD2) (status 20))
(segment (start 152.65 115) (end 152.8 115.15) (width 0.2) (layer 4_bot) (net 115) (tstamp 58F95BD1))
(segment (start 153.05 112.94) (end 153.05 114.5) (width 0.2) (layer 4_bot) (net 116) (status 10))
(segment (start 153.7 115.15) (end 153.7 115.83) (width 0.2) (layer 4_bot) (net 116) (tstamp 58F95B77) (status 20))
(segment (start 153.05 114.5) (end 153.7 115.15) (width 0.2) (layer 4_bot) (net 116) (tstamp 58F95B76))
(segment (start 153.45 112.94) (end 153.45 114.1) (width 0.2) (layer 4_bot) (net 117) (status 10))
(segment (start 154.5 115.15) (end 154.5 115.83) (width 0.2) (layer 4_bot) (net 117) (tstamp 58F95B7C) (status 20))
(segment (start 153.45 114.1) (end 154.5 115.15) (width 0.2) (layer 4_bot) (net 117) (tstamp 58F95B7A))
(segment (start 170.825 125.31) (end 170.825 126.575) (width 0.2) (layer 4_bot) (net 118) (status 30))
(segment (start 170.825 126.575) (end 170.45 126.95) (width 0.2) (layer 4_bot) (net 118) (tstamp 58F45DAD) (status 30))
(segment (start 170.45 126.95) (end 170.46 126.95) (width 0.2) (layer 4_bot) (net 118) (tstamp 58F45DAF) (status 30))
(segment (start 170.325 125.31) (end 170.325 126.825) (width 0.2) (layer 4_bot) (net 118) (status 30))
(segment (start 170.325 126.825) (end 170.45 126.95) (width 0.2) (layer 4_bot) (net 118) (tstamp 58F45DAA) (status 30))
(segment (start 141.35 113.25) (end 146.5 113.25) (width 0.4) (layer 4_bot) (net 119) (status 10))
(segment (start 148.65 113.15) (end 146.6 113.15) (width 0.2) (layer 4_bot) (net 119))
(segment (start 149 112.8) (end 148.65 113.15) (width 0.2) (layer 4_bot) (net 119) (tstamp 58EDBA1F))
(segment (start 149.85 111.15) (end 151.26 111.15) (width 0.2) (layer 4_bot) (net 119) (status 20))
(segment (start 149.85 111.15) (end 149 112) (width 0.2) (layer 4_bot) (net 119) (tstamp 58EBFF9E))
(segment (start 149 112) (end 149 112.8) (width 0.2) (layer 4_bot) (net 119))
(segment (start 146.6 113.15) (end 146.5 113.25) (width 0.2) (layer 4_bot) (net 119) (tstamp 58FA8C0A))
(segment (start 141.35 117.25) (end 143.35 117.25) (width 0.4) (layer 4_bot) (net 120) (status 10))
(segment (start 143.35 117.25) (end 146.65 113.95) (width 0.4) (layer 4_bot) (net 120) (tstamp 58FBAB81))
(segment (start 148.85 113.55) (end 147.05 113.55) (width 0.2) (layer 4_bot) (net 120))
(segment (start 149.419998 112.980002) (end 148.85 113.55) (width 0.2) (layer 4_bot) (net 120) (tstamp 58EDBA2A))
(segment (start 150.05 111.55) (end 151.26 111.55) (width 0.2) (layer 4_bot) (net 120) (status 20))
(segment (start 150.05 111.55) (end 149.419998 112.180002) (width 0.2) (layer 4_bot) (net 120) (tstamp 58EBFF86))
(segment (start 149.419998 112.180002) (end 149.419998 112.980002) (width 0.2) (layer 4_bot) (net 120))
(segment (start 147.05 113.55) (end 146.65 113.95) (width 0.2) (layer 4_bot) (net 120) (tstamp 58FA8AE8))
(segment (start 165.6 153.41) (end 165.99 153.8) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 165.99 153.8) (end 166.8 153.8) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD80F9) (status 10))
(via (at 166.8 153.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 165.6 153.41) (end 166.01 153) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 166.01 153) (end 166.8 153) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD80F5) (status 10))
(via (at 166.8 153) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 156.09 157.9) (end 156.5 158.31) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 156.5 158.31) (end 156.5 159.1) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD8086) (status 10))
(via (at 156.5 159.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 156.09 157.9) (end 155.7 158.29) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 155.7 158.29) (end 155.7 159.1) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD8082) (status 10))
(via (at 155.7 159.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 155.25 162.11) (end 155.3 162.16) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 155.3 162.16) (end 155.3 164.3) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7B6D) (status 10))
(via (at 155.3 164.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 155.3 164.3) (end 154.2 164.3) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7B73))
(via (at 154.2 164.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 154.2 164.3) (end 154.2 162.1) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7B79))
(via (at 154.2 162.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 154.2 162.1) (end 154.2 160) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7B7C))
(via (at 154.2 160) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 154.2 160) (end 155.3 160) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7B81))
(via (at 155.3 160) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 155.3 160) (end 156.3 160) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7B85))
(via (at 156.3 160) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 156.3 160) (end 156.3 162.1) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7B88))
(via (at 156.3 162.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 156.3 162.1) (end 156.3 164.3) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7B8B))
(via (at 156.3 164.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 142.035 107.25) (end 141.8 107.015) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 141.8 107.015) (end 141.8 106.5) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7360) (status 10))
(via (at 141.8 106.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 140.1 110.2) (end 140.5 110.2) (width 0.2) (layer 4_bot) (net 121))
(segment (start 140.1 110.2) (end 139.7 110.2) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7220))
(via (at 139.7 110.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 140.1 109.09) (end 140.1 110.2) (width 0.2) (layer 4_bot) (net 121) (status 10))
(segment (start 139.7 111) (end 139.7 110.2) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD7234))
(via (at 139.7 111) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(via (at 140.5 111) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 140.5 110.2) (end 140.5 111) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD722E))
(via (at 140.5 110.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 142.035 109.15) (end 140.16 109.15) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 140.16 109.15) (end 140.1 109.09) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD70FA) (status 30))
(segment (start 79.21 116) (end 79.6 116.39) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 79.6 116.39) (end 79.6 117.2) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD47B8) (status 10))
(via (at 79.6 117.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 79.21 116) (end 78.8 116.41) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 78.8 116.41) (end 78.8 117.2) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD47B1) (status 10))
(via (at 78.8 117.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 77.07 116.4) (end 77.07 117.17) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 77.1 117.2) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 77.07 117.17) (end 77.1 117.2) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD4790))
(segment (start 90.175 129.25) (end 91.05 129.25) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 91.4 128.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 91.05 129.25) (end 91.4 128.9) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD3F55))
(segment (start 113.21 139.9) (end 113.6 139.51) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 113.6 139.51) (end 113.6 138.8) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD3A1B) (status 10))
(via (at 113.6 138.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 113.21 139.9) (end 112.8 139.49) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 112.8 139.49) (end 112.8 138.8) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD3A0D) (status 10))
(via (at 112.8 138.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 112.37 143.8) (end 111.7 143.8) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 111.7 143.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 108.37997 141.531442) (end 110.648528 143.8) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 110.9 143.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 110.648528 143.8) (end 110.9 143.8) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD376F))
(segment (start 97.2 147.73) (end 97.23 147.7) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 97.23 147.7) (end 98.1 147.7) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD1E7F) (status 10))
(via (at 98.1 147.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 97.2 147.73) (end 97.17 147.7) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 97.17 147.7) (end 96.3 147.7) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD1E19) (status 10))
(via (at 96.3 147.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 94.95 147.495) (end 94.95 146.85) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 95.5 146.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 95.1 146.7) (end 95.5 146.7) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD1759))
(segment (start 94.95 146.85) (end 95.1 146.7) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD1758))
(segment (start 110.195944 132.095944) (end 110.195944 132.104056) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 110.195944 132.104056) (end 109.7 132.6) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD1318) (status 10))
(via (at 109.7 132.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 107.2486 135.337187) (end 108 134.585787) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 108 134.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 108 134.585787) (end 108 134.3) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FD1287))
(segment (start 124.39 158.9) (end 124.39 157.71) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 124.4 157.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 124.39 157.71) (end 124.4 157.7) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FBE475))
(segment (start 124.39 158.9) (end 125.4 158.9) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 125.4 158.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 126.25 157) (end 124.1 157) (width 0.2) (layer 4_bot) (net 121))
(via (at 126.25 157) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(via (at 124.1 157) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 126.23 155.95) (end 126.23 156.98) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 128.35 157) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 126.25 157) (end 128.35 157) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FBE344))
(segment (start 126.23 156.98) (end 126.25 157) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FBE342))
(segment (start 126.23 155.95) (end 128.35 155.95) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 128.35 155.95) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(via (at 176.6 154.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 174.5 154.45) (end 176.6 154.45) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FA794F))
(via (at 174.5 154.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 174.49 154.44) (end 174.5 154.45) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FA7933))
(segment (start 174.49 154.44) (end 174.49 153.41) (width 0.2) (layer 4_bot) (net 121) (status 20))
(segment (start 174.49 153.41) (end 176.59 153.41) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 176.6 153.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 176.59 153.41) (end 176.6 153.4) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FA7927))
(segment (start 174.5 152.35) (end 172.4 152.35) (width 0.2) (layer 4_bot) (net 121))
(via (at 174.5 152.35) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(via (at 172.4 152.35) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 174.49 153.41) (end 174.49 152.36) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 176.6 152.35) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 174.5 152.35) (end 176.6 152.35) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FA78C0))
(segment (start 174.49 152.36) (end 174.5 152.35) (width 0.2) (layer 4_bot) (net 121) (tstamp 58FA78B0))
(segment (start 90.175 149.75) (end 90.95 149.75) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 91.25 150.05) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 90.95 149.75) (end 91.25 150.05) (width 0.2) (layer 4_bot) (net 121) (tstamp 58F7B9A9))
(segment (start 150.75 116.56) (end 150.99 116.8) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 150.99 116.8) (end 151.95 116.8) (width 0.2) (layer 4_bot) (net 121) (tstamp 58F95AF4) (status 10))
(via (at 151.95 116.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 158.5 107.59) (end 158.69 107.4) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 158.69 107.4) (end 159.7 107.4) (width 0.2) (layer 4_bot) (net 121) (tstamp 58EDB97C) (status 10))
(via (at 159.7 107.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 153.17 105.3) (end 153.2 105.33) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 153.2 105.33) (end 153.2 106) (width 0.2) (layer 4_bot) (net 121) (tstamp 58ED6610) (status 10))
(via (at 153.2 106) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 153.05 108.96) (end 153.05 107.05) (width 0.2) (layer 4_bot) (net 121) (status 10))
(segment (start 153.3 106.8) (end 153.27 106.77) (width 0.2) (layer 4_bot) (net 121) (tstamp 58EBC20E))
(via (at 153.3 106.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 153.05 107.05) (end 153.3 106.8) (width 0.2) (layer 4_bot) (net 121) (tstamp 58EBC207))
(segment (start 150.4 114.47) (end 150.43 114.5) (width 0.2) (layer 4_bot) (net 121) (status 30))
(segment (start 150.43 114.5) (end 151.1 114.5) (width 0.2) (layer 4_bot) (net 121) (tstamp 58ED62FC) (status 10))
(via (at 151.1 114.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 151.85 112.94) (end 151.85 113.45) (width 0.2) (layer 4_bot) (net 121) (status 10))
(via (at 151.5 113.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 151.85 113.45) (end 151.5 113.8) (width 0.2) (layer 4_bot) (net 121) (tstamp 58ED60AE))
(segment (start 174.49 153.41) (end 174.5 153.42) (width 0.4) (layer 4_bot) (net 121) (status 30))
(segment (start 174.49 153.41) (end 174.5 153.4) (width 0.4) (layer 4_bot) (net 121) (status 30))
(segment (start 64.075 115.375) (end 64.1 115.4) (width 0.4) (layer 4_bot) (net 121) (status 30))
(via (at 64.8 115.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 64.1 115.4) (end 64.8 115.4) (width 0.4) (layer 4_bot) (net 121) (tstamp 53BC7166) (status 10))
(segment (start 64.075 115.375) (end 64.05 115.4) (width 0.4) (layer 4_bot) (net 121) (status 30))
(via (at 169.55 162.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 170.2 162.56) (end 169.56 162.56) (width 0.4) (layer 4_bot) (net 121) (status 10))
(segment (start 169.56 162.56) (end 169.55 162.55) (width 0.4) (layer 4_bot) (net 121))
(segment (start 170.475 163.275) (end 170.475 163.65) (width 0.3) (layer 4_bot) (net 121) (status 20))
(segment (start 170.2 162.56) (end 170.2 163) (width 0.3) (layer 4_bot) (net 121) (status 10))
(segment (start 170.2 163) (end 170.475 163.275) (width 0.3) (layer 4_bot) (net 121))
(via (at 75.9 154.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 75.91 155.6) (end 75.91 154.91) (width 0.4) (layer 4_bot) (net 121) (status 10))
(segment (start 75.91 154.91) (end 75.9 154.9) (width 0.4) (layer 4_bot) (net 121))
(via (at 77.2 154.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 77.19 155.6) (end 77.19 154.91) (width 0.4) (layer 4_bot) (net 121) (status 10))
(segment (start 77.19 154.91) (end 77.2 154.9) (width 0.4) (layer 4_bot) (net 121))
(segment (start 75.91 155.6) (end 77.19 155.6) (width 0.4) (layer 4_bot) (net 121) (status 30))
(segment (start 75.91 157.71) (end 76 157.8) (width 0.4) (layer 4_bot) (net 121) (status 30))
(segment (start 75.91 155.6) (end 75.91 157.71) (width 0.4) (layer 4_bot) (net 121) (status 30))
(segment (start 77.19 157.71) (end 77.1 157.8) (width 0.4) (layer 4_bot) (net 121) (status 30))
(segment (start 77.19 155.6) (end 77.19 157.71) (width 0.4) (layer 4_bot) (net 121) (status 30))
(via (at 171.8 159.95) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 171.8 160.89) (end 171.8 159.95) (width 0.4) (layer 4_bot) (net 121) (status 10))
(via (at 170.85 160.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 171.8 160.89) (end 170.86 160.89) (width 0.4) (layer 4_bot) (net 121) (status 10))
(segment (start 170.86 160.89) (end 170.85 160.9) (width 0.4) (layer 4_bot) (net 121))
(via (at 173.85 160.55) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 121))
(segment (start 173.84 161.25) (end 173.84 160.56) (width 0.4) (layer 4_bot) (net 121) (status 10))
(segment (start 173.84 160.56) (end 173.85 160.55) (width 0.4) (layer 4_bot) (net 121))
(segment (start 90.175 147.25) (end 91.25 147.25) (width 0.2) (layer 4_bot) (net 122) (status 10))
(segment (start 91.25 147.25) (end 91.7 146.8) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD78D8))
(segment (start 91.7 146.8) (end 91.7 146.6) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD78DA))
(via (at 91.7 146.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 111.8 128.5) (end 112.4 127.9) (width 0.4) (layer 2_pwr) (net 122))
(segment (start 112.4 127.9) (end 113 127.3) (width 0.4) (layer 2_pwr) (net 122) (tstamp 58FD7609))
(via (at 113 127.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 110.3 134.3) (end 111.8 132.8) (width 0.4) (layer 2_pwr) (net 122))
(segment (start 108.6 134.8) (end 109.1 134.3) (width 0.4) (layer 2_pwr) (net 122))
(segment (start 108.6 135.117157) (end 108.6 134.8) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD1283))
(via (at 108.6 134.8) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 107.814285 135.902872) (end 108.6 135.117157) (width 0.2) (layer 4_bot) (net 122) (status 10))
(via (at 109.2 134.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 109.1 134.3) (end 110.3 134.3) (width 0.4) (layer 2_pwr) (net 122) (tstamp 58FD7540))
(via (at 112.4 127.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 111.8 128.5) (end 112.4 127.9) (width 0.4) (layer 4_bot) (net 122) (tstamp 58FD75B0))
(via (at 111.8 128.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 111.8 132.8) (end 111.8 128.5) (width 0.4) (layer 2_pwr) (net 122) (tstamp 58FD75AB))
(via (at 104.1 132.7) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 104.1 132.7) (end 103.729325 132.329325) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD13B6) (status 20))
(segment (start 144.54 110.85) (end 144.54 111.86) (width 0.4) (layer 4_bot) (net 122) (status 10))
(segment (start 128.2 112.1) (end 113 127.3) (width 0.4) (layer 4_bot) (net 122) (tstamp 58FD738A))
(segment (start 113 127.3) (end 112.4 127.9) (width 0.4) (layer 4_bot) (net 122) (tstamp 58FD7614))
(segment (start 144.3 112.1) (end 128.2 112.1) (width 0.4) (layer 4_bot) (net 122) (tstamp 58FD7388))
(segment (start 144.54 111.86) (end 144.3 112.1) (width 0.4) (layer 4_bot) (net 122) (tstamp 58FD7383))
(segment (start 148.4 110.97) (end 144.66 110.97) (width 0.2) (layer 4_bot) (net 122) (status 30))
(segment (start 144.66 110.97) (end 144.54 110.85) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD707C) (status 30))
(segment (start 144.54 110.85) (end 144.54 109.325) (width 0.2) (layer 4_bot) (net 122) (status 30))
(segment (start 144.54 109.325) (end 144.365 109.15) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD7078) (status 30))
(segment (start 151.26 110.75) (end 149.15 110.75) (width 0.2) (layer 4_bot) (net 122) (status 10))
(segment (start 148.93 110.97) (end 148.4 110.97) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD4B58) (status 20))
(segment (start 149.15 110.75) (end 148.93 110.97) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD4B57))
(segment (start 92.8 130.03) (end 92.77 130) (width 0.2) (layer 4_bot) (net 122) (status 30))
(segment (start 92.77 130) (end 92.1 130) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD1D49) (status 10))
(via (at 92.1 130) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 97.4 143.71) (end 97.79 144.1) (width 0.2) (layer 4_bot) (net 122) (status 30))
(segment (start 97.79 144.1) (end 98.6 144.1) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD1CF0) (status 10))
(via (at 98.6 144.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 97.4 143.71) (end 97.81 143.3) (width 0.2) (layer 4_bot) (net 122) (status 30))
(segment (start 97.81 143.3) (end 98.6 143.3) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD1CE9) (status 10))
(via (at 98.6 143.3) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 103.729325 131.729325) (end 104.329325 131.729325) (width 0.2) (layer 4_bot) (net 122) (status 30))
(segment (start 104.329325 131.729325) (end 104.7 132.1) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD13C0) (status 10))
(via (at 104.7 132.1) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 103.729325 131.729325) (end 103.729325 132.329325) (width 0.2) (layer 4_bot) (net 122) (status 30))
(segment (start 109.704056 133.804056) (end 109.695944 133.804056) (width 0.2) (layer 4_bot) (net 122) (status 30))
(segment (start 109.695944 133.804056) (end 109.2 134.3) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD12F3) (status 10))
(segment (start 101.902872 141.814285) (end 100.117157 143.6) (width 0.2) (layer 4_bot) (net 122) (status 10))
(via (at 99.8 143.6) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 100.117157 143.6) (end 99.8 143.6) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD0C08))
(segment (start 102.468558 142.37997) (end 101.3 143.548528) (width 0.2) (layer 4_bot) (net 122) (status 10))
(via (at 101.3 143.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 101.3 143.548528) (end 101.3 143.9) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD0B9A))
(segment (start 98.43 134) (end 99.1 134) (width 0.2) (layer 4_bot) (net 122) (status 10))
(via (at 99.1 134) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 102.185715 135.902872) (end 100.282843 134) (width 0.2) (layer 4_bot) (net 122) (status 10))
(via (at 99.9 134) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 100.282843 134) (end 99.9 134) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FD0A40))
(segment (start 101.195944 144.895944) (end 100.7 144.4) (width 0.2) (layer 4_bot) (net 122) (status 10))
(via (at 100.7 144.4) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 90.175 130.25) (end 89.4 130.25) (width 0.2) (layer 4_bot) (net 122) (status 10))
(via (at 89.1 129.95) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 89.4 130.25) (end 89.1 129.95) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FBF2F1))
(segment (start 90.175 129.75) (end 90.95 129.75) (width 0.2) (layer 4_bot) (net 122) (status 10))
(via (at 91.25 130.05) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 122))
(segment (start 90.95 129.75) (end 91.25 130.05) (width 0.2) (layer 4_bot) (net 122) (tstamp 58FBF2E1))
(segment (start 174.085 162.51) (end 172.95 162.51) (width 0.4) (layer 4_bot) (net 123) (status 30))
(segment (start 172 162.51) (end 171.8 162.31) (width 0.4) (layer 4_bot) (net 123) (status 30))
(segment (start 172.95 162.51) (end 172 162.51) (width 0.4) (layer 4_bot) (net 123) (status 30))
(segment (start 171.11 166.05) (end 171.99 166.05) (width 0.2) (layer 4_bot) (net 124) (status 30))
(segment (start 171.11 165.59) (end 171.11 166.05) (width 0.2) (layer 4_bot) (net 124) (status 20))
(segment (start 171.4 165.3) (end 171.11 165.59) (width 0.2) (layer 4_bot) (net 124))
(segment (start 172.325 164.3) (end 171.7 164.3) (width 0.2) (layer 4_bot) (net 124) (status 10))
(segment (start 171.4 164.6) (end 171.4 165.3) (width 0.2) (layer 4_bot) (net 124))
(segment (start 171.7 164.3) (end 171.4 164.6) (width 0.2) (layer 4_bot) (net 124))
(segment (start 172.91 166.95) (end 172.91 166.05) (width 0.2) (layer 4_bot) (net 125) (status 30))
(segment (start 172.91 166.05) (end 173.6 166.05) (width 0.2) (layer 4_bot) (net 125) (status 10))
(segment (start 174.085 164.79) (end 174.085 165.565) (width 0.2) (layer 4_bot) (net 125) (status 10))
(segment (start 174.085 165.565) (end 173.6 166.05) (width 0.2) (layer 4_bot) (net 125))
(via (at 106.1 135.95) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 126))
(segment (start 106.965757 135.054344) (end 106.1 135.920101) (width 0.2) (layer 4_bot) (net 126) (status 10))
(segment (start 106.1 135.920101) (end 106.1 135.95) (width 0.2) (layer 4_bot) (net 126))
(segment (start 115 135.95) (end 106.1 135.95) (width 0.2) (layer 1_top) (net 126))
(via (at 115 135.95) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 126))
(segment (start 174.76 161.25) (end 175.64 161.25) (width 0.2) (layer 4_bot) (net 126) (status 30))
(segment (start 118.8 139.75) (end 115 135.95) (width 0.2) (layer 4_bot) (net 126))
(segment (start 141.3 139.75) (end 118.8 139.75) (width 0.2) (layer 4_bot) (net 126))
(segment (start 175.64 160.76) (end 177.55 158.85) (width 0.2) (layer 4_bot) (net 126))
(segment (start 175.64 161.25) (end 175.64 160.76) (width 0.2) (layer 4_bot) (net 126))
(segment (start 177.55 158.85) (end 177.55 143.55) (width 0.2) (layer 4_bot) (net 126))
(segment (start 177.55 143.55) (end 176.4 142.4) (width 0.2) (layer 4_bot) (net 126))
(segment (start 176.4 142.4) (end 143.95 142.4) (width 0.2) (layer 4_bot) (net 126))
(segment (start 143.95 142.4) (end 141.3 139.75) (width 0.2) (layer 4_bot) (net 126))
(segment (start 175.64 162.235) (end 175.915 162.51) (width 0.2) (layer 4_bot) (net 126))
(segment (start 175.64 161.25) (end 175.64 162.235) (width 0.2) (layer 4_bot) (net 126))
(segment (start 170.19 166.95) (end 170.19 166.05) (width 0.2) (layer 4_bot) (net 127) (status 30))
(segment (start 170.19 166.05) (end 170.19 165.61) (width 0.2) (layer 4_bot) (net 127) (status 10))
(segment (start 170.475 165.325) (end 170.475 164.95) (width 0.2) (layer 4_bot) (net 127) (status 20))
(segment (start 170.19 165.61) (end 170.475 165.325) (width 0.2) (layer 4_bot) (net 127))
(segment (start 75.21 169.55) (end 76.11 169.55) (width 0.4) (layer 4_bot) (net 128) (status 30))
(segment (start 76.11 169.55) (end 76.11 170.45) (width 0.4) (layer 4_bot) (net 128) (status 30))
(segment (start 134.94 172.1) (end 135.24 171.8) (width 0.2) (layer 4_bot) (net 129) (status 30))
(segment (start 125.5 172.1) (end 134.94 172.1) (width 0.2) (layer 4_bot) (net 129) (status 20))
(segment (start 72.4 165.4) (end 118.8 165.4) (width 0.2) (layer 4_bot) (net 129))
(segment (start 118.8 165.4) (end 125.5 172.1) (width 0.2) (layer 4_bot) (net 129))
(segment (start 71.6 167.3) (end 71.6 166.2) (width 0.2) (layer 4_bot) (net 129) (status 10))
(segment (start 71.6 166.2) (end 72.4 165.4) (width 0.2) (layer 4_bot) (net 129))
(segment (start 119 165) (end 125.2 171.2) (width 0.2) (layer 4_bot) (net 130))
(segment (start 71.7 165) (end 119 165) (width 0.2) (layer 4_bot) (net 130))
(segment (start 70.5 167.3) (end 70.5 166.2) (width 0.2) (layer 4_bot) (net 130) (status 10))
(segment (start 125.2 171.2) (end 132.44 171.2) (width 0.2) (layer 4_bot) (net 130) (status 20))
(segment (start 70.5 166.2) (end 71.7 165) (width 0.2) (layer 4_bot) (net 130))
(segment (start 77.1 169.54) (end 77.09 169.55) (width 0.4) (layer 4_bot) (net 131) (status 30))
(segment (start 77.1 167.3) (end 77.1 169.54) (width 0.4) (layer 4_bot) (net 131) (status 30))
(segment (start 77.09 169.55) (end 79.875 169.55) (width 0.4) (layer 4_bot) (net 131) (status 30))
(segment (start 74.99 157.71) (end 74.9 157.8) (width 0.4) (layer 4_bot) (net 132) (status 30))
(segment (start 74.99 155.6) (end 74.99 157.71) (width 0.4) (layer 4_bot) (net 132) (status 30))
(segment (start 74.9 158.95) (end 74.9 157.8) (width 0.4) (layer 4_bot) (net 132) (status 20))
(segment (start 75.25 159.3) (end 74.9 158.95) (width 0.4) (layer 4_bot) (net 132))
(segment (start 111.24 158.95) (end 79.15 158.95) (width 0.4) (layer 4_bot) (net 132) (status 10))
(segment (start 78.8 159.3) (end 75.25 159.3) (width 0.4) (layer 4_bot) (net 132))
(segment (start 79.15 158.95) (end 78.8 159.3) (width 0.4) (layer 4_bot) (net 132))
(via (at 106.65 136.5) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 133))
(segment (start 107.531442 135.62003) (end 106.651472 136.5) (width 0.2) (layer 4_bot) (net 133) (status 10))
(segment (start 106.651472 136.5) (end 106.65 136.5) (width 0.2) (layer 4_bot) (net 133))
(via (at 102.7 149) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 133))
(segment (start 108.8 138.65) (end 106.65 136.5) (width 0.2) (layer 1_top) (net 133))
(segment (start 108.8 139.6) (end 108.8 138.65) (width 0.2) (layer 1_top) (net 133))
(segment (start 104.5 143.9) (end 108.8 139.6) (width 0.2) (layer 1_top) (net 133))
(segment (start 102.7 149) (end 104.5 147.2) (width 0.2) (layer 1_top) (net 133))
(segment (start 104.5 147.2) (end 104.5 143.9) (width 0.2) (layer 1_top) (net 133))
(segment (start 93.94 157.76) (end 79.55 157.76) (width 0.2) (layer 4_bot) (net 133) (status 20))
(segment (start 102.7 149) (end 93.94 157.76) (width 0.2) (layer 4_bot) (net 133))
(segment (start 78.24 157.76) (end 78.2 157.8) (width 0.2) (layer 4_bot) (net 133) (status 30))
(segment (start 79.55 157.76) (end 78.24 157.76) (width 0.2) (layer 4_bot) (net 133) (status 30))
(segment (start 74.29 168.99) (end 74.29 169.55) (width 0.4) (layer 4_bot) (net 134) (status 20))
(segment (start 73.8 167.3) (end 73.8 168.5) (width 0.4) (layer 4_bot) (net 134) (status 10))
(segment (start 73.8 168.5) (end 74.29 168.99) (width 0.4) (layer 4_bot) (net 134))
(segment (start 69.4 166.2) (end 69.4 167.3) (width 0.2) (layer 4_bot) (net 135) (status 20))
(segment (start 67.5 165.95) (end 69.15 165.95) (width 0.2) (layer 4_bot) (net 135) (status 10))
(segment (start 69.15 165.95) (end 69.4 166.2) (width 0.2) (layer 4_bot) (net 135))
(segment (start 73.8 158.9) (end 73.8 157.8) (width 0.2) (layer 4_bot) (net 136) (status 20))
(segment (start 67.5 161.15) (end 71.55 161.15) (width 0.2) (layer 4_bot) (net 136) (status 10))
(segment (start 71.55 161.15) (end 73.8 158.9) (width 0.2) (layer 4_bot) (net 136))
(segment (start 71.6 158.9) (end 71.6 157.8) (width 0.2) (layer 4_bot) (net 137) (status 20))
(segment (start 67.5 159.15) (end 71.35 159.15) (width 0.2) (layer 4_bot) (net 137) (status 10))
(segment (start 71.35 159.15) (end 71.6 158.9) (width 0.2) (layer 4_bot) (net 137))
(via (at 102.15 148.45) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 138))
(via (at 104.8 142.75) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 138))
(segment (start 103.599929 143.511341) (end 104.36127 142.75) (width 0.2) (layer 4_bot) (net 138) (status 10))
(segment (start 104.36127 142.75) (end 104.8 142.75) (width 0.2) (layer 4_bot) (net 138))
(segment (start 104.1 146.9) (end 104.1 143.45) (width 0.2) (layer 1_top) (net 138))
(segment (start 102.15 148.45) (end 102.55 148.45) (width 0.2) (layer 1_top) (net 138))
(segment (start 104.1 143.45) (end 104.8 142.75) (width 0.2) (layer 1_top) (net 138))
(segment (start 102.55 148.45) (end 104.1 146.9) (width 0.2) (layer 1_top) (net 138))
(segment (start 72.7 156.7) (end 72.7 157.8) (width 0.2) (layer 4_bot) (net 138) (status 20))
(segment (start 75.15 154.25) (end 72.7 156.7) (width 0.2) (layer 4_bot) (net 138))
(segment (start 102.15 148.45) (end 96.35 154.25) (width 0.2) (layer 4_bot) (net 138))
(segment (start 96.35 154.25) (end 75.15 154.25) (width 0.2) (layer 4_bot) (net 138))
(via (at 101.65 147.9) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 139))
(via (at 104.05 142.05) (size 0.5588) (drill 0.3048) (layers 1_top 4_bot) (net 139))
(segment (start 103.317086 143.228499) (end 104.05 142.495585) (width 0.2) (layer 4_bot) (net 139) (status 10))
(segment (start 104.05 142.495585) (end 104.05 142.05) (width 0.2) (layer 4_bot) (net 139))
(segment (start 103.7 142.4) (end 104.05 142.05) (width 0.2) (layer 1_top) (net 139))
(segment (start 103.7 146.6) (end 103.7 142.4) (width 0.2) (layer 1_top) (net 139))
(segment (start 101.65 147.9) (end 102.4 147.9) (width 0.2) (layer 1_top) (net 139))
(segment (start 102.4 147.9) (end 103.7 146.6) (width 0.2) (layer 1_top) (net 139))
(segment (start 70.5 156.7) (end 70.5 157.8) (width 0.2) (layer 4_bot) (net 139) (status 20))
(segment (start 73.35 153.85) (end 70.5 156.7) (width 0.2) (layer 4_bot) (net 139))
(segment (start 101.65 147.9) (end 95.7 153.85) (width 0.2) (layer 4_bot) (net 139))
(segment (start 95.7 153.85) (end 73.35 153.85) (width 0.2) (layer 4_bot) (net 139))
(zone (net 0) (net_name "") (layer 2_pwr) (tstamp 53AA1C68) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3))
(polygon
(pts
(xy 63 155) (xy 68 155) (xy 68 157.6) (xy 63 157.6)
)
)
)
(zone (net 0) (net_name "") (layer 3_gnd) (tstamp 53AA1C69) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3))
(polygon
(pts
(xy 63 155) (xy 68 155) (xy 68 157.6) (xy 63 157.6)
)
)
)
(zone (net 121) (net_name +3V3) (layer 2_pwr) (tstamp 5BD00446) (hatch edge 0.508)
(priority 1)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 62.2 109.2) (xy 66.1 109.2) (xy 69.2 106.1) (xy 69.2 102.2) (xy 170.8 102.2)
(xy 170.8 106.1) (xy 173.9 109.2) (xy 177.8 109.2) (xy 177.8 165.8) (xy 173.9 165.8)
(xy 170.8 168.9) (xy 170.8 172.8) (xy 69.2 172.8) (xy 69.2 168.9) (xy 66.1 165.8)
(xy 62.2 165.8) (xy 62.2 153.7) (xy 87.2 153.7) (xy 87.2 121.3) (xy 62.2 121.3)
)
)
)
(zone (net 47) (net_name GND) (layer 3_gnd) (tstamp 5BD00443) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 60 100) (xy 180 100) (xy 180 175) (xy 60 175)
)
)
)
(zone (net 0) (net_name "") (layer B.Mask) (tstamp 5BD00440) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 68 157.6) (xy 63 157.6) (xy 63 155) (xy 68 155)
)
)
)
(zone (net 0) (net_name "") (layer F.Mask) (tstamp 5BD0043D) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 68 157.6) (xy 63 157.6) (xy 63 155) (xy 68 155)
)
)
)
(zone (net 47) (net_name GND) (layer 2_pwr) (tstamp 5BD0043A) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 60 100) (xy 180 100) (xy 180 175) (xy 60 175)
)
)
)
(zone (net 0) (net_name "") (layer 1_top) (tstamp 53B3161C) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3))
(polygon
(pts
(xy 69 102) (xy 171 102) (xy 171 105.8) (xy 171 106) (xy 174 109)
(xy 178 109) (xy 178 166) (xy 174 166) (xy 171 169) (xy 171 173)
(xy 69 173) (xy 69 169) (xy 66 166) (xy 62 166) (xy 62 153.5)
(xy 87 153.5) (xy 87 121.5) (xy 62 121.5) (xy 62 109) (xy 66 109)
(xy 69 106)
)
)
)
(zone (net 47) (net_name GND) (layer 1_top) (tstamp 5BD00437) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 60 100) (xy 180 100) (xy 180 175) (xy 60 175)
)
)
)
(zone (net 0) (net_name "") (layer B.SilkS) (tstamp 5BD00434) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 121 171.5) (xy 120 172.5) (xy 110 172.5) (xy 109 171.5) (xy 109 167.5)
(xy 110 166.5) (xy 120 166.5) (xy 121 167.5)
)
)
)
(zone (net 99) (net_name /hackrf_if/VBUS) (layer 2_pwr) (tstamp 5BD00431) (hatch edge 0.508)
(priority 2)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 171.45 118.55) (xy 177.8 118.55) (xy 177.8 131.95) (xy 171.45 131.95)
)
)
)
(zone (net 72) (net_name /power/AUDIO_SVDD) (layer 2_pwr) (tstamp 5BD0042E) (hatch edge 0.508)
(priority 3)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 151.7 112.4) (xy 150.6 112.4) (xy 149.9 113.1) (xy 149.9 118.55) (xy 171.45 118.55)
(xy 171.45 131.95) (xy 166.55 131.95) (xy 166.55 130.05) (xy 157 120.5) (xy 146.45 120.5)
(xy 146.45 111.7) (xy 151.7 111.7)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD0042B) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 180 175) (xy 60 175) (xy 60 151.5) (xy 60 123.5) (xy 60 100)
(xy 180 100)
)
)
(polygon
(pts
(xy 69 102) (xy 69 106) (xy 66 109) (xy 62 109) (xy 62 121.5)
(xy 87 121.5) (xy 87 153.5) (xy 62 153.5) (xy 62 166) (xy 66 166)
(xy 69 169) (xy 69 173) (xy 171 173) (xy 171 169) (xy 174 166)
(xy 178 166) (xy 178 109) (xy 174 109) (xy 171 106) (xy 171 102)
)
)
)
(zone (net 99) (net_name /hackrf_if/VBUS) (layer 4_bot) (tstamp 5BD00428) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 172.7 122) (xy 176 122) (xy 176 123.5) (xy 172.7 123.5)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD00425) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 168.25 118.35) (xy 171.55 118.35) (xy 171.55 119.85) (xy 168.25 119.85)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD00422) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 172.7 121.7) (xy 172.7 120.2) (xy 176 120.2) (xy 176 121.7)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD0041F) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 171.7 126.2) (xy 174.45 126.2) (xy 174.45 127.7) (xy 171.7 127.7)
)
)
)
(zone (net 72) (net_name /power/AUDIO_SVDD) (layer 4_bot) (tstamp 5BD0041C) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 168.25 120.15) (xy 171.55 120.15) (xy 171.55 121.65) (xy 168.25 121.65)
)
)
)
(zone (net 72) (net_name /power/AUDIO_SVDD) (layer 4_bot) (tstamp 5BD00419) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 149.75 114.75) (xy 149.75 118.05) (xy 148.25 118.05) (xy 148.25 114.75)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD00416) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 146.45 114.75) (xy 147.95 114.75) (xy 147.95 118.05) (xy 146.45 118.05)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD00413) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 163.85 107.85) (xy 166.15 107.85) (xy 166.15 108.5) (xy 165.4 108.5) (xy 165.4 110.7)
(xy 166.15 110.7) (xy 166.15 111.35) (xy 163.85 111.35) (xy 163.85 110.7) (xy 164.6 110.7)
(xy 164.6 108.5) (xy 163.85 108.5)
)
)
)
(zone (net 118) (net_name /power/BBAT) (layer 4_bot) (tstamp 5BD00410) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 170.2 126.1) (xy 171.1 126.1) (xy 171.1 127.6) (xy 169.8 128.9) (xy 164.8 128.9)
(xy 159.5 123.6) (xy 145 123.6) (xy 145 121.6) (xy 160.5 121.6) (xy 166 127.1)
(xy 169.2 127.1)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD0040D) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 145 124.2) (xy 150.3 124.2) (xy 150.3 126.3) (xy 145 126.3)
)
)
)
(zone (net 99) (net_name /hackrf_if/VBUS) (layer 4_bot) (tstamp 5BD0040A) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 176.95 131.95) (xy 172.05 131.95) (xy 172.05 129.15) (xy 176.95 129.15)
)
)
)
(zone (net 72) (net_name /power/AUDIO_SVDD) (layer 4_bot) (tstamp 5BD00407) (hatch edge 0.508)
(priority 1)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 171.45 129.15) (xy 166.55 129.15) (xy 166.55 131.95) (xy 171.45 131.95)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD00404) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 172.05 132.55) (xy 176.95 132.55) (xy 176.95 142.1) (xy 172.05 142.1)
)
)
)
(zone (net 121) (net_name +3V3) (layer 4_bot) (tstamp 5BD00401) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 172.05 152) (xy 177.15 152) (xy 177.15 154.8) (xy 172.05 154.8)
)
)
)
(zone (net 121) (net_name +3V3) (layer 4_bot) (tstamp 5BD003FE) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 123.7 155.45) (xy 128.75 155.45) (xy 128.75 157.2) (xy 126.35 159.6) (xy 123.7 159.6)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD003FB) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 118.25 155.45) (xy 123.3 155.45) (xy 123.3 159.6) (xy 120.65 159.6) (xy 118.25 157.2)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD003F8) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 91.7 147.2) (xy 93.1 147.2) (xy 93.1 148.1) (xy 95.3 148.1) (xy 95.3 147.2)
(xy 96.5 147.2) (xy 96.5 149.8) (xy 95.3 149.8) (xy 95.3 148.9) (xy 93.1 148.9)
(xy 93.1 149.8) (xy 91.7 149.8)
)
)
)
(zone (net 122) (net_name +1V8) (layer 2_pwr) (tstamp 5BD003F5) (hatch edge 0.508)
(priority 2)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 87.2 129.6) (xy 102.6 129.6) (xy 108 135) (xy 109.7 133.3) (xy 110.7 134.3)
(xy 101.8 143.2) (xy 101.8 144.9) (xy 102.7 145.8) (xy 101.5 147) (xy 96.6 147)
(xy 95.7 146.1) (xy 92.7 146.1) (xy 91.3 147.5) (xy 87.2 147.5)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD003F2) (hatch edge 0.508)
(priority 2)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 137.7 106.5) (xy 140.8 106.5) (xy 140.8 107.3) (xy 144.1 107.3) (xy 144.1 109.1)
(xy 139.3 109.1) (xy 139.3 108.4) (xy 137.7 108.4)
)
)
)
(zone (net 121) (net_name +3V3) (layer 4_bot) (tstamp 5BD003EF) (hatch edge 0.508)
(priority 3)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 139.3 108.7) (xy 142.3 108.7) (xy 142.3 111.4) (xy 139.3 111.4)
)
)
)
(zone (net 47) (net_name GND) (layer 4_bot) (tstamp 5BD003EC) (hatch edge 0.508)
(priority 3)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 146.2 165) (xy 166.9 165) (xy 166.9 170.1) (xy 146.2 170.1)
)
)
)
(zone (net 121) (net_name +3V3) (layer 4_bot) (tstamp 5BD003E9) (hatch edge 0.508)
(priority 3)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.4))
(polygon
(pts
(xy 153.8 159.6) (xy 156.7 159.6) (xy 156.7 164.7) (xy 153.8 164.7)
)
)
)
)