diff --git a/hardware/portapack_h1/README b/hardware/portapack_h1/README new file mode 100644 index 00000000..ad014e67 --- /dev/null +++ b/hardware/portapack_h1/README @@ -0,0 +1,55 @@ +PortaPack H1 is portability add-on hardware for the HackRF One +software-defined radio (SDR). + +Schematic +========= + +The schematic was drawn using KiCad. + +Schematic symbols are cached in the design files, but are also +available in a separate repository: + + https://github.com/sharebrained/library-kicad/ + +PCB +=== + +The circuit board was designed using KiCad. + +PCB footprints are cached in the design files, but are also +avaliable in a separate repository: + + https://github.com/sharebrained/library-kicad/ + +The PCB is a four-layer design. Services such as OSHPark.com have suitable +four-layer stack ups. + +CPLD +==== + +The CPLD bitstream is prepared using Altera Quartus tools. + +The CPLD is programmed from within the PortaPack firmware, by bit-banging +the JTAG pins from the HackRF One's microcontroller. + +License +======= + +Copyright (C) 2013, 2014, 2015 Jared Boone, ShareBrained Technology, Inc. + +These files are part of PortaPack. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2, or (at your option) +any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; see the file COPYING. If not, write to +the Free Software Foundation, Inc., 51 Franklin Street, +Boston, MA 02110-1301, USA. diff --git a/hardware/portapack_h1/audio.sch b/hardware/portapack_h1/audio.sch new file mode 100644 index 00000000..eb7ac18e --- /dev/null +++ b/hardware/portapack_h1/audio.sch @@ -0,0 +1,1504 @@ +EESchema Schematic File Version 2 +LIBS:hackrf_expansion +LIBS:wolfson +LIBS:passive +LIBS:lcd_kingtech +LIBS:power +LIBS:io_expander +LIBS:trs_jack +LIBS:battery +LIBS:mosfet +LIBS:microphone +LIBS:sd +LIBS:ck +LIBS:altera +LIBS:regulator +LIBS:tp +LIBS:header +LIBS:hole +LIBS:sharebrained +LIBS:fiducial +LIBS:portapack_h1-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 2 4 +Title "PortaPack H1" +Date "13 Oct 2014" +Rev "20140826" +Comp "ShareBrained Technology, Inc." +Comment1 "Copyright © 2014 Jared Boone" +Comment2 "License: GNU General Public License, version 2" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L CP C18 +U 1 1 53A8C256 +P 7700 3700 +F 0 "C18" H 7750 3800 50 0000 L CNN +F 1 "220U" H 7750 3600 50 0000 L CNN +F 2 "" H 7700 3700 60 0000 C CNN +F 3 "" H 7700 3700 60 0000 C CNN +F 4 "Nichicon" H 7700 3700 60 0001 C CNN "Mfr" +F 5 "UWX1A221MCL1GB" H 7700 3700 60 0001 C CNN "Part" + 1 7700 3700 + 0 -1 -1 0 +$EndComp +$Comp +L CP C19 +U 1 1 53A8C25E +P 7700 4100 +F 0 "C19" H 7750 4200 50 0000 L CNN +F 1 "220U" H 7750 4000 50 0000 L CNN +F 2 "" H 7700 4100 60 0000 C CNN +F 3 "" H 7700 4100 60 0000 C CNN +F 4 "Nichicon" H 7700 4100 60 0001 C CNN "Mfr" +F 5 "UWX1A221MCL1GB" H 7700 4100 60 0001 C CNN "Part" + 1 7700 4100 + 0 -1 -1 0 +$EndComp +$Comp +L R R11 +U 1 1 53A8C264 +P 8000 3350 +F 0 "R11" V 8080 3350 50 0000 C CNN +F 1 "47K" V 8000 3350 50 0000 C CNN +F 2 "" H 8000 3350 60 0000 C CNN +F 3 "" H 8000 3350 60 0000 C CNN +F 4 "Yageo" V 8000 3350 60 0001 C CNN "Mfr" +F 5 "RC0603FR-0747KL" V 8000 3350 60 0001 C CNN "Part" + 1 8000 3350 + -1 0 0 -1 +$EndComp +$Comp +L R R12 +U 1 1 53A8C26A +P 8000 4450 +F 0 "R12" V 8080 4450 50 0000 C CNN +F 1 "47K" V 8000 4450 50 0000 C CNN +F 2 "" H 8000 4450 60 0000 C CNN +F 3 "" H 8000 4450 60 0000 C CNN +F 4 "Yageo" V 8000 4450 60 0001 C CNN "Mfr" +F 5 "RC0603FR-0747KL" V 8000 4450 60 0001 C CNN "Part" + 1 8000 4450 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR02 +U 1 1 53A8C270 +P 8000 3000 +F 0 "#PWR02" H 8000 3000 30 0001 C CNN +F 1 "GND" H 8000 2930 30 0001 C CNN +F 2 "" H 8000 3000 60 0000 C CNN +F 3 "" H 8000 3000 60 0000 C CNN + 1 8000 3000 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR03 +U 1 1 53A8C276 +P 8000 4800 +F 0 "#PWR03" H 8000 4800 30 0001 C CNN +F 1 "GND" H 8000 4730 30 0001 C CNN +F 2 "" H 8000 4800 60 0000 C CNN +F 3 "" H 8000 4800 60 0000 C CNN + 1 8000 4800 + 1 0 0 -1 +$EndComp +Text Label 7000 3700 0 60 ~ 0 +LHPOUT +Text Label 7000 4100 0 60 ~ 0 +RHPOUT +$Comp +L C C22 +U 1 1 53A8C27E +P 8300 3400 +F 0 "C22" H 8350 3500 50 0000 L CNN +F 1 "220P" H 8350 3300 50 0000 L CNN +F 2 "" H 8300 3400 60 0000 C CNN +F 3 "" H 8300 3400 60 0000 C CNN +F 4 "Murata" H 8300 3400 60 0001 C CNN "Mfr" +F 5 "GRM1885C1H221JA01" H 8300 3400 60 0001 C CNN "Part" + 1 8300 3400 + 1 0 0 -1 +$EndComp +$Comp +L C C23 +U 1 1 53A8C284 +P 8300 4400 +F 0 "C23" H 8350 4500 50 0000 L CNN +F 1 "220P" H 8350 4300 50 0000 L CNN +F 2 "" H 8300 4400 60 0000 C CNN +F 3 "" H 8300 4400 60 0000 C CNN +F 4 "Murata" H 8300 4400 60 0001 C CNN "Mfr" +F 5 "GRM1885C1H221JA01" H 8300 4400 60 0001 C CNN "Part" + 1 8300 4400 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR04 +U 1 1 53A8C28A +P 8300 3100 +F 0 "#PWR04" H 8300 3100 30 0001 C CNN +F 1 "GND" H 8300 3030 30 0001 C CNN +F 2 "" H 8300 3100 60 0000 C CNN +F 3 "" H 8300 3100 60 0000 C CNN + 1 8300 3100 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR05 +U 1 1 53A8C290 +P 8300 4700 +F 0 "#PWR05" H 8300 4700 30 0001 C CNN +F 1 "GND" H 8300 4630 30 0001 C CNN +F 2 "" H 8300 4700 60 0000 C CNN +F 3 "" H 8300 4700 60 0000 C CNN + 1 8300 4700 + 1 0 0 -1 +$EndComp +$Comp +L R R17 +U 1 1 53A8C296 +P 9300 5950 +F 0 "R17" V 9380 5950 50 0000 C CNN +F 1 "47K" V 9300 5950 50 0000 C CNN +F 2 "" H 9300 5950 60 0000 C CNN +F 3 "" H 9300 5950 60 0000 C CNN +F 4 "Yageo" V 9300 5950 60 0001 C CNN "Mfr" +F 5 "RC0603FR-0747KL" V 9300 5950 60 0001 C CNN "Part" + 1 9300 5950 + -1 0 0 -1 +$EndComp +$Comp +L R R18 +U 1 1 53A8C29C +P 9650 5600 +F 0 "R18" V 9730 5600 50 0000 C CNN +F 1 "680R" V 9650 5600 50 0000 C CNN +F 2 "" H 9650 5600 60 0000 C CNN +F 3 "" H 9650 5600 60 0000 C CNN +F 4 "Yageo" V 9650 5600 60 0001 C CNN "Mfr" +F 5 "RC0603FR-07681RL" V 9650 5600 60 0001 C CNN "Part" + 1 9650 5600 + 0 1 -1 0 +$EndComp +$Comp +L C C25 +U 1 1 53A8C2A2 +P 8800 5900 +F 0 "C25" H 8850 6000 50 0000 L CNN +F 1 "220P" H 8850 5800 50 0000 L CNN +F 2 "" H 8800 5900 60 0000 C CNN +F 3 "" H 8800 5900 60 0000 C CNN +F 4 "Murata" H 8800 5900 60 0001 C CNN "Mfr" +F 5 "GRM1885C1H221JA01" H 8800 5900 60 0001 C CNN "Part" + 1 8800 5900 + -1 0 0 -1 +$EndComp +$Comp +L CP C24 +U 1 1 53A8C2AA +P 8500 5600 +F 0 "C24" H 8550 5700 50 0000 L CNN +F 1 "1U" H 8550 5500 50 0000 L CNN +F 2 "" H 8500 5600 60 0000 C CNN +F 3 "" H 8500 5600 60 0000 C CNN +F 4 "Kemet" H 8500 5600 60 0001 C CNN "Mfr" +F 5 "EDK105M050A9BAA" H 8500 5600 60 0001 C CNN "Part" + 1 8500 5600 + 0 -1 -1 0 +$EndComp +$Comp +L R R10 +U 1 1 53A8C2B0 +P 7950 5600 +F 0 "R10" V 8030 5600 50 0000 C CNN +F 1 "0R" V 7950 5600 50 0000 C CNN +F 2 "" H 7950 5600 60 0000 C CNN +F 3 "" H 7950 5600 60 0000 C CNN +F 4 "Yageo" V 7950 5600 60 0001 C CNN "Mfr" +F 5 "RC0603JR-070RL" V 7950 5600 60 0001 C CNN "Part" + 1 7950 5600 + 0 1 -1 0 +$EndComp +$Comp +L GND #PWR06 +U 1 1 53A8C2B6 +P 9300 6300 +F 0 "#PWR06" H 9300 6300 30 0001 C CNN +F 1 "GND" H 9300 6230 30 0001 C CNN +F 2 "" H 9300 6300 60 0000 C CNN +F 3 "" H 9300 6300 60 0000 C CNN + 1 9300 6300 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR07 +U 1 1 53A8C2BC +P 8800 6200 +F 0 "#PWR07" H 8800 6200 30 0001 C CNN +F 1 "GND" H 8800 6130 30 0001 C CNN +F 2 "" H 8800 6200 60 0000 C CNN +F 3 "" H 8800 6200 60 0000 C CNN + 1 8800 6200 + 1 0 0 -1 +$EndComp +Text Label 10000 5600 0 60 ~ 0 +MICBIAS +Text Label 7300 5600 0 60 ~ 0 +MICIN +$Comp +L TRRS_SW_JACK P2 +U 1 1 53A8C2C6 +P 9650 4100 +F 0 "P2" H 9200 4100 60 0000 C CNN +F 1 "TRRS_SW_JACK" H 9450 4350 60 0000 C CNN +F 2 "" H 9650 4100 60 0000 C CNN +F 3 "" H 9650 4100 60 0000 C CNN +F 4 "CUI" H 9650 4100 60 0001 C CNN "Mfr" +F 5 "SJ-43514-SMT" H 9650 4100 60 0001 C CNN "Part" + 1 9650 4100 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR08 +U 1 1 53A8C2CC +P 9000 5000 +F 0 "#PWR08" H 9000 5000 30 0001 C CNN +F 1 "GND" H 9000 4930 30 0001 C CNN +F 2 "" H 9000 5000 60 0000 C CNN +F 3 "" H 9000 5000 60 0000 C CNN + 1 9000 5000 + 1 0 0 -1 +$EndComp +$Comp +L MIC_ELECTRET X1 +U 1 1 53A8C2D6 +P 9900 5100 +F 0 "X1" H 9900 5350 60 0000 C CNN +F 1 "MIC_ELECTRET" H 9900 4850 60 0000 C CNN +F 2 "DNI" H 9800 5100 60 0000 C CNN +F 3 "" H 9900 5100 60 0000 C CNN +F 4 "CUI" H 9900 5100 60 0001 C CNN "Mfr" +F 5 "CMA-4544PF-W" H 9900 5100 60 0001 C CNN "Part" + 1 9900 5100 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR09 +U 1 1 53A8C2DC +P 9500 5300 +F 0 "#PWR09" H 9500 5300 30 0001 C CNN +F 1 "GND" H 9500 5230 30 0001 C CNN +F 2 "" H 9500 5300 60 0000 C CNN +F 3 "" H 9500 5300 60 0000 C CNN + 1 9500 5300 + 1 0 0 -1 +$EndComp +$Comp +L L L4 +U 1 1 53A8C2E2 +P 8650 3700 +F 0 "L4" V 8600 3700 40 0000 C CNN +F 1 "L" V 8750 3700 40 0000 C CNN +F 2 "" H 8650 3700 60 0000 C CNN +F 3 "" H 8650 3700 60 0000 C CNN +F 4 "Murata" V 8650 3700 60 0001 C CNN "Mfr" +F 5 "BLM18HE152SN1D" V 8650 3700 60 0001 C CNN "Part" + 1 8650 3700 + 0 1 1 0 +$EndComp +$Comp +L L L5 +U 1 1 53A8C2E8 +P 8650 4100 +F 0 "L5" V 8600 4100 40 0000 C CNN +F 1 "L" V 8750 4100 40 0000 C CNN +F 2 "" H 8650 4100 60 0000 C CNN +F 3 "" H 8650 4100 60 0000 C CNN +F 4 "Murata" V 8650 4100 60 0001 C CNN "Mfr" +F 5 "BLM18HE152SN1D" V 8650 4100 60 0001 C CNN "Part" + 1 8650 4100 + 0 1 1 0 +$EndComp +$Comp +L L L6 +U 1 1 53A8C2EE +P 9000 4650 +F 0 "L6" V 8950 4650 40 0000 C CNN +F 1 "L" V 9100 4650 40 0000 C CNN +F 2 "" H 9000 4650 60 0000 C CNN +F 3 "" H 9000 4650 60 0000 C CNN +F 4 "Murata" V 9000 4650 60 0001 C CNN "Mfr" +F 5 "BLM18HE152SN1D" V 9000 4650 60 0001 C CNN "Part" + 1 9000 4650 + 1 0 0 -1 +$EndComp +$Comp +L L L9 +U 1 1 53A8C2F4 +P 9300 4650 +F 0 "L9" V 9250 4650 40 0000 C CNN +F 1 "L" V 9400 4650 40 0000 C CNN +F 2 "" H 9300 4650 60 0000 C CNN +F 3 "" H 9300 4650 60 0000 C CNN +F 4 "Murata" V 9300 4650 60 0001 C CNN "Mfr" +F 5 "BLM18HE152SN1D" V 9300 4650 60 0001 C CNN "Part" + 1 9300 4650 + 1 0 0 -1 +$EndComp +$Comp +L WM8731SEDS U1 +U 1 1 53A8C66D +P 2600 3850 +F 0 "U1" H 2150 4650 60 0000 C CNN +F 1 "WM8731SEDS" H 2800 4650 60 0000 C CNN +F 2 "" H 2600 3850 60 0000 C CNN +F 3 "" H 2600 3850 60 0000 C CNN +F 4 "Wolfson" H 2600 3850 60 0001 C CNN "Mfr" +F 5 "WM8731SEDS/V" H 2600 3850 60 0001 C CNN "Part" + 1 2600 3850 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR010 +U 1 1 53A8C673 +P 3400 4500 +F 0 "#PWR010" H 3400 4500 30 0001 C CNN +F 1 "GND" H 3400 4430 30 0001 C CNN +F 2 "" H 3400 4500 60 0000 C CNN +F 3 "" H 3400 4500 60 0000 C CNN + 1 3400 4500 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR011 +U 1 1 53A8C679 +P 3400 3200 +F 0 "#PWR011" H 3400 3200 30 0001 C CNN +F 1 "GND" H 3400 3130 30 0001 C CNN +F 2 "" H 3400 3200 60 0000 C CNN +F 3 "" H 3400 3200 60 0000 C CNN + 1 3400 3200 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR012 +U 1 1 53A8C67F +P 1800 4200 +F 0 "#PWR012" H 1800 4200 30 0001 C CNN +F 1 "GND" H 1800 4130 30 0001 C CNN +F 2 "" H 1800 4200 60 0000 C CNN +F 3 "" H 1800 4200 60 0000 C CNN + 1 1800 4200 + 0 1 1 0 +$EndComp +NoConn ~ 3300 3400 +NoConn ~ 1900 3300 +$Comp +L C C6 +U 1 1 53A8C687 +P 4900 6200 +F 0 "C6" H 4950 6300 50 0000 L CNN +F 1 "100N" H 4950 6100 50 0000 L CNN +F 2 "" H 4900 6200 60 0000 C CNN +F 3 "" H 4900 6200 60 0000 C CNN +F 4 "Murata" H 4900 6200 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 4900 6200 60 0001 C CNN "Part" + 1 4900 6200 + -1 0 0 -1 +$EndComp +$Comp +L C C10 +U 1 1 53A8C68D +P 5700 6200 +F 0 "C10" H 5750 6300 50 0000 L CNN +F 1 "100N" H 5750 6100 50 0000 L CNN +F 2 "" H 5700 6200 60 0000 C CNN +F 3 "" H 5700 6200 60 0000 C CNN +F 4 "Murata" H 5700 6200 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 5700 6200 60 0001 C CNN "Part" + 1 5700 6200 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR013 +U 1 1 53A8C693 +P 5300 6500 +F 0 "#PWR013" H 5300 6500 30 0001 C CNN +F 1 "GND" H 5300 6430 30 0001 C CNN +F 2 "" H 5300 6500 60 0000 C CNN +F 3 "" H 5300 6500 60 0000 C CNN + 1 5300 6500 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR014 +U 1 1 53A8C699 +P 5700 6500 +F 0 "#PWR014" H 5700 6500 30 0001 C CNN +F 1 "GND" H 5700 6430 30 0001 C CNN +F 2 "" H 5700 6500 60 0000 C CNN +F 3 "" H 5700 6500 60 0000 C CNN + 1 5700 6500 + 1 0 0 -1 +$EndComp +$Comp +L C C3 +U 1 1 53A8C69F +P 3500 7100 +F 0 "C3" H 3550 7200 50 0000 L CNN +F 1 "100N" H 3550 7000 50 0000 L CNN +F 2 "" H 3500 7100 60 0000 C CNN +F 3 "" H 3500 7100 60 0000 C CNN +F 4 "Murata" H 3500 7100 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 3500 7100 60 0001 C CNN "Part" + 1 3500 7100 + -1 0 0 -1 +$EndComp +$Comp +L C C2 +U 1 1 53A8C6A5 +P 3100 7100 +F 0 "C2" H 3150 7200 50 0000 L CNN +F 1 "100N" H 3150 7000 50 0000 L CNN +F 2 "" H 3100 7100 60 0000 C CNN +F 3 "" H 3100 7100 60 0000 C CNN +F 4 "Murata" H 3100 7100 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 3100 7100 60 0001 C CNN "Part" + 1 3100 7100 + -1 0 0 -1 +$EndComp +$Comp +L C C5 +U 1 1 53A8C6AB +P 4300 7100 +F 0 "C5" H 4350 7200 50 0000 L CNN +F 1 "100N" H 4350 7000 50 0000 L CNN +F 2 "" H 4300 7100 60 0000 C CNN +F 3 "" H 4300 7100 60 0000 C CNN +F 4 "Murata" H 4300 7100 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 4300 7100 60 0001 C CNN "Part" + 1 4300 7100 + -1 0 0 -1 +$EndComp +$Comp +L C C4 +U 1 1 53A8C6B1 +P 3900 7100 +F 0 "C4" H 3950 7200 50 0000 L CNN +F 1 "100N" H 3950 7000 50 0000 L CNN +F 2 "" H 3900 7100 60 0000 C CNN +F 3 "" H 3900 7100 60 0000 C CNN +F 4 "Murata" H 3900 7100 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 3900 7100 60 0001 C CNN "Part" + 1 3900 7100 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR015 +U 1 1 53A8C6B7 +P 3100 7400 +F 0 "#PWR015" H 3100 7400 30 0001 C CNN +F 1 "GND" H 3100 7330 30 0001 C CNN +F 2 "" H 3100 7400 60 0000 C CNN +F 3 "" H 3100 7400 60 0000 C CNN + 1 3100 7400 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR016 +U 1 1 53A8C6BD +P 3500 7400 +F 0 "#PWR016" H 3500 7400 30 0001 C CNN +F 1 "GND" H 3500 7330 30 0001 C CNN +F 2 "" H 3500 7400 60 0000 C CNN +F 3 "" H 3500 7400 60 0000 C CNN + 1 3500 7400 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR017 +U 1 1 53A8C6C3 +P 3900 7400 +F 0 "#PWR017" H 3900 7400 30 0001 C CNN +F 1 "GND" H 3900 7330 30 0001 C CNN +F 2 "" H 3900 7400 60 0000 C CNN +F 3 "" H 3900 7400 60 0000 C CNN + 1 3900 7400 + 1 0 0 -1 +$EndComp +Text Label 1200 3400 0 60 ~ 0 +I2S0_SCK_R +Text Label 1200 3500 0 60 ~ 0 +I2S0_TX_SDA_R +Text Label 1200 3600 0 60 ~ 0 +I2S0_WS_R +Text Label 1200 4000 0 60 ~ 0 +LHPOUT +Text Label 1200 4100 0 60 ~ 0 +RHPOUT +Text Label 3400 4200 0 60 ~ 0 +MICIN +Text Label 3400 4100 0 60 ~ 0 +RLINEIN +Text Label 3400 4000 0 60 ~ 0 +LLINEIN +Text Label 3400 4300 0 60 ~ 0 +MICBIAS +Text Label 1200 4300 0 60 ~ 0 +LOUT +Text Label 1200 4400 0 60 ~ 0 +ROUT +Text Label 3400 3500 0 60 ~ 0 +I2S0_MCLK_R +$Comp +L GND #PWR018 +U 1 1 53A8C6D8 +P 3500 3800 +F 0 "#PWR018" H 3500 3800 30 0001 C CNN +F 1 "GND" H 3500 3730 30 0001 C CNN +F 2 "" H 3500 3800 60 0000 C CNN +F 3 "" H 3500 3800 60 0000 C CNN + 1 3500 3800 + 0 -1 -1 0 +$EndComp +Text Notes 1100 4800 0 60 ~ 0 +WM8731 DAI is hi-Z,\n100K PD when disabled +$Comp +L GND #PWR019 +U 1 1 53A8C6E9 +P 4300 7400 +F 0 "#PWR019" H 4300 7400 30 0001 C CNN +F 1 "GND" H 4300 7330 30 0001 C CNN +F 2 "" H 4300 7400 60 0000 C CNN +F 3 "" H 4300 7400 60 0000 C CNN + 1 4300 7400 + 1 0 0 -1 +$EndComp +$Comp +L C C12 +U 1 1 53A8C6F0 +P 6100 6200 +F 0 "C12" H 6150 6300 50 0000 L CNN +F 1 "10U" H 6150 6100 50 0000 L CNN +F 2 "" H 6100 6200 60 0000 C CNN +F 3 "" H 6100 6200 60 0000 C CNN +F 4 "Murata" H 6100 6200 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 6100 6200 60 0001 C CNN "Part" + 1 6100 6200 + -1 0 0 -1 +$EndComp +$Comp +L C C8 +U 1 1 53A8C6F6 +P 5300 6200 +F 0 "C8" H 5350 6300 50 0000 L CNN +F 1 "10U" H 5350 6100 50 0000 L CNN +F 2 "" H 5300 6200 60 0000 C CNN +F 3 "" H 5300 6200 60 0000 C CNN +F 4 "Murata" H 5300 6200 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 5300 6200 60 0001 C CNN "Part" + 1 5300 6200 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR020 +U 1 1 53A8C6FC +P 6100 6500 +F 0 "#PWR020" H 6100 6500 30 0001 C CNN +F 1 "GND" H 6100 6430 30 0001 C CNN +F 2 "" H 6100 6500 60 0000 C CNN +F 3 "" H 6100 6500 60 0000 C CNN + 1 6100 6500 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR021 +U 1 1 53A8C702 +P 4900 6500 +F 0 "#PWR021" H 4900 6500 30 0001 C CNN +F 1 "GND" H 4900 6430 30 0001 C CNN +F 2 "" H 4900 6500 60 0000 C CNN +F 3 "" H 4900 6500 60 0000 C CNN + 1 4900 6500 + 1 0 0 -1 +$EndComp +$Comp +L C C9 +U 1 1 53A8C708 +P 5300 7100 +F 0 "C9" H 5350 7200 50 0000 L CNN +F 1 "10U" H 5350 7000 50 0000 L CNN +F 2 "" H 5300 7100 60 0000 C CNN +F 3 "" H 5300 7100 60 0000 C CNN +F 4 "Murata" H 5300 7100 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 5300 7100 60 0001 C CNN "Part" + 1 5300 7100 + -1 0 0 -1 +$EndComp +$Comp +L C C7 +U 1 1 53A8C70E +P 4900 7100 +F 0 "C7" H 4950 7200 50 0000 L CNN +F 1 "10U" H 4950 7000 50 0000 L CNN +F 2 "" H 4900 7100 60 0000 C CNN +F 3 "" H 4900 7100 60 0000 C CNN +F 4 "Murata" H 4900 7100 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 4900 7100 60 0001 C CNN "Part" + 1 4900 7100 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR022 +U 1 1 53A8C714 +P 6100 7400 +F 0 "#PWR022" H 6100 7400 30 0001 C CNN +F 1 "GND" H 6100 7330 30 0001 C CNN +F 2 "" H 6100 7400 60 0000 C CNN +F 3 "" H 6100 7400 60 0000 C CNN + 1 6100 7400 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR023 +U 1 1 53A8C71A +P 5700 7400 +F 0 "#PWR023" H 5700 7400 30 0001 C CNN +F 1 "GND" H 5700 7330 30 0001 C CNN +F 2 "" H 5700 7400 60 0000 C CNN +F 3 "" H 5700 7400 60 0000 C CNN + 1 5700 7400 + 1 0 0 -1 +$EndComp +$Comp +L C C13 +U 1 1 53A8C720 +P 6100 7100 +F 0 "C13" H 6150 7200 50 0000 L CNN +F 1 "10U" H 6150 7000 50 0000 L CNN +F 2 "" H 6100 7100 60 0000 C CNN +F 3 "" H 6100 7100 60 0000 C CNN +F 4 "Murata" H 6100 7100 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 6100 7100 60 0001 C CNN "Part" + 1 6100 7100 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR024 +U 1 1 53A8C726 +P 4900 7400 +F 0 "#PWR024" H 4900 7400 30 0001 C CNN +F 1 "GND" H 4900 7330 30 0001 C CNN +F 2 "" H 4900 7400 60 0000 C CNN +F 3 "" H 4900 7400 60 0000 C CNN + 1 4900 7400 + 1 0 0 -1 +$EndComp +$Comp +L C C11 +U 1 1 53A8C72C +P 5700 7100 +F 0 "C11" H 5750 7200 50 0000 L CNN +F 1 "10U" H 5750 7000 50 0000 L CNN +F 2 "" H 5700 7100 60 0000 C CNN +F 3 "" H 5700 7100 60 0000 C CNN +F 4 "Murata" H 5700 7100 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 5700 7100 60 0001 C CNN "Part" + 1 5700 7100 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR025 +U 1 1 53A8C732 +P 5300 7400 +F 0 "#PWR025" H 5300 7400 30 0001 C CNN +F 1 "GND" H 5300 7330 30 0001 C CNN +F 2 "" H 5300 7400 60 0000 C CNN +F 3 "" H 5300 7400 60 0000 C CNN + 1 5300 7400 + 1 0 0 -1 +$EndComp +Text Label 3400 4400 0 60 ~ 0 +VMID +$Comp +L +3.3V #PWR026 +U 1 1 53A8C7B9 +P 3100 6700 +F 0 "#PWR026" H 3100 6660 30 0001 C CNN +F 1 "+3.3V" H 3100 6810 30 0000 C CNN +F 2 "" H 3100 6700 60 0000 C CNN +F 3 "" H 3100 6700 60 0000 C CNN + 1 3100 6700 + 1 0 0 -1 +$EndComp +Text Notes 1100 5300 0 60 ~ 0 +I2C Addresses on HackRF I2C bus (I2C0?):\n\n0b1100000: Si5351C-B\n0b0011010: WM8731 audio codec (CSB=0) +Text Label 2300 1300 0 60 ~ 0 +I2S0_SCK_R +Text Label 2300 1100 0 60 ~ 0 +I2S0_TX_SDA_R +Text Label 2300 1400 0 60 ~ 0 +I2S0_MCLK_R +Text Label 2300 1200 0 60 ~ 0 +I2S0_WS_R +Text Label 5700 5900 0 60 ~ 0 +MICBIAS +Text Label 5000 5900 0 60 ~ 0 +VMID +Text HLabel 1700 1300 0 60 Input ~ 0 +I2S0_SCK +Text HLabel 1700 1100 0 60 Input ~ 0 +I2S0_TX_SDA +Text HLabel 1700 1400 0 60 Input ~ 0 +I2S0_MCLK +Text HLabel 1700 1200 0 60 Input ~ 0 +I2S0_WS +Text HLabel 3600 3600 2 60 Input ~ 0 +SCL +Text HLabel 3600 3700 2 60 BiDi ~ 0 +SDA +Text HLabel 1700 3700 0 60 Output ~ 0 +I2S0_RX_SDA +$Comp +L RPACK4 RP1 +U 1 1 53AB09AD +P 2000 1250 +F 0 "RP1" H 2000 1500 60 0000 C CNN +F 1 "220R" H 2000 1000 60 0000 C CNN +F 2 "" H 2000 1250 60 0000 C CNN +F 3 "" H 2000 1250 60 0000 C CNN +F 4 "Panasonic" H 2000 1250 60 0001 C CNN "Mfr" +F 5 "EXB-38V221JV" H 2000 1250 60 0001 C CNN "Part" + 1 2000 1250 + 1 0 0 -1 +$EndComp +$Comp +L TRS_JACK P1 +U 1 1 53AF0914 +P 3900 1600 +F 0 "P1" H 3450 1600 60 0000 C CNN +F 1 "TRS_JACK" H 3800 1850 60 0000 C CNN +F 2 "DNI" H 3450 1700 60 0000 C CNN +F 3 "" H 3900 1600 60 0000 C CNN +F 4 "Switchcraft" H 3900 1600 60 0001 C CNN "Mfr" +F 5 "35RASMT2BHNTRX" H 3900 1600 60 0001 C CNN "Part" + 1 3900 1600 + 1 0 0 1 +$EndComp +$Comp +L TRS_JACK P3 +U 1 1 53AF0926 +P 10300 1600 +F 0 "P3" H 9850 1600 60 0000 C CNN +F 1 "TRS_JACK" H 10200 1850 60 0000 C CNN +F 2 "DNI" H 9850 1700 60 0000 C CNN +F 3 "" H 10300 1600 60 0000 C CNN +F 4 "Switchcraft" H 10300 1600 60 0001 C CNN "Mfr" +F 5 "35RASMT2BHNTRX" H 10300 1600 60 0001 C CNN "Part" + 1 10300 1600 + -1 0 0 1 +$EndComp +$Comp +L L L10 +U 1 1 53AF0931 +P 9900 2150 +F 0 "L10" V 9850 2150 40 0000 C CNN +F 1 "L" V 10000 2150 40 0000 C CNN +F 2 "DNI" V 9750 2150 60 0000 C CNN +F 3 "" H 9900 2150 60 0000 C CNN +F 4 "Murata" V 9900 2150 60 0001 C CNN "Mfr" +F 5 "BLM18HE152SN1D" V 9900 2150 60 0001 C CNN "Part" + 1 9900 2150 + 1 0 0 -1 +$EndComp +$Comp +L L L1 +U 1 1 53AF093C +P 4300 2150 +F 0 "L1" V 4250 2150 40 0000 C CNN +F 1 "L" V 4400 2150 40 0000 C CNN +F 2 "DNI" V 4150 2150 60 0000 C CNN +F 3 "" H 4300 2150 60 0000 C CNN +F 4 "Murata" V 4300 2150 60 0001 C CNN "Mfr" +F 5 "BLM18HE152SN1D" V 4300 2150 60 0001 C CNN "Part" + 1 4300 2150 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR027 +U 1 1 53AF0951 +P 4300 2500 +F 0 "#PWR027" H 4300 2500 30 0001 C CNN +F 1 "GND" H 4300 2430 30 0001 C CNN +F 2 "" H 4300 2500 60 0000 C CNN +F 3 "" H 4300 2500 60 0000 C CNN + 1 4300 2500 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR028 +U 1 1 53AF095C +P 9900 2500 +F 0 "#PWR028" H 9900 2500 30 0001 C CNN +F 1 "GND" H 9900 2430 30 0001 C CNN +F 2 "" H 9900 2500 60 0000 C CNN +F 3 "" H 9900 2500 60 0000 C CNN + 1 9900 2500 + 1 0 0 -1 +$EndComp +$Comp +L R R7 +U 1 1 53AF0B74 +P 5350 1800 +F 0 "R7" V 5430 1800 50 0000 C CNN +F 1 "5K6" V 5350 1800 50 0000 C CNN +F 2 "DNI" V 5250 1800 60 0000 C CNN +F 3 "" H 5350 1800 60 0000 C CNN +F 4 "Yageo" V 5350 1800 60 0001 C CNN "Mfr" +F 5 "RC0603FR-075K6L" V 5350 1800 60 0001 C CNN "Part" + 1 5350 1800 + 0 1 -1 0 +$EndComp +$Comp +L R R6 +U 1 1 53AF0B7F +P 5350 1400 +F 0 "R6" V 5430 1400 50 0000 C CNN +F 1 "5K6" V 5350 1400 50 0000 C CNN +F 2 "DNI" V 5250 1400 60 0000 C CNN +F 3 "" H 5350 1400 60 0000 C CNN +F 4 "Yageo" V 5350 1400 60 0001 C CNN "Mfr" +F 5 "RC0603FR-075K6L" V 5350 1400 60 0001 C CNN "Part" + 1 5350 1400 + 0 1 -1 0 +$EndComp +$Comp +L R R8 +U 1 1 53AF0B8A +P 5700 1050 +F 0 "R8" V 5780 1050 50 0000 C CNN +F 1 "5K6" V 5700 1050 50 0000 C CNN +F 2 "DNI" V 5600 1050 60 0000 C CNN +F 3 "" H 5700 1050 60 0000 C CNN +F 4 "Yageo" V 5700 1050 60 0001 C CNN "Mfr" +F 5 "RC0603FR-075K6L" V 5700 1050 60 0001 C CNN "Part" + 1 5700 1050 + -1 0 0 -1 +$EndComp +$Comp +L R R9 +U 1 1 53AF0B95 +P 5700 2150 +F 0 "R9" V 5780 2150 50 0000 C CNN +F 1 "5K6" V 5700 2150 50 0000 C CNN +F 2 "DNI" V 5600 2150 60 0000 C CNN +F 3 "" H 5700 2150 60 0000 C CNN +F 4 "Yageo" V 5700 2150 60 0001 C CNN "Mfr" +F 5 "RC0603FR-075K6L" V 5700 2150 60 0001 C CNN "Part" + 1 5700 2150 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR029 +U 1 1 53AF0BA0 +P 5700 2500 +F 0 "#PWR029" H 5700 2500 30 0001 C CNN +F 1 "GND" H 5700 2430 30 0001 C CNN +F 2 "" H 5700 2500 60 0000 C CNN +F 3 "" H 5700 2500 60 0000 C CNN + 1 5700 2500 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR030 +U 1 1 53AF0BAB +P 5700 700 +F 0 "#PWR030" H 5700 700 30 0001 C CNN +F 1 "GND" H 5700 630 30 0001 C CNN +F 2 "" H 5700 700 60 0000 C CNN +F 3 "" H 5700 700 60 0000 C CNN + 1 5700 700 + -1 0 0 1 +$EndComp +$Comp +L C C15 +U 1 1 53AF112C +P 6000 2100 +F 0 "C15" H 6050 2200 50 0000 L CNN +F 1 "220P" H 6050 2000 50 0000 L CNN +F 2 "DNI" H 6200 2100 60 0000 C CNN +F 3 "" H 6000 2100 60 0000 C CNN +F 4 "Murata" H 6000 2100 60 0001 C CNN "Mfr" +F 5 "GRM1885C1H221JA01" H 6000 2100 60 0001 C CNN "Part" + 1 6000 2100 + 1 0 0 -1 +$EndComp +$Comp +L C C14 +U 1 1 53AF1137 +P 6000 1100 +F 0 "C14" H 6050 1200 50 0000 L CNN +F 1 "220P" H 6050 1000 50 0000 L CNN +F 2 "DNI" H 6200 1100 60 0000 C CNN +F 3 "" H 6000 1100 60 0000 C CNN +F 4 "Murata" H 6000 1100 60 0001 C CNN "Mfr" +F 5 "GRM1885C1H221JA01" H 6000 1100 60 0001 C CNN "Part" + 1 6000 1100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR031 +U 1 1 53AF1142 +P 6000 800 +F 0 "#PWR031" H 6000 800 30 0001 C CNN +F 1 "GND" H 6000 730 30 0001 C CNN +F 2 "" H 6000 800 60 0000 C CNN +F 3 "" H 6000 800 60 0000 C CNN + 1 6000 800 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR032 +U 1 1 53AF114D +P 6000 2400 +F 0 "#PWR032" H 6000 2400 30 0001 C CNN +F 1 "GND" H 6000 2330 30 0001 C CNN +F 2 "" H 6000 2400 60 0000 C CNN +F 3 "" H 6000 2400 60 0000 C CNN + 1 6000 2400 + 1 0 0 -1 +$EndComp +$Comp +L CP C16 +U 1 1 53AF15D9 +P 6400 1400 +F 0 "C16" H 6450 1500 50 0000 L CNN +F 1 "1U" H 6450 1300 50 0000 L CNN +F 2 "DNI" H 6300 1500 60 0000 C CNN +F 3 "" H 6400 1400 60 0000 C CNN +F 4 "Kemet" H 6400 1400 60 0001 C CNN "Mfr" +F 5 "EDK105M050A9BAA" H 6400 1400 60 0001 C CNN "Part" + 1 6400 1400 + 0 1 1 0 +$EndComp +$Comp +L CP C17 +U 1 1 53AF15E8 +P 6400 1800 +F 0 "C17" H 6450 1900 50 0000 L CNN +F 1 "1U" H 6450 1700 50 0000 L CNN +F 2 "DNI" H 6300 1900 60 0000 C CNN +F 3 "" H 6400 1800 60 0000 C CNN +F 4 "Kemet" H 6400 1800 60 0001 C CNN "Mfr" +F 5 "EDK105M050A9BAA" H 6400 1800 60 0001 C CNN "Part" + 1 6400 1800 + 0 1 1 0 +$EndComp +Text Label 6700 1400 0 60 ~ 0 +LLINEIN +Text Label 6700 1800 0 60 ~ 0 +RLINEIN +$Comp +L L L2 +U 1 1 53AF20F3 +P 4750 1400 +F 0 "L2" V 4700 1400 40 0000 C CNN +F 1 "L" V 4850 1400 40 0000 C CNN +F 2 "DNI" V 4600 1400 60 0000 C CNN +F 3 "" H 4750 1400 60 0000 C CNN +F 4 "Murata" V 4750 1400 60 0001 C CNN "Mfr" +F 5 "BLM18HE152SN1D" V 4750 1400 60 0001 C CNN "Part" + 1 4750 1400 + 0 1 1 0 +$EndComp +$Comp +L L L3 +U 1 1 53AF20FE +P 4750 1800 +F 0 "L3" V 4700 1800 40 0000 C CNN +F 1 "L" V 4850 1800 40 0000 C CNN +F 2 "DNI" V 4600 1800 60 0000 C CNN +F 3 "" H 4750 1800 60 0000 C CNN +F 4 "Murata" V 4750 1800 60 0001 C CNN "Mfr" +F 5 "BLM18HE152SN1D" V 4750 1800 60 0001 C CNN "Part" + 1 4750 1800 + 0 1 1 0 +$EndComp +$Comp +L L L7 +U 1 1 53AF25CC +P 9450 1400 +F 0 "L7" V 9400 1400 40 0000 C CNN +F 1 "L" V 9550 1400 40 0000 C CNN +F 2 "DNI" V 9300 1400 60 0000 C CNN +F 3 "" H 9450 1400 60 0000 C CNN +F 4 "Murata" V 9450 1400 60 0001 C CNN "Mfr" +F 5 "BLM18HE152SN1D" V 9450 1400 60 0001 C CNN "Part" + 1 9450 1400 + 0 1 1 0 +$EndComp +$Comp +L L L8 +U 1 1 53AF25D7 +P 9450 1800 +F 0 "L8" V 9400 1800 40 0000 C CNN +F 1 "L" V 9550 1800 40 0000 C CNN +F 2 "DNI" V 9300 1800 60 0000 C CNN +F 3 "" H 9450 1800 60 0000 C CNN +F 4 "Murata" V 9450 1800 60 0001 C CNN "Mfr" +F 5 "BLM18HE152SN1D" V 9450 1800 60 0001 C CNN "Part" + 1 9450 1800 + 0 1 1 0 +$EndComp +$Comp +L R R15 +U 1 1 53AF2725 +P 8850 1400 +F 0 "R15" V 8930 1400 50 0000 C CNN +F 1 "100R" V 8850 1400 50 0000 C CNN +F 2 "DNI" V 8750 1400 60 0000 C CNN +F 3 "" H 8850 1400 60 0000 C CNN +F 4 "Yageo" V 8850 1400 60 0001 C CNN "Mfr" +F 5 "RC0603FR-07100RL" V 8850 1400 60 0001 C CNN "Part" + 1 8850 1400 + 0 1 -1 0 +$EndComp +$Comp +L R R16 +U 1 1 53AF2730 +P 8850 1800 +F 0 "R16" V 8930 1800 50 0000 C CNN +F 1 "100R" V 8850 1800 50 0000 C CNN +F 2 "DNI" V 8750 1800 60 0000 C CNN +F 3 "" H 8850 1800 60 0000 C CNN +F 4 "Yageo" V 8850 1800 60 0001 C CNN "Mfr" +F 5 "RC0603FR-07100RL" V 8850 1800 60 0001 C CNN "Part" + 1 8850 1800 + 0 1 -1 0 +$EndComp +$Comp +L R R14 +U 1 1 53AF273B +P 8500 2150 +F 0 "R14" V 8580 2150 50 0000 C CNN +F 1 "47K" V 8500 2150 50 0000 C CNN +F 2 "DNI" V 8400 2150 60 0000 C CNN +F 3 "" H 8500 2150 60 0000 C CNN +F 4 "Yageo" V 8500 2150 60 0001 C CNN "Mfr" +F 5 "RC0603FR-0747KL" V 8500 2150 60 0001 C CNN "Part" + 1 8500 2150 + -1 0 0 -1 +$EndComp +$Comp +L R R13 +U 1 1 53AF2746 +P 8500 1050 +F 0 "R13" V 8580 1050 50 0000 C CNN +F 1 "47K" V 8500 1050 50 0000 C CNN +F 2 "DNI" V 8400 1050 60 0000 C CNN +F 3 "" H 8500 1050 60 0000 C CNN +F 4 "Yageo" V 8500 1050 60 0001 C CNN "Mfr" +F 5 "RC0603FR-0747KL" V 8500 1050 60 0001 C CNN "Part" + 1 8500 1050 + -1 0 0 -1 +$EndComp +$Comp +L CP C21 +U 1 1 53AF2753 +P 8200 1800 +F 0 "C21" H 8250 1900 50 0000 L CNN +F 1 "10U" H 8250 1700 50 0000 L CNN +F 2 "DNI" H 8100 1700 60 0000 C CNN +F 3 "" H 8200 1800 60 0000 C CNN +F 4 "Kemet" H 8200 1800 60 0001 C CNN "Mfr" +F 5 "EDK106M025A9BAA" H 8200 1800 60 0001 C CNN "Part" + 1 8200 1800 + 0 -1 -1 0 +$EndComp +$Comp +L CP C20 +U 1 1 53AF2762 +P 8200 1400 +F 0 "C20" H 8250 1500 50 0000 L CNN +F 1 "10U" H 8250 1300 50 0000 L CNN +F 2 "DNI" H 8100 1300 60 0000 C CNN +F 3 "" H 8200 1400 60 0000 C CNN +F 4 "Kemet" H 8200 1400 60 0001 C CNN "Mfr" +F 5 "EDK106M025A9BAA" H 8200 1400 60 0001 C CNN "Part" + 1 8200 1400 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR033 +U 1 1 53AF2B94 +P 8500 700 +F 0 "#PWR033" H 8500 700 30 0001 C CNN +F 1 "GND" H 8500 630 30 0001 C CNN +F 2 "" H 8500 700 60 0000 C CNN +F 3 "" H 8500 700 60 0000 C CNN + 1 8500 700 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR034 +U 1 1 53AF2B9F +P 8500 2500 +F 0 "#PWR034" H 8500 2500 30 0001 C CNN +F 1 "GND" H 8500 2430 30 0001 C CNN +F 2 "" H 8500 2500 60 0000 C CNN +F 3 "" H 8500 2500 60 0000 C CNN + 1 8500 2500 + 1 0 0 -1 +$EndComp +Text Label 7600 1400 0 60 ~ 0 +LOUT +Text Label 7600 1800 0 60 ~ 0 +ROUT +Wire Wire Line + 9300 4300 9300 4400 +Wire Wire Line + 9400 4300 9300 4300 +Wire Wire Line + 9000 4900 9000 5000 +Connection ~ 9300 5000 +Wire Wire Line + 9000 4200 9000 4400 +Wire Wire Line + 9400 4200 9000 4200 +Wire Wire Line + 9000 3700 8900 3700 +Wire Wire Line + 9000 3800 9000 3700 +Wire Wire Line + 9400 3800 9000 3800 +Wire Wire Line + 8900 4100 9400 4100 +Wire Wire Line + 9600 5000 9300 5000 +Wire Wire Line + 8700 5600 9400 5600 +Wire Wire Line + 9500 5200 9500 5300 +Wire Wire Line + 9600 5200 9500 5200 +Connection ~ 9300 5600 +Connection ~ 8800 5600 +Wire Wire Line + 9300 4900 9300 5700 +Wire Wire Line + 9300 6200 9300 6300 +Wire Wire Line + 8800 6100 8800 6200 +Wire Wire Line + 8800 5600 8800 5700 +Wire Wire Line + 8200 5600 8300 5600 +Wire Wire Line + 7700 5600 7200 5600 +Wire Wire Line + 9900 5600 10400 5600 +Wire Wire Line + 8300 4600 8300 4700 +Wire Wire Line + 8300 3200 8300 3100 +Connection ~ 8000 3700 +Connection ~ 8000 4100 +Wire Wire Line + 6900 4100 7500 4100 +Wire Wire Line + 6900 3700 7500 3700 +Wire Wire Line + 8000 4700 8000 4800 +Wire Wire Line + 8000 3000 8000 3100 +Wire Wire Line + 8000 4100 8000 4200 +Wire Wire Line + 7900 4100 8400 4100 +Wire Wire Line + 8000 3700 8000 3600 +Wire Wire Line + 7900 3700 8400 3700 +Wire Wire Line + 1900 3700 1700 3700 +Wire Wire Line + 4900 6400 4900 6500 +Wire Wire Line + 6100 6400 6100 6500 +Wire Wire Line + 6100 5900 6100 6000 +Wire Wire Line + 4900 5900 4900 6000 +Connection ~ 3400 3800 +Wire Wire Line + 3400 3900 3400 3800 +Wire Wire Line + 3300 3800 3500 3800 +Wire Wire Line + 3300 3900 3400 3900 +Wire Wire Line + 1100 4400 1900 4400 +Wire Wire Line + 1100 4300 1900 4300 +Wire Wire Line + 4100 4200 3300 4200 +Wire Wire Line + 4100 4100 3300 4100 +Wire Wire Line + 4100 4000 3300 4000 +Wire Wire Line + 1100 4100 1900 4100 +Wire Wire Line + 1100 4000 1900 4000 +Wire Wire Line + 3300 3600 3600 3600 +Wire Wire Line + 3300 3700 3600 3700 +Wire Wire Line + 3300 3500 4100 3500 +Connection ~ 1800 3600 +Wire Wire Line + 1100 3600 1900 3600 +Wire Wire Line + 1800 3800 1800 3600 +Wire Wire Line + 1900 3800 1800 3800 +Wire Wire Line + 1100 3500 1900 3500 +Wire Wire Line + 1100 3400 1900 3400 +Wire Wire Line + 5700 6400 5700 6500 +Wire Wire Line + 5300 6400 5300 6500 +Wire Wire Line + 5700 5900 5700 6000 +Wire Wire Line + 5300 5900 5300 6000 +Wire Wire Line + 3300 4400 4100 4400 +Wire Wire Line + 1800 3200 1900 3200 +Wire Wire Line + 1800 3900 1900 3900 +Wire Wire Line + 1900 4200 1800 4200 +Wire Wire Line + 1800 4500 1900 4500 +Wire Wire Line + 3300 4500 3400 4500 +Wire Wire Line + 3300 3300 3500 3300 +Wire Wire Line + 3300 3200 3400 3200 +Wire Wire Line + 3100 1100 2200 1100 +Wire Wire Line + 3100 1200 2200 1200 +Wire Wire Line + 3100 1300 2200 1300 +Wire Wire Line + 3100 1400 2200 1400 +Wire Wire Line + 1700 1100 1800 1100 +Wire Wire Line + 1700 1200 1800 1200 +Wire Wire Line + 1700 1300 1800 1300 +Wire Wire Line + 1700 1400 1800 1400 +Wire Wire Line + 4300 7300 4300 7400 +Wire Wire Line + 3900 7300 3900 7400 +Wire Wire Line + 3500 7300 3500 7400 +Wire Wire Line + 3100 7300 3100 7400 +Wire Wire Line + 4900 7300 4900 7400 +Wire Wire Line + 5300 7300 5300 7400 +Wire Wire Line + 5700 7300 5700 7400 +Wire Wire Line + 6100 7300 6100 7400 +Wire Wire Line + 5700 5900 6100 5900 +Wire Wire Line + 3300 4300 4100 4300 +Wire Wire Line + 4900 5900 5300 5900 +Wire Wire Line + 10000 1800 9900 1800 +Wire Wire Line + 9900 1800 9900 1900 +Wire Wire Line + 9900 2400 9900 2500 +Wire Wire Line + 4300 2400 4300 2500 +Wire Wire Line + 4200 1800 4300 1800 +Wire Wire Line + 4300 1800 4300 1900 +Wire Wire Line + 5600 1800 6200 1800 +Wire Wire Line + 5700 1800 5700 1900 +Wire Wire Line + 5600 1400 6200 1400 +Wire Wire Line + 5700 1400 5700 1300 +Wire Wire Line + 5700 2400 5700 2500 +Wire Wire Line + 5700 800 5700 700 +Wire Wire Line + 6000 2300 6000 2400 +Wire Wire Line + 6000 1800 6000 1900 +Connection ~ 5700 1800 +Wire Wire Line + 6000 1400 6000 1300 +Connection ~ 5700 1400 +Wire Wire Line + 6000 900 6000 800 +Wire Wire Line + 4200 1700 4400 1700 +Wire Wire Line + 4400 1700 4400 1800 +Wire Wire Line + 4200 1500 4400 1500 +Wire Wire Line + 4400 1500 4400 1400 +Connection ~ 6000 1800 +Connection ~ 6000 1400 +Wire Wire Line + 7100 1400 6600 1400 +Wire Wire Line + 7100 1800 6600 1800 +Wire Wire Line + 4400 1400 4500 1400 +Wire Wire Line + 5000 1400 5100 1400 +Wire Wire Line + 4400 1800 4500 1800 +Wire Wire Line + 5000 1800 5100 1800 +Wire Wire Line + 10000 1700 9800 1700 +Wire Wire Line + 9800 1700 9800 1800 +Wire Wire Line + 9800 1800 9700 1800 +Wire Wire Line + 10000 1500 9800 1500 +Wire Wire Line + 9800 1500 9800 1400 +Wire Wire Line + 9800 1400 9700 1400 +Wire Wire Line + 9200 1400 9100 1400 +Wire Wire Line + 9200 1800 9100 1800 +Wire Wire Line + 8400 1800 8600 1800 +Wire Wire Line + 8500 1800 8500 1900 +Wire Wire Line + 8400 1400 8600 1400 +Wire Wire Line + 8500 1400 8500 1300 +Connection ~ 8500 1400 +Connection ~ 8500 1800 +Wire Wire Line + 8500 2400 8500 2500 +Wire Wire Line + 8500 800 8500 700 +Wire Wire Line + 7500 1400 8000 1400 +Wire Wire Line + 7500 1800 8000 1800 +Wire Wire Line + 8300 4100 8300 4200 +Connection ~ 8300 4100 +Wire Wire Line + 8300 3600 8300 3700 +Connection ~ 8300 3700 +NoConn ~ 9400 3900 +NoConn ~ 9400 4000 +Wire Wire Line + 3100 6700 3100 6900 +Wire Wire Line + 3100 6800 6100 6800 +Wire Wire Line + 3500 6800 3500 6900 +Connection ~ 3100 6800 +Wire Wire Line + 3900 6800 3900 6900 +Connection ~ 3500 6800 +Wire Wire Line + 4300 6800 4300 6900 +Connection ~ 3900 6800 +Wire Wire Line + 4900 6800 4900 6900 +Connection ~ 4300 6800 +Wire Wire Line + 5300 6800 5300 6900 +Connection ~ 4900 6800 +Wire Wire Line + 5700 6800 5700 6900 +Connection ~ 5300 6800 +Wire Wire Line + 6100 6800 6100 6900 +Connection ~ 5700 6800 +$Comp +L +3.3V #PWR035 +U 1 1 53BC2346 +P 3500 3300 +F 0 "#PWR035" H 3500 3260 30 0001 C CNN +F 1 "+3.3V" H 3500 3410 30 0000 C CNN +F 2 "" H 3500 3300 60 0000 C CNN +F 3 "" H 3500 3300 60 0000 C CNN + 1 3500 3300 + 0 1 1 0 +$EndComp +$Comp +L +3.3V #PWR036 +U 1 1 53BC2351 +P 1800 3200 +F 0 "#PWR036" H 1800 3160 30 0001 C CNN +F 1 "+3.3V" H 1800 3310 30 0000 C CNN +F 2 "" H 1800 3200 60 0000 C CNN +F 3 "" H 1800 3200 60 0000 C CNN + 1 1800 3200 + 0 -1 -1 0 +$EndComp +$Comp +L +3.3V #PWR037 +U 1 1 53BC235C +P 1800 3900 +F 0 "#PWR037" H 1800 3860 30 0001 C CNN +F 1 "+3.3V" H 1800 4010 30 0000 C CNN +F 2 "" H 1800 3900 60 0000 C CNN +F 3 "" H 1800 3900 60 0000 C CNN + 1 1800 3900 + 0 -1 -1 0 +$EndComp +$Comp +L +3.3V #PWR038 +U 1 1 53BC2367 +P 1800 4500 +F 0 "#PWR038" H 1800 4460 30 0001 C CNN +F 1 "+3.3V" H 1800 4610 30 0000 C CNN +F 2 "" H 1800 4500 60 0000 C CNN +F 3 "" H 1800 4500 60 0000 C CNN + 1 1800 4500 + 0 -1 -1 0 +$EndComp +$EndSCHEMATC diff --git a/hardware/portapack_h1/cpld/portapack_h1_cpld.qpf b/hardware/portapack_h1/cpld/portapack_h1_cpld.qpf new file mode 100644 index 00000000..0ced0c84 --- /dev/null +++ b/hardware/portapack_h1/cpld/portapack_h1_cpld.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2014 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 13.1.4 Build 182 03/12/2014 SJ Web Edition +# Date created = 21:24:55 April 29, 2014 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.1" +DATE = "21:24:55 April 29, 2014" + +# Revisions + +PROJECT_REVISION = "portapack_h1_cpld" diff --git a/hardware/portapack_h1/cpld/portapack_h1_cpld.qsf b/hardware/portapack_h1/cpld/portapack_h1_cpld.qsf new file mode 100644 index 00000000..0af980ab --- /dev/null +++ b/hardware/portapack_h1/cpld/portapack_h1_cpld.qsf @@ -0,0 +1,288 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2014 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 13.1.4 Build 182 03/12/2014 SJ Web Edition +# Date created = 21:24:55 April 29, 2014 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# portapack_h1_cpld_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX V" +set_global_assignment -name DEVICE 5M40ZE64C5 +set_global_assignment -name TOP_LEVEL_ENTITY top +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:24:55 APRIL 29, 2014" +set_global_assignment -name LAST_QUARTUS_VERSION 14.1.0 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE EQFP +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 64 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" +set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR simulation/modelsim -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation +set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008 +set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF +set_global_assignment -name SDC_FILE portapack_h1_cpld.sdc +set_global_assignment -name VHDL_FILE top.vhd +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS" +set_location_assignment PIN_46 -to LCD_DB[15] +set_location_assignment PIN_47 -to LCD_DB[14] +set_location_assignment PIN_48 -to LCD_DB[13] +set_location_assignment PIN_49 -to LCD_DB[12] +set_location_assignment PIN_50 -to LCD_DB[11] +set_location_assignment PIN_51 -to LCD_DB[10] +set_location_assignment PIN_52 -to LCD_DB[9] +set_location_assignment PIN_53 -to LCD_DB[8] +set_location_assignment PIN_54 -to LCD_DB[7] +set_location_assignment PIN_55 -to LCD_DB[6] +set_location_assignment PIN_56 -to LCD_DB[5] +set_location_assignment PIN_58 -to LCD_DB[4] +set_location_assignment PIN_59 -to LCD_DB[3] +set_location_assignment PIN_60 -to LCD_DB[2] +set_location_assignment PIN_61 -to LCD_DB[1] +set_location_assignment PIN_62 -to LCD_DB[0] +set_location_assignment PIN_44 -to LCD_RDX +set_location_assignment PIN_43 -to LCD_RS +set_location_assignment PIN_63 -to LCD_TE +set_location_assignment PIN_45 -to LCD_WRX +set_location_assignment PIN_10 -to SW_D +set_location_assignment PIN_28 -to SW_L +set_location_assignment PIN_9 -to SW_R +set_location_assignment PIN_11 -to SW_ROT_A +set_location_assignment PIN_12 -to SW_ROT_B +set_location_assignment PIN_13 -to SW_SEL +set_location_assignment PIN_25 -to SW_U +set_location_assignment PIN_1 -to TP_D +set_location_assignment PIN_2 -to TP_L +set_location_assignment PIN_64 -to TP_R +set_location_assignment PIN_3 -to TP_U +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_D +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_L +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_R +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_ROT_A +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_ROT_B +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_SEL +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_U +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_D +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_L +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_R +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_U +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[15] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[14] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[13] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[12] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[11] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[10] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[9] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[8] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[7] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[6] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[5] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[4] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[3] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[2] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_DB[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_RDX +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_RS +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_TE +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_WRX +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation +set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH top_tb -section_id eda_simulation +set_global_assignment -name EDA_TEST_BENCH_NAME top_tb -section_id eda_simulation +set_global_assignment -name EDA_DESIGN_INSTANCE_NAME uut -section_id top_tb +set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME top_tb -section_id top_tb +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" +set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR "500 ns" -section_id top_tb +set_global_assignment -name EDA_TEST_BENCH_FILE top_tb.vhd -section_id top_tb +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "BSDL (Boundary Scan)" +set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR /home/jboone/src/portapack/portapack_hackrf/hardware/portapack_h1/cpld -section_id eda_board_design_boundary_scan +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION POST_CONFIG -section_id eda_board_design_boundary_scan +set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF +set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF +set_global_assignment -name ENABLE_NCE_PIN OFF +set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name GENERATE_RBF_FILE OFF +set_global_assignment -name GENERATE_SVF_FILE ON +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH BUS-HOLD" +set_location_assignment PIN_38 -to LCD_RESETX +set_instance_assignment -name IO_STANDARD "1.8 V" -to LCD_RESETX +set_location_assignment PIN_18 -to MCU_D[7] +set_location_assignment PIN_19 -to MCU_D[6] +set_location_assignment PIN_21 -to MCU_D[5] +set_location_assignment PIN_20 -to MCU_D[4] +set_location_assignment PIN_22 -to MCU_D[3] +set_location_assignment PIN_24 -to MCU_D[2] +set_location_assignment PIN_27 -to MCU_D[1] +set_location_assignment PIN_26 -to MCU_D[0] +set_location_assignment PIN_33 -to MCU_ADDR +set_location_assignment PIN_42 -to MCU_DIR +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_ADDR +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_DIR +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_D +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_L +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_R +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_U +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_ROT_B +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_SEL +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_ROT_A +set_location_assignment PIN_31 -to MCU_ROT_A +set_location_assignment PIN_30 -to MCU_ROT_B +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_ROT_A +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_ROT_B +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[15] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[14] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[13] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[12] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[11] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[10] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[9] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[8] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[7] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[6] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[5] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[4] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_RDX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_RESETX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_RS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_TE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_WRX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_ADDR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[7] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[6] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[5] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[4] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_DIR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_ROT_A +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_ROT_B +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_D +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_L +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_R +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_ROT_A +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_ROT_B +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_SEL +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_U +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_D +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_L +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_R +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_U +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[15] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[14] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[13] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[12] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[11] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[10] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[9] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[8] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[7] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[6] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[5] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[4] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[3] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[2] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[0] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_RDX +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_RESETX +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_RS +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_TE +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_WRX +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_ADDR +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[7] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[6] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[5] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[4] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[3] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[2] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[0] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_DIR +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_ROT_A +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_ROT_B +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_D +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_L +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_R +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_ROT_A +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_ROT_B +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_SEL +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_U +set_instance_assignment -name SLOW_SLEW_RATE ON -to TP_D +set_instance_assignment -name SLOW_SLEW_RATE ON -to TP_L +set_instance_assignment -name SLOW_SLEW_RATE ON -to TP_R +set_instance_assignment -name SLOW_SLEW_RATE ON -to TP_U +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET ON +set_global_assignment -name IOBANK_VCCIO 1.8V -section_id 2 +set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1 +set_instance_assignment -name PCI_IO OFF -to MCU_DIR +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER ON +set_location_assignment PIN_4 -to LCD_BACKLIGHT +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_BACKLIGHT +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_BACKLIGHT +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_BACKLIGHT +set_instance_assignment -name PCI_IO OFF -to LCD_BACKLIGHT +set_location_assignment PIN_34 -to MCU_LCD_RD +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_LCD_RD +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_LCD_RD +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_LCD_RD +set_instance_assignment -name PCI_IO OFF -to MCU_LCD_RD +set_location_assignment PIN_40 -to MCU_LCD_WR +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_LCD_WR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_LCD_WR +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_LCD_WR +set_instance_assignment -name PCI_IO OFF -to MCU_LCD_WR +set_location_assignment PIN_32 -to MCU_IO_STBX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_IO_STBX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_IO_STBX +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_IO_STBX +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to TP_R +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to TP_D +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to TP_L +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to TP_U \ No newline at end of file diff --git a/hardware/portapack_h1/cpld/portapack_h1_cpld.sdc b/hardware/portapack_h1/cpld/portapack_h1_cpld.sdc new file mode 100644 index 00000000..9c05af2a --- /dev/null +++ b/hardware/portapack_h1/cpld/portapack_h1_cpld.sdc @@ -0,0 +1,116 @@ +## Generated SDC file "portapack_hackrf_one_cpld.sdc" + +## Copyright (C) 1991-2014 Altera Corporation +## Your use of Altera Corporation's design tools, logic functions +## and other software and tools, and its AMPP partner logic +## functions, and any output files from any of the foregoing +## (including device programming or simulation files), and any +## associated documentation or information are expressly subject +## to the terms and conditions of the Altera Program License +## Subscription Agreement, Altera MegaCore Function License +## Agreement, or other applicable license agreement, including, +## without limitation, that your use is for the sole purpose of +## programming logic devices manufactured by Altera and sold by +## Altera or its authorized distributors. Please refer to the +## applicable agreement for further details. + + +## VENDOR "Altera" +## PROGRAM "Quartus II" +## VERSION "Version 13.1.4 Build 182 03/12/2014 SJ Web Edition" + +## DATE "Sat May 3 10:22:18 2014" + +## +## DEVICE "5M40ZE64C5" +## + +# RS = 0, D = DB[15:8] +# wait max(tast = 0 ns, CPLD setup = ?) +# WR = 0, D = DB[7:0] +# wait max(CPLD ) + +#************************************************************** +# Time Information +#************************************************************** + +set_time_format -unit ns -decimal_places 3 + +set mcu_clk_period 4.9 + +set lcd_data_wr_setup 10.0 +set lcd_data_wr_hold 10.0 + +#************************************************************** +# Create Clock +#************************************************************** + +create_clock -name {MCU_STROBE} -period 66.000 -waveform { 0.000 33.000 } [get_ports {MCU_STROBE}] +#create_clock -name strobe_virt -period 66.000 + +#************************************************************** +# Create Generated Clock +#************************************************************** + + + +#************************************************************** +# Set Clock Latency +#************************************************************** + + + +#************************************************************** +# Set Clock Uncertainty +#************************************************************** + + + +#************************************************************** +# Set Input Delay +#************************************************************** + +#set_input_delay -clock strobe_virt [get_ports {D[*]}] + +#************************************************************** +# Set Output Delay +#************************************************************** + + + +#************************************************************** +# Set Clock Groups +#************************************************************** + + + +#************************************************************** +# Set False Path +#************************************************************** + +set_false_path -from [get_clocks {MCU_STROBE}] -to [get_ports {TP_D TP_L TP_R TP_U}] +set_false_path -from [get_ports {SW_D SW_L SW_R SW_ROT_A SW_ROT_B SW_SEL SW_U}] -to [get_ports {MCU_D[*]}] + + +#************************************************************** +# Set Multicycle Path +#************************************************************** + + + +#************************************************************** +# Set Maximum Delay +#************************************************************** + + + +#************************************************************** +# Set Minimum Delay +#************************************************************** + + + +#************************************************************** +# Set Input Transition +#************************************************************** + diff --git a/hardware/portapack_h1/cpld/top.vhd b/hardware/portapack_h1/cpld/top.vhd new file mode 100644 index 00000000..57554bd6 --- /dev/null +++ b/hardware/portapack_h1/cpld/top.vhd @@ -0,0 +1,170 @@ +-- +-- Copyright (C) 2012 Jared Boone, ShareBrained Technology, Inc. +-- +-- This file is part of PortaPack. +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2, or (at your option) +-- any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; see the file COPYING. If not, write to +-- the Free Software Foundation, Inc., 51 Franklin Street, +-- Boston, MA 02110-1301, USA. + +library ieee; +use ieee.std_logic_1164.all; + +entity top is + port ( + MCU_D : inout std_logic_vector(7 downto 0); + MCU_DIR : in std_logic; + MCU_IO_STBX : in std_logic; + MCU_LCD_WR : in std_logic; + MCU_ADDR : in std_logic; + MCU_ROT_A : out std_logic; + MCU_ROT_B : out std_logic; + MCU_LCD_RD : in std_logic; + + TP_U : out std_logic; + TP_D : out std_logic; + TP_L : out std_logic; + TP_R : out std_logic; + + SW_SEL : in std_logic; + SW_ROT_A : in std_logic; + SW_ROT_B : in std_logic; + SW_U : in std_logic; + SW_D : in std_logic; + SW_L : in std_logic; + SW_R : in std_logic; + + LCD_RESETX : out std_logic; + LCD_RS : out std_logic; + LCD_WRX : out std_logic; + LCD_RDX : out std_logic; + LCD_DB : inout std_logic_vector(15 downto 0); + LCD_TE : in std_logic; + LCD_BACKLIGHT : out std_logic + ); +end top; + +architecture rtl of top is + + signal switches : std_logic_vector(7 downto 0); + + type data_direction_t is (from_mcu, to_mcu); + signal data_dir : data_direction_t; + + signal mcu_data_out_lcd : std_logic_vector(7 downto 0); + signal mcu_data_out_io : std_logic_vector(7 downto 0); + signal mcu_data_out : std_logic_vector(7 downto 0); + signal mcu_data_in : std_logic_vector(7 downto 0); + + signal lcd_data_in : std_logic_vector(15 downto 0); + signal lcd_data_in_mux : std_logic_vector(7 downto 0); + signal lcd_data_out : std_logic_vector(15 downto 0); + + signal lcd_data_in_q : std_logic_vector(7 downto 0) := (others => '0'); + signal lcd_data_out_q : std_logic_vector(7 downto 0) := (others => '0'); + + signal tp_q : std_logic_vector(7 downto 0) := (others => '0'); + + signal lcd_reset_q : std_logic := '1'; + signal lcd_backlight_q : std_logic := '0'; + + signal dir_read : boolean; + signal dir_write : boolean; + + signal lcd_read_strobe : boolean; + signal lcd_write_strobe : boolean; + signal lcd_write : boolean; + + signal io_strobe : boolean; + signal io_read_strobe : boolean; + signal io_write_strobe : boolean; + +begin + + -- I/O data + switches <= LCD_TE & not SW_ROT_B & not SW_ROT_A & not SW_SEL & not SW_U & not SW_D & not SW_L & not SW_R; + + TP_U <= tp_q(3) when tp_q(7) = '1' else 'Z'; + TP_D <= tp_q(2) when tp_q(6) = '1' else 'Z'; + TP_L <= tp_q(1) when tp_q(5) = '1' else 'Z'; + TP_R <= tp_q(0) when tp_q(4) = '1' else 'Z'; + + LCD_BACKLIGHT <= lcd_backlight_q; + + MCU_ROT_A <= LCD_TE; -- not SW_ROT_A; + MCU_ROT_B <= '0'; -- not SW_ROT_B; + + -- State management + data_dir <= to_mcu when MCU_DIR = '1' else from_mcu; + dir_read <= (data_dir = to_mcu); + dir_write <= (data_dir = from_mcu); + + io_strobe <= (MCU_IO_STBX = '0'); + io_read_strobe <= io_strobe and dir_read; + io_write_strobe <= io_strobe and dir_write; + + lcd_read_strobe <= (MCU_LCD_RD = '1'); + lcd_write_strobe <= (MCU_LCD_WR = '1'); + lcd_write <= not lcd_read_strobe; + + -- LCD interface + LCD_RS <= MCU_ADDR; + LCD_RDX <= not MCU_LCD_RD; + LCD_WRX <= not MCU_LCD_WR; + + lcd_data_out <= lcd_data_out_q & mcu_data_in; + lcd_data_in <= LCD_DB; + LCD_DB <= lcd_data_out when lcd_write else (others => 'Z'); + + LCD_RESETX <= not lcd_reset_q; + + -- MCU interface + mcu_data_out_lcd <= lcd_data_in(15 downto 8) when lcd_read_strobe else lcd_data_in_q; + mcu_data_out_io <= switches; + mcu_data_out <= mcu_data_out_io when io_read_strobe else mcu_data_out_lcd; + + mcu_data_in <= MCU_D; + MCU_D <= mcu_data_out when dir_read else (others => 'Z'); + + -- Synchronous behaviors: + -- LCD write: Capture LCD high byte on LCD_WRX falling edge. + process(MCU_LCD_WR, mcu_data_in) + begin + if rising_edge(MCU_LCD_WR) then + lcd_data_out_q <= mcu_data_in; + end if; + end process; + + -- LCD read: Capture LCD low byte on LCD_RD falling edge. + process(MCU_LCD_RD, lcd_data_in) + begin + if falling_edge(MCU_LCD_RD) then + lcd_data_in_q <= lcd_data_in(7 downto 0); + end if; + end process; + + -- I/O write (to resistive touch panel): Capture data from + -- MCU and hold on TP pins until further notice. + process(MCU_IO_STBX, dir_write, mcu_data_in, MCU_ADDR) + begin + if rising_edge(MCU_IO_STBX) and dir_write then + if MCU_ADDR = '0' then + tp_q <= mcu_data_in; + else + lcd_reset_q <= mcu_data_in(0); + lcd_backlight_q <= mcu_data_in(7); + end if; + end if; + end process; +end rtl; diff --git a/hardware/portapack_h1/cpld/top_tb.vhd b/hardware/portapack_h1/cpld/top_tb.vhd new file mode 100644 index 00000000..533c1108 --- /dev/null +++ b/hardware/portapack_h1/cpld/top_tb.vhd @@ -0,0 +1,185 @@ +-- +-- Copyright (C) 2012 Jared Boone, ShareBrained Technology, Inc. +-- +-- This file is part of PortaPack. +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2, or (at your option) +-- any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; see the file COPYING. If not, write to +-- the Free Software Foundation, Inc., 51 Franklin Street, +-- Boston, MA 02110-1301, USA. + +library ieee; +use ieee.std_logic_1164.all; + +entity top_tb is + +end top_tb; + +architecture behavior of top_tb is + + component top + port ( + MCU_D : inout std_logic_vector(7 downto 0); + MCU_DIR : in std_logic; + MCU_MODE : in std_logic; + MCU_STROBE : in std_logic; + MCU_ADDR : in std_logic; + + TP_U : out std_logic; + TP_D : out std_logic; + TP_L : out std_logic; + TP_R : out std_logic; + + SW_SEL : in std_logic; + SW_ROT_A : in std_logic; + SW_ROT_B : in std_logic; + SW_U : in std_logic; + SW_D : in std_logic; + SW_L : in std_logic; + SW_R : in std_logic; + + LCD_RESETX : out std_logic; + LCD_RS : out std_logic; + LCD_WRX : out std_logic; + LCD_RDX : out std_logic; + LCD_DB : inout std_logic_vector(17 downto 0); + LCD_TE : in std_logic + ); + end component; + + signal mcu_d : std_logic_vector(7 downto 0); + signal mcu_strobe : std_logic; + signal mcu_dir : std_logic; + signal mcu_mode : std_logic; + signal mcu_addr : std_logic; + + signal tp_u : std_logic; + signal tp_d : std_logic; + signal tp_l : std_logic; + signal tp_r : std_logic; + + signal sw_sel : std_logic; + signal sw_rot_a : std_logic; + signal sw_rot_b : std_logic; + signal sw_u : std_logic; + signal sw_d : std_logic; + signal sw_l : std_logic; + signal sw_r : std_logic; + + signal lcd_resetx : std_logic; + signal lcd_rs : std_logic; + signal lcd_wrx : std_logic; + signal lcd_rdx : std_logic; + signal lcd_db : std_logic_vector(17 downto 0); + signal lcd_te : std_logic := '0'; +begin + + uut : top + port map ( + MCU_D => mcu_d, + MCU_STROBE => mcu_strobe, + MCU_DIR => mcu_dir, + MCU_MODE => mcu_mode, + MCU_ADDR => mcu_addr, + TP_U => tp_u, + TP_D => tp_d, + TP_L => tp_l, + TP_R => tp_r, + SW_SEL => sw_sel, + SW_ROT_A => sw_rot_a, + SW_ROT_B => sw_rot_b, + SW_U => sw_u, + SW_D => sw_d, + SW_L => sw_l, + SW_R => sw_r, + LCD_RESETX => lcd_resetx, + LCD_RS => lcd_rs, + LCD_WRX => lcd_wrx, + LCD_RDX => lcd_rdx, + LCD_DB => lcd_db, + LCD_TE => lcd_te + ); + + stimulus: process is + begin + sw_sel <= '0'; + sw_rot_a <= '0'; + sw_rot_b <= '0'; + sw_u <= '0'; + sw_d <= '0'; + sw_l <= '0'; + sw_r <= '0'; + + mcu_d <= (others => 'Z'); + mcu_mode <= '1'; + mcu_dir <= '1'; + mcu_addr <= '1'; + mcu_strobe <= '1'; + + wait for 50.0 ns; + + -- Write to resistive touch panel + mcu_mode <= '0'; -- Target: I/O + mcu_dir <= '0'; -- Direction: MCU -> CPLD + mcu_addr <= '0'; -- LCD reset signal + wait for 19.6 ns; -- 4 cycles: Wait for CPLD D to reach Hi-Z + mcu_d <= "11000101"; + wait for 14.7 ns; -- 3 cycles: Setup time on D before STROBE. + mcu_strobe <= '0'; + wait for 9.8 ns; -- 2 cycles + mcu_strobe <= '1'; + wait for 49.0 ns; + + -- Write to LCD (command, then 16-bit data) + mcu_mode <= '1'; -- Target: LCD + mcu_dir <= '0'; -- Direction: MCU -> CPLD + mcu_addr <= '0'; -- Address: RS = 0 (command) + wait for 19.6 ns; -- 4 cycles: Wait for CPLD D to reach Hi-Z + + mcu_d <= "10100101"; + wait for 14.7 ns; -- 3 cycles: Setup time on D before STROBE. + mcu_strobe <= '0'; + wait for 9.8 ns; -- 2 cycles + mcu_d <= "00001111"; + wait for 24.5 ns; -- 5 cycles: Prop from D to LCD_DB[7:0], WRX# minimum low time. + mcu_strobe <= '1'; + wait for 9.8 ns; -- 2 cycles: Part of prop from STROBE to LCD_WRX, delay to keep RS after WRX deassert. + mcu_addr <= '1'; -- Address: RS = 1 (data) + wait for 9.8 ns; -- 2 cycles: Part of prop from STROBE to LCD_WRX. + + mcu_d <= "01011010"; + wait for 14.7 ns; -- 3 cycles: Setup time on D before STROBE. + mcu_strobe <= '0'; + wait for 9.8 ns; -- 2 cycles + mcu_d <= "11110000"; + wait for 24.5 ns; -- 5 cycles: Prop from D to LCD_DB[7:0], WRX# minimum low time. + mcu_strobe <= '1'; + wait for 19.6 ns; -- 4 cycles: Prop from STROBE to LCD_WRX. + + mcu_d <= "01010101"; + wait for 14.7 ns; -- 3 cycles: Setup time on D before STROBE. + mcu_strobe <= '0'; + wait for 9.8 ns; -- 2 cycles + mcu_d <= "10101010"; + wait for 24.5 ns; -- 5 cycles: Prop from D to LCD_DB[7:0], WRX# minimum low time. + mcu_strobe <= '1'; + wait for 19.6 ns; -- 4 cycles: Prop from STROBE to LCD_WRX. + + -- Read from switches + mcu_d <= (others => 'Z'); + mcu_mode <= '0'; -- Target: I/O + mcu_dir <= '1'; -- Direction: MCU <- CPLD + wait for 49.0 ns; + + end process; +end architecture behavior; diff --git a/hardware/portapack_h1/hackrf_if.sch b/hardware/portapack_h1/hackrf_if.sch new file mode 100644 index 00000000..77a91a73 --- /dev/null +++ b/hardware/portapack_h1/hackrf_if.sch @@ -0,0 +1,1559 @@ +EESchema Schematic File Version 2 +LIBS:hackrf_expansion +LIBS:wolfson +LIBS:passive +LIBS:lcd_kingtech +LIBS:power +LIBS:io_expander +LIBS:trs_jack +LIBS:battery +LIBS:mosfet +LIBS:microphone +LIBS:sd +LIBS:ck +LIBS:altera +LIBS:regulator +LIBS:tp +LIBS:header +LIBS:hole +LIBS:sharebrained +LIBS:fiducial +LIBS:portapack_h1-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 4 4 +Title "PortaPack H1" +Date "13 Oct 2014" +Rev "20140826" +Comp "ShareBrained Technology, Inc." +Comment1 "Copyright © 2014 Jared Boone" +Comment2 "License: GNU General Public License, version 2" +Comment3 "" +Comment4 "" +$EndDescr +Text Label 1300 2600 0 60 ~ 0 +P2_4 +$Comp +L GND #PWR059 +U 1 1 53A8CD34 +P 3500 4200 +F 0 "#PWR059" H 3500 4200 30 0001 C CNN +F 1 "GND" H 3500 4130 30 0001 C CNN +F 2 "" H 3500 4200 60 0000 C CNN +F 3 "" H 3500 4200 60 0000 C CNN + 1 3500 4200 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR060 +U 1 1 53A8CD3A +P 3500 4700 +F 0 "#PWR060" H 3500 4700 30 0001 C CNN +F 1 "GND" H 3500 4630 30 0001 C CNN +F 2 "" H 3500 4700 60 0000 C CNN +F 3 "" H 3500 4700 60 0000 C CNN + 1 3500 4700 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR061 +U 1 1 53A8CD40 +P 3500 3200 +F 0 "#PWR061" H 3500 3200 30 0001 C CNN +F 1 "GND" H 3500 3130 30 0001 C CNN +F 2 "" H 3500 3200 60 0000 C CNN +F 3 "" H 3500 3200 60 0000 C CNN + 1 3500 3200 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR062 +U 1 1 53A8CD46 +P 3500 2800 +F 0 "#PWR062" H 3500 2800 30 0001 C CNN +F 1 "GND" H 3500 2730 30 0001 C CNN +F 2 "" H 3500 2800 60 0000 C CNN +F 3 "" H 3500 2800 60 0000 C CNN + 1 3500 2800 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR063 +U 1 1 53A8CD4C +P 3500 2500 +F 0 "#PWR063" H 3500 2500 30 0001 C CNN +F 1 "GND" H 3500 2430 30 0001 C CNN +F 2 "" H 3500 2500 60 0000 C CNN +F 3 "" H 3500 2500 60 0000 C CNN + 1 3500 2500 + 0 -1 -1 0 +$EndComp +$Comp +L +3.3V #PWR064 +U 1 1 53A8CD52 +P 1700 2900 +F 0 "#PWR064" H 1700 2860 30 0001 C CNN +F 1 "+3.3V" H 1700 3010 30 0000 C CNN +F 2 "" H 1700 2900 60 0000 C CNN +F 3 "" H 1700 2900 60 0000 C CNN + 1 1700 2900 + 0 -1 -1 0 +$EndComp +$Comp +L +3.3V #PWR065 +U 1 1 53A8CD58 +P 1700 4200 +F 0 "#PWR065" H 1700 4160 30 0001 C CNN +F 1 "+3.3V" H 1700 4310 30 0000 C CNN +F 2 "" H 1700 4200 60 0000 C CNN +F 3 "" H 1700 4200 60 0000 C CNN + 1 1700 4200 + 0 -1 -1 0 +$EndComp +$Comp +L +3.3V #PWR066 +U 1 1 53A8CD5E +P 1700 900 +F 0 "#PWR066" H 1700 860 30 0001 C CNN +F 1 "+3.3V" H 1700 1010 30 0000 C CNN +F 2 "" H 1700 900 60 0000 C CNN +F 3 "" H 1700 900 60 0000 C CNN + 1 1700 900 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR067 +U 1 1 53A8CD64 +P 1700 1400 +F 0 "#PWR067" H 1700 1400 30 0001 C CNN +F 1 "GND" H 1700 1330 30 0001 C CNN +F 2 "" H 1700 1400 60 0000 C CNN +F 3 "" H 1700 1400 60 0000 C CNN + 1 1700 1400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR068 +U 1 1 53A8CD6A +P 1700 1500 +F 0 "#PWR068" H 1700 1500 30 0001 C CNN +F 1 "GND" H 1700 1430 30 0001 C CNN +F 2 "" H 1700 1500 60 0000 C CNN +F 3 "" H 1700 1500 60 0000 C CNN + 1 1700 1500 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR069 +U 1 1 53A8CD70 +P 1700 1700 +F 0 "#PWR069" H 1700 1700 30 0001 C CNN +F 1 "GND" H 1700 1630 30 0001 C CNN +F 2 "" H 1700 1700 60 0000 C CNN +F 3 "" H 1700 1700 60 0000 C CNN + 1 1700 1700 + 0 1 1 0 +$EndComp +NoConn ~ 3400 4800 +NoConn ~ 1800 4800 +NoConn ~ 1800 2400 +NoConn ~ 3400 2400 +NoConn ~ 3400 2700 +NoConn ~ 1800 2700 +NoConn ~ 1800 2800 +Text Label 3500 1000 0 60 ~ 0 +GPIO3_9 +Text Label 3500 1100 0 60 ~ 0 +GPIO3_11 +Text Label 3500 1200 0 60 ~ 0 +GPIO3_13 +Text Label 3500 1300 0 60 ~ 0 +GPIO3_15 +Text Label 1100 1300 0 60 ~ 0 +GPIO3_14 +Text Label 1100 1200 0 60 ~ 0 +GPIO3_12 +Text Label 1100 1100 0 60 ~ 0 +GPIO3_10 +Text Label 1100 1000 0 60 ~ 0 +GPIO3_8 +NoConn ~ 1800 3600 +NoConn ~ 3400 5000 +NoConn ~ 3400 5100 +NoConn ~ 3400 5200 +NoConn ~ 1800 5200 +Text Label 3500 4600 0 60 ~ 0 +P1_5 +NoConn ~ 1800 4900 +NoConn ~ 3400 4900 +Text Label 1100 5100 0 60 ~ 0 +CPLD_TDI +Text Label 3700 3300 0 60 ~ 0 +P2_0 +Text Label 1500 3300 0 60 ~ 0 +P2_1 +Text Label 1500 3400 0 60 ~ 0 +P2_9 +Text Label 3700 3400 0 60 ~ 0 +P2_13 +Text Label 3500 4500 0 60 ~ 0 +P1_8 +Text Label 1500 3500 0 60 ~ 0 +P2_8 +Text Label 1100 5000 0 60 ~ 0 +CPLD_TCK +Text Label 1300 2500 0 60 ~ 0 +RESET# +NoConn ~ 3400 2900 +NoConn ~ 3400 3000 +Text Notes 2800 650 0 60 ~ 0 +TODO: WAKEUP to SEL button? +$Comp +L HACKRF_ONE_P20 P20 +U 1 1 53A8CDAE +P 2600 1300 +F 0 "P20" H 2600 1950 60 0000 C CNN +F 1 "HACKRF_ONE_P20" H 2600 650 60 0000 C CNN +F 2 "" H 2600 1300 60 0000 C CNN +F 3 "" H 2600 1300 60 0000 C CNN +F 4 "MLE" H 2600 1300 60 0001 C CNN "Mfr" +F 5 "TSHSM-111-D-06-T-V-S-P-TR-AP-LF" H 2600 1300 60 0001 C CNN "Part" + 1 2600 1300 + 1 0 0 -1 +$EndComp +$Comp +L HACKRF_ONE_P22 P22 +U 1 1 53A8CDB6 +P 2600 3000 +F 0 "P22" H 2600 3750 60 0000 C CNN +F 1 "HACKRF_ONE_P22" H 2600 2250 60 0000 C CNN +F 2 "" H 2600 3000 60 0000 C CNN +F 3 "" H 2600 3000 60 0000 C CNN +F 4 "MLE" H 2600 3000 60 0001 C CNN "Mfr" +F 5 "TSHSM-113-D-06-T-V-S-P-TR-AP-LF" H 2600 3000 60 0001 C CNN "Part" + 1 2600 3000 + 1 0 0 -1 +$EndComp +$Comp +L HACKRF_ONE_P28 P28 +U 1 1 53A8CDBE +P 2600 4700 +F 0 "P28" H 2600 5350 60 0000 C CNN +F 1 "HACKRF_ONE_P28" H 2600 4050 60 0000 C CNN +F 2 "" H 2600 4700 60 0000 C CNN +F 3 "" H 2600 4700 60 0000 C CNN +F 4 "MLE" H 2600 4700 60 0001 C CNN "Mfr" +F 5 "TSHSM-111-D-06-T-V-S-P-TR-AP-LF" H 2600 4700 60 0001 C CNN "Part" + 1 2600 4700 + 1 0 0 -1 +$EndComp +NoConn ~ 1800 1600 +NoConn ~ 1800 1800 +NoConn ~ 3400 1800 +NoConn ~ 3400 800 +NoConn ~ 3400 900 +Wire Wire Line + 1000 5000 1800 5000 +Wire Wire Line + 3900 4500 3400 4500 +Wire Wire Line + 1400 3500 1800 3500 +Wire Wire Line + 1400 3400 1800 3400 +Wire Wire Line + 4100 3400 3400 3400 +Wire Wire Line + 1400 3300 1800 3300 +Wire Wire Line + 4100 3300 3400 3300 +Wire Wire Line + 1000 5100 1800 5100 +Wire Wire Line + 3500 3600 3400 3600 +Wire Wire Line + 3500 3500 3400 3500 +Wire Wire Line + 1000 800 1800 800 +Wire Wire Line + 3400 3100 3600 3100 +Wire Wire Line + 1400 3200 1800 3200 +Wire Wire Line + 1400 3100 1800 3100 +Wire Wire Line + 1400 3000 1800 3000 +Wire Wire Line + 1500 4300 1800 4300 +Wire Wire Line + 1500 4400 1800 4400 +Wire Wire Line + 1500 4500 1800 4500 +Wire Wire Line + 1500 4700 1800 4700 +Wire Wire Line + 1500 4600 1800 4600 +Wire Wire Line + 3900 4600 3400 4600 +Wire Wire Line + 3900 4400 3400 4400 +Wire Wire Line + 3900 4300 3400 4300 +Wire Wire Line + 1800 2500 1200 2500 +Wire Wire Line + 4000 1300 3400 1300 +Wire Wire Line + 4000 1200 3400 1200 +Wire Wire Line + 4000 1100 3400 1100 +Wire Wire Line + 4000 1000 3400 1000 +Wire Wire Line + 1000 1300 1800 1300 +Wire Wire Line + 1000 1200 1800 1200 +Wire Wire Line + 1000 1100 1800 1100 +Wire Wire Line + 1000 1000 1800 1000 +Wire Wire Line + 3500 1700 3400 1700 +Wire Wire Line + 3500 1600 3400 1600 +Wire Wire Line + 3500 1500 3400 1500 +Wire Wire Line + 3500 1400 3400 1400 +Wire Wire Line + 1800 1700 1700 1700 +Wire Wire Line + 1800 1500 1700 1500 +Wire Wire Line + 1800 1400 1700 1400 +Wire Wire Line + 1800 900 1700 900 +Wire Wire Line + 1800 4200 1700 4200 +Wire Wire Line + 3500 4700 3400 4700 +Wire Wire Line + 3400 4200 3500 4200 +Wire Wire Line + 3400 3200 3500 3200 +Wire Wire Line + 3500 2800 3400 2800 +Wire Wire Line + 3400 2500 3500 2500 +Wire Wire Line + 1800 2900 1700 2900 +Wire Wire Line + 1200 2600 1800 2600 +Wire Wire Line + 4100 2600 3400 2600 +$Comp +L 5M40ZE64 U3 +U 1 1 53A8D11B +P 8250 3150 +F 0 "U3" H 8250 3200 60 0000 C CNN +F 1 "5M40ZE64" H 8250 3100 60 0000 C CNN +F 2 "" H 8250 3150 60 0000 C CNN +F 3 "" H 8250 3150 60 0000 C CNN +F 4 "Altera" H 8250 3150 60 0001 C CNN "Mfr" +F 5 "5M40ZE64C5N" H 8250 3150 60 0001 C CNN "Part" + 1 8250 3150 + 1 0 0 -1 +$EndComp +Text Label 7700 1450 1 60 ~ 0 +LCD_DB0 +Text Label 7800 1450 1 60 ~ 0 +LCD_DB1 +Text Label 7900 1450 1 60 ~ 0 +LCD_DB2 +Text Label 8000 1450 1 60 ~ 0 +LCD_DB3 +Text Label 8100 1450 1 60 ~ 0 +LCD_DB4 +Text Label 8300 1450 1 60 ~ 0 +LCD_DB5 +Text Label 8400 1450 1 60 ~ 0 +LCD_DB6 +Text Label 8500 1450 1 60 ~ 0 +LCD_DB7 +Text Label 8600 1450 1 60 ~ 0 +LCD_DB8 +Text Label 8700 1450 1 60 ~ 0 +LCD_DB9 +Text Label 8800 1450 1 60 ~ 0 +LCD_DB10 +Text Label 8900 1450 1 60 ~ 0 +LCD_DB11 +Text Label 9000 1450 1 60 ~ 0 +LCD_DB12 +Text Label 9950 2400 0 60 ~ 0 +LCD_DB13 +Text Label 9950 2500 0 60 ~ 0 +LCD_DB14 +Text Label 9950 2600 0 60 ~ 0 +LCD_DB15 +Text Label 4600 5900 0 60 ~ 0 +GPIO3_8 +Text Label 4600 6000 0 60 ~ 0 +GPIO3_9 +Text Label 4600 5800 0 60 ~ 0 +GPIO3_10 +Text Label 4600 5700 0 60 ~ 0 +GPIO3_11 +Text Label 4600 5000 0 60 ~ 0 +GPIO3_12 +Text Label 4600 5100 0 60 ~ 0 +GPIO3_13 +Text Label 4600 4900 0 60 ~ 0 +GPIO3_14 +Text Label 4600 4800 0 60 ~ 0 +GPIO3_15 +Text Label 4600 1000 0 60 ~ 0 +P2_9 +Text Label 4600 1100 0 60 ~ 0 +P2_13 +Text Label 6100 3700 0 60 ~ 0 +P1_8 +$Comp +L +3.3V #PWR070 +U 1 1 53A8D13C +P 8100 4850 +F 0 "#PWR070" H 8100 4810 30 0001 C CNN +F 1 "+3.3V" H 8100 4960 30 0000 C CNN +F 2 "" H 8100 4850 60 0000 C CNN +F 3 "" H 8100 4850 60 0000 C CNN + 1 8100 4850 + -1 0 0 1 +$EndComp +$Comp +L +3.3V #PWR071 +U 1 1 53A8D142 +P 6000 2900 +F 0 "#PWR071" H 6000 2860 30 0001 C CNN +F 1 "+3.3V" H 6000 3010 30 0000 C CNN +F 2 "" H 6000 2900 60 0000 C CNN +F 3 "" H 6000 2900 60 0000 C CNN + 1 6000 2900 + 0 -1 -1 0 +$EndComp +$Comp +L +1.8V #PWR072 +U 1 1 53A8D148 +P 10550 3100 +F 0 "#PWR072" H 10550 3240 20 0001 C CNN +F 1 "+1.8V" H 10550 3210 30 0000 C CNN +F 2 "" H 10550 3100 60 0000 C CNN +F 3 "" H 10550 3100 60 0000 C CNN + 1 10550 3100 + 0 1 1 0 +$EndComp +$Comp +L +1.8V #PWR073 +U 1 1 53A8D14E +P 6000 3100 +F 0 "#PWR073" H 6000 3240 20 0001 C CNN +F 1 "+1.8V" H 6000 3210 30 0000 C CNN +F 2 "" H 6000 3100 60 0000 C CNN +F 3 "" H 6000 3100 60 0000 C CNN + 1 6000 3100 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR074 +U 1 1 53A8D154 +P 9450 4850 +F 0 "#PWR074" H 9450 4850 30 0001 C CNN +F 1 "GND" H 9450 4780 30 0001 C CNN +F 2 "" H 9450 4850 60 0000 C CNN +F 3 "" H 9450 4850 60 0000 C CNN + 1 9450 4850 + 1 0 0 -1 +$EndComp +Text Label 8700 5350 1 60 ~ 0 +RESET# +Text Label 8800 5350 1 60 ~ 0 +P2_4_R +Text Label 6100 3800 0 60 ~ 0 +CPLD_TDI +Text Label 10050 4000 0 60 ~ 0 +P2_1_R +Text Label 9000 5350 1 60 ~ 0 +P2_0_R +Text Label 7600 1450 1 60 ~ 0 +LCD_TE +$Comp +L +1.8V #PWR075 +U 1 1 53A8D16D +P 10550 3300 +F 0 "#PWR075" H 10550 3440 20 0001 C CNN +F 1 "+1.8V" H 10550 3410 30 0000 C CNN +F 2 "" H 10550 3300 60 0000 C CNN +F 3 "" H 10550 3300 60 0000 C CNN + 1 10550 3300 + 0 1 1 0 +$EndComp +$Comp +L +1.8V #PWR076 +U 1 1 53A8D173 +P 8200 1050 +F 0 "#PWR076" H 8200 1190 20 0001 C CNN +F 1 "+1.8V" H 8200 1160 30 0000 C CNN +F 2 "" H 8200 1050 60 0000 C CNN +F 3 "" H 8200 1050 60 0000 C CNN + 1 8200 1050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4500 6000 5100 6000 +Wire Wire Line + 4500 5900 5100 5900 +Wire Wire Line + 4500 5800 5100 5800 +Wire Wire Line + 4500 5700 5100 5700 +Wire Wire Line + 7500 4750 7500 5450 +Wire Wire Line + 4500 5100 5100 5100 +Wire Wire Line + 4500 5000 5100 5000 +Wire Wire Line + 4500 4900 5100 4900 +Wire Wire Line + 4500 4800 5100 4800 +Wire Wire Line + 6000 3200 6650 3200 +Wire Wire Line + 4500 900 5000 900 +Wire Wire Line + 4500 1100 5000 1100 +Wire Wire Line + 4500 800 5000 800 +Wire Wire Line + 6000 3700 6650 3700 +Wire Wire Line + 8200 4750 8200 5450 +Wire Wire Line + 8300 4750 8300 5450 +Wire Wire Line + 8400 4750 8400 5450 +Wire Wire Line + 8500 4750 8500 5450 +Wire Wire Line + 8600 5450 8600 4750 +Wire Wire Line + 8700 5450 8700 4750 +Wire Wire Line + 8400 800 8400 1550 +Wire Wire Line + 8500 800 8500 1550 +Wire Wire Line + 9450 4750 9450 4850 +Wire Wire Line + 6000 3800 6650 3800 +Wire Wire Line + 6000 3900 6650 3900 +Wire Wire Line + 6650 3100 6000 3100 +Wire Wire Line + 10550 3100 9850 3100 +Wire Wire Line + 8200 1050 8200 1550 +Wire Wire Line + 10550 3300 9850 3300 +Wire Wire Line + 8100 4850 8100 4750 +Wire Wire Line + 6000 2900 6650 2900 +Wire Wire Line + 8800 5450 8800 4750 +Wire Wire Line + 9000 5450 9000 4750 +Wire Wire Line + 8300 800 8300 1550 +Wire Wire Line + 8100 800 8100 1550 +Wire Wire Line + 8000 800 8000 1550 +Wire Wire Line + 7900 800 7900 1550 +Wire Wire Line + 7800 800 7800 1550 +Wire Wire Line + 7700 800 7700 1550 +Wire Wire Line + 8000 5450 8000 4750 +Wire Wire Line + 7900 4750 7900 5450 +Wire Wire Line + 7800 4750 7800 5450 +Wire Wire Line + 7700 4750 7700 5450 +Wire Wire Line + 7600 4750 7600 5450 +Wire Wire Line + 6000 3600 6650 3600 +Wire Wire Line + 6000 3500 6650 3500 +Wire Wire Line + 6000 3400 6650 3400 +Wire Wire Line + 6000 3300 6650 3300 +Wire Wire Line + 6550 2600 6650 2600 +Wire Wire Line + 6550 2500 6650 2500 +Wire Wire Line + 6550 2400 6650 2400 +Wire Wire Line + 8600 1550 8600 800 +Wire Wire Line + 8700 1550 8700 800 +Wire Wire Line + 8800 1550 8800 800 +Wire Wire Line + 8900 1550 8900 800 +Wire Wire Line + 9000 1550 9000 800 +Wire Wire Line + 10450 2400 9850 2400 +Wire Wire Line + 10450 2500 9850 2500 +Wire Wire Line + 10450 2600 9850 2600 +Wire Wire Line + 10400 2900 9850 2900 +Text Label 6100 3900 0 60 ~ 0 +CPLD_TCK +Text Notes 9900 6350 0 60 ~ 0 +TDI, TMS: weak internal PU\nTCK: weak internal PD +Text Label 7500 5350 1 60 ~ 0 +P1_5 +$Comp +L +3.3V #PWR077 +U 1 1 53A8D521 +P 800 5700 +F 0 "#PWR077" H 800 5660 30 0001 C CNN +F 1 "+3.3V" H 800 5810 30 0000 C CNN +F 2 "" H 800 5700 60 0000 C CNN +F 3 "" H 800 5700 60 0000 C CNN + 1 800 5700 + 1 0 0 -1 +$EndComp +$Comp +L C C28 +U 1 1 53A8D527 +P 800 6100 +F 0 "C28" H 850 6200 50 0000 L CNN +F 1 "100N" H 850 6000 50 0000 L CNN +F 2 "" H 800 6100 60 0000 C CNN +F 3 "" H 800 6100 60 0000 C CNN +F 4 "Murata" H 800 6100 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 800 6100 60 0001 C CNN "Part" + 1 800 6100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR078 +U 1 1 53A8D52D +P 2000 7500 +F 0 "#PWR078" H 2000 7500 30 0001 C CNN +F 1 "GND" H 2000 7430 30 0001 C CNN +F 2 "" H 2000 7500 60 0000 C CNN +F 3 "" H 2000 7500 60 0000 C CNN + 1 2000 7500 + 1 0 0 -1 +$EndComp +$Comp +L BATTERY BT1 +U 1 1 53A8D535 +P 6000 7200 +F 0 "BT1" H 6000 7350 50 0000 C CNN +F 1 "BATTERY" H 6000 7060 50 0000 C CNN +F 2 "" H 6000 7200 60 0000 C CNN +F 3 "" H 6000 7200 60 0000 C CNN +F 4 "MPD" H 6000 7200 60 0001 C CNN "Mfr" +F 5 "BU2032SM-BT-GTR" H 6000 7200 60 0001 C CNN "Part" + 1 6000 7200 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR079 +U 1 1 53A8D53B +P 6000 7500 +F 0 "#PWR079" H 6000 7500 30 0001 C CNN +F 1 "GND" H 6000 7430 30 0001 C CNN +F 2 "" H 6000 7500 60 0000 C CNN +F 3 "" H 6000 7500 60 0000 C CNN + 1 6000 7500 + 1 0 0 -1 +$EndComp +Text Label 6150 6900 0 60 ~ 0 +VBAT +$Comp +L C C30 +U 1 1 53A8D542 +P 1200 6100 +F 0 "C30" H 1250 6200 50 0000 L CNN +F 1 "100N" H 1250 6000 50 0000 L CNN +F 2 "" H 1200 6100 60 0000 C CNN +F 3 "" H 1200 6100 60 0000 C CNN +F 4 "Murata" H 1200 6100 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 1200 6100 60 0001 C CNN "Part" + 1 1200 6100 + 1 0 0 -1 +$EndComp +$Comp +L C C29 +U 1 1 53A8D548 +P 800 7200 +F 0 "C29" H 850 7300 50 0000 L CNN +F 1 "100N" H 850 7100 50 0000 L CNN +F 2 "" H 800 7200 60 0000 C CNN +F 3 "" H 800 7200 60 0000 C CNN +F 4 "Murata" H 800 7200 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 800 7200 60 0001 C CNN "Part" + 1 800 7200 + 1 0 0 -1 +$EndComp +$Comp +L C C31 +U 1 1 53A8D54E +P 1200 7200 +F 0 "C31" H 1250 7300 50 0000 L CNN +F 1 "100N" H 1250 7100 50 0000 L CNN +F 2 "" H 1200 7200 60 0000 C CNN +F 3 "" H 1200 7200 60 0000 C CNN +F 4 "Murata" H 1200 7200 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 1200 7200 60 0001 C CNN "Part" + 1 1200 7200 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR080 +U 1 1 53A8D554 +P 800 6400 +F 0 "#PWR080" H 800 6400 30 0001 C CNN +F 1 "GND" H 800 6330 30 0001 C CNN +F 2 "" H 800 6400 60 0000 C CNN +F 3 "" H 800 6400 60 0000 C CNN + 1 800 6400 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR081 +U 1 1 53A8D55A +P 1200 6400 +F 0 "#PWR081" H 1200 6400 30 0001 C CNN +F 1 "GND" H 1200 6330 30 0001 C CNN +F 2 "" H 1200 6400 60 0000 C CNN +F 3 "" H 1200 6400 60 0000 C CNN + 1 1200 6400 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR082 +U 1 1 53A8D560 +P 800 7500 +F 0 "#PWR082" H 800 7500 30 0001 C CNN +F 1 "GND" H 800 7430 30 0001 C CNN +F 2 "" H 800 7500 60 0000 C CNN +F 3 "" H 800 7500 60 0000 C CNN + 1 800 7500 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR083 +U 1 1 53A8D566 +P 1200 7500 +F 0 "#PWR083" H 1200 7500 30 0001 C CNN +F 1 "GND" H 1200 7430 30 0001 C CNN +F 2 "" H 1200 7500 60 0000 C CNN +F 3 "" H 1200 7500 60 0000 C CNN + 1 1200 7500 + 1 0 0 -1 +$EndComp +$Comp +L C C33 +U 1 1 53A8D56C +P 1600 7200 +F 0 "C33" H 1650 7300 50 0000 L CNN +F 1 "100N" H 1650 7100 50 0000 L CNN +F 2 "" H 1600 7200 60 0000 C CNN +F 3 "" H 1600 7200 60 0000 C CNN +F 4 "Murata" H 1600 7200 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 1600 7200 60 0001 C CNN "Part" + 1 1600 7200 + 1 0 0 -1 +$EndComp +$Comp +L C C35 +U 1 1 53A8D572 +P 2000 7200 +F 0 "C35" H 2050 7300 50 0000 L CNN +F 1 "100N" H 2050 7100 50 0000 L CNN +F 2 "" H 2000 7200 60 0000 C CNN +F 3 "" H 2000 7200 60 0000 C CNN +F 4 "Murata" H 2000 7200 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 2000 7200 60 0001 C CNN "Part" + 1 2000 7200 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR084 +U 1 1 53A8D578 +P 1600 7500 +F 0 "#PWR084" H 1600 7500 30 0001 C CNN +F 1 "GND" H 1600 7430 30 0001 C CNN +F 2 "" H 1600 7500 60 0000 C CNN +F 3 "" H 1600 7500 60 0000 C CNN + 1 1600 7500 + 1 0 0 -1 +$EndComp +$Comp +L +1.8V #PWR085 +U 1 1 53A8D57E +P 800 6800 +F 0 "#PWR085" H 800 6940 20 0001 C CNN +F 1 "+1.8V" H 800 6910 30 0000 C CNN +F 2 "" H 800 6800 60 0000 C CNN +F 3 "" H 800 6800 60 0000 C CNN + 1 800 6800 + 1 0 0 -1 +$EndComp +$Comp +L REGULATOR_SOT23_5 U2 +U 1 1 53A8D586 +P 4400 7000 +F 0 "U2" H 4400 7350 60 0000 C CNN +F 1 "REGULATOR_SOT23_5" H 4400 7250 60 0000 C CNN +F 2 "" H 4400 7000 60 0000 C CNN +F 3 "" H 4400 7000 60 0000 C CNN +F 4 "Toshiba" H 4400 7000 60 0001 C CNN "Mfr" +F 5 "TCR2EF18,LM" H 4400 7000 60 0001 C CNN "Part" + 1 4400 7000 + 1 0 0 -1 +$EndComp +$Comp +L C C38 +U 1 1 53A8D58C +P 3500 7200 +F 0 "C38" H 3550 7300 50 0000 L CNN +F 1 "10U" H 3550 7100 50 0000 L CNN +F 2 "" H 3500 7200 60 0000 C CNN +F 3 "" H 3500 7200 60 0000 C CNN +F 4 "Murata" H 3500 7200 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 3500 7200 60 0001 C CNN "Part" + 1 3500 7200 + -1 0 0 -1 +$EndComp +$Comp +L C C40 +U 1 1 53A8D592 +P 5300 7200 +F 0 "C40" H 5350 7300 50 0000 L CNN +F 1 "10U" H 5350 7100 50 0000 L CNN +F 2 "" H 5300 7200 60 0000 C CNN +F 3 "" H 5300 7200 60 0000 C CNN +F 4 "Murata" H 5300 7200 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 5300 7200 60 0001 C CNN "Part" + 1 5300 7200 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR086 +U 1 1 53A8D598 +P 4400 7400 +F 0 "#PWR086" H 4400 7400 30 0001 C CNN +F 1 "GND" H 4400 7330 30 0001 C CNN +F 2 "" H 4400 7400 60 0000 C CNN +F 3 "" H 4400 7400 60 0000 C CNN + 1 4400 7400 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR087 +U 1 1 53A8D59E +P 3500 7500 +F 0 "#PWR087" H 3500 7500 30 0001 C CNN +F 1 "GND" H 3500 7430 30 0001 C CNN +F 2 "" H 3500 7500 60 0000 C CNN +F 3 "" H 3500 7500 60 0000 C CNN + 1 3500 7500 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR088 +U 1 1 53A8D5A4 +P 5300 7500 +F 0 "#PWR088" H 5300 7500 30 0001 C CNN +F 1 "GND" H 5300 7430 30 0001 C CNN +F 2 "" H 5300 7500 60 0000 C CNN +F 3 "" H 5300 7500 60 0000 C CNN + 1 5300 7500 + 1 0 0 -1 +$EndComp +$Comp +L C C39 +U 1 1 53A8D5AA +P 4900 7400 +F 0 "C39" H 4950 7500 50 0000 L CNN +F 1 "?" H 4950 7300 50 0000 L CNN +F 2 "DNI" H 5100 7400 60 0000 C CNN +F 3 "" H 4900 7400 60 0000 C CNN + 1 4900 7400 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR089 +U 1 1 53A8D5B0 +P 4900 7700 +F 0 "#PWR089" H 4900 7700 30 0001 C CNN +F 1 "GND" H 4900 7630 30 0001 C CNN +F 2 "" H 4900 7700 60 0000 C CNN +F 3 "" H 4900 7700 60 0000 C CNN + 1 4900 7700 + 1 0 0 -1 +$EndComp +$Comp +L +1.8V #PWR090 +U 1 1 53A8D5B6 +P 5300 6800 +F 0 "#PWR090" H 5300 6940 20 0001 C CNN +F 1 "+1.8V" H 5300 6910 30 0000 C CNN +F 2 "" H 5300 6800 60 0000 C CNN +F 3 "" H 5300 6800 60 0000 C CNN + 1 5300 6800 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR091 +U 1 1 53A8D5BC +P 3500 6800 +F 0 "#PWR091" H 3500 6760 30 0001 C CNN +F 1 "+3.3V" H 3500 6910 30 0000 C CNN +F 2 "" H 3500 6800 60 0000 C CNN +F 3 "" H 3500 6800 60 0000 C CNN + 1 3500 6800 + 1 0 0 -1 +$EndComp +$Comp +L C C32 +U 1 1 53A8D5C2 +P 1600 6100 +F 0 "C32" H 1650 6200 50 0000 L CNN +F 1 "10U" H 1650 6000 50 0000 L CNN +F 2 "" H 1600 6100 60 0000 C CNN +F 3 "" H 1600 6100 60 0000 C CNN +F 4 "Murata" H 1600 6100 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 1600 6100 60 0001 C CNN "Part" + 1 1600 6100 + 1 0 0 -1 +$EndComp +$Comp +L C C34 +U 1 1 53A8D5C8 +P 2000 6100 +F 0 "C34" H 2050 6200 50 0000 L CNN +F 1 "10U" H 2050 6000 50 0000 L CNN +F 2 "" H 2000 6100 60 0000 C CNN +F 3 "" H 2000 6100 60 0000 C CNN +F 4 "Murata" H 2000 6100 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 2000 6100 60 0001 C CNN "Part" + 1 2000 6100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR092 +U 1 1 53A8D5CE +P 1600 6400 +F 0 "#PWR092" H 1600 6400 30 0001 C CNN +F 1 "GND" H 1600 6330 30 0001 C CNN +F 2 "" H 1600 6400 60 0000 C CNN +F 3 "" H 1600 6400 60 0000 C CNN + 1 1600 6400 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR093 +U 1 1 53A8D5D4 +P 2000 6400 +F 0 "#PWR093" H 2000 6400 30 0001 C CNN +F 1 "GND" H 2000 6330 30 0001 C CNN +F 2 "" H 2000 6400 60 0000 C CNN +F 3 "" H 2000 6400 60 0000 C CNN + 1 2000 6400 + 1 0 0 -1 +$EndComp +$Comp +L C C36 +U 1 1 53A8D5DA +P 2400 7200 +F 0 "C36" H 2450 7300 50 0000 L CNN +F 1 "10U" H 2450 7100 50 0000 L CNN +F 2 "" H 2400 7200 60 0000 C CNN +F 3 "" H 2400 7200 60 0000 C CNN +F 4 "Murata" H 2400 7200 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 2400 7200 60 0001 C CNN "Part" + 1 2400 7200 + 1 0 0 -1 +$EndComp +$Comp +L C C37 +U 1 1 53A8D5E0 +P 2800 7200 +F 0 "C37" H 2850 7300 50 0000 L CNN +F 1 "10U" H 2850 7100 50 0000 L CNN +F 2 "" H 2800 7200 60 0000 C CNN +F 3 "" H 2800 7200 60 0000 C CNN +F 4 "Murata" H 2800 7200 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 2800 7200 60 0001 C CNN "Part" + 1 2800 7200 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR094 +U 1 1 53A8D5E6 +P 2800 7500 +F 0 "#PWR094" H 2800 7500 30 0001 C CNN +F 1 "GND" H 2800 7430 30 0001 C CNN +F 2 "" H 2800 7500 60 0000 C CNN +F 3 "" H 2800 7500 60 0000 C CNN + 1 2800 7500 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR095 +U 1 1 53A8D5EC +P 2400 7500 +F 0 "#PWR095" H 2400 7500 30 0001 C CNN +F 1 "GND" H 2400 7430 30 0001 C CNN +F 2 "" H 2400 7500 60 0000 C CNN +F 3 "" H 2400 7500 60 0000 C CNN + 1 2400 7500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2800 7400 2800 7500 +Wire Wire Line + 2400 7400 2400 7500 +Connection ~ 2400 6900 +Wire Wire Line + 2800 6900 2800 7000 +Connection ~ 2000 6900 +Wire Wire Line + 2400 6900 2400 7000 +Wire Wire Line + 2000 6300 2000 6400 +Connection ~ 1600 5800 +Wire Wire Line + 2000 5800 2000 5900 +Wire Wire Line + 1600 6300 1600 6400 +Connection ~ 1200 5800 +Wire Wire Line + 1600 5800 1600 5900 +Connection ~ 1600 6900 +Wire Wire Line + 1600 6900 1600 7000 +Connection ~ 1200 6900 +Connection ~ 3900 6900 +Wire Wire Line + 3900 7100 3900 6900 +Wire Wire Line + 4000 7100 3900 7100 +Connection ~ 3500 6900 +Wire Wire Line + 3500 6800 3500 7000 +Wire Wire Line + 3500 6900 4000 6900 +Connection ~ 5300 6900 +Wire Wire Line + 4900 7600 4900 7700 +Wire Wire Line + 4900 7100 4900 7200 +Wire Wire Line + 4800 7100 4900 7100 +Wire Wire Line + 4400 7300 4400 7400 +Wire Wire Line + 5300 7400 5300 7500 +Wire Wire Line + 5300 6800 5300 7000 +Wire Wire Line + 4800 6900 5300 6900 +Wire Wire Line + 3500 7400 3500 7500 +Wire Wire Line + 1600 7400 1600 7500 +Wire Wire Line + 2000 7400 2000 7500 +Wire Wire Line + 2000 6900 2000 7000 +Connection ~ 800 6900 +Wire Wire Line + 1200 6900 1200 7000 +Wire Wire Line + 800 6900 2800 6900 +Wire Wire Line + 800 6800 800 7000 +Connection ~ 800 5800 +Wire Wire Line + 1200 5800 1200 5900 +Wire Wire Line + 800 5800 2800 5800 +Wire Wire Line + 800 5700 800 5900 +Wire Wire Line + 800 6300 800 6400 +Wire Wire Line + 1200 6300 1200 6400 +Wire Wire Line + 800 7400 800 7500 +Wire Wire Line + 1200 7400 1200 7500 +Wire Wire Line + 6000 7400 6000 7500 +Wire Wire Line + 6000 6900 6000 7000 +$Comp +L C C41 +U 1 1 53A8D62C +P 6500 7200 +F 0 "C41" H 6550 7300 50 0000 L CNN +F 1 "10U" H 6550 7100 50 0000 L CNN +F 2 "" H 6500 7200 60 0000 C CNN +F 3 "" H 6500 7200 60 0000 C CNN +F 4 "Murata" H 6500 7200 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 6500 7200 60 0001 C CNN "Part" + 1 6500 7200 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR096 +U 1 1 53A8D632 +P 6500 7500 +F 0 "#PWR096" H 6500 7500 30 0001 C CNN +F 1 "GND" H 6500 7430 30 0001 C CNN +F 2 "" H 6500 7500 60 0000 C CNN +F 3 "" H 6500 7500 60 0000 C CNN + 1 6500 7500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6500 7000 6500 6900 +Wire Wire Line + 6500 7400 6500 7500 +Wire Wire Line + 6500 6900 6000 6900 +Wire Wire Line + 8900 5450 8900 4750 +Text Label 3700 2600 0 60 ~ 0 +P2_3 +Text Label 8900 5350 1 60 ~ 0 +P2_3_R +Wire Wire Line + 6000 800 5400 800 +Wire Wire Line + 6000 900 5400 900 +Wire Wire Line + 6000 1100 5400 1100 +Text Label 5500 1100 0 60 ~ 0 +P2_13_R +Text Label 5500 1000 0 60 ~ 0 +P2_9_R +Text Label 9950 3000 0 60 ~ 0 +P2_13_R +Text Label 9950 3200 0 60 ~ 0 +P2_9_R +Wire Wire Line + 4500 1700 5000 1700 +Wire Wire Line + 4500 1900 5000 1900 +Wire Wire Line + 4500 2100 5000 2100 +Wire Wire Line + 6100 1700 5500 1700 +Wire Wire Line + 6100 1900 5500 1900 +Wire Wire Line + 6100 2100 5500 2100 +Text Label 4600 1700 0 60 ~ 0 +P2_4 +Text Label 4600 1900 0 60 ~ 0 +P2_3 +Text Label 4600 800 0 60 ~ 0 +P2_1 +Text Label 4600 2100 0 60 ~ 0 +P2_0 +Text Label 5600 1700 0 60 ~ 0 +P2_4_R +Text Label 5600 1900 0 60 ~ 0 +P2_3_R +Text Label 5500 800 0 60 ~ 0 +P2_1_R +Text Label 5600 2100 0 60 ~ 0 +P2_0_R +Text Label 7600 5350 1 60 ~ 0 +GPIO3_15_R +Text Label 7700 5350 1 60 ~ 0 +GPIO3_14_R +Text Label 7800 5350 1 60 ~ 0 +GPIO3_12_R +Text Label 7900 5350 1 60 ~ 0 +GPIO3_13_R +Text Label 8000 5350 1 60 ~ 0 +GPIO3_11_R +Text Label 8200 5350 1 60 ~ 0 +GPIO3_10_R +Text Label 8400 5350 1 60 ~ 0 +GPIO3_8_R +Text Label 8500 5350 1 60 ~ 0 +GPIO3_9_R +Wire Wire Line + 6300 4800 5500 4800 +Wire Wire Line + 6300 4900 5500 4900 +Wire Wire Line + 6300 5000 5500 5000 +Wire Wire Line + 6300 5100 5500 5100 +Wire Wire Line + 6300 5700 5500 5700 +Wire Wire Line + 6300 5800 5500 5800 +Wire Wire Line + 6300 5900 5500 5900 +Wire Wire Line + 6300 6000 5500 6000 +Text Label 5600 4800 0 60 ~ 0 +GPIO3_15_R +Text Label 5600 4900 0 60 ~ 0 +GPIO3_14_R +Text Label 5600 5000 0 60 ~ 0 +GPIO3_12_R +Text Label 5600 5100 0 60 ~ 0 +GPIO3_13_R +Text Label 5600 5700 0 60 ~ 0 +GPIO3_11_R +Text Label 5600 5800 0 60 ~ 0 +GPIO3_10_R +Text Label 5600 5900 0 60 ~ 0 +GPIO3_8_R +Text Label 5600 6000 0 60 ~ 0 +GPIO3_9_R +$Comp +L R R22 +U 1 1 53A915E9 +P 1350 5300 +F 0 "R22" V 1430 5300 50 0000 C CNN +F 1 "220R" V 1350 5300 50 0000 C CNN +F 2 "" H 1350 5300 60 0000 C CNN +F 3 "" H 1350 5300 60 0000 C CNN +F 4 "Yageo" V 1350 5300 60 0001 C CNN "Mfr" +F 5 "RC0603FR-07220RL" V 1350 5300 60 0001 C CNN "Part" + 1 1350 5300 + 0 1 -1 0 +$EndComp +Wire Wire Line + 1700 5100 1700 5300 +Wire Wire Line + 1700 5300 1600 5300 +Connection ~ 1700 5100 +Wire Wire Line + 1100 5300 1000 5300 +Wire Wire Line + 9850 3900 9950 3900 +Wire Wire Line + 9950 3900 9950 4000 +Wire Wire Line + 9950 4000 10450 4000 +Text Label 1100 800 0 60 ~ 0 +VBAT +Wire Bus Line + 7400 700 10550 700 +Entry Wire Line + 7600 700 7700 800 +Entry Wire Line + 7700 700 7800 800 +Entry Wire Line + 7800 700 7900 800 +Entry Wire Line + 7900 700 8000 800 +Entry Wire Line + 8000 700 8100 800 +Entry Wire Line + 8200 700 8300 800 +Entry Wire Line + 8300 700 8400 800 +Entry Wire Line + 8400 700 8500 800 +Entry Wire Line + 8500 700 8600 800 +Entry Wire Line + 8600 700 8700 800 +Entry Wire Line + 8700 700 8800 800 +Entry Wire Line + 8800 700 8900 800 +Entry Wire Line + 8900 700 9000 800 +Entry Wire Line + 10450 2400 10550 2300 +Entry Wire Line + 10450 2500 10550 2400 +Entry Wire Line + 10450 2600 10550 2500 +Wire Wire Line + 7500 1550 7500 1450 +Wire Wire Line + 7500 1450 7400 1450 +Wire Wire Line + 7400 900 7600 900 +Wire Wire Line + 7600 900 7600 1550 +Text HLabel 7400 700 0 60 BiDi ~ 0 +LCD_DB[15..0] +Text HLabel 7400 900 0 60 Input ~ 0 +LCD_TE +Text HLabel 6000 3200 0 60 Input ~ 0 +SW_R +Text HLabel 6000 3500 0 60 Input ~ 0 +SW_ROT_B +Text HLabel 6000 3400 0 60 Input ~ 0 +SW_ROT_A +Text HLabel 6000 3300 0 60 Input ~ 0 +SW_D +Text HLabel 6000 3600 0 60 Input ~ 0 +SW_SEL +Text HLabel 8300 5450 3 60 Input ~ 0 +SW_U +Text HLabel 8600 5450 3 60 Input ~ 0 +SW_L +Text HLabel 6550 2700 0 60 Output ~ 0 +LCD_BACKLIGHT +Text HLabel 10400 3400 2 60 Output ~ 0 +LCD_RESET# +Text HLabel 10400 2900 2 60 Output ~ 0 +LCD_RS +Text HLabel 10400 2800 2 60 Output ~ 0 +LCD_RD# +Text HLabel 10400 2700 2 60 Output ~ 0 +LCD_WR# +Text HLabel 3500 1700 2 60 BiDi ~ 0 +TP_U +Text HLabel 3500 1600 2 60 BiDi ~ 0 +TP_L +Text HLabel 3500 1500 2 60 BiDi ~ 0 +TP_D +Text HLabel 3500 1400 2 60 BiDi ~ 0 +TP_R +Text HLabel 7400 1450 0 60 BiDi ~ 0 +TP_R +Text HLabel 6550 2400 0 60 BiDi ~ 0 +TP_D +Text HLabel 6550 2500 0 60 BiDi ~ 0 +TP_L +Text HLabel 6550 2600 0 60 BiDi ~ 0 +TP_U +Text HLabel 1400 3000 0 60 Output ~ 0 +I2S0_TX_SDA +Text HLabel 1400 3100 0 60 Output ~ 0 +I2S0_WS +Text HLabel 1400 3200 0 60 Output ~ 0 +I2S0_MCLK +Text HLabel 3600 3100 2 60 Output ~ 0 +I2S0_SCK +Text HLabel 3500 3500 2 60 BiDi ~ 0 +SDA +Text HLabel 3500 3600 2 60 Output ~ 0 +SCL +Text HLabel 1500 4300 0 60 Input ~ 0 +SD_CD +Text HLabel 1500 4400 0 60 BiDi ~ 0 +SD_DAT2 +Text HLabel 1500 4500 0 60 BiDi ~ 0 +SD_DAT0 +Text HLabel 1500 4600 0 60 BiDi ~ 0 +SD_CMD +Text HLabel 1500 4700 0 60 Output ~ 0 +SD_CLK +Text HLabel 3900 4300 2 60 BiDi ~ 0 +SD_DAT3 +Text HLabel 3900 4400 2 60 BiDi ~ 0 +SD_DAT1 +Text HLabel 1000 5300 0 60 Input ~ 0 +I2S0_RX_SDA +$Comp +L RPACK4 RP4 +U 1 1 53AB047A +P 5200 950 +F 0 "RP4" H 5200 1200 60 0000 C CNN +F 1 "220R" H 5200 700 60 0000 C CNN +F 2 "" H 5200 950 60 0000 C CNN +F 3 "" H 5200 950 60 0000 C CNN +F 4 "Panasonic" H 5200 950 60 0001 C CNN "Mfr" +F 5 "EXB-38V221JV" H 5200 950 60 0001 C CNN "Part" + 1 5200 950 + 1 0 0 -1 +$EndComp +$Comp +L RPACK4 RP6 +U 1 1 53AB04B9 +P 5300 4950 +F 0 "RP6" H 5300 5200 60 0000 C CNN +F 1 "220R" H 5300 4700 60 0000 C CNN +F 2 "" H 5300 4950 60 0000 C CNN +F 3 "" H 5300 4950 60 0000 C CNN +F 4 "Panasonic" H 5300 4950 60 0001 C CNN "Mfr" +F 5 "EXB-38V221JV" H 5300 4950 60 0001 C CNN "Part" + 1 5300 4950 + 1 0 0 -1 +$EndComp +$Comp +L RPACK4 RP7 +U 1 1 53AB04D6 +P 5300 5850 +F 0 "RP7" H 5300 6100 60 0000 C CNN +F 1 "220R" H 5300 5600 60 0000 C CNN +F 2 "" H 5300 5850 60 0000 C CNN +F 3 "" H 5300 5850 60 0000 C CNN +F 4 "Panasonic" H 5300 5850 60 0001 C CNN "Mfr" +F 5 "EXB-38V221JV" H 5300 5850 60 0001 C CNN "Part" + 1 5300 5850 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6000 1000 5400 1000 +Wire Wire Line + 4500 1000 5000 1000 +Text Label 4600 900 0 60 ~ 0 +P2_8 +Text Label 5500 900 0 60 ~ 0 +P2_8_R +$Comp +L R R1 +U 1 1 53AB79CA +P 5250 1700 +F 0 "R1" V 5330 1700 50 0000 C CNN +F 1 "220R" V 5250 1700 50 0000 C CNN +F 2 "" H 5250 1700 60 0000 C CNN +F 3 "" H 5250 1700 60 0000 C CNN +F 4 "Yageo" V 5250 1700 60 0001 C CNN "Mfr" +F 5 "RC0603FR-07220RL" V 5250 1700 60 0001 C CNN "Part" + 1 5250 1700 + 0 1 -1 0 +$EndComp +$Comp +L R R2 +U 1 1 53AB79D5 +P 5250 1900 +F 0 "R2" V 5330 1900 50 0000 C CNN +F 1 "220R" V 5250 1900 50 0000 C CNN +F 2 "" H 5250 1900 60 0000 C CNN +F 3 "" H 5250 1900 60 0000 C CNN +F 4 "Yageo" V 5250 1900 60 0001 C CNN "Mfr" +F 5 "RC0603FR-07220RL" V 5250 1900 60 0001 C CNN "Part" + 1 5250 1900 + 0 1 -1 0 +$EndComp +$Comp +L R R3 +U 1 1 53AB79EA +P 5250 2100 +F 0 "R3" V 5330 2100 50 0000 C CNN +F 1 "220R" V 5250 2100 50 0000 C CNN +F 2 "" H 5250 2100 60 0000 C CNN +F 3 "" H 5250 2100 60 0000 C CNN +F 4 "Yageo" V 5250 2100 60 0001 C CNN "Mfr" +F 5 "RC0603FR-07220RL" V 5250 2100 60 0001 C CNN "Part" + 1 5250 2100 + 0 1 -1 0 +$EndComp +Text Label 9950 3800 0 60 ~ 0 +P2_8_R +Wire Bus Line + 10550 700 10550 2500 +Wire Wire Line + 9850 2800 10400 2800 +Wire Wire Line + 9850 2700 10400 2700 +Wire Wire Line + 10400 3000 9850 3000 +Wire Wire Line + 10400 3200 9850 3200 +Wire Wire Line + 9850 3400 10400 3400 +Wire Wire Line + 10400 3800 9850 3800 +NoConn ~ 9850 3600 +NoConn ~ 9850 3700 +NoConn ~ 6650 3000 +NoConn ~ 6650 2800 +$Comp +L C C42 +U 1 1 53B1911F +P 2400 6100 +F 0 "C42" H 2450 6200 50 0000 L CNN +F 1 "10U" H 2450 6000 50 0000 L CNN +F 2 "" H 2400 6100 60 0000 C CNN +F 3 "" H 2400 6100 60 0000 C CNN +F 4 "Murata" H 2400 6100 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 2400 6100 60 0001 C CNN "Part" + 1 2400 6100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR097 +U 1 1 53B1912C +P 2400 6400 +F 0 "#PWR097" H 2400 6400 30 0001 C CNN +F 1 "GND" H 2400 6330 30 0001 C CNN +F 2 "" H 2400 6400 60 0000 C CNN +F 3 "" H 2400 6400 60 0000 C CNN + 1 2400 6400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2400 6300 2400 6400 +Wire Wire Line + 2400 5800 2400 5900 +Connection ~ 2000 5800 +$Comp +L C C43 +U 1 1 53B1A065 +P 2800 6100 +F 0 "C43" H 2850 6200 50 0000 L CNN +F 1 "10U" H 2850 6000 50 0000 L CNN +F 2 "" H 2800 6100 60 0000 C CNN +F 3 "" H 2800 6100 60 0000 C CNN +F 4 "Murata" H 2800 6100 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 2800 6100 60 0001 C CNN "Part" + 1 2800 6100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR098 +U 1 1 53B1A072 +P 2800 6400 +F 0 "#PWR098" H 2800 6400 30 0001 C CNN +F 1 "GND" H 2800 6330 30 0001 C CNN +F 2 "" H 2800 6400 60 0000 C CNN +F 3 "" H 2800 6400 60 0000 C CNN + 1 2800 6400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2800 5800 2800 5900 +Connection ~ 2400 5800 +Wire Wire Line + 2800 6300 2800 6400 +Wire Wire Line + 6650 2700 6550 2700 +NoConn ~ 9850 3500 +$EndSCHEMATC diff --git a/hardware/portapack_h1/lcd_sw_sd.sch b/hardware/portapack_h1/lcd_sw_sd.sch new file mode 100644 index 00000000..204c250c --- /dev/null +++ b/hardware/portapack_h1/lcd_sw_sd.sch @@ -0,0 +1,656 @@ +EESchema Schematic File Version 2 +LIBS:hackrf_expansion +LIBS:wolfson +LIBS:passive +LIBS:lcd_kingtech +LIBS:power +LIBS:io_expander +LIBS:trs_jack +LIBS:battery +LIBS:mosfet +LIBS:microphone +LIBS:sd +LIBS:ck +LIBS:altera +LIBS:regulator +LIBS:tp +LIBS:header +LIBS:hole +LIBS:sharebrained +LIBS:fiducial +LIBS:portapack_h1-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 3 4 +Title "PortaPack H1" +Date "13 Oct 2014" +Rev "20140826" +Comp "ShareBrained Technology, Inc." +Comment1 "Copyright © 2014 Jared Boone" +Comment2 "License: GNU General Public License, version 2" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L GND #PWR039 +U 1 1 53A91602 +P 9800 1800 +F 0 "#PWR039" H 9800 1800 30 0001 C CNN +F 1 "GND" H 9800 1730 30 0001 C CNN +F 2 "" H 9800 1800 60 0000 C CNN +F 3 "" H 9800 1800 60 0000 C CNN + 1 9800 1800 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR040 +U 1 1 53A91608 +P 9800 5000 +F 0 "#PWR040" H 9800 5000 30 0001 C CNN +F 1 "GND" H 9800 4930 30 0001 C CNN +F 2 "" H 9800 5000 60 0000 C CNN +F 3 "" H 9800 5000 60 0000 C CNN + 1 9800 5000 + 0 1 1 0 +$EndComp +$Comp +L +3.3V #PWR041 +U 1 1 53A9160E +P 9700 1700 +F 0 "#PWR041" H 9700 1660 30 0001 C CNN +F 1 "+3.3V" H 9700 1810 30 0000 C CNN +F 2 "" H 9700 1700 60 0000 C CNN +F 3 "" H 9700 1700 60 0000 C CNN + 1 9700 1700 + 0 -1 -1 0 +$EndComp +$Comp +L +3.3V #PWR042 +U 1 1 53A91614 +P 9700 1900 +F 0 "#PWR042" H 9700 1860 30 0001 C CNN +F 1 "+3.3V" H 9700 2010 30 0000 C CNN +F 2 "" H 9700 1900 60 0000 C CNN +F 3 "" H 9700 1900 60 0000 C CNN + 1 9700 1900 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR043 +U 1 1 53A91623 +P 9800 5700 +F 0 "#PWR043" H 9800 5700 30 0001 C CNN +F 1 "GND" H 9800 5630 30 0001 C CNN +F 2 "" H 9800 5700 60 0000 C CNN +F 3 "" H 9800 5700 60 0000 C CNN + 1 9800 5700 + 0 1 1 0 +$EndComp +Text Label 9100 4100 0 60 ~ 0 +LCD_DB7 +Text Label 9100 4200 0 60 ~ 0 +LCD_DB6 +Text Label 9100 4300 0 60 ~ 0 +LCD_DB5 +Text Label 9100 4400 0 60 ~ 0 +LCD_DB4 +Text Label 9100 4500 0 60 ~ 0 +LCD_DB3 +Text Label 9100 4600 0 60 ~ 0 +LCD_DB2 +Text Label 9100 4700 0 60 ~ 0 +LCD_DB1 +Text Label 9100 4800 0 60 ~ 0 +LCD_DB0 +$Comp +L R R20 +U 1 1 53A91635 +P 8300 1350 +F 0 "R20" V 8380 1350 50 0000 C CNN +F 1 "47K" V 8300 1350 50 0000 C CNN +F 2 "" H 8300 1350 60 0000 C CNN +F 3 "" H 8300 1350 60 0000 C CNN +F 4 "Yageo" V 8300 1350 60 0001 C CNN "Mfr" +F 5 "RC0603FR-0747KL" V 8300 1350 60 0001 C CNN "Part" + 1 8300 1350 + 1 0 0 1 +$EndComp +$Comp +L GND #PWR044 +U 1 1 53A91640 +P 9700 2600 +F 0 "#PWR044" H 9700 2600 30 0001 C CNN +F 1 "GND" H 9700 2530 30 0001 C CNN +F 2 "" H 9700 2600 60 0000 C CNN +F 3 "" H 9700 2600 60 0000 C CNN + 1 9700 2600 + 0 1 1 0 +$EndComp +Text Notes 9050 6200 0 60 ~ 0 +LCD Mode:\n8080 MCU 16-bit bus interface I\nIM[3:0] = 0b0001, DB[15:0] active +Text Label 9100 4000 0 60 ~ 0 +LCD_DB8 +Text Label 9100 3900 0 60 ~ 0 +LCD_DB9 +Text Label 9100 3800 0 60 ~ 0 +LCD_DB10 +Text Label 9100 3700 0 60 ~ 0 +LCD_DB11 +Text Label 9100 3600 0 60 ~ 0 +LCD_DB12 +Text Label 9100 3500 0 60 ~ 0 +LCD_DB13 +Text Label 9100 3400 0 60 ~ 0 +LCD_DB14 +Text Label 9100 3300 0 60 ~ 0 +LCD_DB15 +$Comp +L KINGTECH_DW0240A2BZ_FPC J3 +U 1 1 53A91651 +P 10350 3550 +F 0 "J3" H 10350 5650 60 0000 C CNN +F 1 "KINGTECH_DW0240A2BZ_FPC" H 10350 1250 60 0000 C CNN +F 2 "" H 10250 3550 60 0000 C CNN +F 3 "" H 10250 3550 60 0000 C CNN +F 4 "FCI" H 10350 3550 60 0001 C CNN "Mfr" +F 5 "62684-401100ALF" H 10350 3550 60 0001 C CNN "Part" + 1 10350 3550 + 1 0 0 -1 +$EndComp +$Comp +L R R19 +U 1 1 53A91657 +P 8300 2350 +F 0 "R19" V 8380 2350 50 0000 C CNN +F 1 "47K" V 8300 2350 50 0000 C CNN +F 2 "" H 8300 2350 60 0000 C CNN +F 3 "" H 8300 2350 60 0000 C CNN +F 4 "Yageo" V 8300 2350 60 0001 C CNN "Mfr" +F 5 "RC0603FR-0747KL" V 8300 2350 60 0001 C CNN "Part" + 1 8300 2350 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR045 +U 1 1 53A9165D +P 8300 2700 +F 0 "#PWR045" H 8300 2700 30 0001 C CNN +F 1 "GND" H 8300 2630 30 0001 C CNN +F 2 "" H 8300 2700 60 0000 C CNN +F 3 "" H 8300 2700 60 0000 C CNN + 1 8300 2700 + 1 0 0 -1 +$EndComp +$Comp +L +1.8V #PWR046 +U 1 1 53A91663 +P 9700 5100 +F 0 "#PWR046" H 9700 5240 20 0001 C CNN +F 1 "+1.8V" H 9700 5210 30 0000 C CNN +F 2 "" H 9700 5100 60 0000 C CNN +F 3 "" H 9700 5100 60 0000 C CNN + 1 9700 5100 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR047 +U 1 1 53A9166C +P 9700 3000 +F 0 "#PWR047" H 9700 3000 30 0001 C CNN +F 1 "GND" H 9700 2930 30 0001 C CNN +F 2 "" H 9700 3000 60 0000 C CNN +F 3 "" H 9700 3000 60 0000 C CNN + 1 9700 3000 + 0 1 1 0 +$EndComp +Wire Wire Line + 9700 3000 9900 3000 +Wire Wire Line + 9900 5000 9800 5000 +Wire Wire Line + 9900 1800 9800 1800 +Wire Wire Line + 9700 5100 9900 5100 +Wire Wire Line + 9700 1900 9900 1900 +Wire Wire Line + 9500 4900 9900 4900 +Wire Wire Line + 9900 5200 9500 5200 +Wire Wire Line + 9500 5300 9900 5300 +Wire Wire Line + 9500 5400 9900 5400 +Wire Wire Line + 9500 5500 9900 5500 +Wire Wire Line + 9800 5700 9900 5700 +Wire Wire Line + 9000 4800 9900 4800 +Wire Wire Line + 9000 4700 9900 4700 +Wire Wire Line + 9000 4600 9900 4600 +Wire Wire Line + 9000 4500 9900 4500 +Wire Wire Line + 9000 4400 9900 4400 +Wire Wire Line + 9000 4300 9900 4300 +Wire Wire Line + 9000 4200 9900 4200 +Wire Wire Line + 9000 4100 9900 4100 +Wire Wire Line + 9600 2100 9900 2100 +Wire Wire Line + 8200 2000 9900 2000 +Wire Wire Line + 9600 2200 9900 2200 +Wire Wire Line + 9600 2500 9900 2500 +Wire Wire Line + 9700 2600 9900 2600 +Wire Wire Line + 9800 2700 9900 2700 +Wire Wire Line + 9800 2800 9900 2800 +Wire Wire Line + 9800 2900 9900 2900 +Wire Wire Line + 9800 2300 9800 3200 +Connection ~ 9800 2800 +Connection ~ 9800 2600 +Connection ~ 9800 2700 +Wire Wire Line + 9000 4000 9900 4000 +Wire Wire Line + 9000 3900 9900 3900 +Wire Wire Line + 9000 3800 9900 3800 +Wire Wire Line + 9000 3700 9900 3700 +Wire Wire Line + 9000 3600 9900 3600 +Wire Wire Line + 9000 3500 9900 3500 +Wire Wire Line + 9000 3400 9900 3400 +Wire Wire Line + 9000 3300 9900 3300 +Wire Wire Line + 8300 2000 8300 2100 +Connection ~ 8300 2000 +Wire Wire Line + 8300 2600 8300 2700 +Wire Wire Line + 9900 1600 9800 1600 +$Comp +L MICROSD_DETSW J2 +U 1 1 53A8C6D0 +P 3900 6300 +F 0 "J2" H 3450 6950 60 0000 C CNN +F 1 "MICROSD_DETSW" H 4050 6950 60 0000 C CNN +F 2 "" H 3900 6300 60 0000 C CNN +F 3 "" H 3900 6300 60 0000 C CNN +F 4 "ALPS" H 3900 6300 60 0001 C CNN "Mfr" +F 5 "SCHA4B0415" H 3900 6300 60 0001 C CNN "Part" + 1 3900 6300 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR048 +U 1 1 53A8C6D6 +P 3100 6300 +F 0 "#PWR048" H 3100 6300 30 0001 C CNN +F 1 "GND" H 3100 6230 30 0001 C CNN +F 2 "" H 3100 6300 60 0000 C CNN +F 3 "" H 3100 6300 60 0000 C CNN + 1 3100 6300 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR049 +U 1 1 53A8C6E2 +P 3100 6700 +F 0 "#PWR049" H 3100 6700 30 0001 C CNN +F 1 "GND" H 3100 6630 30 0001 C CNN +F 2 "" H 3100 6700 60 0000 C CNN +F 3 "" H 3100 6700 60 0000 C CNN + 1 3100 6700 + 0 1 1 0 +$EndComp +$Comp +L C C27 +U 1 1 53AA73CE +P 2800 7100 +F 0 "C27" H 2850 7200 50 0000 L CNN +F 1 "100N" H 2850 7000 50 0000 L CNN +F 2 "" H 2800 7100 60 0000 C CNN +F 3 "" H 2800 7100 60 0000 C CNN +F 4 "Murata" H 2800 7100 60 0001 C CNN "Mfr" +F 5 "GRM155R61A104KA01" H 2800 7100 60 0001 C CNN "Part" + 1 2800 7100 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR050 +U 1 1 53A8C6EF +P 2400 7400 +F 0 "#PWR050" H 2400 7400 30 0001 C CNN +F 1 "GND" H 2400 7330 30 0001 C CNN +F 2 "" H 2400 7400 60 0000 C CNN +F 3 "" H 2400 7400 60 0000 C CNN + 1 2400 7400 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR051 +U 1 1 53A8C6F5 +P 4200 7200 +F 0 "#PWR051" H 4200 7200 30 0001 C CNN +F 1 "GND" H 4200 7130 30 0001 C CNN +F 2 "" H 4200 7200 60 0000 C CNN +F 3 "" H 4200 7200 60 0000 C CNN + 1 4200 7200 + 1 0 0 -1 +$EndComp +$Comp +L CK_TSWB-3N-CB SW1 +U 1 1 53A8C6FD +P 2500 1450 +F 0 "SW1" H 2500 2050 60 0000 C CNN +F 1 "CK_TSWB-3N-CB" H 2500 850 60 0000 C CNN +F 2 "" H 2500 1450 60 0000 C CNN +F 3 "" H 2500 1450 60 0000 C CNN +F 4 "C&K" H 2500 1450 60 0001 C CNN "Mfr" +F 5 "TSWB-3N-CB111 LFS" H 2500 1450 60 0001 C CNN "Part" + 1 2500 1450 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR052 +U 1 1 53A8C70A +P 1400 2000 +F 0 "#PWR052" H 1400 2000 30 0001 C CNN +F 1 "GND" H 1400 1930 30 0001 C CNN +F 2 "" H 1400 2000 60 0000 C CNN +F 3 "" H 1400 2000 60 0000 C CNN + 1 1400 2000 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR053 +U 1 1 53A8C710 +P 3600 2000 +F 0 "#PWR053" H 3600 2000 30 0001 C CNN +F 1 "GND" H 3600 1930 30 0001 C CNN +F 2 "" H 3600 2000 60 0000 C CNN +F 3 "" H 3600 2000 60 0000 C CNN + 1 3600 2000 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR054 +U 1 1 53A8C716 +P 4000 7200 +F 0 "#PWR054" H 4000 7200 30 0001 C CNN +F 1 "GND" H 4000 7130 30 0001 C CNN +F 2 "" H 4000 7200 60 0000 C CNN +F 3 "" H 4000 7200 60 0000 C CNN + 1 4000 7200 + 1 0 0 -1 +$EndComp +$Comp +L C C26 +U 1 1 53A8C71C +P 2400 7100 +F 0 "C26" H 2450 7200 50 0000 L CNN +F 1 "10U" H 2450 7000 50 0000 L CNN +F 2 "" H 2400 7100 60 0000 C CNN +F 3 "" H 2400 7100 60 0000 C CNN +F 4 "Murata" H 2400 7100 60 0001 C CNN "Mfr" +F 5 "GRM21BR61A106KE19" H 2400 7100 60 0001 C CNN "Part" + 1 2400 7100 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR055 +U 1 1 53A8C722 +P 2800 7400 +F 0 "#PWR055" H 2800 7400 30 0001 C CNN +F 1 "GND" H 2800 7330 30 0001 C CNN +F 2 "" H 2800 7400 60 0000 C CNN +F 3 "" H 2800 7400 60 0000 C CNN + 1 2800 7400 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR056 +U 1 1 53A8C728 +P 2800 5700 +F 0 "#PWR056" H 2800 5660 30 0001 C CNN +F 1 "+3.3V" H 2800 5810 30 0000 C CNN +F 2 "" H 2800 5700 60 0000 C CNN +F 3 "" H 2800 5700 60 0000 C CNN + 1 2800 5700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2400 6100 2400 6900 +Wire Wire Line + 2800 7300 2800 7400 +Connection ~ 2800 6100 +Wire Wire Line + 2800 5700 2800 6900 +Wire Wire Line + 2200 5800 3200 5800 +Wire Wire Line + 2200 5900 3200 5900 +Wire Wire Line + 2200 6000 3200 6000 +Wire Wire Line + 2200 6200 3200 6200 +Wire Wire Line + 2200 6400 3200 6400 +Wire Wire Line + 2200 6500 3200 6500 +Wire Wire Line + 3200 6300 3100 6300 +Wire Wire Line + 2400 6100 3200 6100 +Wire Wire Line + 2400 7300 2400 7400 +Wire Wire Line + 4200 7100 4200 7200 +Wire Wire Line + 1400 1000 1500 1000 +Wire Wire Line + 1400 1100 1500 1100 +Wire Wire Line + 1400 1200 1500 1200 +Wire Wire Line + 3500 1000 3600 1000 +Wire Wire Line + 3600 1100 3500 1100 +Wire Wire Line + 3600 1200 3500 1200 +Wire Wire Line + 3600 1300 3500 1300 +Wire Wire Line + 3500 1900 3600 1900 +Wire Wire Line + 3600 1900 3600 2000 +Wire Wire Line + 1500 1900 1400 1900 +Wire Wire Line + 1400 1900 1400 2000 +Wire Wire Line + 4000 7100 4000 7200 +$Comp +L KINGTECH_DW0240A2BZ_PANEL LCD1 +U 1 1 53A8C752 +P 8000 4600 +F 0 "LCD1" H 8000 5650 60 0000 C CNN +F 1 "KINGTECH_DW0240A2BZ_PANEL" H 8000 3650 60 0000 C CNN +F 2 "" H 8000 4600 60 0000 C CNN +F 3 "" H 8000 4600 60 0000 C CNN + 1 8000 4600 + 1 0 0 -1 +$EndComp +Wire Bus Line + 8800 2900 8900 2900 +Wire Bus Line + 8900 2900 8900 4700 +Entry Wire Line + 8900 3200 9000 3300 +Entry Wire Line + 8900 3300 9000 3400 +Entry Wire Line + 8900 3400 9000 3500 +Entry Wire Line + 8900 3500 9000 3600 +Entry Wire Line + 8900 3600 9000 3700 +Entry Wire Line + 8900 3700 9000 3800 +Entry Wire Line + 8900 3800 9000 3900 +Entry Wire Line + 8900 3900 9000 4000 +Entry Wire Line + 8900 4000 9000 4100 +Entry Wire Line + 8900 4100 9000 4200 +Entry Wire Line + 8900 4200 9000 4300 +Entry Wire Line + 8900 4300 9000 4400 +Entry Wire Line + 8900 4400 9000 4500 +Entry Wire Line + 8900 4500 9000 4600 +Entry Wire Line + 8900 4600 9000 4700 +Entry Wire Line + 8900 4700 9000 4800 +Text HLabel 8800 2900 0 60 BiDi ~ 0 +LCD_DB[15..0] +Text HLabel 9600 2100 0 60 Input ~ 0 +LCD_RS +Text HLabel 9600 2200 0 60 Input ~ 0 +LCD_RD# +Text HLabel 9600 2500 0 60 Input ~ 0 +LCD_WR# +Text HLabel 8200 2000 0 60 Input ~ 0 +LCD_RESET# +Text HLabel 8200 1000 0 60 Input ~ 0 +LCD_BACKLIGHT +Text HLabel 9500 4900 0 60 Output ~ 0 +LCD_TE +Text HLabel 9500 5200 0 60 BiDi ~ 0 +TP_R +Text HLabel 9500 5300 0 60 BiDi ~ 0 +TP_D +Text HLabel 9500 5400 0 60 BiDi ~ 0 +TP_L +Text HLabel 9500 5500 0 60 BiDi ~ 0 +TP_U +Text HLabel 1400 1000 0 60 Output ~ 0 +SW_SEL +Text HLabel 1400 1100 0 60 Output ~ 0 +SW_ROT_A +Text HLabel 1400 1200 0 60 Output ~ 0 +SW_ROT_B +Text HLabel 3600 1000 2 60 Output ~ 0 +SW_D +Text HLabel 3600 1100 2 60 Output ~ 0 +SW_R +Text HLabel 3600 1200 2 60 Output ~ 0 +SW_U +Text HLabel 3600 1300 2 60 Output ~ 0 +SW_L +Text HLabel 2200 5800 0 60 BiDi ~ 0 +SD_DAT2 +Text HLabel 2200 5900 0 60 BiDi ~ 0 +SD_DAT3 +Text HLabel 2200 6000 0 60 BiDi ~ 0 +SD_CMD +Text HLabel 2200 6200 0 60 Input ~ 0 +SD_CLK +Text HLabel 2200 6400 0 60 BiDi ~ 0 +SD_DAT0 +Text HLabel 2200 6500 0 60 BiDi ~ 0 +SD_DAT1 +Text HLabel 2200 6800 0 60 Output ~ 0 +SD_CD +Wire Wire Line + 9800 3100 9900 3100 +Connection ~ 9800 2900 +Wire Wire Line + 9800 3200 9900 3200 +Connection ~ 9800 3100 +Wire Wire Line + 9900 2400 9800 2400 +Wire Wire Line + 9900 2300 9800 2300 +Connection ~ 9800 2400 +Wire Wire Line + 3200 6800 2200 6800 +Wire Wire Line + 3200 6700 3100 6700 +$Comp +L MOSFET_N Q1 +U 1 1 53C5B6EB +P 8700 950 +F 0 "Q1" H 8700 801 40 0000 R CNN +F 1 "MOSFET_N" H 8700 1100 40 0000 R CNN +F 2 "" H 8570 1052 29 0000 C CNN +F 3 "" H 8700 950 60 0000 C CNN +F 4 "NXP" H 8700 950 60 0001 C CNN "Mfr" +F 5 "2N7002P,215" H 8700 950 60 0001 C CNN "Part" + 1 8700 950 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR057 +U 1 1 53C5B707 +P 8800 1250 +F 0 "#PWR057" H 8800 1250 30 0001 C CNN +F 1 "GND" H 8800 1180 30 0001 C CNN +F 2 "" H 8800 1250 60 0000 C CNN +F 3 "" H 8800 1250 60 0000 C CNN + 1 8800 1250 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8800 1150 8800 1250 +Wire Wire Line + 9900 1700 9700 1700 +Wire Wire Line + 8200 1000 8500 1000 +Wire Wire Line + 8300 1000 8300 1100 +Connection ~ 8300 1000 +$Comp +L GND #PWR058 +U 1 1 53C5B8B4 +P 8300 1700 +F 0 "#PWR058" H 8300 1700 30 0001 C CNN +F 1 "GND" H 8300 1630 30 0001 C CNN +F 2 "" H 8300 1700 60 0000 C CNN +F 3 "" H 8300 1700 60 0000 C CNN + 1 8300 1700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8300 1600 8300 1700 +Wire Wire Line + 8800 750 8800 600 +Wire Wire Line + 8800 600 9800 600 +Wire Wire Line + 9800 600 9800 1600 +$EndSCHEMATC diff --git a/hardware/portapack_h1/portapack_h1.cmp b/hardware/portapack_h1/portapack_h1.cmp new file mode 100644 index 00000000..550e9b6b --- /dev/null +++ b/hardware/portapack_h1/portapack_h1.cmp @@ -0,0 +1,717 @@ +Cmp-Mod V01 Created by CvPcb (2014-03-01 BZR 4730)-product date = Tuesday, August 26, 2014 'AMt' 09:05:06 AM + +BeginCmp +TimeStamp = /53A8C780/53A8D535; +Reference = BT1; +ValeurCmp = BATTERY; +IdModule = bat_coin:MPD_BU2032SM-BT-G; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C6A5; +Reference = C2; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C69F; +Reference = C3; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C6B1; +Reference = C4; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C6AB; +Reference = C5; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C687; +Reference = C6; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C70E; +Reference = C7; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C6F6; +Reference = C8; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C708; +Reference = C9; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C68D; +Reference = C10; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C72C; +Reference = C11; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C6F0; +Reference = C12; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C720; +Reference = C13; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF1137; +Reference = C14; +ValeurCmp = 220P; +IdModule = ipc_capc:IPC_CAPC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF112C; +Reference = C15; +ValeurCmp = 220P; +IdModule = ipc_capc:IPC_CAPC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF15D9; +Reference = C16; +ValeurCmp = 1U; +IdModule = ipc_capae:IPC_CAPAE430X540N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF15E8; +Reference = C17; +ValeurCmp = 1U; +IdModule = ipc_capae:IPC_CAPAE430X540N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C256; +Reference = C18; +ValeurCmp = 220U; +IdModule = ipc_capae:IPC_CAPAE830X620N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C25E; +Reference = C19; +ValeurCmp = 220U; +IdModule = ipc_capae:IPC_CAPAE830X620N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF2762; +Reference = C20; +ValeurCmp = 10U; +IdModule = ipc_capae:IPC_CAPAE430X540N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF2753; +Reference = C21; +ValeurCmp = 10U; +IdModule = ipc_capae:IPC_CAPAE430X540N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C27E; +Reference = C22; +ValeurCmp = 220P; +IdModule = ipc_capc:IPC_CAPC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C284; +Reference = C23; +ValeurCmp = 220P; +IdModule = ipc_capc:IPC_CAPC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C2AA; +Reference = C24; +ValeurCmp = 1U; +IdModule = ipc_capae:IPC_CAPAE430X540N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C2A2; +Reference = C25; +ValeurCmp = 220P; +IdModule = ipc_capc:IPC_CAPC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A9129D/53A8C71C; +Reference = C26; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A9129D/53AA73CE; +Reference = C27; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D527; +Reference = C28; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D548; +Reference = C29; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D542; +Reference = C30; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D54E; +Reference = C31; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D5C2; +Reference = C32; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D56C; +Reference = C33; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D5C8; +Reference = C34; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D572; +Reference = C35; +ValeurCmp = 100N; +IdModule = ipc_capc:IPC_CAPC1005X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D5DA; +Reference = C36; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D5E0; +Reference = C37; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D58C; +Reference = C38; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D5AA; +Reference = C39; +ValeurCmp = DNI; +IdModule = ipc_capc:IPC_CAPC1608X90N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D592; +Reference = C40; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D62C; +Reference = C41; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53B1911F; +Reference = C42; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53B1A065; +Reference = C43; +ValeurCmp = 10U; +IdModule = ipc_capc:IPC_CAPC2012X140N; +EndCmp + +BeginCmp +TimeStamp = /53B309AC; +Reference = FID1; +ValeurCmp = FIDUCIAL; +IdModule = fiducial:FIDUCIAL_65MIL; +EndCmp + +BeginCmp +TimeStamp = /53B30B4C; +Reference = FID2; +ValeurCmp = FIDUCIAL; +IdModule = fiducial:FIDUCIAL_65MIL; +EndCmp + +BeginCmp +TimeStamp = /53B30CEC; +Reference = FID3; +ValeurCmp = FIDUCIAL; +IdModule = fiducial:FIDUCIAL_65MIL; +EndCmp + +BeginCmp +TimeStamp = /53B30E8C; +Reference = FID4; +ValeurCmp = FIDUCIAL; +IdModule = fiducial:FIDUCIAL_65MIL; +EndCmp + +BeginCmp +TimeStamp = /5369BBC4; +Reference = H1; +ValeurCmp = HOLE1; +IdModule = hole:HOLE_3200UM_VIAS; +EndCmp + +BeginCmp +TimeStamp = /5369BBD8; +Reference = H2; +ValeurCmp = HOLE1; +IdModule = hole:HOLE_3200UM_VIAS; +EndCmp + +BeginCmp +TimeStamp = /5369BBEC; +Reference = H3; +ValeurCmp = HOLE1; +IdModule = hole:HOLE_3200UM_VIAS; +EndCmp + +BeginCmp +TimeStamp = /5369BC00; +Reference = H4; +ValeurCmp = HOLE1; +IdModule = hole:HOLE_3200UM_VIAS; +EndCmp + +BeginCmp +TimeStamp = /5369BC14; +Reference = H5; +ValeurCmp = HOLE1; +IdModule = hole:HOLE_3200UM_VIAS; +EndCmp + +BeginCmp +TimeStamp = /53A9129D/53A8C6D0; +Reference = J2; +ValeurCmp = MICROSD_DETSW; +IdModule = alps:ALPS_SCHA4B0100; +EndCmp + +BeginCmp +TimeStamp = /53A9129D/53A91651; +Reference = J3; +ValeurCmp = KINGTECH_DW0240A2BZ_FPC; +IdModule = molex:MOLEX_54132-40XX_LR; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF093C; +Reference = L1; +ValeurCmp = L; +IdModule = ipc_indc:IPC_INDC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF20F3; +Reference = L2; +ValeurCmp = L; +IdModule = ipc_indc:IPC_INDC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF20FE; +Reference = L3; +ValeurCmp = L; +IdModule = ipc_indc:IPC_INDC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C2E2; +Reference = L4; +ValeurCmp = L; +IdModule = ipc_indc:IPC_INDC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C2E8; +Reference = L5; +ValeurCmp = L; +IdModule = ipc_indc:IPC_INDC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C2EE; +Reference = L6; +ValeurCmp = L; +IdModule = ipc_indc:IPC_INDC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF25CC; +Reference = L7; +ValeurCmp = L; +IdModule = ipc_indc:IPC_INDC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF25D7; +Reference = L8; +ValeurCmp = L; +IdModule = ipc_indc:IPC_INDC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C2F4; +Reference = L9; +ValeurCmp = L; +IdModule = ipc_indc:IPC_INDC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF0931; +Reference = L10; +ValeurCmp = L; +IdModule = ipc_indc:IPC_INDC1608X95N; +EndCmp + +BeginCmp +TimeStamp = /53A9129D/53A8C752; +Reference = LCD1; +ValeurCmp = KINGTECH_DW0240A2BZ_PANEL; +IdModule = lcd_kingtech:KINGTECH_DW0240A2BZ_PANEL; +EndCmp + +BeginCmp +TimeStamp = /53B1AE0D; +Reference = LOGO1; +ValeurCmp = SHAREBRAINED; +IdModule = sharebrained:sharebrained_text_300; +EndCmp + +BeginCmp +TimeStamp = /53B1B509; +Reference = LOGO2; +ValeurCmp = PORTAPACK_H1; +IdModule = sharebrained:portapack_text_300; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF0914; +Reference = P1; +ValeurCmp = TRS_JACK; +IdModule = cui:CUI_SJ-3524-SMT; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C2C6; +Reference = P2; +ValeurCmp = TRRS_SW_JACK; +IdModule = cui:CUI_SJ-43516-SMT; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF0926; +Reference = P3; +ValeurCmp = TRS_JACK; +IdModule = cui:CUI_SJ-3524-SMT; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8CDAE; +Reference = P20; +ValeurCmp = HACKRF_ONE_P20; +IdModule = header:HEADER_11X2_REV_SM_254_AP; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8CDB6; +Reference = P22; +ValeurCmp = HACKRF_ONE_P22; +IdModule = header:HEADER_13X2_REV_SM_254_AP; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8CDBE; +Reference = P28; +ValeurCmp = HACKRF_ONE_P28; +IdModule = header:HEADER_11X2_REV_SM_254_AP; +EndCmp + +BeginCmp +TimeStamp = /53A9129D/53C5B6EB; +Reference = Q1; +ValeurCmp = MOSFET_N; +IdModule = ipc_sot:IPC_SOT95P230X110-3N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53AB79CA; +Reference = R1; +ValeurCmp = 220R; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53AB79D5; +Reference = R2; +ValeurCmp = 220R; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53AB79EA; +Reference = R3; +ValeurCmp = 220R; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF0B7F; +Reference = R6; +ValeurCmp = 5K6; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF0B74; +Reference = R7; +ValeurCmp = 5K6; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF0B8A; +Reference = R8; +ValeurCmp = 5K6; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF0B95; +Reference = R9; +ValeurCmp = 5K6; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C2B0; +Reference = R10; +ValeurCmp = 47K; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C264; +Reference = R11; +ValeurCmp = 47K; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C26A; +Reference = R12; +ValeurCmp = 47K; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF2746; +Reference = R13; +ValeurCmp = 47K; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF273B; +Reference = R14; +ValeurCmp = 47K; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF2725; +Reference = R15; +ValeurCmp = 100R; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AF2730; +Reference = R16; +ValeurCmp = 100R; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C296; +Reference = R17; +ValeurCmp = 47K; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C29C; +Reference = R18; +ValeurCmp = 680R; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A9129D/53A91657; +Reference = R19; +ValeurCmp = 47K; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A9129D/53A91635; +Reference = R20; +ValeurCmp = 47K; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A915E9; +Reference = R22; +ValeurCmp = 220R; +IdModule = ipc_resc:IPC_RESC1608X55N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53AB09AD; +Reference = RP1; +ValeurCmp = 220R; +IdModule = ipc_resc:IPC_RESCAXS80P160X320X60-8N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53AB047A; +Reference = RP4; +ValeurCmp = 220R; +IdModule = ipc_resc:IPC_RESCAXS80P160X320X60-8N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53AB04B9; +Reference = RP6; +ValeurCmp = 220R; +IdModule = ipc_resc:IPC_RESCAXS80P160X320X60-8N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53AB04D6; +Reference = RP7; +ValeurCmp = 220R; +IdModule = ipc_resc:IPC_RESCAXS80P160X320X60-8N; +EndCmp + +BeginCmp +TimeStamp = /53A9129D/53A8C6FD; +Reference = SW1; +ValeurCmp = CK_TSWB-3N-CB; +IdModule = ck:CK_TSWB-3N-CB222_LFS; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C66D; +Reference = U1; +ValeurCmp = WM8731SEDS; +IdModule = ipc_sop:IPC_SOP65P780X200-28N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D586; +Reference = U2; +ValeurCmp = REGULATOR_SOT23_5; +IdModule = ipc_sot:IPC_SOT95P280X110-5N; +EndCmp + +BeginCmp +TimeStamp = /53A8C780/53A8D11B; +Reference = U3; +ValeurCmp = 5M40ZE64; +IdModule = ipc_qfp:IPC_QFP40P900X900X120-65N; +EndCmp + +BeginCmp +TimeStamp = /53A8BFC3/53A8C2D6; +Reference = X1; +ValeurCmp = MIC_ELECTRET; +IdModule = cui:CUI_CMA-4544PF-W; +EndCmp + +EndListe diff --git a/hardware/portapack_h1/portapack_h1.kicad_pcb b/hardware/portapack_h1/portapack_h1.kicad_pcb new file mode 100644 index 00000000..98e22a00 --- /dev/null +++ b/hardware/portapack_h1/portapack_h1.kicad_pcb @@ -0,0 +1,4991 @@ +(kicad_pcb (version 4) (host pcbnew "(2015-07-14 BZR 5938)-product") + + (general + (links 325) + (no_connects 124) + (area 50.179381 97.225799 180.874201 175.190501) + (thickness 1.6) + (drawings 24) + (tracks 1715) + (zones 0) + (modules 100) + (nets 124) + ) + + (page A4) + (layers + (0 1_top signal hide) + (1 2_pwr mixed hide) + (2 3_gnd mixed hide) + (31 4_bot signal hide) + (32 B.Adhes user hide) + (33 F.Adhes user hide) + (34 B.Paste user hide) + (35 F.Paste user hide) + (36 B.SilkS user hide) + (37 F.SilkS user hide) + (38 B.Mask user hide) + (39 F.Mask user hide) + (40 Dwgs.User user hide) + (41 Cmts.User user hide) + (42 Eco1.User user hide) + (43 Eco2.User user hide) + (44 Edge.Cuts user) + ) + + (setup + (last_trace_width 0.2) + (user_trace_width 0.2) + (user_trace_width 0.3) + (user_trace_width 0.4) + (trace_clearance 0.198) + (zone_clearance 0.2) + (zone_45_only yes) + (trace_min 0.2) + (segment_width 0.1524) + (edge_width 0.1) + (via_size 0.6985) + (via_drill 0.3429) + (via_min_size 0.6985) + (via_min_drill 0.3429) + (uvia_size 0.508) + (uvia_drill 0.127) + (uvias_allowed no) + (uvia_min_size 0.508) + (uvia_min_drill 0.127) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.1524) + (mod_text_size 0.6096 0.6096) + (mod_text_width 0.1524) + (pad_size 2.75 2.75) + (pad_drill 0) + (pad_to_mask_clearance 0.0762) + (solder_mask_min_width 0.0762) + (aux_axis_origin 60 175) + (grid_origin 60 100) + (visible_elements FFFEFFFF) + (pcbplotparams + (layerselection 0x00030_80000001) + (usegerberextensions true) + (excludeedgelayer true) + (linewidth 0.152400) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15) + (hpglpenoverlay 2) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue false) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk true) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory gerber/)) + ) + + (net 0 "") + (net 1 +1.8V) + (net 2 +3.3V) + (net 3 /audio/I2S0_MCLK) + (net 4 /audio/I2S0_MCLK_R) + (net 5 /audio/I2S0_RX_SDA) + (net 6 /audio/I2S0_SCK) + (net 7 /audio/I2S0_SCK_R) + (net 8 /audio/I2S0_TX_SDA) + (net 9 /audio/I2S0_TX_SDA_R) + (net 10 /audio/I2S0_WS) + (net 11 /audio/I2S0_WS_R) + (net 12 /audio/LHPOUT) + (net 13 /audio/LLINEIN) + (net 14 /audio/LOUT) + (net 15 /audio/MICBIAS) + (net 16 /audio/MICIN) + (net 17 /audio/RHPOUT) + (net 18 /audio/RLINEIN) + (net 19 /audio/ROUT) + (net 20 /audio/SCL) + (net 21 /audio/SDA) + (net 22 /audio/VMID) + (net 23 /hackrf_if/CPLD_TCK) + (net 24 /hackrf_if/CPLD_TDI) + (net 25 /hackrf_if/GPIO3_10) + (net 26 /hackrf_if/GPIO3_10_R) + (net 27 /hackrf_if/GPIO3_11) + (net 28 /hackrf_if/GPIO3_11_R) + (net 29 /hackrf_if/GPIO3_12) + (net 30 /hackrf_if/GPIO3_12_R) + (net 31 /hackrf_if/GPIO3_13) + (net 32 /hackrf_if/GPIO3_13_R) + (net 33 /hackrf_if/GPIO3_14) + (net 34 /hackrf_if/GPIO3_14_R) + (net 35 /hackrf_if/GPIO3_15) + (net 36 /hackrf_if/GPIO3_15_R) + (net 37 /hackrf_if/GPIO3_8) + (net 38 /hackrf_if/GPIO3_8_R) + (net 39 /hackrf_if/GPIO3_9) + (net 40 /hackrf_if/GPIO3_9_R) + (net 41 /hackrf_if/LCD_BACKLIGHT) + (net 42 /hackrf_if/LCD_DB0) + (net 43 /hackrf_if/LCD_DB1) + (net 44 /hackrf_if/LCD_DB10) + (net 45 /hackrf_if/LCD_DB11) + (net 46 /hackrf_if/LCD_DB12) + (net 47 /hackrf_if/LCD_DB13) + (net 48 /hackrf_if/LCD_DB14) + (net 49 /hackrf_if/LCD_DB15) + (net 50 /hackrf_if/LCD_DB2) + (net 51 /hackrf_if/LCD_DB3) + (net 52 /hackrf_if/LCD_DB4) + (net 53 /hackrf_if/LCD_DB5) + (net 54 /hackrf_if/LCD_DB6) + (net 55 /hackrf_if/LCD_DB7) + (net 56 /hackrf_if/LCD_DB8) + (net 57 /hackrf_if/LCD_DB9) + (net 58 /hackrf_if/LCD_RD#) + (net 59 /hackrf_if/LCD_RESET#) + (net 60 /hackrf_if/LCD_RS) + (net 61 /hackrf_if/LCD_TE) + (net 62 /hackrf_if/LCD_WR#) + (net 63 /hackrf_if/P1_5) + (net 64 /hackrf_if/P1_8) + (net 65 /hackrf_if/P2_0) + (net 66 /hackrf_if/P2_0_R) + (net 67 /hackrf_if/P2_1) + (net 68 /hackrf_if/P2_13) + (net 69 /hackrf_if/P2_13_R) + (net 70 /hackrf_if/P2_1_R) + (net 71 /hackrf_if/P2_3) + (net 72 /hackrf_if/P2_3_R) + (net 73 /hackrf_if/P2_4) + (net 74 /hackrf_if/P2_4_R) + (net 75 /hackrf_if/P2_8) + (net 76 /hackrf_if/P2_8_R) + (net 77 /hackrf_if/P2_9) + (net 78 /hackrf_if/P2_9_R) + (net 79 /hackrf_if/RESET#) + (net 80 /hackrf_if/SD_CD) + (net 81 /hackrf_if/SD_CLK) + (net 82 /hackrf_if/SD_CMD) + (net 83 /hackrf_if/SD_DAT0) + (net 84 /hackrf_if/SD_DAT1) + (net 85 /hackrf_if/SD_DAT2) + (net 86 /hackrf_if/SD_DAT3) + (net 87 /hackrf_if/SW_D) + (net 88 /hackrf_if/SW_L) + (net 89 /hackrf_if/SW_R) + (net 90 /hackrf_if/SW_ROT_A) + (net 91 /hackrf_if/SW_ROT_B) + (net 92 /hackrf_if/SW_SEL) + (net 93 /hackrf_if/SW_U) + (net 94 /hackrf_if/TP_D) + (net 95 /hackrf_if/TP_L) + (net 96 /hackrf_if/TP_R) + (net 97 /hackrf_if/TP_U) + (net 98 /hackrf_if/VBAT) + (net 99 GND) + (net 100 "Net-(C14-Pad2)") + (net 101 "Net-(C15-Pad1)") + (net 102 "Net-(C18-Pad2)") + (net 103 "Net-(C19-Pad2)") + (net 104 "Net-(C20-Pad2)") + (net 105 "Net-(C21-Pad2)") + (net 106 "Net-(C24-Pad1)") + (net 107 "Net-(C24-Pad2)") + (net 108 "Net-(C39-Pad1)") + (net 109 "Net-(J3-Pad1)") + (net 110 "Net-(L1-Pad1)") + (net 111 "Net-(L10-Pad1)") + (net 112 "Net-(L2-Pad1)") + (net 113 "Net-(L2-Pad2)") + (net 114 "Net-(L3-Pad1)") + (net 115 "Net-(L3-Pad2)") + (net 116 "Net-(L4-Pad1)") + (net 117 "Net-(L5-Pad1)") + (net 118 "Net-(L6-Pad1)") + (net 119 "Net-(L7-Pad1)") + (net 120 "Net-(L7-Pad2)") + (net 121 "Net-(L8-Pad1)") + (net 122 "Net-(L8-Pad2)") + (net 123 "Net-(L9-Pad1)") + + (net_class Default "This is the default net class." + (clearance 0.198) + (trace_width 0.2) + (via_dia 0.6985) + (via_drill 0.3429) + (uvia_dia 0.508) + (uvia_drill 0.127) + (add_net +1.8V) + (add_net +3.3V) + (add_net /audio/I2S0_MCLK) + (add_net /audio/I2S0_MCLK_R) + (add_net /audio/I2S0_RX_SDA) + (add_net /audio/I2S0_SCK) + (add_net /audio/I2S0_SCK_R) + (add_net /audio/I2S0_TX_SDA) + (add_net /audio/I2S0_TX_SDA_R) + (add_net /audio/I2S0_WS) + (add_net /audio/I2S0_WS_R) + (add_net /audio/LHPOUT) + (add_net /audio/LLINEIN) + (add_net /audio/LOUT) + (add_net /audio/MICBIAS) + (add_net /audio/MICIN) + (add_net /audio/RHPOUT) + (add_net /audio/RLINEIN) + (add_net /audio/ROUT) + (add_net /audio/SCL) + (add_net /audio/SDA) + (add_net /audio/VMID) + (add_net /hackrf_if/CPLD_TCK) + (add_net /hackrf_if/CPLD_TDI) + (add_net /hackrf_if/GPIO3_10) + (add_net /hackrf_if/GPIO3_10_R) + (add_net /hackrf_if/GPIO3_11) + (add_net /hackrf_if/GPIO3_11_R) + (add_net /hackrf_if/GPIO3_12) + (add_net /hackrf_if/GPIO3_12_R) + (add_net /hackrf_if/GPIO3_13) + (add_net /hackrf_if/GPIO3_13_R) + (add_net /hackrf_if/GPIO3_14) + (add_net /hackrf_if/GPIO3_14_R) + (add_net /hackrf_if/GPIO3_15) + (add_net /hackrf_if/GPIO3_15_R) + (add_net /hackrf_if/GPIO3_8) + (add_net /hackrf_if/GPIO3_8_R) + (add_net /hackrf_if/GPIO3_9) + (add_net /hackrf_if/GPIO3_9_R) + (add_net /hackrf_if/LCD_BACKLIGHT) + (add_net /hackrf_if/LCD_DB0) + (add_net /hackrf_if/LCD_DB1) + (add_net /hackrf_if/LCD_DB10) + (add_net /hackrf_if/LCD_DB11) + (add_net /hackrf_if/LCD_DB12) + (add_net /hackrf_if/LCD_DB13) + (add_net /hackrf_if/LCD_DB14) + (add_net /hackrf_if/LCD_DB15) + (add_net /hackrf_if/LCD_DB2) + (add_net /hackrf_if/LCD_DB3) + (add_net /hackrf_if/LCD_DB4) + (add_net /hackrf_if/LCD_DB5) + (add_net /hackrf_if/LCD_DB6) + (add_net /hackrf_if/LCD_DB7) + (add_net /hackrf_if/LCD_DB8) + (add_net /hackrf_if/LCD_DB9) + (add_net /hackrf_if/LCD_RD#) + (add_net /hackrf_if/LCD_RESET#) + (add_net /hackrf_if/LCD_RS) + (add_net /hackrf_if/LCD_TE) + (add_net /hackrf_if/LCD_WR#) + (add_net /hackrf_if/P1_5) + (add_net /hackrf_if/P1_8) + (add_net /hackrf_if/P2_0) + (add_net /hackrf_if/P2_0_R) + (add_net /hackrf_if/P2_1) + (add_net /hackrf_if/P2_13) + (add_net /hackrf_if/P2_13_R) + (add_net /hackrf_if/P2_1_R) + (add_net /hackrf_if/P2_3) + (add_net /hackrf_if/P2_3_R) + (add_net /hackrf_if/P2_4) + (add_net /hackrf_if/P2_4_R) + (add_net /hackrf_if/P2_8) + (add_net /hackrf_if/P2_8_R) + (add_net /hackrf_if/P2_9) + (add_net /hackrf_if/P2_9_R) + (add_net /hackrf_if/RESET#) + (add_net /hackrf_if/SD_CD) + (add_net /hackrf_if/SD_CLK) + (add_net /hackrf_if/SD_CMD) + (add_net /hackrf_if/SD_DAT0) + (add_net /hackrf_if/SD_DAT1) + (add_net /hackrf_if/SD_DAT2) + (add_net /hackrf_if/SD_DAT3) + (add_net /hackrf_if/SW_D) + (add_net /hackrf_if/SW_L) + (add_net /hackrf_if/SW_R) + (add_net /hackrf_if/SW_ROT_A) + (add_net /hackrf_if/SW_ROT_B) + (add_net /hackrf_if/SW_SEL) + (add_net /hackrf_if/SW_U) + (add_net /hackrf_if/TP_D) + (add_net /hackrf_if/TP_L) + (add_net /hackrf_if/TP_R) + (add_net /hackrf_if/TP_U) + (add_net /hackrf_if/VBAT) + (add_net GND) + (add_net "Net-(C14-Pad2)") + (add_net "Net-(C15-Pad1)") + (add_net "Net-(C18-Pad2)") + (add_net "Net-(C19-Pad2)") + (add_net "Net-(C20-Pad2)") + (add_net "Net-(C21-Pad2)") + (add_net "Net-(C24-Pad1)") + (add_net "Net-(C24-Pad2)") + (add_net "Net-(C39-Pad1)") + (add_net "Net-(J3-Pad1)") + (add_net "Net-(L1-Pad1)") + (add_net "Net-(L10-Pad1)") + (add_net "Net-(L2-Pad1)") + (add_net "Net-(L2-Pad2)") + (add_net "Net-(L3-Pad1)") + (add_net "Net-(L3-Pad2)") + (add_net "Net-(L4-Pad1)") + (add_net "Net-(L5-Pad1)") + (add_net "Net-(L6-Pad1)") + (add_net "Net-(L7-Pad1)") + (add_net "Net-(L7-Pad2)") + (add_net "Net-(L8-Pad1)") + (add_net "Net-(L8-Pad2)") + (add_net "Net-(L9-Pad1)") + ) + + (module bat_coin:MPD_BU2032SM-BT-G locked (layer 4_bot) (tedit 53B09B28) (tstamp 53AA25F0) + (at 97 160 180) + (path /53A8C780/53A8D535) + (attr smd) + (fp_text reference BT1 (at -12.6 4.2 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value BATTERY (at 0 0 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -12 -5) (end -11 -5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -11.5 -4.5) (end -11.5 -5.5) (layer B.SilkS) (width 0.1524)) + (fp_circle (center 0 0) (end 10 0) (layer Cmts.User) (width 0.1524)) + (fp_arc (start 0 0) (end -7.838 -8) (angle -91.2) (layer B.SilkS) (width 0.1524)) + (fp_arc (start 0 0) (end 7.838 8) (angle -91.2) (layer B.SilkS) (width 0.1524)) + (fp_line (start -7.838 -8) (end 7.838 -8) (layer B.SilkS) (width 0.1524)) + (fp_line (start -7.838 8) (end 7.838 8) (layer B.SilkS) (width 0.1524)) + (fp_line (start 10.639 3.5) (end 14.3 3.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start 14.3 3.5) (end 14.3 -3.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start 14.3 -3.5) (end 10.639 -3.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -10.639 3.5) (end -14.3 3.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -14.3 3.5) (end -14.3 -3.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -14.3 -3.5) (end -10.639 -3.5) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -14.65 0 180) (size 3.2 4.2) (layers 4_bot B.Paste B.Mask) + (net 98 /hackrf_if/VBAT)) + (pad 2 smd rect (at 14.65 0 180) (size 3.2 4.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53B0991D) (tstamp 53AA2604) + (at 142 119.8 180) + (path /53A8BFC3/53A8C6A5) + (attr smd) + (fp_text reference C2 (at 0.2 -1.2 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0 180) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0 180) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.44958 0 180) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53BC270F) (tstamp 53AA260E) + (at 136.6 119.8 180) + (path /53A8BFC3/53A8C69F) + (attr smd) + (fp_text reference C3 (at 5.1 -0.7 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0 180) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0 180) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.44958 0 180) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53BC2708) (tstamp 53AA2618) + (at 133.6 119.8) + (path /53A8BFC3/53A8C6B1) + (attr smd) + (fp_text reference C4 (at -3.9 0.7) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.44958 0) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53B09A42) (tstamp 53AA2622) + (at 142 108.2) + (path /53A8BFC3/53A8C6AB) + (attr smd) + (fp_text reference C5 (at -11.8 0.6) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.44958 0) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53B09A37) (tstamp 53B0A490) + (at 133.2 108.6 180) + (path /53A8BFC3/53A8C687) + (attr smd) + (fp_text reference C6 (at 8.6 -0.4 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0 180) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0 180) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 22 /audio/VMID)) + (pad 2 smd rect (at 0.44958 0 180) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53B09A3C) (tstamp 53B0A4A6) + (at 135.4 108.2) + (path /53A8BFC3/53A8C68D) + (attr smd) + (fp_text reference C10 (at -9.2 0.6) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 15 /audio/MICBIAS)) + (pad 2 smd rect (at 0.44958 0) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capae:IPC_CAPAE830X620N (layer 4_bot) (tedit 53B09A6A) (tstamp 53AA43B4) + (at 154.2 120) + (tags "CASE E") + (path /53A8BFC3/53A8C256) + (attr smd) + (fp_text reference C18 (at -4.4 -4) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220U (at 0 0) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -4.20116 2.4003) (end -4.20116 -2.4003) (layer B.SilkS) (width 0.1524)) + (fp_line (start 4.20116 4.20116) (end -2.4003 4.20116) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.4003 -4.20116) (end 4.20116 -4.20116) (layer B.SilkS) (width 0.1524)) + (fp_line (start -4.20116 -2.4003) (end -2.4003 -4.20116) (layer B.SilkS) (width 0.1524)) + (fp_line (start -4.20116 2.4003) (end -2.4003 4.20116) (layer B.SilkS) (width 0.1524)) + (fp_line (start 4.20116 -4.20116) (end 4.20116 4.20116) (layer B.SilkS) (width 0.1524)) + (fp_circle (center 0 0) (end 4.0005 0) (layer B.SilkS) (width 0.1524)) + (fp_line (start -5.72516 4.7498) (end 5.72516 4.7498) (layer B.SilkS) (width 0.1524)) + (fp_line (start 5.72516 4.7498) (end 5.72516 -4.7498) (layer B.SilkS) (width 0.1524)) + (fp_line (start 5.72516 -4.7498) (end -5.72516 -4.7498) (layer B.SilkS) (width 0.1524)) + (fp_line (start -5.72516 -4.7498) (end -5.72516 4.7498) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -3.1496 0) (size 4.15036 1.6002) (layers 4_bot B.Paste B.Mask) + (net 12 /audio/LHPOUT)) + (pad 2 smd rect (at 3.1496 0) (size 4.15036 1.6002) (layers 4_bot B.Paste B.Mask) + (net 102 "Net-(C18-Pad2)")) + ) + + (module ipc_capae:IPC_CAPAE830X620N (layer 4_bot) (tedit 53B09A65) (tstamp 53AA26CA) + (at 154.2 110) + (tags "CASE E") + (path /53A8BFC3/53A8C25E) + (attr smd) + (fp_text reference C19 (at -4.4 4) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220U (at 0 0) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -4.20116 2.4003) (end -4.20116 -2.4003) (layer B.SilkS) (width 0.1524)) + (fp_line (start 4.20116 4.20116) (end -2.4003 4.20116) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.4003 -4.20116) (end 4.20116 -4.20116) (layer B.SilkS) (width 0.1524)) + (fp_line (start -4.20116 -2.4003) (end -2.4003 -4.20116) (layer B.SilkS) (width 0.1524)) + (fp_line (start -4.20116 2.4003) (end -2.4003 4.20116) (layer B.SilkS) (width 0.1524)) + (fp_line (start 4.20116 -4.20116) (end 4.20116 4.20116) (layer B.SilkS) (width 0.1524)) + (fp_circle (center 0 0) (end 4.0005 0) (layer B.SilkS) (width 0.1524)) + (fp_line (start -5.72516 4.7498) (end 5.72516 4.7498) (layer B.SilkS) (width 0.1524)) + (fp_line (start 5.72516 4.7498) (end 5.72516 -4.7498) (layer B.SilkS) (width 0.1524)) + (fp_line (start 5.72516 -4.7498) (end -5.72516 -4.7498) (layer B.SilkS) (width 0.1524)) + (fp_line (start -5.72516 -4.7498) (end -5.72516 4.7498) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -3.1496 0) (size 4.15036 1.6002) (layers 4_bot B.Paste B.Mask) + (net 17 /audio/RHPOUT)) + (pad 2 smd rect (at 3.1496 0) (size 4.15036 1.6002) (layers 4_bot B.Paste B.Mask) + (net 103 "Net-(C19-Pad2)")) + ) + + (module ipc_capc:IPC_CAPC1608X95N (layer 4_bot) (tedit 53B09A86) (tstamp 53AA26F6) + (at 162.8 120.8 90) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53A8C27E) + (attr smd) + (fp_text reference C22 (at -3.2 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220P (at 0 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 90) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 2 smd rect (at 0.8001 0 90) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 102 "Net-(C18-Pad2)")) + ) + + (module ipc_capc:IPC_CAPC1608X95N (layer 4_bot) (tedit 53B09A77) (tstamp 53AA2700) + (at 162.8 109.2 90) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53A8C284) + (attr smd) + (fp_text reference C23 (at 3.2 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220P (at 0 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 90) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 103 "Net-(C19-Pad2)")) + (pad 2 smd rect (at 0.8001 0 90) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capae:IPC_CAPAE430X540N (layer 4_bot) (tedit 53B0993F) (tstamp 53ADFB14) + (at 141.8 104.4 180) + (tags "CASE B") + (path /53A8BFC3/53A8C2AA) + (attr smd) + (fp_text reference C24 (at -2.6 2.2 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 1U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start 3.52552 -2.75082) (end -3.52552 -2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start -3.52552 -2.75082) (end -3.52552 2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start -3.52552 2.75082) (end 3.52552 2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start 3.52552 2.75082) (end 3.52552 -2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 2.14884) (end -1.24968 2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.24968) (end -2.14884 1.24968) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.24968 -2.14884) (end 2.14884 -2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.24968) (end -1.24968 -2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 1.24968) (end -1.24968 2.14884) (layer B.SilkS) (width 0.1524)) + (fp_circle (center 0 0) (end 1.99898 0) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 2.14884) (end 2.14884 -2.14884) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -1.75006 0 180) (size 2.55016 1.6002) (layers 4_bot B.Paste B.Mask) + (net 106 "Net-(C24-Pad1)")) + (pad 2 smd rect (at 1.75006 0 180) (size 2.55016 1.6002) (layers 4_bot B.Paste B.Mask) + (net 107 "Net-(C24-Pad2)")) + ) + + (module ipc_capc:IPC_CAPC1608X95N (layer 4_bot) (tedit 53B09AB4) (tstamp 53AA271B) + (at 170.8 122.2 180) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53A8C2A2) + (attr smd) + (fp_text reference C25 (at -0.8 1.4 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220P (at 0 0 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 180) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 107 "Net-(C24-Pad2)")) + (pad 2 smd rect (at 0.8001 0 180) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53B0979B) (tstamp 53AA272F) + (at 72.2 122.8) + (path /53A9129D/53AA73CE) + (attr smd) + (fp_text reference C27 (at -1 1.8) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.44958 0) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53C5B500) (tstamp 53AA2739) + (at 96 125.2 90) + (path /53A8C780/53A8D527) + (attr smd) + (fp_text reference C28 (at 0.8 -1.2 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0 90) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0 90) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.44958 0 90) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53B097F7) (tstamp 53AB74CF) + (at 97.2 125.2 90) + (path /53A8C780/53A8D548) + (attr smd) + (fp_text reference C29 (at 0 1.8 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0 90) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0 90) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 2 smd rect (at 0.44958 0 90) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53B09B39) (tstamp 53AA274D) + (at 106 132.2) + (path /53A8C780/53A8D542) + (attr smd) + (fp_text reference C30 (at 0 -1.2) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.44958 0) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53B09B82) (tstamp 53AA2757) + (at 98.6 141.2 270) + (path /53A8C780/53A8D54E) + (attr smd) + (fp_text reference C31 (at -1.8 -1.2 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0 270) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0 270) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 2 smd rect (at 0.44958 0 270) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53B09B7D) (tstamp 53AA276B) + (at 97 141.2 270) + (path /53A8C780/53A8D56C) + (attr smd) + (fp_text reference C33 (at -1.8 1.2 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0 270) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0 270) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 2 smd rect (at 0.44958 0 270) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1005X55N (layer 4_bot) (tedit 53B097B0) (tstamp 53B30722) + (at 89.5 132.6 180) + (path /53A8C780/53A8D572) + (attr smd) + (fp_text reference C35 (at 0 1.2 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100N (at 0 0 180) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 0.90932 0.45974) (end 0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.90932 -0.45974) (end -0.90932 -0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 -0.45974) (end -0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.90932 0.45974) (end 0.90932 0.45974) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.44958 0 180) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 2 smd rect (at 0.44958 0 180) (size 0.61976 0.61976) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC1608X90N (layer 4_bot) (tedit 53B09B4A) (tstamp 53AA27A7) + (at 97.4 150.6) + (path /53A8C780/53A8D5AA) + (attr smd) + (fp_text reference C39 (at 2.8 0.8) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value DNI (at 0 0) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.2032)) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.2032)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.2032)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.2032)) + (pad 1 smd rect (at -0.8001 0) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 108 "Net-(C39-Pad1)")) + (pad 2 smd rect (at 0.8001 0) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module hole:HOLE_3200UM_VIAS (layer 1_top) (tedit 53AA3E1E) (tstamp 53AA27C8) + (at 126 104) + (path /5369BBC4) + (fp_text reference H1 (at 0 0) (layer F.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524))) + ) + (fp_text value HOLE1 (at 0 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.1524))) + ) + (pad 1 thru_hole circle (at 0 0) (size 5.6 5.6) (drill 3.2) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 0 -2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 0 2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + ) + + (module hole:HOLE_3200UM_VIAS (layer 1_top) (tedit 53AA3E1E) (tstamp 53AA27D5) + (at 176 104) + (path /5369BBD8) + (fp_text reference H2 (at 0 0) (layer F.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524))) + ) + (fp_text value HOLE1 (at 0 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.1524))) + ) + (pad 1 thru_hole circle (at 0 0) (size 5.6 5.6) (drill 3.2) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 0 -2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 0 2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + ) + + (module hole:HOLE_3200UM_VIAS (layer 1_top) (tedit 53AA3E1E) (tstamp 53AA27E2) + (at 176 171) + (path /5369BBEC) + (fp_text reference H3 (at 0 0) (layer F.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524))) + ) + (fp_text value HOLE1 (at 0 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.1524))) + ) + (pad 1 thru_hole circle (at 0 0) (size 5.6 5.6) (drill 3.2) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 0 -2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 0 2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + ) + + (module hole:HOLE_3200UM_VIAS (layer 1_top) (tedit 53AA3E1E) (tstamp 53AA27EF) + (at 64 171) + (path /5369BC00) + (fp_text reference H4 (at 0 0) (layer F.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524))) + ) + (fp_text value HOLE1 (at 0 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.1524))) + ) + (pad 1 thru_hole circle (at 0 0) (size 5.6 5.6) (drill 3.2) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 0 -2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 0 2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + ) + + (module hole:HOLE_3200UM_VIAS (layer 1_top) (tedit 53AA3E1E) (tstamp 53AA27FC) + (at 64 104) + (path /5369BC14) + (fp_text reference H5 (at 0 0) (layer F.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524))) + ) + (fp_text value HOLE1 (at 0 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.1524))) + ) + (pad 1 thru_hole circle (at 0 0) (size 5.6 5.6) (drill 3.2) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 0 -2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 0 2.2) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 2.2 0) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -1.55 -1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at -1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + (pad 1 thru_hole circle (at 1.55 1.55) (size 0.6 0.6) (drill 0.381) (layers *.Cu *.Mask) + (net 99 GND)) + ) + + (module molex:MOLEX_54132-40XX_LR locked (layer 4_bot) (tedit 53B09BB0) (tstamp 53AA2868) + (at 82.5 137.5 90) + (path /53A9129D/53A91651) + (attr smd) + (fp_text reference J3 (at -13.1 2.1 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value KINGTECH_DW0240A2BZ_FPC (at 0 0 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -10.25 1.1) (end -10.25 -2.5) (layer Eco1.User) (width 0.1524)) + (fp_line (start 10.25 -2.5) (end 10.25 1.1) (layer Eco1.User) (width 0.1524)) + (fp_line (start -10.25 1.1) (end 10.25 1.1) (layer Eco1.User) (width 0.1524)) + (fp_line (start 12.15 -3.2) (end 12.15 -2.5) (layer Eco1.User) (width 0.1524)) + (fp_line (start -12.15 -3.2) (end -12.15 -2.5) (layer Eco1.User) (width 0.1524)) + (fp_line (start -12.75 -2.5) (end 12.75 -2.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -12.75 -2.5) (end -12.75 -1.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -12.75 -1.5) (end -12.15 -1.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -12.15 -1.5) (end -12.15 2.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start 12.75 -2.5) (end 12.75 -1.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start 12.75 -1.5) (end 12.15 -1.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start 12.15 -1.5) (end 12.15 2.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start 12.75 -4.2) (end 12.75 -3.2) (layer Eco1.User) (width 0.1524)) + (fp_line (start -12.75 -4.2) (end -12.75 -3.2) (layer Eco1.User) (width 0.1524)) + (fp_line (start -12.15 -4.2) (end -12.75 -4.2) (layer Eco1.User) (width 0.1524)) + (fp_line (start -12.75 -3.2) (end -12.15 -3.2) (layer Eco1.User) (width 0.1524)) + (fp_line (start 12.15 -4.2) (end 12.75 -4.2) (layer Eco1.User) (width 0.1524)) + (fp_line (start 12.75 -3.2) (end 12.15 -3.2) (layer Eco1.User) (width 0.1524)) + (fp_line (start 12.15 -4.2) (end -12.15 -4.2) (layer Eco1.User) (width 0.1524)) + (fp_line (start -12.15 2.5) (end 12.15 2.5) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -9.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 109 "Net-(J3-Pad1)")) + (pad 2 smd rect (at -9.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 3 smd rect (at -8.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 4 smd rect (at -8.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 5 smd rect (at -7.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 59 /hackrf_if/LCD_RESET#)) + (pad 6 smd rect (at -7.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 60 /hackrf_if/LCD_RS)) + (pad 7 smd rect (at -6.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 58 /hackrf_if/LCD_RD#)) + (pad 8 smd rect (at -6.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 9 smd rect (at -5.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 10 smd rect (at -5.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 62 /hackrf_if/LCD_WR#)) + (pad 11 smd rect (at -4.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 12 smd rect (at -4.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 13 smd rect (at -3.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 14 smd rect (at -3.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 15 smd rect (at -2.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 16 smd rect (at -2.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 17 smd rect (at -1.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 18 smd rect (at -1.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 49 /hackrf_if/LCD_DB15)) + (pad 19 smd rect (at -0.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 48 /hackrf_if/LCD_DB14)) + (pad 20 smd rect (at -0.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 47 /hackrf_if/LCD_DB13)) + (pad 21 smd rect (at 0.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 46 /hackrf_if/LCD_DB12)) + (pad 22 smd rect (at 0.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 45 /hackrf_if/LCD_DB11)) + (pad 23 smd rect (at 1.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 44 /hackrf_if/LCD_DB10)) + (pad 24 smd rect (at 1.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 57 /hackrf_if/LCD_DB9)) + (pad 25 smd rect (at 2.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 56 /hackrf_if/LCD_DB8)) + (pad 26 smd rect (at 2.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 55 /hackrf_if/LCD_DB7)) + (pad 27 smd rect (at 3.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 54 /hackrf_if/LCD_DB6)) + (pad 28 smd rect (at 3.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 53 /hackrf_if/LCD_DB5)) + (pad 29 smd rect (at 4.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 52 /hackrf_if/LCD_DB4)) + (pad 30 smd rect (at 4.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 51 /hackrf_if/LCD_DB3)) + (pad 31 smd rect (at 5.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 50 /hackrf_if/LCD_DB2)) + (pad 32 smd rect (at 5.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 43 /hackrf_if/LCD_DB1)) + (pad 33 smd rect (at 6.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 42 /hackrf_if/LCD_DB0)) + (pad 34 smd rect (at 6.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 61 /hackrf_if/LCD_TE)) + (pad 35 smd rect (at 7.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 36 smd rect (at 7.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 37 smd rect (at 8.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 96 /hackrf_if/TP_R)) + (pad 38 smd rect (at 8.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 94 /hackrf_if/TP_D)) + (pad 39 smd rect (at 9.25 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 95 /hackrf_if/TP_L)) + (pad 40 smd rect (at 9.75 2.8 90) (size 0.3 1.2) (layers 4_bot B.Paste B.Mask) + (net 97 /hackrf_if/TP_U)) + (pad SHLD smd rect (at -11.45 0.9 90) (size 1.6 0.8) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad SHLD smd rect (at -11.85 -0.3 90) (size 2.4 1.6) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad SHLD smd rect (at 11.85 -0.3 90) (size 2.4 1.6) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad SHLD smd rect (at 11.45 0.9 90) (size 1.6 0.8) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_indc:IPC_INDC1608X95N (layer 4_bot) (tedit 53B30A63) (tstamp 53AA28A2) + (at 165.4 121.6 180) + (path /53A8BFC3/53A8C2E2) + (attr smd) + (fp_text reference L4 (at 0 -1.4 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value L (at 0 0 180) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 180) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 116 "Net-(L4-Pad1)")) + (pad 2 smd rect (at 0.8001 0 180) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 102 "Net-(C18-Pad2)")) + ) + + (module ipc_indc:IPC_INDC1608X95N (layer 4_bot) (tedit 53B09A7D) (tstamp 53AA28AC) + (at 165.4 110 180) + (path /53A8BFC3/53A8C2E8) + (attr smd) + (fp_text reference L5 (at 0 1.4 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value L (at 0 0 180) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 180) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 117 "Net-(L5-Pad1)")) + (pad 2 smd rect (at 0.8001 0 180) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 103 "Net-(C19-Pad2)")) + ) + + (module ipc_indc:IPC_INDC1608X95N (layer 4_bot) (tedit 53B09AA3) (tstamp 53AA28B6) + (at 174.8 121.6 180) + (path /53A8BFC3/53A8C2EE) + (attr smd) + (fp_text reference L6 (at -2.4 0 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value L (at 0 0 180) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 180) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 118 "Net-(L6-Pad1)")) + (pad 2 smd rect (at 0.8001 0 180) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_indc:IPC_INDC1608X95N (layer 4_bot) (tedit 53B09AA7) (tstamp 53AA28D4) + (at 174.2 124 180) + (path /53A8BFC3/53A8C2F4) + (attr smd) + (fp_text reference L9 (at -2.4 0 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value L (at 0 0 180) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 180) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 123 "Net-(L9-Pad1)")) + (pad 2 smd rect (at 0.8001 0 180) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 107 "Net-(C24-Pad2)")) + ) + + (module lcd_kingtech:KINGTECH_DW0240A2BZ_PANEL locked (layer 1_top) (tedit 53C5BC95) (tstamp 53AA2904) + (at 62 137.5 270) + (path /53A9129D/53A8C752) + (attr smd) + (fp_text reference LCD1 (at 4.5 -4 270) (layer F.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524))) + ) + (fp_text value KINGTECH_DW0240A2BZ_PANEL (at 0 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -10.25 -18) (end 10.25 -18) (layer Eco2.User) (width 0.1524)) + (fp_line (start -12.96 -10.72) (end -10.25 -13.39) (layer Eco2.User) (width 0.1524)) + (fp_line (start 10.25 -22) (end -10.25 -22) (layer Eco2.User) (width 0.1524)) + (fp_line (start -10.25 -22) (end -10.25 -13.39) (layer Eco2.User) (width 0.1524)) + (fp_line (start 10.25 -12.5) (end 10.25 -22) (layer Eco2.User) (width 0.1524)) + (fp_line (start 18.54 -12.5) (end 10.25 -12.5) (layer Eco2.User) (width 0.1524)) + (fp_line (start 18.54 -0.5) (end 18.54 -12.5) (layer Eco2.User) (width 0.1524)) + (fp_line (start 10.25 -0.5) (end 18.54 -0.5) (layer Eco2.User) (width 0.1524)) + (fp_line (start 10.25 0) (end 10.25 1.5) (layer Eco1.User) (width 0.1524)) + (fp_line (start -12.96 -0.5) (end -12.96 -10.72) (layer Eco2.User) (width 0.1524)) + (fp_line (start -10.25 0) (end -10.25 1.5) (layer Eco1.User) (width 0.1524)) + (fp_line (start -10.25 -0.5) (end -12.96 -0.5) (layer Eco2.User) (width 0.1524)) + (fp_line (start 0 -31.83) (end 0 -33.83) (layer Cmts.User) (width 0.1524)) + (fp_line (start -1 -32.83) (end 1 -32.83) (layer Cmts.User) (width 0.1524)) + (fp_line (start -21.36 0) (end -22.06 0) (layer F.SilkS) (width 0.1524)) + (fp_line (start -22.06 0) (end -22.06 -2.5) (layer F.SilkS) (width 0.1524)) + (fp_line (start -22.06 -2.5) (end -21.36 -2.5) (layer F.SilkS) (width 0.1524)) + (fp_line (start 21.36 0) (end 22.06 0) (layer F.SilkS) (width 0.1524)) + (fp_line (start 22.06 0) (end 22.06 -2.5) (layer F.SilkS) (width 0.1524)) + (fp_line (start 22.06 -2.5) (end 21.36 -2.5) (layer F.SilkS) (width 0.1524)) + (fp_line (start 21.36 -60.26) (end 22.06 -60.26) (layer F.SilkS) (width 0.1524)) + (fp_line (start 22.06 -60.26) (end 22.06 -57.76) (layer F.SilkS) (width 0.1524)) + (fp_line (start 22.06 -57.76) (end 21.36 -57.76) (layer F.SilkS) (width 0.1524)) + (fp_line (start -21.36 -60.26) (end -22.06 -60.26) (layer F.SilkS) (width 0.1524)) + (fp_line (start -22.06 -60.26) (end -22.06 -57.76) (layer F.SilkS) (width 0.1524)) + (fp_line (start -22.06 -57.76) (end -21.36 -57.76) (layer F.SilkS) (width 0.1524)) + (fp_line (start -18.36 -57.31) (end 18.36 -57.31) (layer F.SilkS) (width 0.1524)) + (fp_line (start 18.36 -57.31) (end 18.36 -8.35) (layer F.SilkS) (width 0.1524)) + (fp_line (start 18.36 -8.35) (end -18.36 -8.35) (layer F.SilkS) (width 0.1524)) + (fp_line (start -18.36 -8.35) (end -18.36 -57.31) (layer F.SilkS) (width 0.1524)) + (fp_line (start 21.36 0) (end -21.36 0) (layer F.SilkS) (width 0.1524)) + (fp_line (start -21.36 0) (end -21.36 -60.26) (layer F.SilkS) (width 0.1524)) + (fp_line (start -21.36 -60.26) (end 21.36 -60.26) (layer F.SilkS) (width 0.1524)) + (fp_line (start 21.36 -60.26) (end 21.36 0) (layer F.SilkS) (width 0.1524)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B09A40) (tstamp 53B0A464) + (at 139.4 108.2 180) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53A8C2B0) + (attr smd) + (fp_text reference R10 (at 11 -0.6 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 47K (at 0 0 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 180) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 106 "Net-(C24-Pad1)")) + (pad 2 smd rect (at 0.8001 0 180) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 16 /audio/MICIN)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B09A8C) (tstamp 53AA2A28) + (at 161 120.8 90) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53A8C264) + (attr smd) + (fp_text reference R11 (at -3.2 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 47K (at 0 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 90) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 2 smd rect (at 0.8001 0 90) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 102 "Net-(C18-Pad2)")) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B09A73) (tstamp 53AA2A32) + (at 161 109.2 90) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53A8C26A) + (attr smd) + (fp_text reference R12 (at 3.2 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 47K (at 0 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 90) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 103 "Net-(C19-Pad2)")) + (pad 2 smd rect (at 0.8001 0 90) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B09AAF) (tstamp 53AE455C) + (at 170.8 124 180) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53A8C296) + (attr smd) + (fp_text reference R17 (at -0.6 -1.4 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 47K (at 0 0 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 180) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 107 "Net-(C24-Pad2)")) + (pad 2 smd rect (at 0.8001 0 180) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B09A2B) (tstamp 53B0A485) + (at 136.4 104.4) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53A8C29C) + (attr smd) + (fp_text reference R18 (at -0.6 -1.4) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 680R (at 0 0) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 15 /audio/MICBIAS)) + (pad 2 smd rect (at 0.8001 0) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 107 "Net-(C24-Pad2)")) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B09B9B) (tstamp 53AA2A78) + (at 87.8 146.4 270) + (tags "1608 metric, 0603 imperial") + (path /53A9129D/53A91657) + (attr smd) + (fp_text reference R19 (at -0.8 -1.4 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 47K (at 0 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 59 /hackrf_if/LCD_RESET#)) + (pad 2 smd rect (at 0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B09B8D) (tstamp 53AA2A82) + (at 94.6 148.3 90) + (tags "1608 metric, 0603 imperial") + (path /53A9129D/53A91635) + (attr smd) + (fp_text reference R20 (at 2.6 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 47K (at 0 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 90) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 2 smd rect (at 0.8001 0 90) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 41 /hackrf_if/LCD_BACKLIGHT)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B30AF0) (tstamp 53AE033F) + (at 138.5 120.9 90) + (tags "1608 metric, 0603 imperial") + (path /53A8C780/53A915E9) + (attr smd) + (fp_text reference R22 (at -1.1 1.5 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220R (at 0 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 90) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 24 /hackrf_if/CPLD_TDI)) + (pad 2 smd rect (at 0.8001 0 90) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 5 /audio/I2S0_RX_SDA)) + ) + + (module cui:CUI_CMA-4544PF-W locked (layer 1_top) (tedit 53B09C41) (tstamp 53AA2B41) + (at 145.8 110.4 270) + (path /53A8BFC3/53A8C2D6) + (fp_text reference X1 (at 0 -1.6 270) (layer F.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524))) + ) + (fp_text value MIC_ELECTRET (at 0 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 3.464102 2) (end 4.200223 2.425) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0 4) (end 0 4.85) (layer F.SilkS) (width 0.1524)) + (fp_arc (start 0 0) (end 3.464102 2) (angle 60) (layer F.SilkS) (width 0.1524)) + (fp_circle (center 0 0) (end 4.85 0) (layer F.SilkS) (width 0.1524)) + (pad 1 thru_hole circle (at -2 -1.27 270) (size 1.4 1.4) (drill 0.8) (layers *.Cu *.Mask F.SilkS) + (net 107 "Net-(C24-Pad2)")) + (pad 2 thru_hole circle (at -2 1.27 270) (size 1.4 1.4) (drill 0.8) (layers *.Cu *.Mask F.SilkS) + (net 99 GND)) + ) + + (module ipc_resc:IPC_RESCAXS80P160X320X60-8N (layer 4_bot) (tedit 53B09AF9) (tstamp 53AB0D66) + (at 149.3 158.5 90) + (path /53A8BFC3/53AB09AD) + (attr smd) + (fp_text reference RP1 (at -0.1 2.7 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220R (at 0 0 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.6 1.95) (end 1.6 1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.6 1.95) (end 1.6 -1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.6 -1.95) (end -1.6 -1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.6 -1.95) (end -1.6 1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.1 1.7) (end 0.1 1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.1 1.7) (end 0.1 -1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.1 -1.7) (end -0.1 -1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.1 -1.7) (end -0.1 1.7) (layer B.SilkS) (width 0.1524)) + (pad 2 smd rect (at -0.85 0.4 90) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 10 /audio/I2S0_WS)) + (pad 3 smd rect (at -0.85 -0.4 90) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 6 /audio/I2S0_SCK)) + (pad 1 smd rect (at -0.85 1.3 90) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 8 /audio/I2S0_TX_SDA)) + (pad 4 smd rect (at -0.85 -1.3 90) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 3 /audio/I2S0_MCLK)) + (pad 5 smd rect (at 0.85 -1.3 90) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 4 /audio/I2S0_MCLK_R)) + (pad 6 smd rect (at 0.85 -0.4 90) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 7 /audio/I2S0_SCK_R)) + (pad 7 smd rect (at 0.85 0.4 90) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 11 /audio/I2S0_WS_R)) + (pad 8 smd rect (at 0.85 1.3 90) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 9 /audio/I2S0_TX_SDA_R)) + ) + + (module ipc_resc:IPC_RESCAXS80P160X320X60-8N (layer 4_bot) (tedit 53B09B05) (tstamp 53ACCB45) + (at 133.6 164 180) + (path /53A8C780/53AB047A) + (attr smd) + (fp_text reference RP4 (at -0.8 -2.6 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220R (at 0 0 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.6 1.95) (end 1.6 1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.6 1.95) (end 1.6 -1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.6 -1.95) (end -1.6 -1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.6 -1.95) (end -1.6 1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.1 1.7) (end 0.1 1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.1 1.7) (end 0.1 -1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.1 -1.7) (end -0.1 -1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.1 -1.7) (end -0.1 1.7) (layer B.SilkS) (width 0.1524)) + (pad 2 smd rect (at -0.85 0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 75 /hackrf_if/P2_8)) + (pad 3 smd rect (at -0.85 -0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 77 /hackrf_if/P2_9)) + (pad 1 smd rect (at -0.85 1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 67 /hackrf_if/P2_1)) + (pad 4 smd rect (at -0.85 -1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 68 /hackrf_if/P2_13)) + (pad 5 smd rect (at 0.85 -1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 69 /hackrf_if/P2_13_R)) + (pad 6 smd rect (at 0.85 -0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 78 /hackrf_if/P2_9_R)) + (pad 7 smd rect (at 0.85 0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 76 /hackrf_if/P2_8_R)) + (pad 8 smd rect (at 0.85 1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 70 /hackrf_if/P2_1_R)) + ) + + (module ipc_resc:IPC_RESCAXS80P160X320X60-8N (layer 4_bot) (tedit 53B09AD4) (tstamp 53AB0DCA) + (at 164.6 144.5 180) + (path /53A8C780/53AB04B9) + (attr smd) + (fp_text reference RP6 (at -1.6 2.5 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220R (at 0 0 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.6 1.95) (end 1.6 1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.6 1.95) (end 1.6 -1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.6 -1.95) (end -1.6 -1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.6 -1.95) (end -1.6 1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.1 1.7) (end 0.1 1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.1 1.7) (end 0.1 -1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.1 -1.7) (end -0.1 -1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.1 -1.7) (end -0.1 1.7) (layer B.SilkS) (width 0.1524)) + (pad 2 smd rect (at -0.85 0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 33 /hackrf_if/GPIO3_14)) + (pad 3 smd rect (at -0.85 -0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 29 /hackrf_if/GPIO3_12)) + (pad 1 smd rect (at -0.85 1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 35 /hackrf_if/GPIO3_15)) + (pad 4 smd rect (at -0.85 -1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 31 /hackrf_if/GPIO3_13)) + (pad 5 smd rect (at 0.85 -1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 32 /hackrf_if/GPIO3_13_R)) + (pad 6 smd rect (at 0.85 -0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 30 /hackrf_if/GPIO3_12_R)) + (pad 7 smd rect (at 0.85 0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 34 /hackrf_if/GPIO3_14_R)) + (pad 8 smd rect (at 0.85 1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 36 /hackrf_if/GPIO3_15_R)) + ) + + (module ipc_resc:IPC_RESCAXS80P160X320X60-8N (layer 4_bot) (tedit 53B193EC) (tstamp 53AB17FF) + (at 164.6 149.6 180) + (path /53A8C780/53AB04D6) + (attr smd) + (fp_text reference RP7 (at 1.8 -2.6 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220R (at 0 0 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.6 1.95) (end 1.6 1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.6 1.95) (end 1.6 -1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.6 -1.95) (end -1.6 -1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.6 -1.95) (end -1.6 1.95) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.1 1.7) (end 0.1 1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.1 1.7) (end 0.1 -1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.1 -1.7) (end -0.1 -1.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.1 -1.7) (end -0.1 1.7) (layer B.SilkS) (width 0.1524)) + (pad 2 smd rect (at -0.85 0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 25 /hackrf_if/GPIO3_10)) + (pad 3 smd rect (at -0.85 -0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 37 /hackrf_if/GPIO3_8)) + (pad 1 smd rect (at -0.85 1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 27 /hackrf_if/GPIO3_11)) + (pad 4 smd rect (at -0.85 -1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 39 /hackrf_if/GPIO3_9)) + (pad 5 smd rect (at 0.85 -1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 40 /hackrf_if/GPIO3_9_R)) + (pad 6 smd rect (at 0.85 -0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 38 /hackrf_if/GPIO3_8_R)) + (pad 7 smd rect (at 0.85 0.4 180) (size 1 0.5) (layers 4_bot B.Paste B.Mask) + (net 26 /hackrf_if/GPIO3_10_R)) + (pad 8 smd rect (at 0.85 1.3 180) (size 1 0.7) (layers 4_bot B.Paste B.Mask) + (net 28 /hackrf_if/GPIO3_11_R)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B09AE6) (tstamp 53AB16DA) + (at 162.8 158.2 270) + (tags "1608 metric, 0603 imperial") + (path /53A8C780/53AB79CA) + (attr smd) + (fp_text reference R1 (at -2.4 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220R (at 0 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 74 /hackrf_if/P2_4_R)) + (pad 2 smd rect (at 0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 73 /hackrf_if/P2_4)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B09AEA) (tstamp 53AB2F99) + (at 161 158.2 270) + (tags "1608 metric, 0603 imperial") + (path /53A8C780/53AB79D5) + (attr smd) + (fp_text reference R2 (at -2.4 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220R (at 0 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 72 /hackrf_if/P2_3_R)) + (pad 2 smd rect (at 0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 71 /hackrf_if/P2_3)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B09AFE) (tstamp 53AB2FA3) + (at 145.2 158.2) + (tags "1608 metric, 0603 imperial") + (path /53A8C780/53AB79EA) + (attr smd) + (fp_text reference R3 (at 0 -1.4) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220R (at 0 0) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 66 /hackrf_if/P2_0_R)) + (pad 2 smd rect (at 0.8001 0) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 65 /hackrf_if/P2_0)) + ) + + (module ipc_capc:IPC_CAPC1608X95N (layer 4_bot) (tedit 53B098BE) (tstamp 53B07AAF) + (at 114.8 114.8 270) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53AF1137) + (attr smd) + (fp_text reference C14 (at 5.2 0.2 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220P (at 0 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 270) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 2 smd rect (at 0.8001 0 270) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 100 "Net-(C14-Pad2)")) + ) + + (module ipc_capc:IPC_CAPC1608X95N (layer 4_bot) (tedit 53B0989A) (tstamp 53B07AB9) + (at 114.8 110.6 270) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53AF112C) + (attr smd) + (fp_text reference C15 (at -1.6 3.8 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 220P (at 0 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 270) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 101 "Net-(C15-Pad1)")) + (pad 2 smd rect (at 0.8001 0 270) (size 0.94996 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capae:IPC_CAPAE430X540N (layer 4_bot) (tedit 53B09853) (tstamp 53B07ACA) + (at 119.4 115.6 180) + (tags "CASE B") + (path /53A8BFC3/53AF15D9) + (attr smd) + (fp_text reference C16 (at -2.6 2.2 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 1U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start 3.52552 -2.75082) (end -3.52552 -2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start -3.52552 -2.75082) (end -3.52552 2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start -3.52552 2.75082) (end 3.52552 2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start 3.52552 2.75082) (end 3.52552 -2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 2.14884) (end -1.24968 2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.24968) (end -2.14884 1.24968) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.24968 -2.14884) (end 2.14884 -2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.24968) (end -1.24968 -2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 1.24968) (end -1.24968 2.14884) (layer B.SilkS) (width 0.1524)) + (fp_circle (center 0 0) (end 1.99898 0) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 2.14884) (end 2.14884 -2.14884) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -1.75006 0 180) (size 2.55016 1.6002) (layers 4_bot B.Paste B.Mask) + (net 13 /audio/LLINEIN)) + (pad 2 smd rect (at 1.75006 0 180) (size 2.55016 1.6002) (layers 4_bot B.Paste B.Mask) + (net 100 "Net-(C14-Pad2)")) + ) + + (module ipc_capae:IPC_CAPAE430X540N (layer 4_bot) (tedit 53B0984B) (tstamp 53B07F9B) + (at 119.4 109.8 180) + (tags "CASE B") + (path /53A8BFC3/53AF15E8) + (attr smd) + (fp_text reference C17 (at -2.6 -2.2 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 1U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start 3.52552 -2.75082) (end -3.52552 -2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start -3.52552 -2.75082) (end -3.52552 2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start -3.52552 2.75082) (end 3.52552 2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start 3.52552 2.75082) (end 3.52552 -2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 2.14884) (end -1.24968 2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.24968) (end -2.14884 1.24968) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.24968 -2.14884) (end 2.14884 -2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.24968) (end -1.24968 -2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 1.24968) (end -1.24968 2.14884) (layer B.SilkS) (width 0.1524)) + (fp_circle (center 0 0) (end 1.99898 0) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 2.14884) (end 2.14884 -2.14884) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -1.75006 0 180) (size 2.55016 1.6002) (layers 4_bot B.Paste B.Mask) + (net 18 /audio/RLINEIN)) + (pad 2 smd rect (at 1.75006 0 180) (size 2.55016 1.6002) (layers 4_bot B.Paste B.Mask) + (net 101 "Net-(C15-Pad1)")) + ) + + (module ipc_capae:IPC_CAPAE430X540N (layer 4_bot) (tedit 53B098CA) (tstamp 53B07AEC) + (at 96.4 109.8 180) + (tags "CASE B") + (path /53A8BFC3/53AF2762) + (attr smd) + (fp_text reference C20 (at -2.6 -2.2 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start 3.52552 -2.75082) (end -3.52552 -2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start -3.52552 -2.75082) (end -3.52552 2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start -3.52552 2.75082) (end 3.52552 2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start 3.52552 2.75082) (end 3.52552 -2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 2.14884) (end -1.24968 2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.24968) (end -2.14884 1.24968) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.24968 -2.14884) (end 2.14884 -2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.24968) (end -1.24968 -2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 1.24968) (end -1.24968 2.14884) (layer B.SilkS) (width 0.1524)) + (fp_circle (center 0 0) (end 1.99898 0) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 2.14884) (end 2.14884 -2.14884) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -1.75006 0 180) (size 2.55016 1.6002) (layers 4_bot B.Paste B.Mask) + (net 14 /audio/LOUT)) + (pad 2 smd rect (at 1.75006 0 180) (size 2.55016 1.6002) (layers 4_bot B.Paste B.Mask) + (net 104 "Net-(C20-Pad2)")) + ) + + (module ipc_capae:IPC_CAPAE430X540N (layer 4_bot) (tedit 53B098D1) (tstamp 53B07AFD) + (at 96.4 115.6 180) + (tags "CASE B") + (path /53A8BFC3/53AF2753) + (attr smd) + (fp_text reference C21 (at -2.6 2.2 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start 3.52552 -2.75082) (end -3.52552 -2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start -3.52552 -2.75082) (end -3.52552 2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start -3.52552 2.75082) (end 3.52552 2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start 3.52552 2.75082) (end 3.52552 -2.75082) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 2.14884) (end -1.24968 2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.24968) (end -2.14884 1.24968) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.24968 -2.14884) (end 2.14884 -2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.24968) (end -1.24968 -2.14884) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 1.24968) (end -1.24968 2.14884) (layer B.SilkS) (width 0.1524)) + (fp_circle (center 0 0) (end 1.99898 0) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 2.14884) (end 2.14884 -2.14884) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -1.75006 0 180) (size 2.55016 1.6002) (layers 4_bot B.Paste B.Mask) + (net 19 /audio/ROUT)) + (pad 2 smd rect (at 1.75006 0 180) (size 2.55016 1.6002) (layers 4_bot B.Paste B.Mask) + (net 105 "Net-(C21-Pad2)")) + ) + + (module ipc_indc:IPC_INDC1608X95N (layer 4_bot) (tedit 53B300CD) (tstamp 53B07B07) + (at 111.4 104.8) + (path /53A8BFC3/53AF093C) + (attr smd) + (fp_text reference L1 (at -1 1.4) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value L (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 110 "Net-(L1-Pad1)")) + (pad 2 smd rect (at 0.8001 0) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_indc:IPC_INDC1608X95N (layer 4_bot) (tedit 53B09839) (tstamp 53B0860B) + (at 110.6 116.6 90) + (path /53A8BFC3/53AF20F3) + (attr smd) + (fp_text reference L2 (at -1 -1.4 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value L (at 0 0 90) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 90) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 112 "Net-(L2-Pad1)")) + (pad 2 smd rect (at 0.8001 0 90) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 113 "Net-(L2-Pad2)")) + ) + + (module ipc_indc:IPC_INDC1608X95N (layer 4_bot) (tedit 53B0982D) (tstamp 53B07B1B) + (at 101.6 108.8 90) + (path /53A8BFC3/53AF20FE) + (attr smd) + (fp_text reference L3 (at -2.4 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value L (at 0 0 90) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 90) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 114 "Net-(L3-Pad1)")) + (pad 2 smd rect (at 0.8001 0 90) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 115 "Net-(L3-Pad2)")) + ) + + (module ipc_indc:IPC_INDC1608X95N (layer 4_bot) (tedit 53B097BB) (tstamp 53B07B25) + (at 90 110.6 90) + (path /53A8BFC3/53AF25CC) + (attr smd) + (fp_text reference L7 (at -2.2 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value L (at 0 0 90) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 90) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 119 "Net-(L7-Pad1)")) + (pad 2 smd rect (at 0.8001 0 90) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 120 "Net-(L7-Pad2)")) + ) + + (module ipc_indc:IPC_INDC1608X95N (layer 4_bot) (tedit 53B09804) (tstamp 53B07B2F) + (at 78.6 108.8 270) + (path /53A8BFC3/53AF25D7) + (attr smd) + (fp_text reference L8 (at 2.4 -0.4 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value L (at 0 0 270) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 270) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 121 "Net-(L8-Pad1)")) + (pad 2 smd rect (at 0.8001 0 270) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 122 "Net-(L8-Pad2)")) + ) + + (module ipc_indc:IPC_INDC1608X95N (layer 4_bot) (tedit 53B300D4) (tstamp 53B07B39) + (at 88.4 104.8) + (path /53A8BFC3/53AF0931) + (attr smd) + (fp_text reference L10 (at -1 1.4) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value L (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start 1.524 0.7493) (end 1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.524 -0.7493) (end -1.524 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 -0.7493) (end -1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.524 0.7493) (end 1.524 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 111 "Net-(L10-Pad1)")) + (pad 2 smd rect (at 0.8001 0) (size 0.94996 0.94996) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B098AE) (tstamp 53B07B65) + (at 114 117.4 180) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53AF0B7F) + (attr smd) + (fp_text reference R6 (at 0 -4.2 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 5K6 (at 0 0 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 180) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 100 "Net-(C14-Pad2)")) + (pad 2 smd rect (at 0.8001 0 180) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 112 "Net-(L2-Pad1)")) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B098A1) (tstamp 53B07B6F) + (at 114 108 180) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53AF0B74) + (attr smd) + (fp_text reference R7 (at 1 1.4 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 5K6 (at 0 0 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 180) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 101 "Net-(C15-Pad1)")) + (pad 2 smd rect (at 0.8001 0 180) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 114 "Net-(L3-Pad1)")) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B098BC) (tstamp 53B07B79) + (at 113 114.8 270) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53AF0B8A) + (attr smd) + (fp_text reference R8 (at 5.2 -0.4 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 5K6 (at 0 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 2 smd rect (at 0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 100 "Net-(C14-Pad2)")) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B0989D) (tstamp 53B07B83) + (at 113 110.6 270) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53AF0B95) + (attr smd) + (fp_text reference R9 (at -1.6 3 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 5K6 (at 0 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 101 "Net-(C15-Pad1)")) + (pad 2 smd rect (at 0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B097BD) (tstamp 53B07B8D) + (at 91.8 110.6 90) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53AF2746) + (attr smd) + (fp_text reference R13 (at -2.6 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 47K (at 0 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 90) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 2 smd rect (at 0.8001 0 90) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 104 "Net-(C20-Pad2)")) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B097B5) (tstamp 53B07B97) + (at 91.8 116.4 270) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53AF273B) + (attr smd) + (fp_text reference R14 (at 2.6 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 47K (at 0 0 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 105 "Net-(C21-Pad2)")) + (pad 2 smd rect (at 0.8001 0 270) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B097C6) (tstamp 53B07BA1) + (at 91 108) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53AF2725) + (attr smd) + (fp_text reference R15 (at -2.6 0) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100R (at 0 0) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 120 "Net-(L7-Pad2)")) + (pad 2 smd rect (at 0.8001 0) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 104 "Net-(C20-Pad2)")) + ) + + (module ipc_resc:IPC_RESC1608X55N (layer 4_bot) (tedit 53B097BA) (tstamp 53B07BAB) + (at 89.2 115.6) + (tags "1608 metric, 0603 imperial") + (path /53A8BFC3/53AF2730) + (attr smd) + (fp_text reference R16 (at -0.6 1.4) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 100R (at 0 0) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_line (start -1.50114 0.7493) (end 1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 0.7493) (end 1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.50114 -0.7493) (end -1.50114 -0.7493) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.50114 -0.7493) (end -1.50114 0.7493) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.8001 0) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 122 "Net-(L8-Pad2)")) + (pad 2 smd rect (at 0.8001 0) (size 0.89916 1.00076) (layers 4_bot B.Paste B.Mask) + (net 105 "Net-(C21-Pad2)")) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B108E0) (tstamp 53AA27BB) + (at 173.4 159 180) + (path /53A8C780/53A8D62C) + (attr smd) + (fp_text reference C41 (at -2.8 0 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 98 /hackrf_if/VBAT)) + (pad 2 smd rect (at 0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B1092C) (tstamp 53AA27B1) + (at 97.6 144.8) + (path /53A8C780/53A8D592) + (attr smd) + (fp_text reference C40 (at 2.8 -1) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 2 smd rect (at 0.89916 0) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B108F5) (tstamp 53AA279D) + (at 101.4 147.8 270) + (path /53A8C780/53A8D58C) + (attr smd) + (fp_text reference C38 (at 0 -2.2 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 270) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 270) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.89916 0 270) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B108FA) (tstamp 53AB408E) + (at 90 140.7 180) + (path /53A8C780/53A8D5E0) + (attr smd) + (fp_text reference C37 (at 0 2.1 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 2 smd rect (at 0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B1089D) (tstamp 53AA2789) + (at 90 125.7 180) + (path /53A8C780/53A8D5DA) + (attr smd) + (fp_text reference C36 (at 2.8 0.9 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 2 smd rect (at 0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B10916) (tstamp 53AA2775) + (at 97 121.3 180) + (path /53A8C780/53A8D5C8) + (attr smd) + (fp_text reference C34 (at -2.8 -1.1 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B108EA) (tstamp 53AB19ED) + (at 123.5 158.9 180) + (path /53A8C780/53A8D5C2) + (attr smd) + (fp_text reference C32 (at 2.9 -1.1 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B1089A) (tstamp 53AA2725) + (at 76.2 123.2) + (path /53A9129D/53A8C71C) + (attr smd) + (fp_text reference C26 (at 2.8 -0.8) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.89916 0) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B108C2) (tstamp 53AA2672) + (at 144.6 111.4 270) + (path /53A8BFC3/53A8C720) + (attr smd) + (fp_text reference C13 (at 0 -2.2 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 270) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 270) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.89916 0 270) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B108D2) (tstamp 53B0A47A) + (at 136.2 106.4) + (path /53A8BFC3/53A8C6F0) + (attr smd) + (fp_text reference C12 (at -2.6 -1.4) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 15 /audio/MICBIAS)) + (pad 2 smd rect (at 0.89916 0) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B108AF) (tstamp 53AA265E) + (at 130.2 116.6 90) + (path /53A8BFC3/53A8C72C) + (attr smd) + (fp_text reference C11 (at 2.8 0 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 90) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 90) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.89916 0 90) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53BC2715) (tstamp 53AA264A) + (at 135 122 180) + (path /53A8BFC3/53A8C708) + (attr smd) + (fp_text reference C9 (at 3.3 0 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B108CA) (tstamp 53AE5118) + (at 132.4 106.8 180) + (path /53A8BFC3/53A8C6F6) + (attr smd) + (fp_text reference C8 (at 2.6 0.6 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 22 /audio/VMID)) + (pad 2 smd rect (at 0.89916 0 180) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B108BE) (tstamp 53AA2636) + (at 144.6 116.6 90) + (path /53A8BFC3/53A8C70E) + (attr smd) + (fp_text reference C7 (at 0 2.2 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 90) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 90) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.89916 0 90) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B19259) (tstamp 53B19350) + (at 155.2 157.8) + (path /53A8C780/53B1911F) + (attr smd) + (fp_text reference C42 (at 2.9 1) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.89916 0) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_capc:IPC_CAPC2012X140N (layer 4_bot) (tedit 53B193EF) (tstamp 53B19576) + (at 165.2 154.4 270) + (path /53A8C780/53B1A065) + (attr smd) + (fp_text reference C43 (at 2.2 -1.6 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value 10U (at 0 0 270) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.72466 0.97536) (end 1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 0.97536) (end 1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.72466 -0.97536) (end -1.72466 -0.97536) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.72466 -0.97536) (end -1.72466 0.97536) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -0.89916 0 270) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at 0.89916 0 270) (size 1.15062 1.45034) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module fiducial:FIDUCIAL_65MIL (layer 4_bot) (tedit 53B2E496) (tstamp 53B2EF36) + (at 71.2 104.2) + (path /53B309AC) + (solder_mask_margin 2.99974) + (attr smd) + (fp_text reference FID1 (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value FIDUCIAL (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (pad "" smd circle (at 0 0) (size 1.651 1.651) (layers 4_bot B.Mask) + (solder_mask_margin 0.8255) (clearance 1.0795)) + ) + + (module fiducial:FIDUCIAL_65MIL (layer 4_bot) (tedit 53B2E496) (tstamp 53B2EF3B) + (at 168.8 104.2) + (path /53B30B4C) + (solder_mask_margin 2.99974) + (attr smd) + (fp_text reference FID2 (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value FIDUCIAL (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (pad "" smd circle (at 0 0) (size 1.651 1.651) (layers 4_bot B.Mask) + (solder_mask_margin 0.8255) (clearance 1.0795)) + ) + + (module fiducial:FIDUCIAL_65MIL (layer 4_bot) (tedit 53B2E496) (tstamp 53B2EF40) + (at 64.2 161.2) + (path /53B30CEC) + (solder_mask_margin 2.99974) + (attr smd) + (fp_text reference FID3 (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value FIDUCIAL (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (pad "" smd circle (at 0 0) (size 1.651 1.651) (layers 4_bot B.Mask) + (solder_mask_margin 0.8255) (clearance 1.0795)) + ) + + (module fiducial:FIDUCIAL_65MIL (layer 4_bot) (tedit 53B2E496) (tstamp 53B2EF45) + (at 175.8 163.8) + (path /53B30E8C) + (solder_mask_margin 2.99974) + (attr smd) + (fp_text reference FID4 (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value FIDUCIAL (at 0 0) (layer B.SilkS) hide + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (pad "" smd circle (at 0 0) (size 1.651 1.651) (layers 4_bot B.Mask) + (solder_mask_margin 0.8255) (clearance 1.0795)) + ) + + (module ck:CK_TSWB-3N-CB222_LFS (layer 1_top) (tedit 53B3003D) (tstamp 53AA2AAD) + (at 147.5 137.5 90) + (path /53A9129D/53A8C6FD) + (fp_text reference SW1 (at -0.1 -2.7 90) (layer F.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524))) + ) + (fp_text value CK_TSWB-3N-CB (at 0 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 11.45 0) (layer F.SilkS) (width 0.15)) + (fp_circle (center 0 0) (end 4.05 0) (layer F.SilkS) (width 0.15)) + (fp_circle (center 0 0) (end 16 0) (layer F.SilkS) (width 0.15)) + (fp_circle (center 0 0) (end 17.2 0) (layer F.SilkS) (width 0.15)) + (pad "" np_thru_hole circle (at -3.182 -3.182 90) (size 1.6 1.6) (drill 1.6) (layers *.Cu *.Mask) + (clearance 0.4)) + (pad "" np_thru_hole circle (at 3.182 3.182 90) (size 1.6 1.6) (drill 1.6) (layers *.Cu *.Mask) + (clearance 0.4)) + (pad CA thru_hole circle (at -6.548 -6.548 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS) + (net 99 GND)) + (pad A thru_hole circle (at 6.548 6.548 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS) + (net 90 /hackrf_if/SW_ROT_A)) + (pad "" np_thru_hole circle (at -10.607 -10.607 90) (size 4 4) (drill 4) (layers *.Cu *.Mask) + (clearance 0.4)) + (pad "" np_thru_hole circle (at 10.607 -10.607 90) (size 4 4) (drill 4) (layers *.Cu *.Mask) + (clearance 0.4)) + (pad "" np_thru_hole circle (at 10.607 10.607 90) (size 4 4) (drill 4) (layers *.Cu *.Mask) + (clearance 0.4)) + (pad "" np_thru_hole circle (at -10.607 10.607 90) (size 4 4) (drill 4) (layers *.Cu *.Mask) + (clearance 0.4)) + (pad S1 thru_hole circle (at -1.11 1.11 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS) + (net 92 /hackrf_if/SW_SEL)) + (pad B thru_hole circle (at 6.548 -6.548 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS) + (net 91 /hackrf_if/SW_ROT_B)) + (pad S3 thru_hole circle (at 16.15 -3.606 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS) + (net 89 /hackrf_if/SW_R)) + (pad S2 thru_hole circle (at 7.75 15.104 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS) + (net 87 /hackrf_if/SW_D)) + (pad CB thru_hole circle (at -3.253 16.08 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS) + (net 99 GND)) + (pad S5 thru_hole circle (at -16.15 3.606 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS) + (net 88 /hackrf_if/SW_L)) + (pad S4 thru_hole circle (at -7.75 -15.104 90) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask F.SilkS) + (net 93 /hackrf_if/SW_U)) + ) + + (module cui:CUI_SJ-3524-SMT (layer 4_bot) (tedit 53B30009) (tstamp 53B07B5B) + (at 83 106 270) + (path /53A8BFC3/53AF0926) + (attr smd) + (fp_text reference P3 (at 8 3.8 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value TRS_JACK (at 0 0 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -6 3) (end 8.5 3) (layer B.SilkS) (width 0.1524)) + (fp_line (start 8.5 3) (end 8.5 -3) (layer B.SilkS) (width 0.1524)) + (fp_line (start 8.5 -3) (end -6 -3) (layer B.SilkS) (width 0.1524)) + (fp_line (start -6 -3) (end -6 3) (layer B.SilkS) (width 0.1524)) + (fp_line (start -6 2.5) (end -8.5 2.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -8.5 2.5) (end -8.5 -2.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -8.5 -2.5) (end -6 -2.5) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -3.6 -3.7 270) (size 2.2 2.8) (layers 4_bot B.Paste B.Mask) + (net 111 "Net-(L10-Pad1)")) + (pad 2 smd rect (at 5.8 -3.7 270) (size 2.8 2.8) (layers 4_bot B.Paste B.Mask) + (net 119 "Net-(L7-Pad1)")) + (pad 4 smd rect (at 9.7 1.075 270) (size 2.8 2.8) (layers 4_bot B.Paste B.Mask)) + (pad 3 smd rect (at -1.6 3.7 270) (size 2.2 2.8) (layers 4_bot B.Paste B.Mask) + (net 121 "Net-(L8-Pad1)")) + (pad "" np_thru_hole circle (at -2.5 0 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask B.SilkS) + (clearance 0.4)) + (pad "" np_thru_hole circle (at 4.5 0 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask B.SilkS) + (clearance 0.4)) + ) + + (module cui:CUI_SJ-3524-SMT (layer 4_bot) (tedit 53B30010) (tstamp 53B07B4A) + (at 106 106 270) + (path /53A8BFC3/53AF0914) + (attr smd) + (fp_text reference P1 (at 8 3.8 270) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value TRS_JACK (at 0 0 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -6 3) (end 8.5 3) (layer B.SilkS) (width 0.1524)) + (fp_line (start 8.5 3) (end 8.5 -3) (layer B.SilkS) (width 0.1524)) + (fp_line (start 8.5 -3) (end -6 -3) (layer B.SilkS) (width 0.1524)) + (fp_line (start -6 -3) (end -6 3) (layer B.SilkS) (width 0.1524)) + (fp_line (start -6 2.5) (end -8.5 2.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -8.5 2.5) (end -8.5 -2.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -8.5 -2.5) (end -6 -2.5) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -3.6 -3.7 270) (size 2.2 2.8) (layers 4_bot B.Paste B.Mask) + (net 110 "Net-(L1-Pad1)")) + (pad 2 smd rect (at 5.8 -3.7 270) (size 2.8 2.8) (layers 4_bot B.Paste B.Mask) + (net 113 "Net-(L2-Pad2)")) + (pad 4 smd rect (at 9.7 1.075 270) (size 2.8 2.8) (layers 4_bot B.Paste B.Mask)) + (pad 3 smd rect (at -1.6 3.7 270) (size 2.2 2.8) (layers 4_bot B.Paste B.Mask) + (net 115 "Net-(L3-Pad2)")) + (pad "" np_thru_hole circle (at -2.5 0 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask B.SilkS) + (clearance 0.4)) + (pad "" np_thru_hole circle (at 4.5 0 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask B.SilkS) + (clearance 0.4)) + ) + + (module cui:CUI_SJ-43516-SMT (layer 4_bot) (tedit 53B3001C) (tstamp 53AA3C96) + (at 172.1 114.8 180) + (path /53A8BFC3/53A8C2C6) + (attr smd) + (fp_text reference P2 (at -0.5 -3.8 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value TRRS_SW_JACK (at 0 0 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 8.5 -3) (end -1.5 -3) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.5 -3) (end -1.5 -3.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.5 -3.7) (end -7 -3.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start 8.5 3) (end 8.5 -3) (layer B.SilkS) (width 0.1524)) + (fp_line (start -7 3) (end 8.5 3) (layer B.SilkS) (width 0.1524)) + (fp_line (start -7 3) (end -7 -3.7) (layer B.SilkS) (width 0.1524)) + (fp_line (start -7 2.5) (end -8.5 2.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -8.5 2.5) (end -8.5 -2.5) (layer B.SilkS) (width 0.1524)) + (fp_line (start -8.5 -2.5) (end -7 -2.5) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -6.4 -3.7 180) (size 2.2 2.8) (layers 4_bot B.Paste B.Mask) + (net 123 "Net-(L9-Pad1)")) + (pad 4 smd rect (at -3.6 -3.7 180) (size 2.2 2.8) (layers 4_bot B.Paste B.Mask) + (net 118 "Net-(L6-Pad1)")) + (pad 2 smd rect (at 5.8 -3.7 180) (size 2.8 2.8) (layers 4_bot B.Paste B.Mask) + (net 116 "Net-(L4-Pad1)")) + (pad 5 smd rect (at 9.9 0.75 180) (size 2.8 2.8) (layers 4_bot B.Paste B.Mask)) + (pad 3 smd rect (at -1.7 3.7 180) (size 2 2.8) (layers 4_bot B.Paste B.Mask) + (net 117 "Net-(L5-Pad1)")) + (pad 6 smd rect (at -4.5 3.7 180) (size 2 2.8) (layers 4_bot B.Paste B.Mask)) + (pad "" np_thru_hole circle (at -2.5 0 180) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask B.SilkS) + (clearance 0.4)) + (pad "" np_thru_hole circle (at 4.5 0 180) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask B.SilkS) + (clearance 0.4)) + ) + + (module ipc_sop:IPC_SOP65P780X200-28N (layer 4_bot) (tedit 53B30ABE) (tstamp 53AA2AD7) + (at 137.4 114 90) + (tags "JEDEC MO-150AH") + (path /53A8BFC3/53A8C66D) + (attr smd) + (fp_text reference U1 (at -5.2 6.2 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value WM8731SEDS (at 0 0 90) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_circle (center -4.184 4.98602) (end -4.084 4.98602) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.8 5.1) (end -1.8 -5.1) (layer B.SilkS) (width 0.1524)) + (fp_line (start -4.67614 5.4991) (end 4.67614 5.4991) (layer B.SilkS) (width 0.1524)) + (fp_line (start 4.67614 5.4991) (end 4.67614 -5.4991) (layer B.SilkS) (width 0.1524)) + (fp_line (start 4.67614 -5.4991) (end -4.67614 -5.4991) (layer B.SilkS) (width 0.1524)) + (fp_line (start -4.67614 -5.4991) (end -4.67614 5.4991) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.25044 5.10032) (end 2.25044 5.10032) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.25044 5.10032) (end 2.25044 -5.10032) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.25044 -5.10032) (end -2.25044 -5.10032) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.25044 -5.10032) (end -2.25044 5.10032) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -3.50012 4.22402 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at -3.50012 3.57378 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask)) + (pad 3 smd rect (at -3.50012 2.92608 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 7 /audio/I2S0_SCK_R)) + (pad 4 smd rect (at -3.50012 2.27584 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 9 /audio/I2S0_TX_SDA_R)) + (pad 5 smd rect (at -3.50012 1.6256 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 11 /audio/I2S0_WS_R)) + (pad 6 smd rect (at -3.50012 0.97536 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 5 /audio/I2S0_RX_SDA)) + (pad 7 smd rect (at -3.50012 0.32512 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 11 /audio/I2S0_WS_R)) + (pad 8 smd rect (at -3.50012 -0.32512 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 9 smd rect (at -3.50012 -0.97536 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 12 /audio/LHPOUT)) + (pad 10 smd rect (at -3.50012 -1.6256 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 17 /audio/RHPOUT)) + (pad 11 smd rect (at -3.50012 -2.27584 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 12 smd rect (at -3.50012 -2.92608 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 14 /audio/LOUT)) + (pad 13 smd rect (at -3.50012 -3.57378 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 19 /audio/ROUT)) + (pad 14 smd rect (at -3.50012 -4.22402 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 15 smd rect (at 3.50012 -4.22402 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 16 smd rect (at 3.50012 -3.57378 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 22 /audio/VMID)) + (pad 17 smd rect (at 3.50012 -2.92608 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 15 /audio/MICBIAS)) + (pad 18 smd rect (at 3.50012 -2.27584 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 16 /audio/MICIN)) + (pad 19 smd rect (at 3.50012 -1.6256 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 18 /audio/RLINEIN)) + (pad 20 smd rect (at 3.50012 -0.97536 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 13 /audio/LLINEIN)) + (pad 21 smd rect (at 3.50012 -0.32512 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 22 smd rect (at 3.50012 0.32512 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 23 smd rect (at 3.50012 0.97536 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 21 /audio/SDA)) + (pad 24 smd rect (at 3.50012 1.6256 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 20 /audio/SCL)) + (pad 25 smd rect (at 3.50012 2.27584 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 4 /audio/I2S0_MCLK_R)) + (pad 26 smd rect (at 3.50012 2.92608 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask)) + (pad 27 smd rect (at 3.50012 3.57378 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 28 smd rect (at 3.50012 4.22402 90) (size 1.84912 0.44958) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + ) + + (module ipc_sot:IPC_SOT95P280X110-5N (layer 4_bot) (tedit 53B30B6B) (tstamp 53AA2AE9) + (at 98 147.8 180) + (path /53A8C780/53A8D586) + (attr smd) + (fp_text reference U2 (at -2.8 2.8 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value REGULATOR_SOT23_5 (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -0.47498 1.45034) (end 0.47498 1.45034) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.47498 1.45034) (end 0.47498 -1.45034) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.47498 -1.45034) (end -0.47498 -1.45034) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.47498 -1.45034) (end -0.47498 1.45034) (layer B.SilkS) (width 0.1524)) + (fp_circle (center -1.97866 2.21996) (end -1.8796 2.21996) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 1.77546) (end 2.14884 1.77546) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 1.77546) (end 2.14884 -1.77546) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.14884 -1.77546) (end -2.14884 -1.77546) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.14884 -1.77546) (end -2.14884 1.77546) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -1.34874 0.94996 180) (size 1.09982 0.59944) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 2 smd rect (at -1.34874 0 180) (size 1.09982 0.59944) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 3 smd rect (at -1.34874 -0.94996 180) (size 1.09982 0.59944) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 4 smd rect (at 1.34874 -0.94996 180) (size 1.09982 0.59944) (layers 4_bot B.Paste B.Mask) + (net 108 "Net-(C39-Pad1)")) + (pad 5 smd rect (at 1.34874 0.94996 180) (size 1.09982 0.59944) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + ) + + (module alps:ALPS_SCHA4B0100 (layer 4_bot) (tedit 53BC708B) (tstamp 53BC708B) + (at 68.4 114.6 90) + (path /53A9129D/53A8C6D0) + (attr smd) + (fp_text reference J2 (at -6.2 8.4 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value MICROSD_DETSW (at 0 0 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -5.5 -13.2) (end 3.5 -13.2) (layer Cmts.User) (width 0.1524)) + (fp_line (start -5.5 -9.9) (end 3.5 -9.9) (layer Cmts.User) (width 0.1524)) + (fp_line (start -5.5 -8.5) (end 3.5 -8.5) (layer Cmts.User) (width 0.1524)) + (fp_line (start -1.4675 7.45) (end -1.4675 6.6) (layer Cmts.User) (width 0.1524)) + (fp_line (start -1.4675 6.6) (end -2.5125 6.6) (layer Cmts.User) (width 0.1524)) + (fp_line (start -2.5125 6.6) (end -2.5125 5.2) (layer Cmts.User) (width 0.1524)) + (fp_line (start -2.5125 5.2) (end 1.0375 5.2) (layer Cmts.User) (width 0.1524)) + (fp_line (start 1.0375 5.2) (end 1.0375 7.45) (layer Cmts.User) (width 0.1524)) + (fp_line (start 1.0375 7.45) (end -1.4675 7.45) (layer Cmts.User) (width 0.1524)) + (fp_line (start -6.0125 3.45) (end 2.8875 3.45) (layer Cmts.User) (width 0.1524)) + (fp_line (start 2.8875 3.45) (end 2.8875 -0.95) (layer Cmts.User) (width 0.1524)) + (fp_line (start 2.8875 -0.95) (end -6.0125 -0.95) (layer Cmts.User) (width 0.1524)) + (fp_line (start -6.0125 -0.95) (end -6.0125 3.45) (layer Cmts.User) (width 0.1524)) + (fp_line (start -6.9 -7.6) (end -6.9 7.6) (layer B.SilkS) (width 0.1524)) + (fp_line (start 6.9 -7.6) (end -6.9 -7.6) (layer B.SilkS) (width 0.1524)) + (fp_line (start 6.9 7.6) (end 6.9 -7.6) (layer B.SilkS) (width 0.1524)) + (fp_line (start -6.9 7.6) (end 6.9 7.6) (layer B.SilkS) (width 0.1524)) + (pad SH smd rect (at -4.725 7.425 90) (size 1.2 0.85) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad A smd rect (at -3.475 7.475 90) (size 0.65 0.75) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad B smd rect (at 2.875 7.475 90) (size 0.65 0.75) (layers 4_bot B.Paste B.Mask) + (net 80 /hackrf_if/SD_CD)) + (pad SH smd rect (at 6.025 7.425 90) (size 1.3 0.85) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad SH smd rect (at -6.575 -7.15 90) (size 0.95 1.1) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad SH smd rect (at 6.575 -7.25 90) (size 0.95 0.9) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 0 smd rect (at -3.275 -5.825 90) (size 0.7 0.95) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 0 smd rect (at 0.725 -5.825 90) (size 0.7 0.95) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 1 smd rect (at 2.225 -4.325 90) (size 0.7 1.05) (layers 4_bot B.Paste B.Mask) + (net 85 /hackrf_if/SD_DAT2)) + (pad 2 smd rect (at 1.225 -4.325 90) (size 0.7 1.05) (layers 4_bot B.Paste B.Mask) + (net 86 /hackrf_if/SD_DAT3)) + (pad 3 smd rect (at 0.225 -4.325 90) (size 0.7 1.05) (layers 4_bot B.Paste B.Mask) + (net 82 /hackrf_if/SD_CMD)) + (pad 4 smd rect (at -0.775 -4.325 90) (size 0.7 1.05) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 5 smd rect (at -1.775 -4.325 90) (size 0.7 1.05) (layers 4_bot B.Paste B.Mask) + (net 81 /hackrf_if/SD_CLK)) + (pad 6 smd rect (at -2.775 -4.325 90) (size 0.7 1.05) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 7 smd rect (at -3.775 -4.325 90) (size 0.7 1.05) (layers 4_bot B.Paste B.Mask) + (net 83 /hackrf_if/SD_DAT0)) + (pad 8 smd rect (at -4.775 -4.325 90) (size 0.7 1.05) (layers 4_bot B.Paste B.Mask) + (net 84 /hackrf_if/SD_DAT1)) + ) + + (module ipc_sot:IPC_SOT95P230X110-3N (layer 4_bot) (tedit 53C5B3D1) (tstamp 53C5B8DC) + (at 91.6 148.4 180) + (path /53A9129D/53C5B6EB) + (attr smd) + (fp_text reference Q1 (at -1.4 2.4 180) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value MOSFET_N (at 0 0 180) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_line (start -1.89992 1.75006) (end 1.89992 1.75006) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.89992 1.75006) (end 1.89992 -1.75006) (layer B.SilkS) (width 0.1524)) + (fp_line (start 1.89992 -1.75006) (end -1.89992 -1.75006) (layer B.SilkS) (width 0.1524)) + (fp_line (start -1.89992 -1.75006) (end -1.89992 1.75006) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.32512 1.45034) (end 0.32512 1.45034) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.32512 1.45034) (end 0.32512 -1.45034) (layer B.SilkS) (width 0.1524)) + (fp_line (start 0.32512 -1.45034) (end -0.32512 -1.45034) (layer B.SilkS) (width 0.1524)) + (fp_line (start -0.32512 -1.45034) (end -0.32512 1.45034) (layer B.SilkS) (width 0.1524)) + (pad 1 smd rect (at -1.15062 0.94996 180) (size 1.00076 0.59944) (layers 4_bot B.Paste B.Mask) + (net 41 /hackrf_if/LCD_BACKLIGHT)) + (pad 2 smd rect (at -1.15062 -0.94996 180) (size 1.00076 0.59944) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 3 smd rect (at 1.15062 0 180) (size 1.00076 0.59944) (layers 4_bot B.Paste B.Mask) + (net 109 "Net-(J3-Pad1)")) + ) + + (module header:HEADER_13X2_REV_SM_254_AP (layer 4_bot) (tedit 53F39BE9) (tstamp 53AA297D) + (at 152.71 164.84 90) + (descr "MLE TSHSM-1") + (path /53A8C780/53A8CDB6) + (attr smd) + (fp_text reference P22 (at 4.44 16.69 90) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value HACKRF_ONE_P22 (at 0 0 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_circle (center 3.1 16.6) (end 2.9 16.6) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.5 16.51) (end 2.5 16.51) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.5 16.51) (end 2.5 -16.51) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.5 -16.51) (end -2.5 -16.51) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.5 -16.51) (end -2.5 16.51) (layer B.SilkS) (width 0.1524)) + (pad 2 smd rect (at -2.73 15.24 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 1 smd rect (at 2.73 15.24 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 4 smd rect (at -2.73 12.7 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 3 smd rect (at 2.73 12.7 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 79 /hackrf_if/RESET#)) + (pad 6 smd rect (at -2.73 10.16 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 71 /hackrf_if/P2_3)) + (pad 5 smd rect (at 2.73 10.16 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 73 /hackrf_if/P2_4)) + (pad 8 smd rect (at -2.73 7.62 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 7 smd rect (at 2.73 7.62 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 10 smd rect (at -2.73 5.08 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 9 smd rect (at 2.73 5.08 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 12 smd rect (at -2.73 2.54 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 11 smd rect (at 2.73 2.54 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 14 smd rect (at -2.73 0 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 13 smd rect (at 2.73 0 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 8 /audio/I2S0_TX_SDA)) + (pad 16 smd rect (at -2.73 -2.54 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 6 /audio/I2S0_SCK)) + (pad 15 smd rect (at 2.73 -2.54 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 10 /audio/I2S0_WS)) + (pad 18 smd rect (at -2.73 -5.08 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 17 smd rect (at 2.73 -5.08 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 3 /audio/I2S0_MCLK)) + (pad 20 smd rect (at -2.73 -7.62 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 65 /hackrf_if/P2_0)) + (pad 19 smd rect (at 2.73 -7.62 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 67 /hackrf_if/P2_1)) + (pad 22 smd rect (at -2.73 -10.16 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 68 /hackrf_if/P2_13)) + (pad 21 smd rect (at 2.73 -10.16 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 77 /hackrf_if/P2_9)) + (pad 24 smd rect (at -2.73 -12.7 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 21 /audio/SDA)) + (pad 23 smd rect (at 2.73 -12.7 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 75 /hackrf_if/P2_8)) + (pad 26 smd rect (at -2.73 -15.24 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 20 /audio/SCL)) + (pad 25 smd rect (at 2.73 -15.24 90) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad "" np_thru_hole circle (at 0 13.97 90) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask) + (clearance 0.4)) + (pad "" np_thru_hole circle (at 0 -13.97 90) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask) + (clearance 0.4)) + ) + + (module header:HEADER_11X2_REV_SM_254_AP (layer 4_bot) (tedit 53F39BE1) (tstamp 53AA299C) + (at 123.5 143.25) + (descr "MLE TSHSM-1") + (path /53A8C780/53A8CDBE) + (attr smd) + (fp_text reference P28 (at 4.5 14.15) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value HACKRF_ONE_P28 (at 0 0) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_circle (center 3.1 14) (end 2.9 14) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.5 13.97) (end 2.5 13.97) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.5 13.97) (end 2.5 -13.97) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.5 -13.97) (end -2.5 -13.97) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.5 -13.97) (end -2.5 13.97) (layer B.SilkS) (width 0.1524)) + (pad 2 smd rect (at -2.73 12.7) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 1 smd rect (at 2.73 12.7) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 4 smd rect (at -2.73 10.16) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 86 /hackrf_if/SD_DAT3)) + (pad 3 smd rect (at 2.73 10.16) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 80 /hackrf_if/SD_CD)) + (pad 6 smd rect (at -2.73 7.62) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 84 /hackrf_if/SD_DAT1)) + (pad 5 smd rect (at 2.73 7.62) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 85 /hackrf_if/SD_DAT2)) + (pad 8 smd rect (at -2.73 5.08) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 64 /hackrf_if/P1_8)) + (pad 7 smd rect (at 2.73 5.08) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 83 /hackrf_if/SD_DAT0)) + (pad 10 smd rect (at -2.73 2.54) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 63 /hackrf_if/P1_5)) + (pad 9 smd rect (at 2.73 2.54) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 82 /hackrf_if/SD_CMD)) + (pad 12 smd rect (at -2.73 0) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 11 smd rect (at 2.73 0) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 81 /hackrf_if/SD_CLK)) + (pad 14 smd rect (at -2.73 -2.54) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 13 smd rect (at 2.73 -2.54) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 16 smd rect (at -2.73 -5.08) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 15 smd rect (at 2.73 -5.08) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 18 smd rect (at -2.73 -7.62) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 17 smd rect (at 2.73 -7.62) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 23 /hackrf_if/CPLD_TCK)) + (pad 20 smd rect (at -2.73 -10.16) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 19 smd rect (at 2.73 -10.16) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 24 /hackrf_if/CPLD_TDI)) + (pad 22 smd rect (at -2.73 -12.7) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 21 smd rect (at 2.73 -12.7) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad "" np_thru_hole circle (at 0 11.43) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask) + (clearance 0.4)) + (pad "" np_thru_hole circle (at 0 -11.43) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask) + (clearance 0.4)) + ) + + (module header:HEADER_11X2_REV_SM_254_AP (layer 4_bot) (tedit 53F39BF0) (tstamp 53AA295A) + (at 171.76 143.25) + (descr "MLE TSHSM-1") + (path /53A8C780/53A8CDAE) + (attr smd) + (fp_text reference P20 (at 4.44 14.15) (layer B.SilkS) + (effects (font (size 0.6096 0.6096) (thickness 0.1524)) (justify mirror)) + ) + (fp_text value HACKRF_ONE_P20 (at 0 0) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_circle (center 3.1 14) (end 2.9 14) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.5 13.97) (end 2.5 13.97) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.5 13.97) (end 2.5 -13.97) (layer B.SilkS) (width 0.1524)) + (fp_line (start 2.5 -13.97) (end -2.5 -13.97) (layer B.SilkS) (width 0.1524)) + (fp_line (start -2.5 -13.97) (end -2.5 13.97) (layer B.SilkS) (width 0.1524)) + (pad 2 smd rect (at -2.73 12.7) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 1 smd rect (at 2.73 12.7) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 98 /hackrf_if/VBAT)) + (pad 4 smd rect (at -2.73 10.16) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 3 smd rect (at 2.73 10.16) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 6 smd rect (at -2.73 7.62) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 39 /hackrf_if/GPIO3_9)) + (pad 5 smd rect (at 2.73 7.62) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 37 /hackrf_if/GPIO3_8)) + (pad 8 smd rect (at -2.73 5.08) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 27 /hackrf_if/GPIO3_11)) + (pad 7 smd rect (at 2.73 5.08) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 25 /hackrf_if/GPIO3_10)) + (pad 10 smd rect (at -2.73 2.54) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 31 /hackrf_if/GPIO3_13)) + (pad 9 smd rect (at 2.73 2.54) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 29 /hackrf_if/GPIO3_12)) + (pad 12 smd rect (at -2.73 0) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 35 /hackrf_if/GPIO3_15)) + (pad 11 smd rect (at 2.73 0) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 33 /hackrf_if/GPIO3_14)) + (pad 14 smd rect (at -2.73 -2.54) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 96 /hackrf_if/TP_R)) + (pad 13 smd rect (at 2.73 -2.54) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 16 smd rect (at -2.73 -5.08) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 94 /hackrf_if/TP_D)) + (pad 15 smd rect (at 2.73 -5.08) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 18 smd rect (at -2.73 -7.62) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 95 /hackrf_if/TP_L)) + (pad 17 smd rect (at 2.73 -7.62) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 20 smd rect (at -2.73 -10.16) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 97 /hackrf_if/TP_U)) + (pad 19 smd rect (at 2.73 -10.16) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask) + (net 99 GND)) + (pad 22 smd rect (at -2.73 -12.7) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad 21 smd rect (at 2.73 -12.7) (size 3.18 1.02) (layers 4_bot B.Paste B.Mask)) + (pad "" np_thru_hole circle (at 0 11.43) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask) + (clearance 0.4)) + (pad "" np_thru_hole circle (at 0 -11.43) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask) + (clearance 0.4)) + ) + + (module ipc_qfp:IPC_QFP40P900X900X120-65N (layer 4_bot) (tedit 53FCB39E) (tstamp 53AA699D) + (at 97.6 132.8 270) + (path /53A8C780/53A8D11B) + (attr smd) + (fp_text reference U3 (at 0 0 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 5M40ZE64 (at 0 0 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_circle (center -5.6 3) (end -5.5 3) (layer B.SilkS) (width 0.15)) + (fp_line (start -5.2 5.2) (end -5.2 -5.2) (layer B.SilkS) (width 0.15)) + (fp_line (start -5.2 -5.2) (end 5.2 -5.2) (layer B.SilkS) (width 0.15)) + (fp_line (start 5.2 -5.2) (end 5.2 5.2) (layer B.SilkS) (width 0.15)) + (fp_line (start 5.2 5.2) (end -5.2 5.2) (layer B.SilkS) (width 0.15)) + (fp_line (start -3.1 3.1) (end -3.1 -3.1) (layer B.SilkS) (width 0.15)) + (fp_line (start -3.1 -3.1) (end 3.1 -3.1) (layer B.SilkS) (width 0.15)) + (fp_line (start 3.1 -3.1) (end 3.1 3.1) (layer B.SilkS) (width 0.15)) + (fp_line (start 3.1 3.1) (end -3.1 3.1) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -4.2 3 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 94 /hackrf_if/TP_D)) + (pad 2 smd rect (at -4.2 2.6 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 95 /hackrf_if/TP_L)) + (pad 3 smd rect (at -4.2 2.2 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 97 /hackrf_if/TP_U)) + (pad 4 smd rect (at -4.2 1.8 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 41 /hackrf_if/LCD_BACKLIGHT)) + (pad 5 smd rect (at -4.2 1.4 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask)) + (pad 6 smd rect (at -4.2 1 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 7 smd rect (at -4.2 0.6 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask)) + (pad 8 smd rect (at -4.2 0.2 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 9 smd rect (at -4.2 -0.2 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 89 /hackrf_if/SW_R)) + (pad 10 smd rect (at -4.2 -0.6 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 87 /hackrf_if/SW_D)) + (pad 11 smd rect (at -4.2 -1 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 90 /hackrf_if/SW_ROT_A)) + (pad 12 smd rect (at -4.2 -1.4 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 91 /hackrf_if/SW_ROT_B)) + (pad 13 smd rect (at -4.2 -1.8 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 92 /hackrf_if/SW_SEL)) + (pad 14 smd rect (at -4.2 -2.2 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 64 /hackrf_if/P1_8)) + (pad 15 smd rect (at -4.2 -2.6 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 24 /hackrf_if/CPLD_TDI)) + (pad 16 smd rect (at -4.2 -3 270) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 23 /hackrf_if/CPLD_TCK)) + (pad 17 smd rect (at -3 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 63 /hackrf_if/P1_5)) + (pad 18 smd rect (at -2.6 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 36 /hackrf_if/GPIO3_15_R)) + (pad 19 smd rect (at -2.2 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 34 /hackrf_if/GPIO3_14_R)) + (pad 20 smd rect (at -1.8 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 30 /hackrf_if/GPIO3_12_R)) + (pad 21 smd rect (at -1.4 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 32 /hackrf_if/GPIO3_13_R)) + (pad 22 smd rect (at -1 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 28 /hackrf_if/GPIO3_11_R)) + (pad 23 smd rect (at -0.6 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 2 +3.3V)) + (pad 24 smd rect (at -0.2 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 26 /hackrf_if/GPIO3_10_R)) + (pad 25 smd rect (at 0.2 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 93 /hackrf_if/SW_U)) + (pad 26 smd rect (at 0.6 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 38 /hackrf_if/GPIO3_8_R)) + (pad 27 smd rect (at 1 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 40 /hackrf_if/GPIO3_9_R)) + (pad 28 smd rect (at 1.4 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 88 /hackrf_if/SW_L)) + (pad 29 smd rect (at 1.8 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 79 /hackrf_if/RESET#)) + (pad 30 smd rect (at 2.2 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 74 /hackrf_if/P2_4_R)) + (pad 31 smd rect (at 2.6 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 72 /hackrf_if/P2_3_R)) + (pad 32 smd rect (at 3 -4.2 180) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 66 /hackrf_if/P2_0_R)) + (pad 33 smd rect (at 4.2 -3 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 70 /hackrf_if/P2_1_R)) + (pad 34 smd rect (at 4.2 -2.6 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 76 /hackrf_if/P2_8_R)) + (pad 35 smd rect (at 4.2 -2.2 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask)) + (pad 36 smd rect (at 4.2 -1.8 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask)) + (pad 37 smd rect (at 4.2 -1.4 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask)) + (pad 38 smd rect (at 4.2 -1 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 59 /hackrf_if/LCD_RESET#)) + (pad 39 smd rect (at 4.2 -0.6 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 40 smd rect (at 4.2 -0.2 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 78 /hackrf_if/P2_9_R)) + (pad 41 smd rect (at 4.2 0.2 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 42 smd rect (at 4.2 0.6 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 69 /hackrf_if/P2_13_R)) + (pad 43 smd rect (at 4.2 1 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 60 /hackrf_if/LCD_RS)) + (pad 44 smd rect (at 4.2 1.4 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 58 /hackrf_if/LCD_RD#)) + (pad 45 smd rect (at 4.2 1.8 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 62 /hackrf_if/LCD_WR#)) + (pad 46 smd rect (at 4.2 2.2 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 49 /hackrf_if/LCD_DB15)) + (pad 47 smd rect (at 4.2 2.6 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 48 /hackrf_if/LCD_DB14)) + (pad 48 smd rect (at 4.2 3 90) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 47 /hackrf_if/LCD_DB13)) + (pad 49 smd rect (at 3 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 46 /hackrf_if/LCD_DB12)) + (pad 50 smd rect (at 2.6 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 45 /hackrf_if/LCD_DB11)) + (pad 51 smd rect (at 2.2 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 44 /hackrf_if/LCD_DB10)) + (pad 52 smd rect (at 1.8 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 57 /hackrf_if/LCD_DB9)) + (pad 53 smd rect (at 1.4 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 56 /hackrf_if/LCD_DB8)) + (pad 54 smd rect (at 1 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 55 /hackrf_if/LCD_DB7)) + (pad 55 smd rect (at 0.6 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 54 /hackrf_if/LCD_DB6)) + (pad 56 smd rect (at 0.2 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 53 /hackrf_if/LCD_DB5)) + (pad 57 smd rect (at -0.2 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 1 +1.8V)) + (pad 58 smd rect (at -0.6 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 52 /hackrf_if/LCD_DB4)) + (pad 59 smd rect (at -1 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 51 /hackrf_if/LCD_DB3)) + (pad 60 smd rect (at -1.4 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 50 /hackrf_if/LCD_DB2)) + (pad 61 smd rect (at -1.8 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 43 /hackrf_if/LCD_DB1)) + (pad 62 smd rect (at -2.2 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 42 /hackrf_if/LCD_DB0)) + (pad 63 smd rect (at -2.6 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 61 /hackrf_if/LCD_TE)) + (pad 64 smd rect (at -3 4.2) (size 1.5 0.2) (layers 4_bot B.Paste B.Mask) + (net 96 /hackrf_if/TP_R)) + (pad 65 smd rect (at -1.375 1.375 270) (size 2.75 2.75) (layers 4_bot B.Paste B.Mask) + (net 99 GND) (solder_paste_margin_ratio -0.1)) + (pad 65 smd rect (at 1.375 1.375 270) (size 2.75 2.75) (layers 4_bot B.Paste B.Mask) + (net 99 GND) (solder_paste_margin_ratio -0.1)) + (pad 65 smd rect (at -1.375 -1.375 270) (size 2.75 2.75) (layers 4_bot B.Paste B.Mask) + (net 99 GND) (solder_paste_margin_ratio -0.1)) + (pad 65 smd rect (at 1.375 -1.375 270) (size 2.75 2.75) (layers 4_bot B.Paste B.Mask) + (net 99 GND) (solder_paste_margin_ratio -0.1)) + ) + + (gr_text 4 (at 77.8 167.4) (layer 4_bot) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (gr_text 3 (at 75.8 167.4) (layer 3_gnd) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (gr_text 2 (at 73.8 167.4) (layer 2_pwr) (tstamp 53AE501D) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (gr_text 1 (at 71.8 167.4) (layer 1_top) (tstamp 53AE4FEC) + (effects (font (size 1.5 1.5) (thickness 0.3072))) + ) + (gr_line (start 60 104) (end 60 121.5) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_line (start 60 171) (end 60 159) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_line (start 62 157) (end 67 157) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_line (start 68 156) (end 68 124.5) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_line (start 62 123.5) (end 67 123.5) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_arc (start 67 156) (end 68 156) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_arc (start 67 124.5) (end 67 123.5) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_arc (start 62 159) (end 60 159) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_arc (start 62 121.5) (end 62 123.5) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_line (start 180 171) (end 180 104) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_line (start 176 100) (end 64 100) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_line (start 64 175) (end 176 175) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_arc (start 176 171) (end 180 171) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_arc (start 176 104) (end 176 100) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_arc (start 64 171) (end 64 175) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_arc (start 64 104) (end 60 104) (angle 90) (layer Edge.Cuts) (width 0.381)) + (gr_line (start 87.94 126.74) (end 108.26 126.74) (layer Eco2.User) (width 0.1)) + (gr_line (start 108.26 126.74) (end 108.26 131.82) (layer Eco2.User) (width 0.1)) + (gr_line (start 108.26 131.82) (end 87.94 131.82) (layer Eco2.User) (width 0.1)) + (gr_line (start 87.94 131.82) (end 87.94 126.74) (layer Eco2.User) (width 0.1)) + + (segment (start 89.94958 132.6) (end 90.6 132.6) (width 0.4) (layer 4_bot) (net 1) (status 10)) + (via (at 90.6 132.6) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 90.6 132.6) (end 93.4 132.6) (width 0.2) (layer 4_bot) (net 1) (status 20)) + (segment (start 90.89916 125.7) (end 92 125.7) (width 0.4) (layer 4_bot) (net 1) (status 10)) + (via (at 92 125.7) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 90.89916 125.7) (end 90.6 125.99916) (width 0.4) (layer 4_bot) (net 1) (status 30)) + (via (at 90.6 126.9) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 90.6 125.99916) (end 90.6 126.9) (width 0.4) (layer 4_bot) (net 1) (tstamp 53B307A4) (status 10)) + (segment (start 90.89916 125.7) (end 90.6 125.40084) (width 0.4) (layer 4_bot) (net 1) (status 30)) + (via (at 90.6 124.5) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 90.6 125.40084) (end 90.6 124.5) (width 0.4) (layer 4_bot) (net 1) (tstamp 53B307A0) (status 10)) + (segment (start 90.89916 140.7) (end 90.6 140.99916) (width 0.4) (layer 4_bot) (net 1) (status 30)) + (via (at 90.6 141.9) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 90.6 140.99916) (end 90.6 141.9) (width 0.4) (layer 4_bot) (net 1) (tstamp 53B30742) (status 10)) + (segment (start 90.89916 140.7) (end 92 140.7) (width 0.4) (layer 4_bot) (net 1) (status 10)) + (via (at 92 140.7) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 90.89916 140.7) (end 90.6 140.40084) (width 0.4) (layer 4_bot) (net 1) (status 30)) + (via (at 90.6 139.5) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 90.6 140.40084) (end 90.6 139.5) (width 0.4) (layer 4_bot) (net 1) (tstamp 53B30737) (status 10)) + (segment (start 96.70084 144.8) (end 96.20084 145.3) (width 0.4) (layer 4_bot) (net 1) (status 30)) + (via (at 95.7 145.3) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 96.20084 145.3) (end 95.7 145.3) (width 0.4) (layer 4_bot) (net 1) (tstamp 53B305D4) (status 10)) + (segment (start 96.70084 144.8) (end 96.20084 144.3) (width 0.4) (layer 4_bot) (net 1) (status 30)) + (via (at 95.7 144.3) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 96.20084 144.3) (end 95.7 144.3) (width 0.4) (layer 4_bot) (net 1) (tstamp 53B305CD) (status 10)) + (segment (start 96.65126 146.85004) (end 96.65126 144.84958) (width 0.4) (layer 4_bot) (net 1) (status 30)) + (segment (start 96.65126 144.84958) (end 96.70084 144.8) (width 0.4) (layer 4_bot) (net 1) (tstamp 53AE1EC8) (status 30)) + (segment (start 97 126.4) (end 97 125.84958) (width 0.4) (layer 4_bot) (net 1) (status 20)) + (segment (start 97 125.84958) (end 97.2 125.64958) (width 0.4) (layer 4_bot) (net 1) (tstamp 53AB75C2) (status 30)) + (segment (start 97.4 128.6) (end 97.4 126.8) (width 0.2) (layer 4_bot) (net 1) (status 10)) + (via (at 97 126.4) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 97.4 126.8) (end 97 126.4) (width 0.2) (layer 4_bot) (net 1) (tstamp 53AB75B4)) + (segment (start 85.3 129.75) (end 84.45 129.75) (width 0.3) (layer 4_bot) (net 1) (status 10)) + (segment (start 84.45 129.75) (end 84.15 129.45) (width 0.3) (layer 4_bot) (net 1) (tstamp 53AA6CE3)) + (via (at 84.15 129.45) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 97 140.75042) (end 97 140) (width 0.4) (layer 4_bot) (net 1) (status 10)) + (segment (start 97.4 139.6) (end 97.4 137) (width 0.2) (layer 4_bot) (net 1) (status 20)) + (via (at 97 140) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 97.4 139.6) (end 97 140) (width 0.2) (layer 4_bot) (net 1) (tstamp 53AA6BB0)) + (segment (start 98.6 140.75042) (end 98.6 140) (width 0.4) (layer 4_bot) (net 1) (status 10)) + (segment (start 98.2 139.6) (end 98.6 140) (width 0.2) (layer 4_bot) (net 1) (tstamp 53AA6BFB)) + (via (at 98.6 140) (size 0.6985) (layers 1_top 4_bot) (net 1)) + (segment (start 98.2 139.6) (end 98.2 137) (width 0.2) (layer 4_bot) (net 1) (status 20)) + (segment (start 126.23 155.95) (end 126.23 156.98) (width 0.4) (layer 4_bot) (net 2)) + (via (at 126.25 157) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 126.23 156.98) (end 126.25 157) (width 0.4) (layer 4_bot) (net 2) (tstamp 53F39EBA)) + (segment (start 126.23 155.95) (end 128.4 155.95) (width 0.4) (layer 4_bot) (net 2)) + (via (at 128.4 155.95) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 126.23 155.95) (end 126.23 154.92) (width 0.4) (layer 4_bot) (net 2)) + (via (at 126.25 154.9) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 126.23 154.92) (end 126.25 154.9) (width 0.4) (layer 4_bot) (net 2) (tstamp 53F39EB2)) + (segment (start 174.49 153.41) (end 174.5 153.42) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 174.5 154.5) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 174.5 153.42) (end 174.5 154.5) (width 0.4) (layer 4_bot) (net 2) (tstamp 53F39D02) (status 10)) + (segment (start 174.49 153.41) (end 174.5 153.4) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (segment (start 174.5 153.4) (end 176.7 153.4) (width 0.4) (layer 4_bot) (net 2) (tstamp 53F39CFE) (status 10)) + (via (at 176.7 153.4) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (via (at 174.5 152.3) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 174.5 153.4) (end 174.5 152.3) (width 0.4) (layer 4_bot) (net 2) (tstamp 53F39CFA) (status 10)) + (segment (start 155.25 162.11) (end 156.39 162.11) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 156.4 162.1) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 156.39 162.11) (end 156.4 162.1) (width 0.4) (layer 4_bot) (net 2) (tstamp 53F39C36)) + (segment (start 155.25 162.11) (end 154.11 162.11) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 154.1 162.1) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 154.11 162.11) (end 154.1 162.1) (width 0.4) (layer 4_bot) (net 2) (tstamp 53F39C32)) + (segment (start 155.25 162.11) (end 155.25 159.95) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 155.2 159.9) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 155.25 159.95) (end 155.2 159.9) (width 0.4) (layer 4_bot) (net 2) (tstamp 53F39C2E)) + (segment (start 85.3 146.75) (end 84.15 146.75) (width 0.3) (layer 4_bot) (net 2) (status 10)) + (via (at 84.15 146.75) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 64.075 115.375) (end 64.1 115.4) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 65 115.4) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 64.1 115.4) (end 65 115.4) (width 0.4) (layer 4_bot) (net 2) (tstamp 53BC7166) (status 10)) + (segment (start 64.075 115.375) (end 64.05 115.4) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 63.1 115.4) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 64.05 115.4) (end 63.1 115.4) (width 0.4) (layer 4_bot) (net 2) (tstamp 53BC7164) (status 10)) + (segment (start 97.89916 121.3) (end 99 121.3) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 99 121.3) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 97.89916 121.3) (end 97.6 121.59916) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 97.6 122.5) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 97.6 121.59916) (end 97.6 122.5) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B3081C) (status 10)) + (segment (start 97.89916 121.3) (end 97.6 121.00084) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 97.6 120.1) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 97.6 121.00084) (end 97.6 120.1) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B30818) (status 10)) + (segment (start 99.34874 148.74996) (end 99.4 148.80122) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 99.4 149.5) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 99.4 148.80122) (end 99.4 149.5) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B30626) (status 10)) + (segment (start 99.34874 146.85004) (end 99.39954 146.90084) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (segment (start 99.39954 146.90084) (end 101.4 146.90084) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B3060D) (status 30)) + (segment (start 101.4 146.90084) (end 101.69916 147.2) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 102.6 147.2) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 101.69916 147.2) (end 102.6 147.2) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B30601) (status 10)) + (segment (start 101.4 146.90084) (end 101.4 145.9) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 101.4 145.9) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 124.39916 158.9) (end 124.1 159.19916) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 124.1 160.1) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 124.1 159.19916) (end 124.1 160.1) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B304F6) (status 10)) + (segment (start 124.39916 158.9) (end 125.5 158.9) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 125.5 158.9) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 124.39916 158.9) (end 124.1 158.60084) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 124.1 157.7) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 124.1 158.60084) (end 124.1 157.7) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B304EC) (status 10)) + (segment (start 75.30084 123.2) (end 75.6 123.49916) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 75.6 124.4) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 75.6 123.49916) (end 75.6 124.4) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B30349) (status 10)) + (segment (start 75.30084 123.2) (end 75.6 122.90084) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 75.6 122) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 75.6 122.90084) (end 75.6 122) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B30345) (status 10)) + (segment (start 165.2 153.50084) (end 165.2 152.4) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 165.2 152.4) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 165.2 153.50084) (end 165.49916 153.8) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 166.4 153.8) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 165.49916 153.8) (end 166.4 153.8) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B195B1) (status 10)) + (segment (start 165.2 153.50084) (end 164.90084 153.8) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 164 153.8) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 164.90084 153.8) (end 164 153.8) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B195AD) (status 10)) + (segment (start 154.30084 157.8) (end 153.1 157.8) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 153.1 157.8) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 154.30084 157.8) (end 154.6 157.50084) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 154.6 156.5) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 154.6 157.50084) (end 154.6 156.5) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B193C8) (status 10)) + (segment (start 154.30084 157.8) (end 154.6 158.09916) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 154.6 159.1) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 154.6 158.09916) (end 154.6 159.1) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B193B8) (status 10)) + (segment (start 105.55042 132.2) (end 104.8 132.2) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 104.8 132.2) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 104.8 132.2) (end 102.4 132.2) (width 0.2) (layer 4_bot) (net 2) (status 20)) + (segment (start 71.75042 122.8) (end 71.75042 123.54958) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 71.7 123.6) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 71.75042 123.54958) (end 71.7 123.6) (width 0.4) (layer 4_bot) (net 2) (tstamp 53AE3577)) + (segment (start 71.75042 122.8) (end 71.75042 122.05042) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 71.7 122) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 71.75042 122.05042) (end 71.7 122) (width 0.4) (layer 4_bot) (net 2) (tstamp 53AE3563)) + (segment (start 71.75042 122.8) (end 70.9 122.8) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 70.9 122.8) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 75.30084 123.2) (end 74.2 123.2) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 74.2 123.2) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 96 125.64958) (end 96 126.4) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (segment (start 96.6 127) (end 96.6 128.6) (width 0.2) (layer 4_bot) (net 2) (status 20)) + (via (at 96 126.4) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 96.6 127) (end 96 126.4) (width 0.2) (layer 4_bot) (net 2) (tstamp 53AB759B)) + (segment (start 85.3 145.75) (end 84.15 145.75) (width 0.3) (layer 4_bot) (net 2) (status 10)) + (via (at 84.15 145.75) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 135.89916 122) (end 137 122) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 137 122) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 135.89916 122) (end 135.6 121.70084) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 135.6 120.8) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 135.6 121.70084) (end 135.6 120.8) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B30424) (status 10)) + (segment (start 133.15042 119.8) (end 133 119.64958) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 133 119) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 133 119.64958) (end 133 119) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B3041C) (status 10)) + (segment (start 142.44958 119.8) (end 142.4 119.75042) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 142.4 119) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 142.4 119.75042) (end 142.4 119) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B30401) (status 10)) + (segment (start 144.6 117.49916) (end 144.30084 117.2) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 143.4 117.2) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 144.30084 117.2) (end 143.4 117.2) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B303F7) (status 10)) + (segment (start 144.6 117.49916) (end 144.89916 117.2) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 145.8 117.2) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 144.89916 117.2) (end 145.8 117.2) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B303F3) (status 10)) + (segment (start 144.6 110.50084) (end 144.30084 110.8) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 143.4 110.8) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 144.30084 110.8) (end 143.4 110.8) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B303DF) (status 10)) + (segment (start 144.6 110.50084) (end 144.89916 110.8) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 145.8 110.8) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 144.89916 110.8) (end 145.8 110.8) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B303DB) (status 10)) + (segment (start 141.55042 108.2) (end 141.4 108.35042) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 141.4 109) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 141.4 108.35042) (end 141.4 109) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B303D0) (status 10)) + (segment (start 130.2 117.49916) (end 130.49916 117.2) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 131.4 117.2) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 130.49916 117.2) (end 131.4 117.2) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B2F3E6) (status 10)) + (segment (start 130.2 117.49916) (end 129.90084 117.2) (width 0.4) (layer 4_bot) (net 2) (status 30)) + (via (at 129 117.2) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 129.90084 117.2) (end 129 117.2) (width 0.4) (layer 4_bot) (net 2) (tstamp 53B2F3E0) (status 10)) + (segment (start 130.2 117.49916) (end 130.2 118.6) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (via (at 130.2 118.6) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 137.07488 117.50012) (end 137.07488 118.97488) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (segment (start 137.07488 118.97488) (end 137.1 119) (width 0.4) (layer 4_bot) (net 2) (tstamp 53AE215A)) + (via (at 137.1 119) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 137.04958 119.05042) (end 137.04958 119.8) (width 0.4) (layer 4_bot) (net 2) (status 20)) + (segment (start 137.04958 119.05042) (end 137.1 119) (width 0.4) (layer 4_bot) (net 2) (tstamp 53ADF367)) + (via (at 141 112) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (via (at 132.4 117.5) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (via (at 142.4 117.5) (size 0.6985) (layers 1_top 4_bot) (net 2)) + (segment (start 140.97378 110.49988) (end 140.97378 111.97378) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (segment (start 140.97378 111.97378) (end 141 112) (width 0.4) (layer 4_bot) (net 2) (tstamp 53ADF184)) + (segment (start 133.17598 117.50012) (end 132.40012 117.50012) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (segment (start 132.40012 117.50012) (end 132.4 117.5) (width 0.4) (layer 4_bot) (net 2) (tstamp 53ADF172)) + (segment (start 141.62402 117.50012) (end 142.39988 117.50012) (width 0.4) (layer 4_bot) (net 2) (status 10)) + (segment (start 142.39988 117.50012) (end 142.4 117.5) (width 0.4) (layer 4_bot) (net 2) (tstamp 53ADF16E)) + (segment (start 148 159.35) (end 148 161.7) (width 0.2) (layer 4_bot) (net 3) (status 30)) + (segment (start 147.6 162.1) (end 147.63 162.11) (width 0.2) (layer 4_bot) (net 3) (tstamp 53F39CA0) (status 30)) + (segment (start 148 161.7) (end 147.6 162.1) (width 0.2) (layer 4_bot) (net 3) (tstamp 53F39C9F) (status 30)) + (segment (start 140 112) (end 140 114.5) (width 0.2) (layer 1_top) (net 4)) + (segment (start 140 114.5) (end 138.9 115.6) (width 0.2) (layer 1_top) (net 4) (tstamp 53AE06E3)) + (segment (start 147.1 156.5) (end 142.8 152.2) (width 0.2) (layer 1_top) (net 4)) + (segment (start 148 157.65) (end 147.35 157.65) (width 0.2) (layer 4_bot) (net 4) (status 10)) + (via (at 147.1 156.5) (size 0.6985) (layers 1_top 4_bot) (net 4)) + (segment (start 147.1 157.4) (end 147.1 156.5) (width 0.2) (layer 4_bot) (net 4) (tstamp 53ADE1E0)) + (segment (start 147.35 157.65) (end 147.1 157.4) (width 0.2) (layer 4_bot) (net 4) (tstamp 53ADE1D6)) + (segment (start 139.67584 111.67584) (end 140 112) (width 0.2) (layer 4_bot) (net 4) (tstamp 53AE03F8)) + (via (at 140 112) (size 0.6985) (layers 1_top 4_bot) (net 4)) + (segment (start 138.9 115.6) (end 138.9 122.6) (width 0.2) (layer 1_top) (net 4) (tstamp 53AE06F0)) + (segment (start 139.67584 111.67584) (end 139.67584 110.49988) (width 0.2) (layer 4_bot) (net 4) (status 20)) + (segment (start 142.8 126.5) (end 138.9 122.6) (width 0.2) (layer 1_top) (net 4) (tstamp 53AE0612)) + (segment (start 142.8 152.2) (end 142.8 126.5) (width 0.2) (layer 1_top) (net 4) (tstamp 53AE060C)) + (segment (start 138.37536 117.50012) (end 138.37536 119.97526) (width 0.2) (layer 4_bot) (net 5) (status 30)) + (segment (start 138.37536 119.97526) (end 138.5 120.0999) (width 0.2) (layer 4_bot) (net 5) (tstamp 53AE2A50) (status 30)) + (segment (start 148.9 159.35) (end 148.9 164.1) (width 0.2) (layer 4_bot) (net 6) (status 10)) + (segment (start 150.17 165.37) (end 150.17 167.365) (width 0.2) (layer 4_bot) (net 6) (tstamp 53ACCBE6) (status 20)) + (segment (start 148.9 164.1) (end 150.17 165.37) (width 0.2) (layer 4_bot) (net 6) (tstamp 53ACCBE4)) + (segment (start 140.3 116) (end 139.5 116.8) (width 0.2) (layer 1_top) (net 7)) + (segment (start 139.5 116.8) (end 139.5 122.1) (width 0.2) (layer 1_top) (net 7) (tstamp 53AE08D2)) + (segment (start 140.32608 117.50012) (end 140.32608 116.02608) (width 0.2) (layer 4_bot) (net 7) (status 10)) + (via (at 140.3 116) (size 0.6985) (layers 1_top 4_bot) (net 7)) + (segment (start 140.32608 116.02608) (end 140.3 116) (width 0.2) (layer 4_bot) (net 7) (tstamp 53AE0508)) + (segment (start 145.8 153.8) (end 148.5 156.5) (width 0.2) (layer 1_top) (net 7) (tstamp 53AE0607)) + (segment (start 145.8 128.4) (end 145.8 153.8) (width 0.2) (layer 1_top) (net 7) (tstamp 53AE0601)) + (segment (start 139.5 122.1) (end 145.8 128.4) (width 0.2) (layer 1_top) (net 7) (tstamp 53AE05FC)) + (segment (start 148.5 156.5) (end 148.5 156.4) (width 0.2) (layer 1_top) (net 7)) + (segment (start 148.9 156.9) (end 148.5 156.5) (width 0.2) (layer 4_bot) (net 7) (tstamp 53ACCC06)) + (via (at 148.5 156.5) (size 0.6985) (layers 1_top 4_bot) (net 7)) + (segment (start 148.9 157.65) (end 148.9 156.9) (width 0.2) (layer 4_bot) (net 7) (status 10)) + (segment (start 150.6 159.35) (end 152.05 159.35) (width 0.2) (layer 4_bot) (net 8) (status 10)) + (segment (start 152.71 160.01) (end 152.71 162.315) (width 0.2) (layer 4_bot) (net 8) (tstamp 53ACCBF5) (status 20)) + (segment (start 152.05 159.35) (end 152.71 160.01) (width 0.2) (layer 4_bot) (net 8) (tstamp 53ACCBF3)) + (segment (start 140.3 119.3) (end 141.6 120.6) (width 0.2) (layer 1_top) (net 9)) + (segment (start 151.25 157.65) (end 151.5 157.4) (width 0.2) (layer 4_bot) (net 9) (tstamp 53ADE1E6)) + (segment (start 151.5 157.4) (end 151.5 156.5) (width 0.2) (layer 4_bot) (net 9) (tstamp 53ADE1EA)) + (via (at 151.5 156.5) (size 0.6985) (layers 1_top 4_bot) (net 9)) + (segment (start 150.6 157.65) (end 151.25 157.65) (width 0.2) (layer 4_bot) (net 9) (status 10)) + (segment (start 139.67584 118.67584) (end 139.67584 117.50012) (width 0.2) (layer 4_bot) (net 9) (status 20)) + (via (at 140.3 119.3) (size 0.6985) (layers 1_top 4_bot) (net 9)) + (segment (start 139.67584 118.67584) (end 140.3 119.3) (width 0.2) (layer 4_bot) (net 9) (tstamp 53AE0538)) + (segment (start 153.2 154.8) (end 153.2 133.6) (width 0.2) (layer 1_top) (net 9) (tstamp 53AE081F)) + (segment (start 151.5 156.5) (end 153.2 154.8) (width 0.2) (layer 1_top) (net 9)) + (segment (start 141.6 122) (end 153.2 133.6) (width 0.2) (layer 1_top) (net 9) (tstamp 53AE084D)) + (segment (start 141.6 120.6) (end 141.6 122) (width 0.2) (layer 1_top) (net 9) (tstamp 53AE0849)) + (segment (start 149.7 159.35) (end 149.7 160.1) (width 0.2) (layer 4_bot) (net 10) (status 10)) + (segment (start 150.2 162.1) (end 150.17 162.11) (width 0.2) (layer 4_bot) (net 10) (tstamp 53F39C9D) (status 30)) + (segment (start 149.8 161.7) (end 150.2 162.1) (width 0.2) (layer 4_bot) (net 10) (tstamp 53F39C9C) (status 30)) + (segment (start 149.8 160.2) (end 149.8 161.7) (width 0.2) (layer 4_bot) (net 10) (tstamp 53F39C9B) (status 20)) + (segment (start 149.7 160.1) (end 149.8 160.2) (width 0.2) (layer 4_bot) (net 10) (tstamp 53F39C9A)) + (segment (start 149 155.4) (end 149 141.8) (width 0.2) (layer 1_top) (net 11)) + (segment (start 149 141.8) (end 146.4 139.2) (width 0.2) (layer 1_top) (net 11) (tstamp 53AE0866)) + (segment (start 150.1 156.5) (end 149 155.4) (width 0.2) (layer 1_top) (net 11)) + (segment (start 139.0256 119.0256) (end 139.0256 117.50012) (width 0.2) (layer 4_bot) (net 11) (status 20)) + (via (at 140.3 120.3) (size 0.6985) (layers 1_top 4_bot) (net 11)) + (segment (start 139.0256 119.0256) (end 140.3 120.3) (width 0.2) (layer 4_bot) (net 11) (tstamp 53AE054B)) + (segment (start 140.3 121.8) (end 140.3 120.3) (width 0.2) (layer 1_top) (net 11)) + (segment (start 146.4 127.9) (end 140.3 121.8) (width 0.2) (layer 1_top) (net 11) (tstamp 53AE05F4)) + (segment (start 146.4 139.2) (end 146.4 127.9) (width 0.2) (layer 1_top) (net 11) (tstamp 53AE0870)) + (segment (start 137.72512 117.50012) (end 137.72512 116.27488) (width 0.2) (layer 4_bot) (net 11) (status 10)) + (segment (start 137.72512 116.27488) (end 138 116) (width 0.2) (layer 4_bot) (net 11) (tstamp 53AE0542)) + (segment (start 138 116) (end 138.7 116) (width 0.2) (layer 4_bot) (net 11) (tstamp 53AE0543)) + (segment (start 138.7 116) (end 139.0256 116.3256) (width 0.2) (layer 4_bot) (net 11) (tstamp 53AE0544)) + (segment (start 139.0256 116.3256) (end 139.0256 117.50012) (width 0.2) (layer 4_bot) (net 11) (tstamp 53AE0548) (status 20)) + (segment (start 150.1 156.5) (end 150.1 156.4) (width 0.2) (layer 1_top) (net 11) (tstamp 53AE05F0)) + (segment (start 149.7 156.9) (end 150.1 156.5) (width 0.2) (layer 4_bot) (net 11) (tstamp 53ACCC13)) + (via (at 150.1 156.5) (size 0.6985) (layers 1_top 4_bot) (net 11)) + (segment (start 149.7 157.65) (end 149.7 156.9) (width 0.2) (layer 4_bot) (net 11) (status 10)) + (segment (start 151.0504 120) (end 149.8 120) (width 0.4) (layer 4_bot) (net 12) (status 30)) + (segment (start 148.5 116.7) (end 146.2 114.4) (width 0.4) (layer 4_bot) (net 12) (tstamp 53AE0081)) + (segment (start 146.2 114.4) (end 137.5 114.4) (width 0.4) (layer 4_bot) (net 12) (tstamp 53AE004D)) + (segment (start 137.5 114.4) (end 136.42464 115.47536) (width 0.4) (layer 4_bot) (net 12) (tstamp 53AE0050)) + (segment (start 136.42464 115.47536) (end 136.42464 117.50012) (width 0.4) (layer 4_bot) (net 12) (tstamp 53AE0052) (status 20)) + (segment (start 149.8 120) (end 148.5 118.7) (width 0.4) (layer 4_bot) (net 12) (tstamp 53AE0078) (status 10)) + (segment (start 148.5 118.7) (end 148.5 116.7) (width 0.4) (layer 4_bot) (net 12) (tstamp 53AE007C)) + (segment (start 136.42464 110.49988) (end 136.42464 111.97536) (width 0.4) (layer 4_bot) (net 13) (status 10)) + (segment (start 121.15006 114.04994) (end 121.15006 115.6) (width 0.4) (layer 4_bot) (net 13) (tstamp 53B08323) (status 20)) + (segment (start 122.2 113) (end 121.15006 114.04994) (width 0.4) (layer 4_bot) (net 13) (tstamp 53B08322)) + (segment (start 135.4 113) (end 122.2 113) (width 0.4) (layer 4_bot) (net 13) (tstamp 53B08321)) + (segment (start 136.42464 111.97536) (end 135.4 113) (width 0.4) (layer 4_bot) (net 13) (tstamp 53B08320)) + (segment (start 121.2 119.2) (end 103.4 119.2) (width 0.4) (layer 4_bot) (net 14)) + (segment (start 121.2 119.2) (end 126.601998 113.798002) (width 0.4) (layer 4_bot) (net 14) (tstamp 53B0857F)) + (segment (start 134.47392 117.50012) (end 134.47392 114.871922) (width 0.4) (layer 4_bot) (net 14) (status 10)) + (segment (start 133.4 113.798002) (end 126.601998 113.798002) (width 0.4) (layer 4_bot) (net 14) (tstamp 53B0834B)) + (segment (start 134.47392 114.871922) (end 133.4 113.798002) (width 0.4) (layer 4_bot) (net 14) (tstamp 53B0834A)) + (segment (start 98.15006 111.15006) (end 98.15006 109.8) (width 0.4) (layer 4_bot) (net 14) (tstamp 53B086D9) (status 20)) + (segment (start 99.2 112.2) (end 98.15006 111.15006) (width 0.4) (layer 4_bot) (net 14) (tstamp 53B086D8)) + (segment (start 100.8 112.2) (end 99.2 112.2) (width 0.4) (layer 4_bot) (net 14) (tstamp 53B086D7)) + (segment (start 101.8 113.2) (end 100.8 112.2) (width 0.4) (layer 4_bot) (net 14) (tstamp 53B086D6)) + (segment (start 101.8 117.6) (end 101.8 113.2) (width 0.4) (layer 4_bot) (net 14) (tstamp 53B086D4)) + (segment (start 103.4 119.2) (end 101.8 117.6) (width 0.4) (layer 4_bot) (net 14) (tstamp 53B086D2)) + (segment (start 135.5999 104.4) (end 135.5999 106.10094) (width 0.4) (layer 4_bot) (net 15) (status 30)) + (segment (start 135.5999 106.10094) (end 135.30084 106.4) (width 0.4) (layer 4_bot) (net 15) (tstamp 53AE01C9) (status 30)) + (segment (start 135.30084 106.4) (end 134.95042 106.75042) (width 0.4) (layer 4_bot) (net 15) (status 30)) + (segment (start 134.95042 106.75042) (end 134.95042 108.2) (width 0.4) (layer 4_bot) (net 15) (tstamp 53ADF19E) (status 30)) + (segment (start 134.95042 108.2) (end 134.47392 108.6765) (width 0.4) (layer 4_bot) (net 15) (status 10)) + (segment (start 134.47392 108.6765) (end 134.47392 110.49988) (width 0.4) (layer 4_bot) (net 15) (tstamp 53ADF192) (status 20)) + (segment (start 135.12416 110.49988) (end 135.12416 109.27584) (width 0.2) (layer 4_bot) (net 16) (status 10)) + (segment (start 137.7999 109) (end 138.5999 108.2) (width 0.2) (layer 4_bot) (net 16) (tstamp 53ADF668) (status 20)) + (segment (start 135.4 109) (end 137.7999 109) (width 0.2) (layer 4_bot) (net 16) (tstamp 53ADF667)) + (segment (start 135.12416 109.27584) (end 135.4 109) (width 0.2) (layer 4_bot) (net 16) (tstamp 53ADF664)) + (segment (start 151.0504 110) (end 149.8 110) (width 0.4) (layer 4_bot) (net 17) (status 30)) + (segment (start 149.8 110) (end 146.1 113.7) (width 0.4) (layer 4_bot) (net 17) (tstamp 53AE006E) (status 10)) + (segment (start 137.3 113.7) (end 135.7744 115.2256) (width 0.4) (layer 4_bot) (net 17) (tstamp 53AE0022)) + (segment (start 146.1 113.7) (end 137.3 113.7) (width 0.4) (layer 4_bot) (net 17) (tstamp 53AE0075)) + (segment (start 135.7744 117.50012) (end 135.7744 115.2256) (width 0.4) (layer 4_bot) (net 17) (status 10)) + (segment (start 135.7744 110.49988) (end 135.7744 111.6256) (width 0.4) (layer 4_bot) (net 18) (status 10)) + (segment (start 121.15006 111.15006) (end 121.15006 109.8) (width 0.4) (layer 4_bot) (net 18) (tstamp 53B0831E) (status 20)) + (segment (start 122.2 112.2) (end 121.15006 111.15006) (width 0.4) (layer 4_bot) (net 18) (tstamp 53B0831D)) + (segment (start 135.2 112.2) (end 122.2 112.2) (width 0.4) (layer 4_bot) (net 18) (tstamp 53B0831C)) + (segment (start 135.7744 111.6256) (end 135.2 112.2) (width 0.4) (layer 4_bot) (net 18) (tstamp 53B0831B)) + (segment (start 100.4 113.2) (end 101 113.8) (width 0.4) (layer 4_bot) (net 19)) + (segment (start 121.6 120) (end 103 120) (width 0.4) (layer 4_bot) (net 19)) + (segment (start 121.6 120) (end 127 114.6) (width 0.4) (layer 4_bot) (net 19) (tstamp 53B0858B)) + (segment (start 133.82622 117.50012) (end 133.82622 115.222224) (width 0.4) (layer 4_bot) (net 19) (status 10)) + (segment (start 133.203996 114.6) (end 127 114.6) (width 0.4) (layer 4_bot) (net 19) (tstamp 53B0834F)) + (segment (start 133.82622 115.222224) (end 133.203996 114.6) (width 0.4) (layer 4_bot) (net 19) (tstamp 53B0834E)) + (segment (start 101 118) (end 101 113.8) (width 0.4) (layer 4_bot) (net 19) (tstamp 53B086DD)) + (segment (start 103 120) (end 101 118) (width 0.4) (layer 4_bot) (net 19) (tstamp 53B086DB)) + (segment (start 98.15006 114.24994) (end 98.15006 115.6) (width 0.4) (layer 4_bot) (net 19) (tstamp 53B086E1) (status 20)) + (segment (start 99.2 113.2) (end 98.15006 114.24994) (width 0.4) (layer 4_bot) (net 19) (tstamp 53B086E0)) + (segment (start 100.4 113.2) (end 99.2 113.2) (width 0.4) (layer 4_bot) (net 19) (tstamp 53B086F3)) + (segment (start 138.8 166.8) (end 139.4 166.8) (width 0.2) (layer 1_top) (net 20)) + (segment (start 140.3 165.9) (end 140.3 146.2) (width 0.2) (layer 1_top) (net 20) (tstamp 53F39016)) + (segment (start 139.4 166.8) (end 140.3 165.9) (width 0.2) (layer 1_top) (net 20) (tstamp 53F39015)) + (segment (start 138.235 167.365) (end 138.8 166.8) (width 0.2) (layer 4_bot) (net 20) (tstamp 53ADEF58)) + (segment (start 137.47 167.365) (end 138.235 167.365) (width 0.2) (layer 4_bot) (net 20) (status 10)) + (segment (start 138.3 114.4) (end 138.3 123.1) (width 0.2) (layer 1_top) (net 20)) + (segment (start 138.9 144.8) (end 140.3 146.2) (width 0.2) (layer 1_top) (net 20) (tstamp 53AE075D)) + (segment (start 138.9 130.3) (end 138.9 144.8) (width 0.2) (layer 1_top) (net 20) (tstamp 53AE075B)) + (segment (start 140.5 128.7) (end 138.9 130.3) (width 0.2) (layer 1_top) (net 20) (tstamp 53AE0759)) + (segment (start 140.5 125.3) (end 140.5 128.7) (width 0.2) (layer 1_top) (net 20) (tstamp 53AE0757)) + (segment (start 138.3 123.1) (end 140.5 125.3) (width 0.2) (layer 1_top) (net 20) (tstamp 53AE0749)) + (segment (start 139.0256 110.49988) (end 139.0256 111.9256) (width 0.2) (layer 4_bot) (net 20) (status 10)) + (segment (start 139.1 113.6) (end 138.3 114.4) (width 0.2) (layer 1_top) (net 20) (tstamp 53AE0714)) + (segment (start 139.1 112) (end 139.1 113.6) (width 0.2) (layer 1_top) (net 20) (tstamp 53AE0713)) + (via (at 139.1 112) (size 0.6985) (layers 1_top 4_bot) (net 20)) + (segment (start 139.0256 111.9256) (end 139.1 112) (width 0.2) (layer 4_bot) (net 20) (tstamp 53AE0703)) + (via (at 138.8 166.8) (size 0.6985) (layers 1_top 4_bot) (net 20)) + (segment (start 138.8 167.8) (end 137.1 166.1) (width 0.2) (layer 1_top) (net 21)) + (segment (start 137.1 164.2) (end 139.6 161.7) (width 0.2) (layer 1_top) (net 21) (tstamp 53F3900F)) + (segment (start 137.1 166.1) (end 137.1 164.2) (width 0.2) (layer 1_top) (net 21) (tstamp 53F3900D)) + (segment (start 139.235 167.365) (end 140.01 167.365) (width 0.2) (layer 4_bot) (net 21) (status 20)) + (segment (start 139.235 167.365) (end 138.8 167.8) (width 0.2) (layer 4_bot) (net 21) (tstamp 53ADEF53)) + (segment (start 137.9 144.9) (end 137.9 130.1) (width 0.2) (layer 1_top) (net 21)) + (segment (start 139.6 146.6) (end 139.6 161.7) (width 0.2) (layer 1_top) (net 21) (tstamp 53AE07E2)) + (segment (start 137.9 144.9) (end 139.6 146.6) (width 0.2) (layer 1_top) (net 21) (tstamp 53AE2494)) + (segment (start 138.37536 112.32464) (end 137.8 112.9) (width 0.2) (layer 4_bot) (net 21) (tstamp 53AE08FF)) + (via (at 137.8 112.9) (size 0.6985) (layers 1_top 4_bot) (net 21)) + (segment (start 138.37536 110.49988) (end 138.37536 112.32464) (width 0.2) (layer 4_bot) (net 21) (status 10)) + (segment (start 137.9 130.1) (end 139.7 128.3) (width 0.2) (layer 1_top) (net 21) (tstamp 53AE2496)) + (segment (start 139.7 128.3) (end 139.7 125.6) (width 0.2) (layer 1_top) (net 21) (tstamp 53AE249C)) + (segment (start 139.7 125.6) (end 137.8 123.7) (width 0.2) (layer 1_top) (net 21) (tstamp 53AE249F)) + (segment (start 137.8 123.7) (end 137.8 112.9) (width 0.2) (layer 1_top) (net 21) (tstamp 53AE24A3)) + (via (at 138.8 167.8) (size 0.6985) (layers 1_top 4_bot) (net 21)) + (segment (start 133.82622 110.49988) (end 133.82622 109.22622) (width 0.4) (layer 4_bot) (net 22) (status 10)) + (segment (start 133.64958 109.04958) (end 133.64958 108.6) (width 0.4) (layer 4_bot) (net 22) (tstamp 53B303A3) (status 20)) + (segment (start 133.82622 109.22622) (end 133.64958 109.04958) (width 0.4) (layer 4_bot) (net 22) (tstamp 53B303A2)) + (segment (start 133.29916 106.8) (end 133.64958 107.15042) (width 0.4) (layer 4_bot) (net 22) (status 30)) + (segment (start 133.64958 107.15042) (end 133.64958 108.6) (width 0.4) (layer 4_bot) (net 22) (tstamp 53ADF19C) (status 30)) + (segment (start 126.025 135.63) (end 123.83 135.63) (width 0.2) (layer 4_bot) (net 23) (status 10)) + (segment (start 108.2 128.6) (end 100.6 128.6) (width 0.2) (layer 4_bot) (net 23) (tstamp 53AB7347) (status 20)) + (segment (start 114.25 134.65) (end 108.2 128.6) (width 0.2) (layer 4_bot) (net 23) (tstamp 53AB7345)) + (segment (start 122.85 134.65) (end 114.25 134.65) (width 0.2) (layer 4_bot) (net 23) (tstamp 53AB7343)) + (segment (start 123.83 135.63) (end 122.85 134.65) (width 0.2) (layer 4_bot) (net 23) (tstamp 53AB733F)) + (segment (start 126.23 133.09) (end 125.11 133.09) (width 0.2) (layer 4_bot) (net 24) (status 30)) + (segment (start 124.1 134.1) (end 114.3 134.1) (width 0.2) (layer 4_bot) (net 24) (tstamp 53AB7335)) + (segment (start 114.3 134.1) (end 107.6 127.4) (width 0.2) (layer 4_bot) (net 24) (tstamp 53AB7337)) + (segment (start 107.6 127.4) (end 100.4 127.4) (width 0.2) (layer 4_bot) (net 24) (tstamp 53AB7339)) + (segment (start 100.4 127.4) (end 100.2 127.6) (width 0.2) (layer 4_bot) (net 24) (tstamp 53AB733C)) + (segment (start 100.2 127.6) (end 100.2 128.6) (width 0.2) (layer 4_bot) (net 24) (tstamp 53AB733D) (status 20)) + (segment (start 125.11 133.09) (end 124.1 134.1) (width 0.2) (layer 4_bot) (net 24) (tstamp 53F39E10) (status 10)) + (segment (start 130.9 133.1) (end 126.2 133.1) (width 0.2) (layer 4_bot) (net 24) (status 20)) + (segment (start 138.5 121.7001) (end 138.5 122.6) (width 0.2) (layer 4_bot) (net 24) (status 10)) + (segment (start 138.3 123.2) (end 130.9 123.2) (width 0.2) (layer 4_bot) (net 24) (tstamp 53AE2874)) + (segment (start 138.5 122.6) (end 138.3 123.2) (width 0.2) (layer 4_bot) (net 24) (tstamp 53AE2871)) + (via (at 130.9 133.1) (size 0.6985) (layers 1_top 4_bot) (net 24)) + (segment (start 130.9 133.1) (end 130.9 123.2) (width 0.2) (layer 1_top) (net 24) (tstamp 53F39D68)) + (via (at 130.9 123.2) (size 0.6985) (layers 1_top 4_bot) (net 24)) + (segment (start 126.2 133.1) (end 126.23 133.09) (width 0.2) (layer 4_bot) (net 24) (tstamp 53F39D73) (status 30)) + (segment (start 165.45 149.2) (end 166.9 149.2) (width 0.2) (layer 4_bot) (net 25) (status 10)) + (segment (start 172.27 148.33) (end 174.285 148.33) (width 0.2) (layer 4_bot) (net 25) (tstamp 53AB182A) (status 20)) + (segment (start 171.3 149.3) (end 172.27 148.33) (width 0.2) (layer 4_bot) (net 25) (tstamp 53AB1828)) + (segment (start 167 149.3) (end 171.3 149.3) (width 0.2) (layer 4_bot) (net 25) (tstamp 53AB1827)) + (segment (start 166.9 149.2) (end 167 149.3) (width 0.2) (layer 4_bot) (net 25) (tstamp 53AB1826)) + (segment (start 140 147) (end 142.2 147) (width 0.2) (layer 4_bot) (net 26)) + (segment (start 163 149.2) (end 163.75 149.2) (width 0.2) (layer 4_bot) (net 26) (tstamp 53ACEC9C) (status 20)) + (segment (start 159.2 145.4) (end 163 149.2) (width 0.2) (layer 4_bot) (net 26) (tstamp 53ACEC8E)) + (segment (start 143.8 145.4) (end 159.2 145.4) (width 0.2) (layer 4_bot) (net 26) (tstamp 53ACEC8C)) + (segment (start 142.2 147) (end 143.8 145.4) (width 0.2) (layer 4_bot) (net 26) (tstamp 53ACEC89)) + (segment (start 135.25 142.25) (end 113.85 142.25) (width 0.2) (layer 4_bot) (net 26)) + (segment (start 104.2 132.6) (end 101.8 132.6) (width 0.2) (layer 4_bot) (net 26) (tstamp 53ACD0FF) (status 20)) + (segment (start 113.85 142.25) (end 104.2 132.6) (width 0.2) (layer 4_bot) (net 26) (tstamp 53ACD0FA)) + (segment (start 140 147) (end 135.25 142.25) (width 0.2) (layer 4_bot) (net 26) (tstamp 53ACEC87)) + (segment (start 165.45 148.3) (end 169.205 148.3) (width 0.2) (layer 4_bot) (net 27) (status 30)) + (segment (start 169.205 148.3) (end 169.235 148.33) (width 0.2) (layer 4_bot) (net 27) (tstamp 53AB1834) (status 30)) + (segment (start 135.9 141.7) (end 117.7 141.7) (width 0.2) (layer 4_bot) (net 28)) + (segment (start 163.1 148.3) (end 159.2 144.4) (width 0.2) (layer 4_bot) (net 28) (tstamp 53ACCFC4)) + (segment (start 159.2 144.4) (end 143.6 144.4) (width 0.2) (layer 4_bot) (net 28) (tstamp 53ACCFC6)) + (segment (start 143.6 144.4) (end 142 146) (width 0.2) (layer 4_bot) (net 28) (tstamp 53ACCFC8)) + (segment (start 142 146) (end 140.2 146) (width 0.2) (layer 4_bot) (net 28) (tstamp 53ACCFCE)) + (segment (start 140.2 146) (end 135.9 141.7) (width 0.2) (layer 4_bot) (net 28) (tstamp 53ACCFD0)) + (segment (start 163.75 148.3) (end 163.1 148.3) (width 0.2) (layer 4_bot) (net 28) (status 10)) + (segment (start 101.8 131.798002) (end 101.8 131.8) (width 0.2) (layer 4_bot) (net 28) (tstamp 53ACD04E) (status 30)) + (segment (start 103.603996 131.798002) (end 101.8 131.798002) (width 0.2) (layer 4_bot) (net 28) (tstamp 53ACD04B) (status 20)) + (segment (start 104.003996 131.398002) (end 103.603996 131.798002) (width 0.2) (layer 4_bot) (net 28) (tstamp 53ACD047)) + (segment (start 107.398002 131.398002) (end 104.003996 131.398002) (width 0.2) (layer 4_bot) (net 28) (tstamp 53ACD045)) + (segment (start 117.7 141.7) (end 107.398002 131.398002) (width 0.2) (layer 4_bot) (net 28) (tstamp 53ACD03B)) + (segment (start 165.45 144.9) (end 166.9 144.9) (width 0.2) (layer 4_bot) (net 29) (status 10)) + (segment (start 172.29 145.79) (end 174.285 145.79) (width 0.2) (layer 4_bot) (net 29) (tstamp 53AB181F) (status 20)) + (segment (start 171.3 144.8) (end 172.29 145.79) (width 0.2) (layer 4_bot) (net 29) (tstamp 53AB181D)) + (segment (start 167 144.8) (end 171.3 144.8) (width 0.2) (layer 4_bot) (net 29) (tstamp 53AB181C)) + (segment (start 166.9 144.9) (end 167 144.8) (width 0.2) (layer 4_bot) (net 29) (tstamp 53AB181B)) + (segment (start 139.95 139.15) (end 143.2 142.4) (width 0.2) (layer 4_bot) (net 30)) + (segment (start 101.8 131) (end 103.2 131) (width 0.2) (layer 4_bot) (net 30) (status 10)) + (segment (start 103.2 131) (end 103.6 130.6) (width 0.2) (layer 4_bot) (net 30) (tstamp 53AB662D)) + (segment (start 139.95 139.15) (end 116.35 139.15) (width 0.2) (layer 4_bot) (net 30) (tstamp 53AB199E)) + (segment (start 116.35 139.15) (end 107.8 130.6) (width 0.2) (layer 4_bot) (net 30) (tstamp 53AB19A1)) + (segment (start 103.6 130.6) (end 107.8 130.6) (width 0.2) (layer 4_bot) (net 30)) + (segment (start 162.1 144.9) (end 163.75 144.9) (width 0.2) (layer 4_bot) (net 30) (tstamp 53ACCFAB) (status 20)) + (segment (start 159.6 142.4) (end 162.1 144.9) (width 0.2) (layer 4_bot) (net 30) (tstamp 53ACCFA9)) + (segment (start 143.2 142.4) (end 159.6 142.4) (width 0.2) (layer 4_bot) (net 30) (tstamp 53ACCFA5)) + (segment (start 165.45 145.8) (end 169.225 145.8) (width 0.2) (layer 4_bot) (net 31) (status 30)) + (segment (start 169.225 145.8) (end 169.235 145.79) (width 0.2) (layer 4_bot) (net 31) (tstamp 53AB1824) (status 30)) + (segment (start 139.3 139.7) (end 143 143.4) (width 0.2) (layer 4_bot) (net 32)) + (segment (start 103.8 131) (end 107.6 131) (width 0.2) (layer 4_bot) (net 32) (tstamp 53AB6D30)) + (segment (start 101.8 131.4) (end 103.4 131.4) (width 0.2) (layer 4_bot) (net 32) (status 10)) + (segment (start 116.3 139.7) (end 107.6 131) (width 0.2) (layer 4_bot) (net 32) (tstamp 53AB198A)) + (segment (start 116.3 139.7) (end 139.3 139.7) (width 0.2) (layer 4_bot) (net 32)) + (segment (start 103.4 131.4) (end 103.8 131) (width 0.2) (layer 4_bot) (net 32)) + (segment (start 161.8 145.8) (end 163.75 145.8) (width 0.2) (layer 4_bot) (net 32) (tstamp 53ACCFBA) (status 20)) + (segment (start 159.4 143.4) (end 161.8 145.8) (width 0.2) (layer 4_bot) (net 32) (tstamp 53ACCFB8)) + (segment (start 143 143.4) (end 159.4 143.4) (width 0.2) (layer 4_bot) (net 32) (tstamp 53ACCFB3)) + (segment (start 101.8 131.398002) (end 101.8 131.4) (width 0.2) (layer 4_bot) (net 32) (tstamp 53AB250F) (status 30)) + (segment (start 101.801998 131.4) (end 101.8 131.398002) (width 0.2) (layer 4_bot) (net 32) (tstamp 53AB250E) (status 30)) + (segment (start 165.45 144.1) (end 166.9 144.1) (width 0.2) (layer 4_bot) (net 33) (status 10)) + (segment (start 172.25 143.25) (end 174.285 143.25) (width 0.2) (layer 4_bot) (net 33) (tstamp 53AB1818) (status 20)) + (segment (start 171.3 144.2) (end 172.25 143.25) (width 0.2) (layer 4_bot) (net 33) (tstamp 53AB1816)) + (segment (start 167 144.2) (end 171.3 144.2) (width 0.2) (layer 4_bot) (net 33) (tstamp 53AB1815)) + (segment (start 166.9 144.1) (end 167 144.2) (width 0.2) (layer 4_bot) (net 33) (tstamp 53AB1814)) + (segment (start 143.4 137.2) (end 147.6 141.4) (width 0.2) (layer 4_bot) (net 34)) + (segment (start 103.401998 130.198002) (end 107.998002 130.198002) (width 0.2) (layer 4_bot) (net 34) (tstamp 53AB6D23)) + (segment (start 101.8 130.6) (end 103 130.6) (width 0.2) (layer 4_bot) (net 34) (status 10)) + (segment (start 115 137.2) (end 143.4 137.2) (width 0.2) (layer 4_bot) (net 34) (tstamp 53AB6414)) + (segment (start 107.998002 130.198002) (end 115 137.2) (width 0.2) (layer 4_bot) (net 34) (tstamp 53AB6411)) + (segment (start 103 130.6) (end 103.401998 130.198002) (width 0.2) (layer 4_bot) (net 34)) + (segment (start 162.5 144.1) (end 163.75 144.1) (width 0.2) (layer 4_bot) (net 34) (tstamp 53ACCF98) (status 20)) + (segment (start 159.8 141.4) (end 162.5 144.1) (width 0.2) (layer 4_bot) (net 34) (tstamp 53ACCF96)) + (segment (start 147.6 141.4) (end 159.8 141.4) (width 0.2) (layer 4_bot) (net 34) (tstamp 53ACCF8F)) + (segment (start 101.8 130.598002) (end 101.8 130.6) (width 0.2) (layer 4_bot) (net 34) (tstamp 53AB2508) (status 30)) + (segment (start 101.801998 130.6) (end 101.8 130.598002) (width 0.2) (layer 4_bot) (net 34) (tstamp 53AB2507) (status 30)) + (segment (start 101.8 130.601998) (end 101.8 130.6) (width 0.2) (layer 4_bot) (net 34) (tstamp 53AB19B4) (status 30)) + (segment (start 165.45 143.2) (end 169.185 143.2) (width 0.2) (layer 4_bot) (net 35) (status 30)) + (segment (start 169.185 143.2) (end 169.235 143.25) (width 0.2) (layer 4_bot) (net 35) (tstamp 53AB1822) (status 30)) + (segment (start 147.8 140.4) (end 160 140.4) (width 0.2) (layer 4_bot) (net 36)) + (segment (start 160 140.4) (end 162.8 143.2) (width 0.2) (layer 4_bot) (net 36) (tstamp 53ACCF7E)) + (segment (start 102.8 130.2) (end 103.2 129.8) (width 0.2) (layer 4_bot) (net 36)) + (segment (start 147.8 140.4) (end 144.05 136.65) (width 0.2) (layer 4_bot) (net 36) (tstamp 53ACCF7C)) + (segment (start 144.05 136.65) (end 115.05 136.65) (width 0.2) (layer 4_bot) (net 36) (tstamp 53AB63D9)) + (segment (start 115.05 136.65) (end 108.2 129.8) (width 0.2) (layer 4_bot) (net 36) (tstamp 53AB63DE)) + (segment (start 163.75 143.2) (end 162.8 143.2) (width 0.2) (layer 4_bot) (net 36) (status 10)) + (segment (start 102.8 130.2) (end 101.8 130.2) (width 0.2) (layer 4_bot) (net 36) (status 20)) + (segment (start 103.2 129.8) (end 108.2 129.8) (width 0.2) (layer 4_bot) (net 36) (tstamp 53AB6D1D)) + (segment (start 165.45 150) (end 166.9 150) (width 0.2) (layer 4_bot) (net 37) (status 10)) + (segment (start 172.27 150.87) (end 174.285 150.87) (width 0.2) (layer 4_bot) (net 37) (tstamp 53AB1831) (status 20)) + (segment (start 171.3 149.9) (end 172.27 150.87) (width 0.2) (layer 4_bot) (net 37) (tstamp 53AB182F)) + (segment (start 167 149.9) (end 171.3 149.9) (width 0.2) (layer 4_bot) (net 37) (tstamp 53AB182E)) + (segment (start 166.9 150) (end 167 149.9) (width 0.2) (layer 4_bot) (net 37) (tstamp 53AB182D)) + (segment (start 101.8 133.4) (end 103.8 133.4) (width 0.2) (layer 4_bot) (net 38) (status 10)) + (segment (start 161.2 150) (end 163.75 150) (width 0.2) (layer 4_bot) (net 38) (tstamp 53ACD1A6) (status 20)) + (segment (start 160.4 150.8) (end 161.2 150) (width 0.2) (layer 4_bot) (net 38) (tstamp 53ACD1A4)) + (segment (start 135.2 150.8) (end 160.4 150.8) (width 0.2) (layer 4_bot) (net 38) (tstamp 53ACD19E)) + (segment (start 129.2 144.8) (end 135.2 150.8) (width 0.2) (layer 4_bot) (net 38) (tstamp 53ACD19B)) + (segment (start 115.2 144.8) (end 129.2 144.8) (width 0.2) (layer 4_bot) (net 38) (tstamp 53ACD198)) + (segment (start 103.8 133.4) (end 115.2 144.8) (width 0.2) (layer 4_bot) (net 38) (tstamp 53ACD192)) + (segment (start 165.45 150.9) (end 169.205 150.9) (width 0.2) (layer 4_bot) (net 39) (status 30)) + (segment (start 169.205 150.9) (end 169.235 150.87) (width 0.2) (layer 4_bot) (net 39) (tstamp 53AB1836) (status 30)) + (segment (start 101.8 133.8) (end 103.6 133.8) (width 0.2) (layer 4_bot) (net 40) (status 10)) + (segment (start 161.7 150.9) (end 163.75 150.9) (width 0.2) (layer 4_bot) (net 40) (tstamp 53ACEBB4) (status 20)) + (segment (start 161.2 151.4) (end 161.7 150.9) (width 0.2) (layer 4_bot) (net 40) (tstamp 53ACEBAE)) + (segment (start 134.6 151.4) (end 161.2 151.4) (width 0.2) (layer 4_bot) (net 40) (tstamp 53ACEBAC)) + (segment (start 130 146.8) (end 134.6 151.4) (width 0.2) (layer 4_bot) (net 40) (tstamp 53ACEBAA)) + (segment (start 116.6 146.8) (end 130 146.8) (width 0.2) (layer 4_bot) (net 40) (tstamp 53ACEBA8)) + (segment (start 103.6 133.8) (end 116.6 146.8) (width 0.2) (layer 4_bot) (net 40) (tstamp 53ACEBA3)) + (segment (start 94.6 147.4999) (end 94.6 142.8) (width 0.2) (layer 4_bot) (net 41) (status 10)) + (segment (start 95.8 127.2) (end 95.8 128.6) (width 0.2) (layer 4_bot) (net 41) (tstamp 53C5B96D) (status 20)) + (segment (start 95 126.4) (end 95.8 127.2) (width 0.2) (layer 4_bot) (net 41) (tstamp 53C5B96C)) + (segment (start 95 125.8) (end 95 126.4) (width 0.2) (layer 4_bot) (net 41) (tstamp 53C5B96B)) + (via (at 95 125.8) (size 0.6985) (layers 1_top 4_bot) (net 41)) + (segment (start 93 125.8) (end 95 125.8) (width 0.2) (layer 1_top) (net 41) (tstamp 53C5B968)) + (segment (start 92 126.8) (end 93 125.8) (width 0.2) (layer 1_top) (net 41) (tstamp 53C5B966)) + (segment (start 92 129) (end 92 126.8) (width 0.2) (layer 1_top) (net 41) (tstamp 53C5B964)) + (segment (start 94.6 131.6) (end 92 129) (width 0.2) (layer 1_top) (net 41) (tstamp 53C5B962)) + (segment (start 94.6 142.8) (end 94.6 131.6) (width 0.2) (layer 1_top) (net 41) (tstamp 53C5B961)) + (via (at 94.6 142.8) (size 0.6985) (layers 1_top 4_bot) (net 41)) + (segment (start 94.6 147.4999) (end 92.7999 147.4999) (width 0.2) (layer 4_bot) (net 41) (status 30)) + (segment (start 92.75 147.45) (end 92.75062 147.45004) (width 0.2) (layer 4_bot) (net 41) (tstamp 53C5B959) (status 30)) + (segment (start 92.7999 147.4999) (end 92.75 147.45) (width 0.2) (layer 4_bot) (net 41) (tstamp 53C5B958) (status 30)) + (segment (start 93.4 130.6) (end 92 130.6) (width 0.2) (layer 4_bot) (net 42) (status 10)) + (segment (start 86.15 131.25) (end 85.3 131.25) (width 0.2) (layer 4_bot) (net 42) (tstamp 53AA68F1) (status 20)) + (segment (start 87.198002 130.201998) (end 86.15 131.25) (width 0.2) (layer 4_bot) (net 42) (tstamp 53AA68EF)) + (segment (start 91.601998 130.201998) (end 87.198002 130.201998) (width 0.2) (layer 4_bot) (net 42) (tstamp 53AA68EE)) + (segment (start 92 130.6) (end 91.601998 130.201998) (width 0.2) (layer 4_bot) (net 42) (tstamp 53AA68ED)) + (segment (start 93.4 131) (end 91.8 131) (width 0.2) (layer 4_bot) (net 43) (status 10)) + (segment (start 86.25 131.75) (end 85.3 131.75) (width 0.2) (layer 4_bot) (net 43) (tstamp 53AA68EA) (status 20)) + (segment (start 87.4 130.6) (end 86.25 131.75) (width 0.2) (layer 4_bot) (net 43) (tstamp 53AA68E8)) + (segment (start 91.4 130.6) (end 87.4 130.6) (width 0.2) (layer 4_bot) (net 43) (tstamp 53AA68E7)) + (segment (start 91.8 131) (end 91.4 130.6) (width 0.2) (layer 4_bot) (net 43) (tstamp 53AA68E6)) + (segment (start 93.4 135) (end 92.2 135) (width 0.2) (layer 4_bot) (net 44) (status 10)) + (segment (start 90.95 136.25) (end 85.3 136.25) (width 0.2) (layer 4_bot) (net 44) (tstamp 53AA6AE9) (status 20)) + (segment (start 92.2 135) (end 90.95 136.25) (width 0.2) (layer 4_bot) (net 44) (tstamp 53AA6AE7)) + (segment (start 93.4 135.4) (end 92.4 135.4) (width 0.2) (layer 4_bot) (net 45) (status 10)) + (segment (start 91.05 136.75) (end 85.3 136.75) (width 0.2) (layer 4_bot) (net 45) (tstamp 53AA6B4A) (status 20)) + (segment (start 92.4 135.4) (end 91.05 136.75) (width 0.2) (layer 4_bot) (net 45) (tstamp 53AA6B48)) + (segment (start 93.4 135.8) (end 93.4 136.2) (width 0.2) (layer 4_bot) (net 46) (status 10)) + (segment (start 92.35 137.25) (end 85.3 137.25) (width 0.2) (layer 4_bot) (net 46) (tstamp 53AA6B4E) (status 20)) + (segment (start 93.4 136.2) (end 92.35 137.25) (width 0.2) (layer 4_bot) (net 46) (tstamp 53AA6B4D)) + (segment (start 85.3 137.75) (end 93.45 137.75) (width 0.2) (layer 4_bot) (net 47) (status 10)) + (segment (start 94.2 137) (end 94.6 137) (width 0.2) (layer 4_bot) (net 47) (tstamp 53AA6B55) (status 20)) + (segment (start 93.45 137.75) (end 94.2 137) (width 0.2) (layer 4_bot) (net 47) (tstamp 53AA6B53)) + (segment (start 85.3 138.25) (end 94.75 138.25) (width 0.2) (layer 4_bot) (net 48) (status 10)) + (segment (start 95 138) (end 95 137) (width 0.2) (layer 4_bot) (net 48) (tstamp 53AA6B58) (status 20)) + (segment (start 94.75 138.25) (end 95 138) (width 0.2) (layer 4_bot) (net 48) (tstamp 53AA6B57)) + (segment (start 95.4 137) (end 95.4 138.2) (width 0.2) (layer 4_bot) (net 49) (status 10)) + (segment (start 94.85 138.75) (end 85.3 138.75) (width 0.2) (layer 4_bot) (net 49) (status 20)) + (segment (start 95.4 138.2) (end 94.85 138.75) (width 0.2) (layer 4_bot) (net 49) (tstamp 53AE60BF)) + (segment (start 93.4 131.4) (end 91.6 131.4) (width 0.2) (layer 4_bot) (net 50) (status 10)) + (segment (start 86.35 132.25) (end 85.3 132.25) (width 0.2) (layer 4_bot) (net 50) (tstamp 53AA68E3) (status 20)) + (segment (start 87.596004 131.003996) (end 86.35 132.25) (width 0.2) (layer 4_bot) (net 50) (tstamp 53AA68E1)) + (segment (start 91.203996 131.003996) (end 87.596004 131.003996) (width 0.2) (layer 4_bot) (net 50) (tstamp 53AA68E0)) + (segment (start 91.6 131.4) (end 91.203996 131.003996) (width 0.2) (layer 4_bot) (net 50) (tstamp 53AA68DF)) + (segment (start 85.3 132.75) (end 86.45 132.75) (width 0.2) (layer 4_bot) (net 51) (status 10)) + (segment (start 91.4 131.8) (end 93.4 131.8) (width 0.2) (layer 4_bot) (net 51) (tstamp 53AA69D4) (status 20)) + (segment (start 91.001998 131.401998) (end 91.4 131.8) (width 0.2) (layer 4_bot) (net 51) (tstamp 53AA69D3)) + (segment (start 87.798002 131.401998) (end 91.001998 131.401998) (width 0.2) (layer 4_bot) (net 51) (tstamp 53AA69D1)) + (segment (start 86.45 132.75) (end 87.798002 131.401998) (width 0.2) (layer 4_bot) (net 51) (tstamp 53AA69CF)) + (segment (start 93.4 132.2) (end 91.2 132.2) (width 0.2) (layer 4_bot) (net 52) (status 10)) + (segment (start 91.2 132.2) (end 90.8 131.8) (width 0.2) (layer 4_bot) (net 52) (tstamp 53AA68D2)) + (segment (start 90.8 131.8) (end 88 131.8) (width 0.2) (layer 4_bot) (net 52) (tstamp 53AA68D3)) + (segment (start 88 131.8) (end 86.55 133.25) (width 0.2) (layer 4_bot) (net 52) (tstamp 53AA68D4)) + (segment (start 86.55 133.25) (end 85.3 133.25) (width 0.2) (layer 4_bot) (net 52) (tstamp 53AA68D5) (status 20)) + (segment (start 93.4 133) (end 91.2 133) (width 0.2) (layer 4_bot) (net 53) (status 10)) + (segment (start 90.45 133.75) (end 85.3 133.75) (width 0.2) (layer 4_bot) (net 53) (tstamp 53AA6AD1) (status 20)) + (segment (start 91.2 133) (end 90.45 133.75) (width 0.2) (layer 4_bot) (net 53) (tstamp 53AA6AD0)) + (segment (start 93.4 133.4) (end 91.4 133.4) (width 0.2) (layer 4_bot) (net 54) (status 10)) + (segment (start 90.55 134.25) (end 85.3 134.25) (width 0.2) (layer 4_bot) (net 54) (tstamp 53AA6AD5) (status 20)) + (segment (start 91.4 133.4) (end 90.55 134.25) (width 0.2) (layer 4_bot) (net 54) (tstamp 53AA6AD3)) + (segment (start 93.4 133.8) (end 91.6 133.8) (width 0.2) (layer 4_bot) (net 55) (status 10)) + (segment (start 90.65 134.75) (end 85.3 134.75) (width 0.2) (layer 4_bot) (net 55) (tstamp 53AA6ADA) (status 20)) + (segment (start 91.6 133.8) (end 90.65 134.75) (width 0.2) (layer 4_bot) (net 55) (tstamp 53AA6AD8)) + (segment (start 93.4 134.2) (end 91.8 134.2) (width 0.2) (layer 4_bot) (net 56) (status 10)) + (segment (start 90.75 135.25) (end 85.3 135.25) (width 0.2) (layer 4_bot) (net 56) (tstamp 53AA6ADF) (status 20)) + (segment (start 91.8 134.2) (end 90.75 135.25) (width 0.2) (layer 4_bot) (net 56) (tstamp 53AA6ADD)) + (segment (start 93.4 134.6) (end 92 134.6) (width 0.2) (layer 4_bot) (net 57) (status 10)) + (segment (start 90.85 135.75) (end 85.3 135.75) (width 0.2) (layer 4_bot) (net 57) (tstamp 53AA6AE4) (status 20)) + (segment (start 92 134.6) (end 90.85 135.75) (width 0.2) (layer 4_bot) (net 57) (tstamp 53AA6AE2)) + (segment (start 85.3 144.25) (end 90.55 144.25) (width 0.2) (layer 4_bot) (net 58) (status 10)) + (segment (start 96.198002 137.003996) (end 96.2 137) (width 0.2) (layer 4_bot) (net 58) (tstamp 53AB3F5C) (status 30)) + (segment (start 96.198002 138.601998) (end 96.198002 137.003996) (width 0.2) (layer 4_bot) (net 58) (tstamp 53AB3F5A) (status 20)) + (segment (start 90.55 144.25) (end 96.198002 138.601998) (width 0.2) (layer 4_bot) (net 58) (tstamp 53AB3F56)) + (segment (start 87.8 145.5999) (end 86.9999 145.5999) (width 0.2) (layer 4_bot) (net 59) (status 10)) + (segment (start 86.65 145.25) (end 85.3 145.25) (width 0.2) (layer 4_bot) (net 59) (tstamp 53AB4AEC) (status 20)) + (segment (start 86.9999 145.5999) (end 86.65 145.25) (width 0.2) (layer 4_bot) (net 59) (tstamp 53AB4AEA)) + (segment (start 87.8 145.5999) (end 87.7999 145.6) (width 0.2) (layer 4_bot) (net 59) (status 30)) + (via (at 95.4 141) (size 0.6985) (layers 1_top 4_bot) (net 59)) + (segment (start 95.4 141) (end 99.6 141) (width 0.2) (layer 1_top) (net 59) (tstamp 53AB499D)) + (via (at 99.6 141) (size 0.6985) (layers 1_top 4_bot) (net 59)) + (segment (start 99.6 141) (end 99.6 140) (width 0.2) (layer 4_bot) (net 59) (tstamp 53AB49A5)) + (segment (start 99.6 140) (end 98.6 139) (width 0.2) (layer 4_bot) (net 59) (tstamp 53AB49A6)) + (segment (start 98.6 139) (end 98.6 137) (width 0.2) (layer 4_bot) (net 59) (tstamp 53AB49A8) (status 20)) + (segment (start 90.8 145.6) (end 95.4 141) (width 0.2) (layer 4_bot) (net 59) (tstamp 53AB4A23)) + (segment (start 87.7999 145.6) (end 90.8 145.6) (width 0.2) (layer 4_bot) (net 59) (tstamp 53AB4A17) (status 10)) + (segment (start 96.6 137) (end 96.6 138.8) (width 0.2) (layer 4_bot) (net 60) (status 10)) + (segment (start 90.65 144.75) (end 85.3 144.75) (width 0.2) (layer 4_bot) (net 60) (tstamp 53AB3F93) (status 20)) + (segment (start 96.6 138.8) (end 90.65 144.75) (width 0.2) (layer 4_bot) (net 60) (tstamp 53AB3F8F)) + (segment (start 85.3 130.75) (end 86.05 130.75) (width 0.2) (layer 4_bot) (net 61) (status 10)) + (segment (start 92.2 130.2) (end 93.4 130.2) (width 0.2) (layer 4_bot) (net 61) (tstamp 53AA68F8) (status 20)) + (segment (start 91.8 129.8) (end 92.2 130.2) (width 0.2) (layer 4_bot) (net 61) (tstamp 53AA68F7)) + (segment (start 87 129.8) (end 91.8 129.8) (width 0.2) (layer 4_bot) (net 61) (tstamp 53AA68F5)) + (segment (start 86.05 130.75) (end 87 129.8) (width 0.2) (layer 4_bot) (net 61) (tstamp 53AA68F4)) + (segment (start 95.8 137) (end 95.8 138.4) (width 0.2) (layer 4_bot) (net 62) (status 10)) + (segment (start 91.45 142.75) (end 95.8 138.4) (width 0.2) (layer 4_bot) (net 62) (tstamp 53AB3F4C)) + (segment (start 91.45 142.75) (end 85.3 142.75) (width 0.2) (layer 4_bot) (net 62) (status 20)) + (segment (start 120.77 145.79) (end 118.61 145.79) (width 0.2) (layer 4_bot) (net 63) (status 10)) + (segment (start 108.2 129.2) (end 114.6 135.6) (width 0.2) (layer 4_bot) (net 63)) + (segment (start 101.8 129.4) (end 101.8 129.8) (width 0.2) (layer 4_bot) (net 63) (status 20)) + (segment (start 101.8 129.4) (end 102 129.2) (width 0.2) (layer 4_bot) (net 63) (tstamp 53AB7382)) + (segment (start 102 129.2) (end 108.2 129.2) (width 0.2) (layer 4_bot) (net 63) (tstamp 53AB7384)) + (segment (start 114.6 135.6) (end 117.8 135.6) (width 0.2) (layer 4_bot) (net 63) (tstamp 53ACCDD8)) + (via (at 117.8 135.6) (size 0.6985) (layers 1_top 4_bot) (net 63)) + (segment (start 117.8 145) (end 117.8 135.6) (width 0.2) (layer 1_top) (net 63)) + (segment (start 118.6 145.8) (end 117.8 145) (width 0.2) (layer 1_top) (net 63) (tstamp 53F39E94)) + (via (at 118.6 145.8) (size 0.6985) (layers 1_top 4_bot) (net 63)) + (segment (start 118.61 145.79) (end 118.6 145.8) (width 0.2) (layer 4_bot) (net 63) (tstamp 53F39E92)) + (segment (start 120.965 145.8) (end 120.975 145.79) (width 0.2) (layer 4_bot) (net 63) (tstamp 53AB765C) (status 30)) + (segment (start 121 145.765) (end 120.975 145.79) (width 0.2) (layer 4_bot) (net 63) (tstamp 53AB73A7) (status 30)) + (segment (start 120.77 148.33) (end 118.63 148.33) (width 0.2) (layer 4_bot) (net 64) (status 10)) + (segment (start 99.8 127.396004) (end 99.8 128.6) (width 0.2) (layer 4_bot) (net 64) (status 20)) + (segment (start 114 133.2) (end 117 133.2) (width 0.2) (layer 4_bot) (net 64) (tstamp 53AB7418)) + (segment (start 107.8 127) (end 114 133.2) (width 0.2) (layer 4_bot) (net 64) (tstamp 53AB740F)) + (segment (start 100.196004 127) (end 107.8 127) (width 0.2) (layer 4_bot) (net 64) (tstamp 53AB740B)) + (segment (start 99.8 127.396004) (end 100.196004 127) (width 0.2) (layer 4_bot) (net 64) (tstamp 53AB7405)) + (via (at 117 133.2) (size 0.6985) (layers 1_top 4_bot) (net 64)) + (segment (start 117 146.7) (end 117 133.2) (width 0.2) (layer 1_top) (net 64)) + (segment (start 118.6 148.3) (end 117 146.7) (width 0.2) (layer 1_top) (net 64) (tstamp 53F39E2C)) + (via (at 118.6 148.3) (size 0.6985) (layers 1_top 4_bot) (net 64)) + (segment (start 118.63 148.33) (end 118.6 148.3) (width 0.2) (layer 4_bot) (net 64) (tstamp 53F39E2A)) + (segment (start 146.0001 158.2) (end 146.0001 159.2001) (width 0.2) (layer 4_bot) (net 65) (status 10)) + (segment (start 146.4 159.6) (end 146.4 164) (width 0.2) (layer 4_bot) (net 65) (tstamp 53ADE5E8)) + (segment (start 146.0001 159.2001) (end 146.4 159.6) (width 0.2) (layer 4_bot) (net 65) (tstamp 53ADE5E7)) + (segment (start 145.09 167.365) (end 145.09 165.31) (width 0.2) (layer 4_bot) (net 65) (status 10)) + (segment (start 145.09 165.31) (end 146.4 164) (width 0.2) (layer 4_bot) (net 65) (tstamp 53ADAA05)) + (segment (start 144.3999 158.2) (end 135 158.2) (width 0.2) (layer 4_bot) (net 66) (status 10)) + (segment (start 101.8 136.2) (end 101.8 135.8) (width 0.2) (layer 4_bot) (net 66) (tstamp 53ADAA1D) (status 20)) + (segment (start 117.8 152.2) (end 101.8 136.2) (width 0.2) (layer 4_bot) (net 66) (tstamp 53ADAA1C)) + (segment (start 129 152.2) (end 117.8 152.2) (width 0.2) (layer 4_bot) (net 66) (tstamp 53ADAA10)) + (segment (start 135 158.2) (end 129 152.2) (width 0.2) (layer 4_bot) (net 66) (tstamp 53ADAA0E)) + (segment (start 134.45 162.7) (end 134.45 161.25) (width 0.2) (layer 4_bot) (net 67) (status 10)) + (segment (start 145.09 159.99) (end 145.09 162.315) (width 0.2) (layer 4_bot) (net 67) (tstamp 53ADA9F8) (status 20)) + (segment (start 144.4 159.3) (end 145.09 159.99) (width 0.2) (layer 4_bot) (net 67) (tstamp 53ADA9F6)) + (segment (start 136.4 159.3) (end 144.4 159.3) (width 0.2) (layer 4_bot) (net 67) (tstamp 53ADA9F4)) + (segment (start 134.45 161.25) (end 136.4 159.3) (width 0.2) (layer 4_bot) (net 67) (tstamp 53ADA9F1)) + (segment (start 142.55 167.365) (end 142.55 169.65) (width 0.2) (layer 4_bot) (net 68) (status 10)) + (segment (start 134.4 165.3) (end 134.45 165.3) (width 0.2) (layer 4_bot) (net 68) (tstamp 53F38FE6) (status 30)) + (segment (start 134.4 168.3) (end 134.4 165.3) (width 0.2) (layer 4_bot) (net 68) (tstamp 53F38FE4) (status 20)) + (segment (start 136.4 170.3) (end 134.4 168.3) (width 0.2) (layer 4_bot) (net 68) (tstamp 53F38FE2)) + (segment (start 141.9 170.3) (end 136.4 170.3) (width 0.2) (layer 4_bot) (net 68) (tstamp 53F38FE0)) + (segment (start 142.55 169.65) (end 141.9 170.3) (width 0.2) (layer 4_bot) (net 68) (tstamp 53F38FDE)) + (segment (start 97.2 143.6) (end 101 143.6) (width 0.2) (layer 4_bot) (net 69)) + (segment (start 97 137) (end 97 139) (width 0.2) (layer 4_bot) (net 69) (status 10)) + (segment (start 96.2 142.6) (end 97.2 143.6) (width 0.2) (layer 4_bot) (net 69) (tstamp 53AB45A4)) + (segment (start 96.2 139.8) (end 96.2 142.6) (width 0.2) (layer 4_bot) (net 69) (tstamp 53AB45A2)) + (segment (start 97 139) (end 96.2 139.8) (width 0.2) (layer 4_bot) (net 69) (tstamp 53AB45A0)) + (segment (start 122.7 165.3) (end 132.75 165.3) (width 0.2) (layer 4_bot) (net 69) (tstamp 53ACCCAC) (status 20)) + (segment (start 101 143.6) (end 122.7 165.3) (width 0.2) (layer 4_bot) (net 69) (tstamp 53ACCCA7)) + (segment (start 103.4 142.4) (end 103.4 139.4) (width 0.2) (layer 4_bot) (net 70)) + (segment (start 101 137) (end 100.6 137) (width 0.2) (layer 4_bot) (net 70) (tstamp 53ADE06B) (status 20)) + (segment (start 103.4 139.4) (end 101 137) (width 0.2) (layer 4_bot) (net 70) (tstamp 53ADE067)) + (segment (start 132.75 162.7) (end 123.7 162.7) (width 0.2) (layer 4_bot) (net 70) (status 10)) + (segment (start 123.7 162.7) (end 103.4 142.4) (width 0.2) (layer 4_bot) (net 70) (tstamp 53ADAA3C)) + (segment (start 100.598002 137) (end 100.6 137) (width 0.2) (layer 4_bot) (net 70) (tstamp 53ADAA43) (status 30)) + (segment (start 161 159.0001) (end 161 159.8) (width 0.2) (layer 4_bot) (net 71) (status 10)) + (segment (start 162.87 165.27) (end 162.87 167.365) (width 0.2) (layer 4_bot) (net 71) (tstamp 53ACF435) (status 20)) + (segment (start 161.6 164) (end 162.87 165.27) (width 0.2) (layer 4_bot) (net 71) (tstamp 53ACF431)) + (segment (start 161.6 160.4) (end 161.6 164) (width 0.2) (layer 4_bot) (net 71) (tstamp 53ACF42F)) + (segment (start 161 159.8) (end 161.6 160.4) (width 0.2) (layer 4_bot) (net 71) (tstamp 53ACF42C)) + (segment (start 101.8 135.4) (end 102.8 135.4) (width 0.2) (layer 4_bot) (net 72) (status 10)) + (segment (start 159.9999 157.3999) (end 158.4 155.8) (width 0.2) (layer 4_bot) (net 72) (tstamp 53ACF476)) + (segment (start 159.9999 157.3999) (end 161 157.3999) (width 0.2) (layer 4_bot) (net 72) (status 20)) + (segment (start 134.4 155.8) (end 158.4 155.8) (width 0.2) (layer 4_bot) (net 72) (tstamp 53ADA5DD)) + (segment (start 128.4 149.8) (end 134.4 155.8) (width 0.2) (layer 4_bot) (net 72) (tstamp 53ADA5DA)) + (segment (start 117.2 149.8) (end 128.4 149.8) (width 0.2) (layer 4_bot) (net 72) (tstamp 53ADA5D7)) + (segment (start 102.8 135.4) (end 117.2 149.8) (width 0.2) (layer 4_bot) (net 72) (tstamp 53ADA5D4)) + (segment (start 162.8 159.0001) (end 162.8 162.245) (width 0.2) (layer 4_bot) (net 73) (status 30)) + (segment (start 162.8 162.245) (end 162.87 162.315) (width 0.2) (layer 4_bot) (net 73) (tstamp 53ACF42A) (status 30)) + (segment (start 101.8 135) (end 103 135) (width 0.2) (layer 4_bot) (net 74) (status 10)) + (segment (start 162.8 156.6) (end 161.4 155.2) (width 0.2) (layer 4_bot) (net 74) (tstamp 53ACF47E)) + (segment (start 162.8 156.6) (end 162.8 157.3999) (width 0.2) (layer 4_bot) (net 74) (status 20)) + (segment (start 134.9 155.2) (end 161.4 155.2) (width 0.2) (layer 4_bot) (net 74) (tstamp 53ADA619)) + (segment (start 129.101998 149.401998) (end 134.9 155.2) (width 0.2) (layer 4_bot) (net 74) (tstamp 53ADA608)) + (segment (start 117.401998 149.401998) (end 129.101998 149.401998) (width 0.2) (layer 4_bot) (net 74) (tstamp 53ADA5F7)) + (segment (start 103 135) (end 117.401998 149.401998) (width 0.2) (layer 4_bot) (net 74) (tstamp 53ADA5F4)) + (segment (start 134.45 163.6) (end 135.4 163.6) (width 0.2) (layer 4_bot) (net 75) (status 10)) + (segment (start 140.01 160.11) (end 140.01 162.315) (width 0.2) (layer 4_bot) (net 75) (tstamp 53ADA943) (status 20)) + (segment (start 139.8 159.9) (end 140.01 160.11) (width 0.2) (layer 4_bot) (net 75) (tstamp 53ADA940)) + (segment (start 136.6 159.9) (end 139.8 159.9) (width 0.2) (layer 4_bot) (net 75) (tstamp 53ADA93F)) + (segment (start 136 160.5) (end 136.6 159.9) (width 0.2) (layer 4_bot) (net 75) (tstamp 53ADA93D)) + (segment (start 136 163) (end 136 160.5) (width 0.2) (layer 4_bot) (net 75) (tstamp 53ADA93C)) + (segment (start 135.4 163.6) (end 136 163) (width 0.2) (layer 4_bot) (net 75) (tstamp 53ADA938)) + (segment (start 132.75 163.6) (end 123.4 163.6) (width 0.2) (layer 4_bot) (net 76) (status 10)) + (segment (start 100.2 138) (end 100.2 137) (width 0.2) (layer 4_bot) (net 76) (tstamp 53ADAA39) (status 20)) + (segment (start 102.6 140.4) (end 100.2 138) (width 0.2) (layer 4_bot) (net 76) (tstamp 53ADAA37)) + (segment (start 102.6 142.8) (end 102.6 140.4) (width 0.2) (layer 4_bot) (net 76) (tstamp 53ADAA35)) + (segment (start 123.4 163.6) (end 102.6 142.8) (width 0.2) (layer 4_bot) (net 76) (tstamp 53ADAA32)) + (segment (start 142.55 162.315) (end 142.55 164.45) (width 0.2) (layer 4_bot) (net 77) (status 10)) + (segment (start 134.5 164.4) (end 134.45 164.4) (width 0.2) (layer 4_bot) (net 77) (tstamp 53F38FF4) (status 30)) + (segment (start 135.4 164.4) (end 134.5 164.4) (width 0.2) (layer 4_bot) (net 77) (tstamp 53F38FF3) (status 20)) + (segment (start 135.7 164.7) (end 135.4 164.4) (width 0.2) (layer 4_bot) (net 77) (tstamp 53F38FF2)) + (segment (start 135.7 168.7) (end 135.7 164.7) (width 0.2) (layer 4_bot) (net 77) (tstamp 53F38FF0)) + (segment (start 136.7 169.7) (end 135.7 168.7) (width 0.2) (layer 4_bot) (net 77) (tstamp 53F38FEE)) + (segment (start 140.8 169.7) (end 136.7 169.7) (width 0.2) (layer 4_bot) (net 77) (tstamp 53F38FED)) + (segment (start 141.3 169.2) (end 140.8 169.7) (width 0.2) (layer 4_bot) (net 77) (tstamp 53F38FEB)) + (segment (start 141.3 165.7) (end 141.3 169.2) (width 0.2) (layer 4_bot) (net 77) (tstamp 53F38FE9)) + (segment (start 142.55 164.45) (end 141.3 165.7) (width 0.2) (layer 4_bot) (net 77) (tstamp 53F38FE7)) + (segment (start 98.4 143.2) (end 101.8 143.2) (width 0.2) (layer 4_bot) (net 78)) + (segment (start 97.8 142.6) (end 98.4 143.2) (width 0.2) (layer 4_bot) (net 78) (tstamp 53AB45D3)) + (segment (start 97.8 137) (end 97.8 142.6) (width 0.2) (layer 4_bot) (net 78) (status 10)) + (segment (start 123 164.4) (end 132.75 164.4) (width 0.2) (layer 4_bot) (net 78) (tstamp 53ACCC9F) (status 20)) + (segment (start 101.8 143.2) (end 123 164.4) (width 0.2) (layer 4_bot) (net 78) (tstamp 53ACCC9C)) + (segment (start 121.8 153.8) (end 117.7 149.7) (width 0.2) (layer 1_top) (net 79)) + (segment (start 165.41 158.21) (end 159.2 152) (width 0.2) (layer 4_bot) (net 79) (tstamp 53ADAB6D)) + (segment (start 134 152) (end 159.2 152) (width 0.2) (layer 4_bot) (net 79) (tstamp 53ADBDAC)) + (segment (start 133 151) (end 134 152) (width 0.2) (layer 4_bot) (net 79) (tstamp 53ADBDAB)) + (via (at 133 151) (size 0.6985) (layers 1_top 4_bot) (net 79)) + (segment (start 129.4 154.6) (end 133 151) (width 0.2) (layer 1_top) (net 79) (tstamp 53ADBDA4)) + (segment (start 127.6 154.6) (end 129.4 154.6) (width 0.2) (layer 1_top) (net 79) (tstamp 53ADBDA2)) + (segment (start 165.41 162.315) (end 165.41 158.21) (width 0.2) (layer 4_bot) (net 79) (status 10)) + (segment (start 121.8 155.4) (end 122.8 156.4) (width 0.2) (layer 1_top) (net 79) (tstamp 53F39021)) + (segment (start 122.8 156.4) (end 124.6 156.4) (width 0.2) (layer 1_top) (net 79) (tstamp 53F39023)) + (segment (start 124.6 156.4) (end 125.2 155.8) (width 0.2) (layer 1_top) (net 79) (tstamp 53F39025)) + (segment (start 125.2 155.8) (end 126.4 155.8) (width 0.2) (layer 1_top) (net 79) (tstamp 53F39026)) + (segment (start 126.4 155.8) (end 127.6 154.6) (width 0.2) (layer 1_top) (net 79) (tstamp 53F39027)) + (segment (start 121.8 153.8) (end 121.8 155.4) (width 0.2) (layer 1_top) (net 79)) + (segment (start 117.2 148.2) (end 116.8 148.2) (width 0.2) (layer 4_bot) (net 79) (tstamp 53F39E34)) + (segment (start 117.7 148.7) (end 117.2 148.2) (width 0.2) (layer 4_bot) (net 79) (tstamp 53F39E33)) + (via (at 117.7 148.7) (size 0.6985) (layers 1_top 4_bot) (net 79)) + (segment (start 117.7 149.7) (end 117.7 148.7) (width 0.2) (layer 1_top) (net 79) (tstamp 53F39E31)) + (segment (start 103.2 134.6) (end 101.8 134.6) (width 0.2) (layer 4_bot) (net 79) (status 20)) + (segment (start 103.2 134.6) (end 116.8 148.2) (width 0.2) (layer 4_bot) (net 79)) + (segment (start 129.2 152.6) (end 129.2 133.3) (width 0.2) (layer 1_top) (net 80)) + (segment (start 124.8 128.9) (end 120.9 128.9) (width 0.2) (layer 1_top) (net 80) (tstamp 53F39D8C)) + (segment (start 129.2 133.3) (end 124.8 128.9) (width 0.2) (layer 1_top) (net 80) (tstamp 53F39D8A)) + (segment (start 126.23 153.41) (end 126.24 153.4) (width 0.2) (layer 4_bot) (net 80) (status 30)) + (segment (start 75.875 110.825) (end 75.9 110.8) (width 0.2) (layer 4_bot) (net 80) (tstamp 53BC71D9)) + (segment (start 79.4 110.8) (end 81.4 108.8) (width 0.2) (layer 1_top) (net 80)) + (via (at 75.9 110.8) (size 0.6985) (layers 1_top 4_bot) (net 80)) + (segment (start 86.8 110.8) (end 102.8 110.8) (width 0.2) (layer 1_top) (net 80) (tstamp 53B091DD)) + (segment (start 75.9 110.8) (end 79.4 110.8) (width 0.2) (layer 1_top) (net 80) (tstamp 53ADBB91)) + (segment (start 120.9 128.9) (end 102.8 110.8) (width 0.2) (layer 1_top) (net 80) (tstamp 53F39D8F)) + (segment (start 81.4 108.8) (end 84.8 108.8) (width 0.2) (layer 1_top) (net 80) (tstamp 53B091D3)) + (segment (start 84.8 108.8) (end 86.8 110.8) (width 0.2) (layer 1_top) (net 80) (tstamp 53B091D6)) + (segment (start 75.875 110.825) (end 75.875 111.725) (width 0.2) (layer 4_bot) (net 80) (status 20)) + (segment (start 128.4 153.4) (end 129.2 152.6) (width 0.2) (layer 1_top) (net 80) (tstamp 53F39D28)) + (via (at 128.4 153.4) (size 0.6985) (layers 1_top 4_bot) (net 80)) + (segment (start 126.24 153.4) (end 128.4 153.4) (width 0.2) (layer 4_bot) (net 80) (tstamp 53F39D26) (status 10)) + (segment (start 66.8 116.4) (end 64.1 116.4) (width 0.2) (layer 4_bot) (net 81) (status 20)) + (segment (start 123.8 135.4) (end 104.8 116.4) (width 0.2) (layer 1_top) (net 81) (tstamp 53ADB89C)) + (segment (start 104.8 116.4) (end 66.8 116.4) (width 0.2) (layer 1_top) (net 81)) + (segment (start 126.025 143.25) (end 123.85 143.25) (width 0.2) (layer 4_bot) (net 81) (status 10)) + (via (at 123.8 143.2) (size 0.6985) (layers 1_top 4_bot) (net 81)) + (segment (start 123.85 143.25) (end 123.8 143.2) (width 0.2) (layer 4_bot) (net 81) (tstamp 53ADB7D9)) + (segment (start 123.8 143.2) (end 123.8 135.4) (width 0.2) (layer 1_top) (net 81)) + (via (at 66.8 116.4) (size 0.6985) (layers 1_top 4_bot) (net 81)) + (segment (start 64.1 116.4) (end 64.075 116.375) (width 0.2) (layer 4_bot) (net 81) (tstamp 53BC7193) (status 30)) + (segment (start 126.23 145.79) (end 124.11 145.79) (width 0.2) (layer 4_bot) (net 82) (status 10)) + (segment (start 64.1 114.4) (end 64.075 114.375) (width 0.2) (layer 4_bot) (net 82) (tstamp 53BC7190) (status 30)) + (segment (start 124.6 145.3) (end 124.6 135.3) (width 0.2) (layer 1_top) (net 82) (tstamp 53ADB7CE)) + (via (at 66.8 114.4) (size 0.6985) (layers 1_top 4_bot) (net 82)) + (segment (start 66.8 114.4) (end 103.7 114.4) (width 0.2) (layer 1_top) (net 82)) + (segment (start 124.6 135.3) (end 103.7 114.4) (width 0.2) (layer 1_top) (net 82) (tstamp 53ADB8A5)) + (segment (start 66.8 114.4) (end 64.1 114.4) (width 0.2) (layer 4_bot) (net 82) (status 20)) + (segment (start 124.1 145.8) (end 124.6 145.3) (width 0.2) (layer 1_top) (net 82) (tstamp 53F39E8B)) + (via (at 124.1 145.8) (size 0.6985) (layers 1_top 4_bot) (net 82)) + (segment (start 124.11 145.79) (end 124.1 145.8) (width 0.2) (layer 4_bot) (net 82) (tstamp 53F39E89)) + (segment (start 126.23 148.33) (end 126.2 148.3) (width 0.2) (layer 4_bot) (net 83) (status 30)) + (segment (start 124 148.3) (end 123 147.3) (width 0.2) (layer 1_top) (net 83) (tstamp 53F39E41)) + (via (at 124 148.3) (size 0.6985) (layers 1_top 4_bot) (net 83)) + (segment (start 126.2 148.3) (end 124 148.3) (width 0.2) (layer 4_bot) (net 83) (tstamp 53F39E3F) (status 10)) + (segment (start 66.8 118.4) (end 64.1 118.4) (width 0.2) (layer 4_bot) (net 83) (status 20)) + (segment (start 123 135.5) (end 105.9 118.4) (width 0.2) (layer 1_top) (net 83) (tstamp 53ADB482)) + (segment (start 105.9 118.4) (end 66.8 118.4) (width 0.2) (layer 1_top) (net 83)) + (segment (start 123 147.3) (end 123 135.5) (width 0.2) (layer 1_top) (net 83) (tstamp 53F39E44)) + (via (at 66.8 118.4) (size 0.6985) (layers 1_top 4_bot) (net 83)) + (segment (start 64.1 118.4) (end 64.075 118.375) (width 0.2) (layer 4_bot) (net 83) (tstamp 53BC7197) (status 30)) + (segment (start 120.77 150.87) (end 122.83 150.87) (width 0.2) (layer 4_bot) (net 84) (status 10)) + (segment (start 64.1 119.4) (end 64.075 119.375) (width 0.2) (layer 4_bot) (net 84) (tstamp 53BC719A) (status 30)) + (via (at 66.8 119.4) (size 0.6985) (layers 1_top 4_bot) (net 84)) + (segment (start 122.2 150.1) (end 122.2 135.6) (width 0.2) (layer 1_top) (net 84)) + (segment (start 106 119.4) (end 66.8 119.4) (width 0.2) (layer 1_top) (net 84)) + (segment (start 122.2 135.6) (end 106 119.4) (width 0.2) (layer 1_top) (net 84) (tstamp 53ADB882)) + (segment (start 66.8 119.4) (end 64.1 119.4) (width 0.2) (layer 4_bot) (net 84) (status 20)) + (segment (start 122.9 150.8) (end 122.2 150.1) (width 0.2) (layer 1_top) (net 84) (tstamp 53F39D37)) + (via (at 122.9 150.8) (size 0.6985) (layers 1_top 4_bot) (net 84)) + (segment (start 122.83 150.87) (end 122.9 150.8) (width 0.2) (layer 4_bot) (net 84) (tstamp 53F39D35)) + (segment (start 126.23 150.87) (end 128.33 150.87) (width 0.2) (layer 4_bot) (net 85) (status 10)) + (segment (start 128.4 150.8) (end 126.2 148.6) (width 0.2) (layer 1_top) (net 85) (tstamp 53F39E7C)) + (via (at 128.4 150.8) (size 0.6985) (layers 1_top 4_bot) (net 85)) + (segment (start 128.33 150.87) (end 128.4 150.8) (width 0.2) (layer 4_bot) (net 85) (tstamp 53F39E7A)) + (segment (start 124.5 129.5) (end 126.2 131.2) (width 0.2) (layer 1_top) (net 85)) + (segment (start 66.8 112.4) (end 64.1 112.4) (width 0.2) (layer 4_bot) (net 85) (status 20)) + (via (at 66.8 112.4) (size 0.6985) (layers 1_top 4_bot) (net 85)) + (segment (start 120.6 129.5) (end 103.5 112.4) (width 0.2) (layer 1_top) (net 85) (tstamp 53F39D98)) + (segment (start 66.8 112.4) (end 103.5 112.4) (width 0.2) (layer 1_top) (net 85)) + (segment (start 64.075 112.375) (end 64.1 112.4) (width 0.2) (layer 4_bot) (net 85) (tstamp 53BC718A) (status 30)) + (segment (start 124.5 129.5) (end 120.6 129.5) (width 0.2) (layer 1_top) (net 85) (tstamp 53F39D95)) + (segment (start 126.2 131.2) (end 126.2 148.6) (width 0.2) (layer 1_top) (net 85) (tstamp 53F39DA0)) + (segment (start 125.4 151) (end 125.4 131.3) (width 0.2) (layer 1_top) (net 86)) + (segment (start 124.2 130.1) (end 120.3 130.1) (width 0.2) (layer 1_top) (net 86) (tstamp 53F39DA5)) + (segment (start 125.4 131.3) (end 124.2 130.1) (width 0.2) (layer 1_top) (net 86) (tstamp 53F39DA3)) + (segment (start 123.5 152.9) (end 122.4 152.9) (width 0.2) (layer 4_bot) (net 86)) + (segment (start 64.075 113.375) (end 64.1 113.4) (width 0.2) (layer 4_bot) (net 86) (tstamp 53BC718D) (status 30)) + (segment (start 66.8 113.4) (end 103.6 113.4) (width 0.2) (layer 1_top) (net 86)) + (segment (start 120.3 130.1) (end 103.6 113.4) (width 0.2) (layer 1_top) (net 86) (tstamp 53F39DA8)) + (via (at 66.8 113.4) (size 0.6985) (layers 1_top 4_bot) (net 86)) + (segment (start 66.8 113.4) (end 64.1 113.4) (width 0.2) (layer 4_bot) (net 86) (status 20)) + (via (at 123.5 152.9) (size 0.6985) (layers 1_top 4_bot) (net 86)) + (segment (start 123.5 152.9) (end 125.4 151) (width 0.2) (layer 1_top) (net 86) (tstamp 53F39031)) + (segment (start 121.89 153.41) (end 120.77 153.41) (width 0.2) (layer 4_bot) (net 86) (tstamp 53F39D2F) (status 30)) + (segment (start 122.4 152.9) (end 121.89 153.41) (width 0.2) (layer 4_bot) (net 86) (tstamp 53F39D2D) (status 20)) + (segment (start 120.975 153.41) (end 122.09 153.41) (width 0.2) (layer 4_bot) (net 86) (status 30)) + (segment (start 98.2 128.6) (end 98.2 126.6) (width 0.2) (layer 4_bot) (net 87) (status 10)) + (segment (start 100.2 124.6) (end 130.4 124.6) (width 0.2) (layer 4_bot) (net 87) (tstamp 53AB3CC3)) + (segment (start 98.2 126.6) (end 100.2 124.6) (width 0.2) (layer 4_bot) (net 87) (tstamp 53AB3CB8)) + (segment (start 154.8 128.6) (end 139.6 128.6) (width 0.2) (layer 4_bot) (net 87)) + (segment (start 135.4 129.6) (end 130.4 124.6) (width 0.2) (layer 4_bot) (net 87) (tstamp 53AB3B14)) + (segment (start 138.6 129.6) (end 135.4 129.6) (width 0.2) (layer 4_bot) (net 87) (tstamp 53AB3B12)) + (segment (start 139.6 128.6) (end 138.6 129.6) (width 0.2) (layer 4_bot) (net 87) (tstamp 53AB3B10)) + (segment (start 162.604 129.75) (end 155.95 129.75) (width 0.2) (layer 4_bot) (net 87) (status 10)) + (segment (start 155.95 129.75) (end 154.8 128.6) (width 0.2) (layer 4_bot) (net 87) (tstamp 53AB3846)) + (segment (start 101.8 134.2) (end 103.4 134.2) (width 0.2) (layer 4_bot) (net 88) (status 10)) + (segment (start 134.45 153.65) (end 151.106 153.65) (width 0.2) (layer 4_bot) (net 88) (tstamp 53ADA641) (status 20)) + (segment (start 128.2 147.4) (end 134.45 153.65) (width 0.2) (layer 4_bot) (net 88) (tstamp 53ADA63F)) + (segment (start 116.6 147.4) (end 128.2 147.4) (width 0.2) (layer 4_bot) (net 88) (tstamp 53ADA63C)) + (segment (start 103.4 134.2) (end 116.6 147.4) (width 0.2) (layer 4_bot) (net 88) (tstamp 53ADA636)) + (segment (start 100.3 123.9) (end 141.344 123.9) (width 0.2) (layer 4_bot) (net 89)) + (segment (start 141.344 123.9) (end 143.894 121.35) (width 0.2) (layer 4_bot) (net 89) (tstamp 53ADFA7C) (status 20)) + (segment (start 97.8 128.6) (end 97.8 126.4) (width 0.2) (layer 4_bot) (net 89) (status 10)) + (segment (start 97.8 126.4) (end 100.3 123.9) (width 0.2) (layer 4_bot) (net 89) (tstamp 53AB4BE6)) + (segment (start 98.6 128.6) (end 98.6 126.8) (width 0.2) (layer 4_bot) (net 90) (status 10)) + (segment (start 130.1 125.3) (end 100.1 125.3) (width 0.2) (layer 4_bot) (net 90) (tstamp 53AB3AFB)) + (segment (start 135 130.2) (end 130.1 125.3) (width 0.2) (layer 4_bot) (net 90) (tstamp 53AB3AF9)) + (segment (start 139 130.2) (end 135 130.2) (width 0.2) (layer 4_bot) (net 90) (tstamp 53AB3AF6)) + (segment (start 140 129.2) (end 139 130.2) (width 0.2) (layer 4_bot) (net 90) (tstamp 53AB3AF4)) + (segment (start 152.296 129.2) (end 140 129.2) (width 0.2) (layer 4_bot) (net 90) (tstamp 53AB3B47)) + (segment (start 152.296 129.2) (end 154.048 130.952) (width 0.2) (layer 4_bot) (net 90) (status 20)) + (segment (start 98.6 126.8) (end 100.1 125.3) (width 0.2) (layer 4_bot) (net 90) (tstamp 53AB3C61)) + (segment (start 99 128.6) (end 99 127) (width 0.2) (layer 4_bot) (net 91) (status 10)) + (segment (start 134.752 130.952) (end 129.8 126) (width 0.2) (layer 4_bot) (net 91) (tstamp 53AB3ADB)) + (segment (start 129.8 126) (end 100 126) (width 0.2) (layer 4_bot) (net 91) (tstamp 53AB3ADE)) + (segment (start 134.752 130.952) (end 140.952 130.952) (width 0.2) (layer 4_bot) (net 91) (status 20)) + (segment (start 99 127) (end 100 126) (width 0.2) (layer 4_bot) (net 91) (tstamp 53AB3C5B)) + (segment (start 111.6 130.2) (end 117 130.2) (width 0.2) (layer 4_bot) (net 92)) + (segment (start 128.3 129.5) (end 134.7 135.9) (width 0.2) (layer 4_bot) (net 92) (tstamp 53F39D61)) + (segment (start 117.7 129.5) (end 128.3 129.5) (width 0.2) (layer 4_bot) (net 92) (tstamp 53F39D5E)) + (segment (start 117 130.2) (end 117.7 129.5) (width 0.2) (layer 4_bot) (net 92) (tstamp 53F39D5C)) + (segment (start 148.61 138.61) (end 145.9 135.9) (width 0.2) (layer 4_bot) (net 92) (status 10)) + (segment (start 145.9 135.9) (end 134.7 135.9) (width 0.2) (layer 4_bot) (net 92) (tstamp 53AB6448)) + (segment (start 99.398002 128.6) (end 99.4 128.6) (width 0.2) (layer 4_bot) (net 92) (tstamp 53AB645D) (status 30)) + (segment (start 99.398002 127.2) (end 99.398002 128.6) (width 0.2) (layer 4_bot) (net 92) (tstamp 53AB645C) (status 20)) + (segment (start 100 126.598002) (end 99.398002 127.2) (width 0.2) (layer 4_bot) (net 92) (tstamp 53AB6459)) + (segment (start 107.998002 126.598002) (end 100 126.598002) (width 0.2) (layer 4_bot) (net 92) (tstamp 53AB6452)) + (segment (start 111.6 130.2) (end 107.998002 126.598002) (width 0.2) (layer 4_bot) (net 92) (tstamp 53F39D5A)) + (segment (start 101.8 133) (end 104 133) (width 0.2) (layer 4_bot) (net 93) (status 10)) + (segment (start 131.396 144.25) (end 132.396 145.25) (width 0.2) (layer 4_bot) (net 93) (tstamp 53ACD18C) (status 20)) + (segment (start 115.25 144.25) (end 131.396 144.25) (width 0.2) (layer 4_bot) (net 93) (tstamp 53ACD188)) + (segment (start 104 133) (end 115.25 144.25) (width 0.2) (layer 4_bot) (net 93) (tstamp 53ACD183)) + (segment (start 101.8 132.998002) (end 101.8 133) (width 0.2) (layer 4_bot) (net 93) (tstamp 53AB7833) (status 30)) + (segment (start 101.8 133.001998) (end 101.8 133) (width 0.2) (layer 4_bot) (net 93) (tstamp 53AB195A) (status 30)) + (segment (start 135.1 134.3) (end 128.9 128.1) (width 0.2) (layer 4_bot) (net 94)) + (segment (start 111.5 128.1) (end 111 128.6) (width 0.2) (layer 4_bot) (net 94) (tstamp 53F39D55)) + (segment (start 128.9 128.1) (end 111.5 128.1) (width 0.2) (layer 4_bot) (net 94) (tstamp 53F39D54)) + (segment (start 164 136.6) (end 165.57 138.17) (width 0.2) (layer 4_bot) (net 94)) + (segment (start 94.6 128.6) (end 111 128.6) (width 0.2) (layer 1_top) (net 94) (tstamp 53ADE4DE)) + (segment (start 93.4 128.2) (end 94.2 128.2) (width 0.2) (layer 1_top) (net 94)) + (segment (start 94.2 128.2) (end 94.6 128.6) (width 0.2) (layer 1_top) (net 94) (tstamp 53ADE4DA)) + (via (at 111 128.6) (size 0.6985) (layers 1_top 4_bot) (net 94)) + (via (at 93.4 128.2) (size 0.6985) (layers 1_top 4_bot) (net 94)) + (segment (start 147.5 134.3) (end 135.1 134.3) (width 0.2) (layer 4_bot) (net 94) (tstamp 53AB6CF0)) + (segment (start 149.8 136.6) (end 147.5 134.3) (width 0.2) (layer 4_bot) (net 94) (tstamp 53ADE82D)) + (segment (start 149.8 136.6) (end 164 136.6) (width 0.2) (layer 4_bot) (net 94)) + (segment (start 165.57 138.17) (end 169.235 138.17) (width 0.2) (layer 4_bot) (net 94) (tstamp 53ADE854) (status 20)) + (segment (start 94.6 128.6) (end 93.8 128.6) (width 0.2) (layer 4_bot) (net 94) (status 10)) + (segment (start 92.85 128.75) (end 85.3 128.75) (width 0.2) (layer 4_bot) (net 94) (tstamp 53ADE30E) (status 20)) + (segment (start 93.4 128.2) (end 92.85 128.75) (width 0.2) (layer 4_bot) (net 94) (tstamp 53ADE30D)) + (segment (start 93.8 128.6) (end 93.4 128.2) (width 0.2) (layer 4_bot) (net 94) (tstamp 53ADE2FE)) + (segment (start 135.298002 133.498002) (end 129.2 127.4) (width 0.2) (layer 4_bot) (net 95)) + (segment (start 110.6 127.4) (end 110.2 127.8) (width 0.2) (layer 4_bot) (net 95) (tstamp 53F39D52)) + (segment (start 129.2 127.4) (end 110.6 127.4) (width 0.2) (layer 4_bot) (net 95) (tstamp 53F39D51)) + (segment (start 169.235 135.63) (end 169.065 135.8) (width 0.2) (layer 4_bot) (net 95) (status 30)) + (segment (start 95 127.8) (end 94.6 127.4) (width 0.2) (layer 1_top) (net 95) (tstamp 53ADE371)) + (segment (start 94.6 127.4) (end 92.8 127.4) (width 0.2) (layer 1_top) (net 95) (tstamp 53ADE375)) + (via (at 92.8 127.4) (size 0.6985) (layers 1_top 4_bot) (net 95)) + (via (at 110.2 127.8) (size 0.6985) (layers 1_top 4_bot) (net 95)) + (segment (start 147.698002 133.498002) (end 135.298002 133.498002) (width 0.2) (layer 4_bot) (net 95) (tstamp 53AB681E)) + (segment (start 150 135.8) (end 147.698002 133.498002) (width 0.2) (layer 4_bot) (net 95) (tstamp 53AB681A)) + (segment (start 110.2 127.8) (end 95 127.8) (width 0.2) (layer 1_top) (net 95)) + (segment (start 169.065 135.8) (end 150 135.8) (width 0.2) (layer 4_bot) (net 95) (tstamp 53B2FB54) (status 10)) + (segment (start 95 128.6) (end 95 127.6) (width 0.2) (layer 4_bot) (net 95) (status 10)) + (segment (start 91.95 128.25) (end 85.3 128.25) (width 0.2) (layer 4_bot) (net 95) (tstamp 53ADE33D) (status 20)) + (segment (start 92.8 127.4) (end 91.95 128.25) (width 0.2) (layer 4_bot) (net 95) (tstamp 53ADE33C)) + (segment (start 94.8 127.4) (end 92.8 127.4) (width 0.2) (layer 4_bot) (net 95) (tstamp 53ADE331)) + (segment (start 95 127.6) (end 94.8 127.4) (width 0.2) (layer 4_bot) (net 95) (tstamp 53ADE32C)) + (segment (start 134.9 135.1) (end 128.6 128.8) (width 0.2) (layer 4_bot) (net 96)) + (segment (start 112.4 128.8) (end 111.8 129.4) (width 0.2) (layer 4_bot) (net 96) (tstamp 53F39D58)) + (segment (start 128.6 128.8) (end 112.4 128.8) (width 0.2) (layer 4_bot) (net 96) (tstamp 53F39D57)) + (segment (start 93.4 129.2) (end 94 129.2) (width 0.2) (layer 1_top) (net 96)) + (segment (start 166.71 140.71) (end 163.4 137.4) (width 0.2) (layer 4_bot) (net 96) (tstamp 53AB6CFA)) + (segment (start 163.4 137.4) (end 149.6 137.4) (width 0.2) (layer 4_bot) (net 96) (tstamp 53AB6CFC)) + (segment (start 149.6 137.4) (end 147.3 135.1) (width 0.2) (layer 4_bot) (net 96) (tstamp 53AB6CFE)) + (segment (start 147.3 135.1) (end 134.9 135.1) (width 0.2) (layer 4_bot) (net 96) (tstamp 53AB6D00)) + (via (at 111.8 129.4) (size 0.6985) (layers 1_top 4_bot) (net 96)) + (segment (start 166.71 140.71) (end 169.235 140.71) (width 0.2) (layer 4_bot) (net 96) (status 20)) + (via (at 93.4 129.2) (size 0.6985) (layers 1_top 4_bot) (net 96)) + (segment (start 94.2 129.4) (end 111.8 129.4) (width 0.2) (layer 1_top) (net 96) (tstamp 53ADE2E9)) + (segment (start 94 129.2) (end 94.2 129.4) (width 0.2) (layer 1_top) (net 96) (tstamp 53ADE2E5)) + (segment (start 93.4 129.8) (end 93.4 129.2) (width 0.2) (layer 4_bot) (net 96) (status 10)) + (segment (start 92.75 129.25) (end 85.3 129.25) (width 0.2) (layer 4_bot) (net 96) (tstamp 53ADE2DA) (status 20)) + (segment (start 92.8 129.2) (end 92.75 129.25) (width 0.2) (layer 4_bot) (net 96) (tstamp 53ADE2D9)) + (segment (start 93.4 129.2) (end 92.8 129.2) (width 0.2) (layer 4_bot) (net 96) (tstamp 53ADE2D8)) + (segment (start 135.5 132.7) (end 129.5 126.7) (width 0.2) (layer 4_bot) (net 97)) + (segment (start 110.3 126.7) (end 110 127) (width 0.2) (layer 4_bot) (net 97) (tstamp 53F39D4D)) + (segment (start 129.5 126.7) (end 110.3 126.7) (width 0.2) (layer 4_bot) (net 97) (tstamp 53F39D4C)) + (segment (start 93.4 126.6) (end 95 126.6) (width 0.2) (layer 1_top) (net 97)) + (segment (start 152.69 133.09) (end 152.3 132.7) (width 0.2) (layer 4_bot) (net 97) (tstamp 53AB67FE)) + (segment (start 152.3 132.7) (end 135.5 132.7) (width 0.2) (layer 4_bot) (net 97) (tstamp 53AB6803)) + (segment (start 110 127) (end 109.4 127) (width 0.2) (layer 4_bot) (net 97) (tstamp 53F39D4F)) + (via (at 109.4 127) (size 0.6985) (layers 1_top 4_bot) (net 97)) + (segment (start 152.69 133.09) (end 169.235 133.09) (width 0.2) (layer 4_bot) (net 97) (status 20)) + (via (at 93.4 126.6) (size 0.6985) (layers 1_top 4_bot) (net 97)) + (segment (start 97.6 127) (end 109.4 127) (width 0.2) (layer 1_top) (net 97) (tstamp 53ADE393)) + (segment (start 97.4 127.2) (end 97.6 127) (width 0.2) (layer 1_top) (net 97) (tstamp 53ADE391)) + (segment (start 95.6 127.2) (end 97.4 127.2) (width 0.2) (layer 1_top) (net 97) (tstamp 53ADE38E)) + (segment (start 95 126.6) (end 95.6 127.2) (width 0.2) (layer 1_top) (net 97) (tstamp 53ADE38C)) + (segment (start 95.4 128.6) (end 95.4 127.4) (width 0.2) (layer 4_bot) (net 97) (status 10)) + (segment (start 91.45 127.75) (end 85.3 127.75) (width 0.2) (layer 4_bot) (net 97) (tstamp 53ADE354) (status 20)) + (segment (start 92.6 126.6) (end 91.45 127.75) (width 0.2) (layer 4_bot) (net 97) (tstamp 53ADE352)) + (segment (start 93.4 126.6) (end 92.6 126.6) (width 0.2) (layer 4_bot) (net 97) (tstamp 53ADE351)) + (segment (start 94.6 126.6) (end 93.4 126.6) (width 0.2) (layer 4_bot) (net 97) (tstamp 53ADE34A)) + (segment (start 95.4 127.4) (end 94.6 126.6) (width 0.2) (layer 4_bot) (net 97) (tstamp 53ADE347)) + (segment (start 174.49 155.95) (end 174.29916 156.14084) (width 0.4) (layer 4_bot) (net 98) (status 30)) + (segment (start 174.29916 156.14084) (end 174.29916 159) (width 0.4) (layer 4_bot) (net 98) (tstamp 53F39CF6) (status 30)) + (segment (start 172.4 166.4) (end 172.4 163) (width 0.4) (layer 4_bot) (net 98)) + (segment (start 172.4 163) (end 174.29916 161.10084) (width 0.4) (layer 4_bot) (net 98) (tstamp 53B2EF70)) + (segment (start 111.65 160) (end 113.8 160) (width 0.4) (layer 4_bot) (net 98) (status 10)) + (segment (start 113.8 160) (end 125.05 171.25) (width 0.4) (layer 4_bot) (net 98) (tstamp 53AE5889)) + (segment (start 174.29916 159) (end 174.29916 161.10084) (width 0.4) (layer 4_bot) (net 98) (status 10)) + (segment (start 172.4 166.4) (end 167.55 171.25) (width 0.4) (layer 4_bot) (net 98) (tstamp 53B2EF6D)) + (segment (start 167.55 171.25) (end 125.05 171.25) (width 0.4) (layer 4_bot) (net 98) (tstamp 53AE1D44)) + (segment (start 174.285 158.98584) (end 174.29916 159) (width 0.4) (layer 4_bot) (net 98) (tstamp 53AE1D3A) (status 30)) + (segment (start 120.77 155.95) (end 120.77 156.98) (width 0.4) (layer 4_bot) (net 99)) + (via (at 120.75 157) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 120.77 156.98) (end 120.75 157) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39EAE)) + (segment (start 120.77 155.95) (end 118.6 155.95) (width 0.4) (layer 4_bot) (net 99)) + (via (at 118.6 155.95) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 120.77 155.95) (end 120.77 154.92) (width 0.4) (layer 4_bot) (net 99)) + (via (at 120.75 154.9) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 120.77 154.92) (end 120.75 154.9) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39EA6)) + (segment (start 120.77 143.25) (end 118.6 143.25) (width 0.4) (layer 4_bot) (net 99)) + (via (at 118.6 143.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 174.49 140.71) (end 174.49 141.79) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 174.5 141.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 174.49 141.79) (end 174.5 141.8) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CF1)) + (segment (start 174.49 140.71) (end 176.69 140.71) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 176.7 140.7) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 176.69 140.71) (end 176.7 140.7) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CED)) + (segment (start 174.49 140.71) (end 172.31 140.71) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 172.3 140.7) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 172.31 140.71) (end 172.3 140.7) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CE9)) + (segment (start 174.49 138.17) (end 174.49 139.39) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 174.5 140.7) (end 174.49 140.71) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CE8) (status 30)) + (segment (start 174.5 139.4) (end 174.5 140.7) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CE6) (status 20)) + (via (at 174.5 139.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 174.49 139.39) (end 174.5 139.4) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CE4)) + (segment (start 174.49 138.17) (end 174.49 137.11) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 174.5 137.1) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 174.49 137.11) (end 174.5 137.1) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CE0)) + (segment (start 174.49 138.17) (end 176.67 138.17) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 176.7 138.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 176.67 138.17) (end 176.7 138.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CDC)) + (segment (start 174.49 138.17) (end 172.33 138.17) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 172.3 138.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 172.33 138.17) (end 172.3 138.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CD8)) + (segment (start 174.49 133.09) (end 174.49 134.19) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 174.5 134.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 174.49 134.19) (end 174.5 134.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CCF)) + (segment (start 174.49 133.09) (end 176.69 133.09) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 176.7 133.1) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 176.69 133.09) (end 176.7 133.1) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CCB)) + (segment (start 174.49 133.09) (end 174.49 132.01) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 174.5 132) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 174.49 132.01) (end 174.5 132) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39CC7)) + (segment (start 147.63 167.57) (end 146.53 167.57) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 146.5 167.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 146.53 167.57) (end 146.5 167.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39C60)) + (segment (start 147.63 167.57) (end 147.63 165.43) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 147.6 165.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 147.63 165.43) (end 147.6 165.4) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39C58)) + (segment (start 147.63 167.57) (end 148.67 167.57) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 148.7 167.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 148.67 167.57) (end 148.7 167.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39C54)) + (segment (start 165.41 167.57) (end 165.41 169.79) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 165.4 169.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 165.41 169.79) (end 165.4 169.8) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39C4E)) + (segment (start 165.41 167.57) (end 166.47 167.57) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 166.5 167.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 166.47 167.57) (end 166.5 167.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39C4A)) + (segment (start 165.41 167.57) (end 164.33 167.57) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 164.3 167.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 164.33 167.57) (end 164.3 167.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39C46)) + (segment (start 157.79 167.57) (end 156.73 167.57) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 156.7 167.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 156.73 167.57) (end 156.7 167.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39C42)) + (segment (start 157.79 167.57) (end 158.87 167.57) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 158.9 167.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 158.87 167.57) (end 158.9 167.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39C3E)) + (segment (start 157.79 167.57) (end 157.79 165.41) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 157.8 165.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 157.79 165.41) (end 157.8 165.4) (width 0.4) (layer 4_bot) (net 99) (tstamp 53F39C3A)) + (segment (start 94.6 149.1001) (end 94.6 150.1) (width 0.2) (layer 4_bot) (net 99) (status 10)) + (via (at 94.6 150.1) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 92.75062 149.34996) (end 92.75062 150.19938) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 92.75 150.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 92.75062 150.19938) (end 92.75 150.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53C5B94F)) + (segment (start 92.75062 149.34996) (end 91.70004 149.34996) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 91.7 149.35) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 91.70004 149.34996) (end 91.7 149.35) (width 0.4) (layer 4_bot) (net 99) (tstamp 53C5B94B)) + (segment (start 92.75062 149.34996) (end 92.75062 148.50062) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 92.75 148.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 92.75062 148.50062) (end 92.75 148.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53C5B947)) + (segment (start 75.825 119.325) (end 75.9 119.4) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 75.9 120.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 75.9 119.4) (end 75.9 120.4) (width 0.4) (layer 4_bot) (net 99) (tstamp 53BC7205) (status 10)) + (segment (start 75.875 118.075) (end 75.9 118.05) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 75.9 117.3) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 75.9 118.05) (end 75.9 117.3) (width 0.4) (layer 4_bot) (net 99) (tstamp 53BC71FD) (status 10)) + (segment (start 75.825 108.575) (end 75.9 108.65) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 75.9 109.7) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 75.9 108.65) (end 75.9 109.7) (width 0.4) (layer 4_bot) (net 99) (tstamp 53BC71ED) (status 10)) + (segment (start 75.825 108.575) (end 75.8 108.6) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 75 108.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 75.8 108.6) (end 75 108.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53BC71E2) (status 10)) + (segment (start 62.575 117.875) (end 62.6 117.85) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 62.6 117.1) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 62.6 117.85) (end 62.6 117.1) (width 0.4) (layer 4_bot) (net 99) (tstamp 53BC7159) (status 10)) + (segment (start 64.075 117.375) (end 64.1 117.4) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 65 117.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 64.1 117.4) (end 65 117.4) (width 0.4) (layer 4_bot) (net 99) (tstamp 53BC713A) (status 10)) + (segment (start 62.575 117.875) (end 62.6 117.9) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 62.6 118.7) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 62.6 117.9) (end 62.6 118.7) (width 0.4) (layer 4_bot) (net 99) (tstamp 53BC7138) (status 10)) + (segment (start 62.575 113.875) (end 62.6 113.85) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 62.6 113.1) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 62.6 113.85) (end 62.6 113.1) (width 0.4) (layer 4_bot) (net 99) (tstamp 53BC7136) (status 10)) + (segment (start 87.8 147.2001) (end 88.8999 147.2001) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 88.9 147.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 88.8999 147.2001) (end 88.9 147.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53BC2152)) + (segment (start 69.25 122.25) (end 68.9 122.6) (width 0.4) (layer 4_bot) (net 99)) + (via (at 69.25 122.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 125.25) (end 69.25 122.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE519E)) + (via (at 69.25 125.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 128.25) (end 69.25 125.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE519B)) + (via (at 69.25 128.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 131.25) (end 69.25 128.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5192)) + (via (at 69.25 131.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 134.25) (end 69.25 131.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE518F)) + (via (at 62.7 122.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 65.7 122.6) (end 62.7 122.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B190FD)) + (via (at 65.7 122.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 68.9 122.6) (end 65.7 122.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B190FB)) + (segment (start 107 101.25) (end 107.45 100.8) (width 0.2) (layer 4_bot) (net 99)) + (segment (start 83.45 100.8) (end 88.55 100.8) (width 0.2) (layer 4_bot) (net 99) (tstamp 53B0917A)) + (segment (start 88.55 100.8) (end 89 101.25) (width 0.2) (layer 4_bot) (net 99) (tstamp 53B0917C)) + (via (at 89 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (via (at 83 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (via (at 107 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (via (at 113 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 113 101.25) (end 116 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5439)) + (via (at 116 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 116 101.25) (end 119 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE543C)) + (via (at 119 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 119 101.25) (end 122 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE543F)) + (via (at 122 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 122 101.25) (end 122 104.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5443)) + (via (at 122 104.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 122 104.25) (end 122 105.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5446)) + (segment (start 122 105.5) (end 123 106.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5447)) + (via (at 123 106.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 123 106.5) (end 124.5 108) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE544E)) + (segment (start 124.5 108) (end 126 108) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE544F)) + (via (at 126 108) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 126 108) (end 127.5 108) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE545D)) + (segment (start 127.5 108) (end 128.75 106.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE545E)) + (via (at 128.75 106.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 128.75 106.75) (end 130 105.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5466)) + (segment (start 130 105.5) (end 130 104.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5467)) + (via (at 130 104.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 130 104.25) (end 130 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE546D)) + (via (at 130 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 130 101.25) (end 133 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5471)) + (via (at 133 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 133 101.25) (end 136 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5476)) + (via (at 136 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 136 101.25) (end 139 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5479)) + (via (at 139 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 139 101.25) (end 142 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE547C)) + (via (at 142 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 142 101.25) (end 145 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE547F)) + (via (at 145 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 145 101.25) (end 148 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5482)) + (via (at 148 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 148 101.25) (end 151 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5486)) + (via (at 151 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 151 101.25) (end 154 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5489)) + (via (at 154 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 154 101.25) (end 157 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE548D)) + (via (at 157 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 157 101.25) (end 160 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5490)) + (via (at 160 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 160 101.25) (end 163 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5493)) + (via (at 163 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 163 101.25) (end 166 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5496)) + (via (at 166 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 166 101.25) (end 169 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5499)) + (via (at 169 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 169 101.25) (end 172 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE549C)) + (via (at 178.75 108) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (via (at 172 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 172 104.25) (end 172 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B91)) + (via (at 172 104.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 172 105.5) (end 172 104.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B8A)) + (segment (start 173.25 106.75) (end 172 105.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B89)) + (via (at 173.25 106.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 174.5 108) (end 173.25 106.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B83)) + (segment (start 175.75 108) (end 174.5 108) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B82)) + (via (at 175.75 108) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 108) (end 175.75 108) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B7A)) + (via (at 68 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 62.75 108) (end 61.25 109.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE53E6)) + (via (at 61.25 109.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 61.25 109.5) (end 61.25 112.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE53EE)) + (via (at 61.25 112.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 61.25 112.5) (end 61.25 115.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE53F2)) + (via (at 61.25 115.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 61.25 115.5) (end 61.25 118.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE53F5)) + (via (at 61.25 118.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (via (at 64 108) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 65.5 108) (end 64 108) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE53C7)) + (segment (start 66.75 106.75) (end 65.5 108) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE53C6)) + (via (at 66.75 106.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 68 105.5) (end 66.75 106.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE53BC)) + (segment (start 68 104.25) (end 68 105.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE53BB)) + (via (at 68 104.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 68 101.25) (end 68 104.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE53B7)) + (segment (start 64 108) (end 62.75 108) (width 0.4) (layer 4_bot) (net 99)) + (segment (start 64 104) (end 66.75 101.25) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 66.75 101.25) (end 68 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE53A4)) + (segment (start 71 101.25) (end 68 101.25) (width 0.4) (layer 4_bot) (net 99)) + (segment (start 71 101.25) (end 74 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5403)) + (via (at 71 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 74 101.25) (end 77 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5407)) + (via (at 74 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 77 101.25) (end 80 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5411)) + (via (at 77 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 80 101.25) (end 83 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5414)) + (via (at 80 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 89 101.25) (end 92 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE541F)) + (segment (start 92 101.25) (end 95 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5423)) + (via (at 92 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (via (at 95 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 104 101.25) (end 107 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5430)) + (via (at 104 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 101 101.25) (end 104 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE542D)) + (via (at 101 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 98 101.25) (end 101 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5429)) + (via (at 98 101.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 95 101.25) (end 98 101.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5426)) + (segment (start 83 101.25) (end 83.45 100.8) (width 0.2) (layer 4_bot) (net 99)) + (segment (start 112.55 100.8) (end 113 101.25) (width 0.2) (layer 4_bot) (net 99) (tstamp 53B09187)) + (segment (start 107.45 100.8) (end 112.55 100.8) (width 0.2) (layer 4_bot) (net 99) (tstamp 53B09186)) + (segment (start 178.75 108) (end 178.75 111) (width 0.4) (layer 4_bot) (net 99)) + (via (at 178.75 114) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 111) (end 178.75 114) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B9D)) + (via (at 178.75 111) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 176 104) (end 178.75 106.75) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 178.75 106.75) (end 178.75 108) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B53)) + (segment (start 69.25 140.25) (end 69.25 137.5) (width 0.4) (layer 1_top) (net 99)) + (via (at 69.25 140.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 137.5) (end 69.25 134.25) (width 0.4) (layer 1_top) (net 99) (tstamp 53AE5681)) + (via (at 69.25 134.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 68 173.75) (end 68 170.75) (width 0.4) (layer 4_bot) (net 99)) + (via (at 68 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 61.5 158.5) (end 61.75 158.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE553E)) + (via (at 61.5 158.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 61.25 158.75) (end 61.5 158.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5535)) + (segment (start 61.25 161) (end 61.25 158.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5534)) + (via (at 61.25 161) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 61.25 164) (end 61.25 161) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5530)) + (via (at 61.25 164) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 61.25 167) (end 61.25 164) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE552C)) + (via (at 61.25 167) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 64.25 167) (end 61.25 167) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5529)) + (via (at 64.25 167) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 65.5 167) (end 64.25 167) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5526)) + (segment (start 66.75 168.25) (end 65.5 167) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5525)) + (via (at 66.75 168.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 68 169.5) (end 66.75 168.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5520)) + (segment (start 68 170.75) (end 68 169.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE551F)) + (via (at 68 170.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 64 171) (end 66.75 173.75) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 74 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 71 173.75) (end 74 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE54F3)) + (via (at 71 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 68 173.75) (end 71 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE54EF)) + (segment (start 66.75 173.75) (end 68 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE54E4)) + (via (at 69.25 137.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 137.5) (end 69.25 134.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE518B)) + (segment (start 69.25 143.25) (end 69.25 140.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4A06)) + (via (at 69.25 143.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 146.25) (end 69.25 143.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4A03)) + (via (at 69.25 146.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 149.25) (end 69.25 146.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE49F7)) + (via (at 69.25 149.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 152.25) (end 69.25 149.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE49F4)) + (via (at 69.25 152.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 155.25) (end 69.25 152.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE49EB)) + (via (at 69.25 155.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 69.25 158.25) (end 69.25 155.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE49E8)) + (via (at 69.25 158.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 66.75 158.25) (end 69.25 158.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE49E2)) + (via (at 66.75 158.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 63.75 158.25) (end 66.75 158.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE49DF)) + (via (at 63.75 158.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 61.75 158.25) (end 63.75 158.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE5541)) + (segment (start 79 173.75) (end 76 173.75) (width 0.4) (layer 4_bot) (net 99)) + (via (at 169 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 169 173.75) (end 166 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C07)) + (via (at 166 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 166 173.75) (end 163 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C0B)) + (via (at 163 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 163 173.75) (end 160 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C0E)) + (via (at 160 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 160 173.75) (end 157 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C12)) + (via (at 157 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 157 173.75) (end 154 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C15)) + (via (at 154 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 154 173.75) (end 151 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C18)) + (via (at 151 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 151 173.75) (end 148 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C1C)) + (via (at 148 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 148 173.75) (end 145 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C1F)) + (via (at 145 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 145 173.75) (end 142 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C29)) + (via (at 142 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 142 173.75) (end 139 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C2C)) + (via (at 139 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 139 173.75) (end 136 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C35)) + (via (at 136 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 136 173.75) (end 133 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C38)) + (via (at 133 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 133 173.75) (end 130 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C3B)) + (via (at 130 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 130 173.75) (end 127 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C43)) + (via (at 127 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 127 173.75) (end 124 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C47)) + (via (at 124 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 124 173.75) (end 121 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C4A)) + (via (at 121 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 121 173.75) (end 118 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C4E)) + (via (at 118 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 118 173.75) (end 115 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C52)) + (via (at 115 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 115 173.75) (end 112 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C56)) + (via (at 112 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 112 173.75) (end 109 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C59)) + (via (at 109 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 109 173.75) (end 106 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C5D)) + (via (at 106 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 106 173.75) (end 103 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C60)) + (via (at 103 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 103 173.75) (end 100 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C63)) + (via (at 100 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 100 173.75) (end 97 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C67)) + (via (at 97 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 97 173.75) (end 94 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C6B)) + (via (at 94 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 94 173.75) (end 91 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C6E)) + (via (at 91 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 91 173.75) (end 88 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C71)) + (via (at 88 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 88 173.75) (end 85 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C75)) + (via (at 85 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85 173.75) (end 82 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C78)) + (via (at 82 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 82 173.75) (end 79 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4C7B)) + (via (at 79 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (via (at 172 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 172 173.75) (end 169 173.75) (width 0.4) (layer 4_bot) (net 99)) + (via (at 76 173.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 176 171) (end 173.25 173.75) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 178.75 122) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 125) (end 178.75 122) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B31)) + (via (at 178.75 125) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 128) (end 178.75 125) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B2D)) + (via (at 178.75 128) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 131) (end 178.75 128) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B29)) + (via (at 178.75 131) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 134) (end 178.75 131) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B1E)) + (via (at 178.75 134) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 137) (end 178.75 134) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B1A)) + (via (at 178.75 137) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 140) (end 178.75 137) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B16)) + (via (at 178.75 140) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 143) (end 178.75 140) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B12)) + (via (at 178.75 143) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 146) (end 178.75 143) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B0E)) + (via (at 178.75 146) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 149) (end 178.75 146) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B0A)) + (via (at 178.75 149) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 152) (end 178.75 149) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4B04)) + (via (at 178.75 152) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 155) (end 178.75 152) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4AF5)) + (via (at 178.75 155) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 158) (end 178.75 155) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4AF0)) + (via (at 178.75 158) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 161) (end 178.75 158) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4ADF)) + (via (at 178.75 161) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 164) (end 178.75 161) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4ADB)) + (via (at 178.75 164) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 178.75 167) (end 178.75 164) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4AD7)) + (via (at 178.75 167) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 175.75 167) (end 178.75 167) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4AD3)) + (via (at 175.75 167) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 174.5 167) (end 175.75 167) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4ACA)) + (segment (start 173.25 168.25) (end 174.5 167) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4AC9)) + (via (at 173.25 168.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 172 169.5) (end 173.25 168.25) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4ABB)) + (segment (start 172 170.75) (end 172 169.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4ABA)) + (via (at 172 170.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 172 173.75) (end 172 170.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4AB5)) + (segment (start 173.25 173.75) (end 172 173.75) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4A9D)) + (segment (start 89.05042 132.6) (end 88.3 132.6) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 88.3 132.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 96.10084 121.3) (end 95 121.3) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 95 121.3) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 96.10084 121.3) (end 96.4 121.00084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 96.4 120.1) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 96.4 121.00084) (end 96.4 120.1) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30810) (status 10)) + (segment (start 96.10084 121.3) (end 96.4 121.59916) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 96.4 122.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 96.4 121.59916) (end 96.4 122.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B3080B) (status 10)) + (segment (start 97.2 124.75042) (end 97.25042 124.7) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 98 124.7) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 97.25042 124.7) (end 98 124.7) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B307F2) (status 10)) + (segment (start 97.2 124.75042) (end 97.2 124) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 97.2 124) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 96 124.75042) (end 96 124) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 96 124) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 89.10084 125.7) (end 88 125.7) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 88 125.7) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 89.10084 125.7) (end 89.4 125.99916) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 89.4 126.9) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 89.4 125.99916) (end 89.4 126.9) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30795) (status 10)) + (segment (start 89.10084 125.7) (end 89.4 125.40084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 89.4 124.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 89.4 125.40084) (end 89.4 124.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B3078F) (status 10)) + (segment (start 89.10084 140.7) (end 89.4 140.40084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 89.4 139.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 89.4 140.40084) (end 89.4 139.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B3074E) (status 10)) + (segment (start 89.10084 140.7) (end 88 140.7) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 88 140.7) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 89.10084 140.7) (end 89.4 140.99916) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 89.4 141.9) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 89.4 140.99916) (end 89.4 141.9) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30746) (status 10)) + (segment (start 101.4 148.69916) (end 101.4 149.7) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 101.4 149.7) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 101.4 148.69916) (end 101.69916 148.4) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 102.6 148.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 101.69916 148.4) (end 102.6 148.4) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30605) (status 10)) + (segment (start 98.49916 144.8) (end 98.99916 145.3) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 99.5 145.3) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 98.99916 145.3) (end 99.5 145.3) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B305B1) (status 10)) + (segment (start 98.49916 144.8) (end 98.99916 144.3) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 99.5 144.3) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 98.99916 144.3) (end 99.5 144.3) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B305AA) (status 10)) + (segment (start 106.44958 132.2) (end 107.2 132.2) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 107.2 132.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 106.44958 132.2) (end 106.4 132.24958) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 106.4 133) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 106.4 132.24958) (end 106.4 133) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30566) (status 10)) + (segment (start 122.60084 158.9) (end 121.5 158.9) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 121.5 158.9) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 122.60084 158.9) (end 122.9 158.60084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 122.9 157.7) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 122.9 158.60084) (end 122.9 157.7) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B304FE) (status 10)) + (segment (start 122.60084 158.9) (end 122.9 159.19916) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 122.9 160.1) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 122.9 159.19916) (end 122.9 160.1) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B304FA) (status 10)) + (segment (start 172.50084 159) (end 171.4 159) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 171.4 159) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 172.50084 159) (end 172.8 159.29916) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 172.8 160.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 172.8 159.29916) (end 172.8 160.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30486) (status 10)) + (segment (start 172.50084 159) (end 172.8 158.70084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 172.8 157.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 172.8 158.70084) (end 172.8 157.8) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30482) (status 10)) + (segment (start 134.10084 122) (end 133 122) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 133 122) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 134.10084 122) (end 134.4 121.70084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 134.4 120.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 134.4 121.70084) (end 134.4 120.8) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30428) (status 10)) + (segment (start 134.04958 119.8) (end 134 119.75042) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 134 119) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 134 119.75042) (end 134 119) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30418) (status 10)) + (segment (start 141.55042 119.8) (end 141.4 119.64958) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 141.4 119) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 141.4 119.64958) (end 141.4 119) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30405) (status 10)) + (segment (start 144.6 115.70084) (end 144.30084 116) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 143.4 116) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 144.30084 116) (end 143.4 116) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B303FB) (status 10)) + (segment (start 144.6 115.70084) (end 144.89916 116) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 145.8 116) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 144.89916 116) (end 145.8 116) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B303EF) (status 10)) + (segment (start 144.6 112.29916) (end 144.89916 112) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 145.8 112) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 144.89916 112) (end 145.8 112) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B303E7) (status 10)) + (segment (start 144.6 112.29916) (end 144.30084 112) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 143.4 112) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 144.30084 112) (end 143.4 112) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B303E3) (status 10)) + (segment (start 142.44958 108.2) (end 142.4 108.24958) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 142.4 109) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 142.4 108.24958) (end 142.4 109) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B303CC) (status 10)) + (segment (start 137.09916 106.4) (end 138.2 106.4) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 138.2 106.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 137.09916 106.4) (end 137.4 106.70084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 137.4 107.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 137.4 106.70084) (end 137.4 107.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B303B7) (status 10)) + (segment (start 135.84958 108.2) (end 136.6 108.2) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 136.6 108.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 131.50084 106.8) (end 131.2 107.10084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 131.2 108) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 131.2 107.10084) (end 131.2 108) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30397) (status 10)) + (segment (start 132.75042 108.6) (end 132 108.6) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 132 108.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 131.50084 106.8) (end 131.8 106.50084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 131.8 105.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 131.8 106.50084) (end 131.8 105.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B3038C) (status 10)) + (segment (start 114.8 113.9999) (end 115.0001 114.2) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 115.8 114.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 115.0001 114.2) (end 115.8 114.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30387) (status 10)) + (segment (start 113 113.9999) (end 112.7999 114.2) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 112 114.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 112.7999 114.2) (end 112 114.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30383) (status 10)) + (segment (start 113 111.4001) (end 112.7999 111.2) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 112 111.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 112.7999 111.2) (end 112 111.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B3037F) (status 10)) + (segment (start 114.8 111.4001) (end 115.0001 111.2) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 115.8 111.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 115.0001 111.2) (end 115.8 111.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B3037B) (status 10)) + (segment (start 91.8 111.4001) (end 91.9999 111.6) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 92.8 111.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 91.9999 111.6) (end 92.8 111.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30370) (status 10)) + (segment (start 91.8 117.2001) (end 91.8001 117.2) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 92.8 117.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 91.8001 117.2) (end 92.8 117.2) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30365) (status 10)) + (segment (start 77.09916 123.2) (end 76.8 123.49916) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 76.8 124.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 76.8 123.49916) (end 76.8 124.4) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30358) (status 10)) + (segment (start 77.09916 123.2) (end 78.2 123.2) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 78.2 123.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 77.09916 123.2) (end 76.8 122.90084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 76.8 122) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 76.8 122.90084) (end 76.8 122) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30350) (status 10)) + (segment (start 72.64958 122.8) (end 72.6 122.84958) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 72.6 123.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 72.6 122.84958) (end 72.6 123.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30335) (status 10)) + (segment (start 72.64958 122.8) (end 72.6 122.75042) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 72.6 122) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 72.6 122.75042) (end 72.6 122) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B30331) (status 10)) + (segment (start 89.2001 104.8) (end 89 105.0001) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 89 105.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 89 105.0001) (end 89 105.8) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B2F5E2) (status 10)) + (segment (start 89.2001 104.8) (end 90.2 104.8) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 90.2 104.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 112.2001 104.8) (end 113.2 104.8) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 113.2 104.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 112.2001 104.8) (end 112 104.5999) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 112 103.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 112 104.5999) (end 112 103.8) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B2F5D3) (status 10)) + (segment (start 89.2001 104.8) (end 89 104.5999) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 89 103.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 89 104.5999) (end 89 103.8) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B2F598) (status 10)) + (segment (start 130.2 115.70084) (end 129.90084 116) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 129 116) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 129.90084 116) (end 129 116) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B2F3D7) (status 10)) + (segment (start 130.2 115.70084) (end 130.49916 116) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 131.4 116) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 130.49916 116) (end 131.4 116) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B2F3C4) (status 10)) + (segment (start 112.2001 104.8) (end 112 105.0001) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 112 105.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 112 105.0001) (end 112 105.8) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B2F346) (status 10)) + (segment (start 82.35 160) (end 82.35 157.4) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 82.35 157.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 165.2 155.29916) (end 165.2 156.4) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 165.2 156.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 165.2 155.29916) (end 165.49916 155) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 166.4 155) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 165.49916 155) (end 166.4 155) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B195B9) (status 10)) + (segment (start 165.2 155.29916) (end 164.90084 155) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 164 155) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 164.90084 155) (end 164 155) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B195B5) (status 10)) + (segment (start 156.09916 157.8) (end 155.8 157.50084) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 155.8 156.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 155.8 157.50084) (end 155.8 156.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B193C4) (status 10)) + (segment (start 156.09916 157.8) (end 157.2 157.8) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 157.2 157.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 156.09916 157.8) (end 155.8 158.09916) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 155.8 159.1) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 155.8 158.09916) (end 155.8 159.1) (width 0.4) (layer 4_bot) (net 99) (tstamp 53B193BC) (status 10)) + (segment (start 173.9999 121.6) (end 173.9999 120.6001) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 173.9999 120.6001) (end 174 120.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE36D8)) + (via (at 174 120.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (via (at 169 122.2) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 169.9999 122.2) (end 169 122.2) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 169.9999 121.1001) (end 170 121.1) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE4607)) + (via (at 170 121.1) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 169.9999 122.2) (end 169.9999 121.1001) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 132.4 110.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 132.40012 110.49988) (end 132.4 110.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53ADF166)) + (segment (start 133.17598 110.49988) (end 132.40012 110.49988) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 161 107.4) (end 161 108.3999) (width 0.4) (layer 4_bot) (net 99) (status 20)) + (via (at 161 107.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 162.8 108.3999) (end 162.8 107.4) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 162.8 107.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 169.9999 124) (end 169.9999 125.0999) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 170 125.1) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 169.9999 125.0999) (end 170 125.1) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE45FF)) + (segment (start 169.9999 124) (end 169 124) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 169 124) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 82.2 125.65) (end 82.2 127.35) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 82.2 127.35) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 83.4 126.05) (end 83.4 127.35) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 83.4 127.35) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 83.4 126.05) (end 84.3 126.05) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 84.3 126.05) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 83.4 126.05) (end 83.4 124.85) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 83.4 124.85) (end 83.55 124.7) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE3897)) + (via (at 83.55 124.7) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 82.2 125.65) (end 80.9 125.65) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 80.9 125.65) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 82.2 125.65) (end 82.2 123.95) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 82.2 123.95) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 83.4 148.95) (end 83.4 147.65) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 83.4 147.65) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 82.2 149.35) (end 80.9 149.35) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 80.9 149.35) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 82.2 149.35) (end 82.2 147.65) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 82.2 147.65) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 82.2 149.35) (end 82.2 151.05) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 82.2 151.05) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 83.4 148.95) (end 83.4 150.15) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 83.4 150.15) (end 83.55 150.3) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE3855)) + (via (at 83.55 150.3) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 83.4 148.95) (end 84.3 148.95) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 84.3 148.95) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 82.35 160) (end 82.35 162.6) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 82.35 162.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 82.35 160) (end 80.2 160) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 80.2 160) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 82.35 160) (end 84.5 160) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 84.5 160) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 162.8 121.6001) (end 162.8 122.6) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 162.8 122.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 161 121.6001) (end 161 122.6) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 161 122.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 161 121.6001) (end 160.0001 121.6001) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 160.0001 121.6001) (end 160 121.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE37BE)) + (via (at 160 121.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 161 108.3999) (end 160.0001 108.3999) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 160.0001 108.3999) (end 160 108.4) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE3795)) + (via (at 160 108.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 162.8 108.3999) (end 163.7999 108.3999) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 163.7999 108.3999) (end 163.8 108.4) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE3778)) + (via (at 163.8 108.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 173.9999 121.6) (end 173.9999 122.5999) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 173.9999 122.5999) (end 174 122.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE36E1)) + (via (at 174 122.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 173.9999 121.6) (end 173 121.6) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 173 121.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 98.2001 150.6) (end 99.2 150.6) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 99.2 150.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 98 147.8) (end 98 149) (width 0.4) (layer 4_bot) (net 99)) + (via (at 98 147.8) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (via (at 98 149) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 99.34874 147.8) (end 98 147.8) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 98 146.6) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 98 147.8) (end 98 146.6) (width 0.4) (layer 4_bot) (net 99) (tstamp 53AE1EBF)) + (via (at 136 119) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 136 119.64958) (end 136 119) (width 0.4) (layer 4_bot) (net 99) (tstamp 53ADF26A) (status 10)) + (segment (start 136.15042 119.8) (end 136 119.64958) (width 0.4) (layer 4_bot) (net 99) (status 30)) + (via (at 135.1 119) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 135.12416 118.97584) (end 135.1 119) (width 0.4) (layer 4_bot) (net 99) (tstamp 53ADF236)) + (segment (start 135.12416 117.50012) (end 135.12416 118.97584) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 137.4 112) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (via (at 142.4 110.5) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 142.39988 110.49988) (end 142.4 110.5) (width 0.4) (layer 4_bot) (net 99) (tstamp 53ADF16A)) + (segment (start 141.62402 110.49988) (end 142.39988 110.49988) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (segment (start 137.72512 110.49988) (end 137.72512 111.67488) (width 0.2) (layer 4_bot) (net 99) (status 10)) + (segment (start 137.07488 111.67488) (end 137.4 112) (width 0.2) (layer 4_bot) (net 99) (tstamp 53ADF17E)) + (segment (start 137.07488 111.67488) (end 137.07488 110.49988) (width 0.2) (layer 4_bot) (net 99) (status 20)) + (segment (start 137.72512 111.67488) (end 137.4 112) (width 0.2) (layer 4_bot) (net 99) (tstamp 53ADF182)) + (via (at 97.6 132.8) (size 0.6985) (layers 1_top 4_bot) (net 99) (status 30)) + (segment (start 97.6 132.8) (end 97.6 135) (width 0.2) (layer 4_bot) (net 99) (status 30)) + (via (at 97.6 135) (size 0.6985) (layers 1_top 4_bot) (net 99) (status 30)) + (segment (start 97.6 132.8) (end 99.8 132.8) (width 0.2) (layer 4_bot) (net 99) (status 30)) + (via (at 99.8 132.8) (size 0.6985) (layers 1_top 4_bot) (net 99) (status 30)) + (segment (start 97.6 132.8) (end 95.4 132.8) (width 0.2) (layer 4_bot) (net 99) (status 30)) + (via (at 95.4 132.8) (size 0.6985) (layers 1_top 4_bot) (net 99) (status 30)) + (segment (start 97.6 132.8) (end 97.6 130.6) (width 0.2) (layer 4_bot) (net 99) (status 30)) + (via (at 97.6 130.6) (size 0.6985) (layers 1_top 4_bot) (net 99) (status 30)) + (segment (start 97.6 132.8) (end 99.8 135) (width 0.2) (layer 4_bot) (net 99) (status 30)) + (via (at 99.8 135) (size 0.6985) (layers 1_top 4_bot) (net 99) (status 30)) + (segment (start 97.6 132.8) (end 95.4 135) (width 0.2) (layer 4_bot) (net 99) (status 30)) + (via (at 95.4 135) (size 0.6985) (layers 1_top 4_bot) (net 99) (status 30)) + (segment (start 97.6 132.8) (end 99.8 130.6) (width 0.2) (layer 4_bot) (net 99) (status 30)) + (via (at 99.8 130.6) (size 0.6985) (layers 1_top 4_bot) (net 99) (status 30)) + (segment (start 97.6 132.8) (end 95.4 130.6) (width 0.2) (layer 4_bot) (net 99) (status 30)) + (via (at 95.4 130.6) (size 0.6985) (layers 1_top 4_bot) (net 99) (status 30)) + (segment (start 85.3 143.75) (end 86.1 143.75) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (segment (start 86.1 143.75) (end 86.45 143.4) (width 0.3) (layer 4_bot) (net 99) (tstamp 53AB3F31)) + (via (at 86.45 143.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85.3 143.25) (end 84.15 143.25) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (via (at 84.15 143.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85.3 139.75) (end 86.45 139.75) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (via (at 86.45 139.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85.3 139.25) (end 84.15 139.25) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (via (at 84.15 139.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85.3 130.25) (end 84.45 130.25) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (segment (start 84.45 130.25) (end 84.15 130.55) (width 0.3) (layer 4_bot) (net 99) (tstamp 53AA6CDF)) + (via (at 84.15 130.55) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85.3 146.25) (end 86.45 146.25) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (via (at 86.45 146.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85.3 141.75) (end 86.45 141.75) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (via (at 86.45 141.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85.3 140.75) (end 86.45 140.75) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (via (at 86.45 140.75) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85.3 142.25) (end 84.15 142.25) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (via (at 84.15 142.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85.3 141.25) (end 84.15 141.25) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (via (at 84.15 141.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 85.3 140.25) (end 84.15 140.25) (width 0.3) (layer 4_bot) (net 99) (status 10)) + (via (at 84.15 140.25) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 97 141.64958) (end 97 142.4) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 97 142.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 98.6 141.64958) (end 98.6 142.4) (width 0.4) (layer 4_bot) (net 99) (status 10)) + (via (at 98.6 142.4) (size 0.6985) (layers 1_top 4_bot) (net 99)) + (segment (start 114.8 115.6001) (end 113 115.6001) (width 0.4) (layer 4_bot) (net 100) (status 30)) + (segment (start 114.8 115.6001) (end 114.8 117.3999) (width 0.4) (layer 4_bot) (net 100) (status 30)) + (segment (start 114.8 117.3999) (end 114.8001 117.4) (width 0.4) (layer 4_bot) (net 100) (tstamp 53B08341) (status 30)) + (segment (start 114.8 115.6001) (end 117.64984 115.6001) (width 0.4) (layer 4_bot) (net 100) (status 30)) + (segment (start 117.64984 115.6001) (end 117.64994 115.6) (width 0.4) (layer 4_bot) (net 100) (tstamp 53B0833F) (status 30)) + (segment (start 114.8 109.7999) (end 114.8 108.0001) (width 0.4) (layer 4_bot) (net 101) (status 30)) + (segment (start 114.8 108.0001) (end 114.8001 108) (width 0.4) (layer 4_bot) (net 101) (tstamp 53B08347) (status 30)) + (segment (start 114.8 109.7999) (end 113 109.7999) (width 0.4) (layer 4_bot) (net 101) (status 30)) + (segment (start 114.8 109.7999) (end 117.64984 109.7999) (width 0.4) (layer 4_bot) (net 101) (status 30)) + (segment (start 117.64984 109.7999) (end 117.64994 109.8) (width 0.4) (layer 4_bot) (net 101) (tstamp 53B0833D) (status 30)) + (segment (start 162.8 119.9999) (end 163.7999 119.9999) (width 0.4) (layer 4_bot) (net 102) (status 10)) + (segment (start 163.7999 119.9999) (end 164.5999 120.7999) (width 0.4) (layer 4_bot) (net 102) (tstamp 53AE0179)) + (segment (start 164.5999 120.7999) (end 164.5999 121.6) (width 0.4) (layer 4_bot) (net 102) (tstamp 53AE017B) (status 20)) + (segment (start 162.8 119.9999) (end 161 119.9999) (width 0.4) (layer 4_bot) (net 102) (status 30)) + (segment (start 161 119.9999) (end 157.3497 119.9999) (width 0.4) (layer 4_bot) (net 102) (status 30)) + (segment (start 157.3497 119.9999) (end 157.3496 120) (width 0.4) (layer 4_bot) (net 102) (tstamp 53AE0130) (status 30)) + (segment (start 164.5999 110) (end 162.8001 110) (width 0.4) (layer 4_bot) (net 103) (status 30)) + (segment (start 162.8001 110) (end 162.8 110.0001) (width 0.4) (layer 4_bot) (net 103) (tstamp 53AE012D) (status 30)) + (segment (start 162.8 110.0001) (end 161 110.0001) (width 0.4) (layer 4_bot) (net 103) (status 30)) + (segment (start 161 110.0001) (end 157.3497 110.0001) (width 0.4) (layer 4_bot) (net 103) (status 30)) + (segment (start 157.3497 110.0001) (end 157.3496 110) (width 0.4) (layer 4_bot) (net 103) (tstamp 53AE0127) (status 30)) + (segment (start 91.8001 108) (end 91.8001 109.7998) (width 0.4) (layer 4_bot) (net 104) (status 30)) + (segment (start 91.8001 109.7998) (end 91.8 109.7999) (width 0.4) (layer 4_bot) (net 104) (tstamp 53B0871A) (status 30)) + (segment (start 91.8 109.7999) (end 94.64984 109.7999) (width 0.4) (layer 4_bot) (net 104) (status 30)) + (segment (start 94.64984 109.7999) (end 94.64994 109.8) (width 0.4) (layer 4_bot) (net 104) (tstamp 53B08718) (status 30)) + (segment (start 91.8 115.5999) (end 91.7999 115.6) (width 0.4) (layer 4_bot) (net 105) (status 30)) + (segment (start 91.7999 115.6) (end 90.0001 115.6) (width 0.4) (layer 4_bot) (net 105) (tstamp 53B08D1C) (status 30)) + (segment (start 94.64994 115.6) (end 94.64984 115.5999) (width 0.4) (layer 4_bot) (net 105) (status 30)) + (segment (start 94.64984 115.5999) (end 91.8 115.5999) (width 0.4) (layer 4_bot) (net 105) (tstamp 53B08D1A) (status 30)) + (segment (start 91.7999 115.6) (end 91.8 115.6001) (width 0.4) (layer 4_bot) (net 105) (tstamp 53B0872A) (status 30)) + (segment (start 94.64984 115.6001) (end 94.64994 115.6) (width 0.4) (layer 4_bot) (net 105) (tstamp 53B08716) (status 30)) + (segment (start 140.2001 108.2) (end 140.2001 107.1999) (width 0.4) (layer 4_bot) (net 106) (status 10)) + (segment (start 140.2001 107.1999) (end 143 104.4) (width 0.4) (layer 4_bot) (net 106) (tstamp 53AE01D6) (status 20)) + (segment (start 143 104.4) (end 143.55006 104.4) (width 0.4) (layer 4_bot) (net 106) (tstamp 53AE01D9) (status 30)) + (segment (start 142 103) (end 145.4 103) (width 0.4) (layer 4_bot) (net 107)) + (segment (start 140.04994 104.4) (end 140.6 104.4) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE01C4) (status 30)) + (segment (start 142 103) (end 140.6 104.4) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE01C0) (status 20)) + (segment (start 147.07 104.67) (end 147.07 108.4) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE50C6) (status 20)) + (segment (start 145.4 103) (end 147.07 104.67) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE50C3)) + (segment (start 154.2 108.8) (end 154.2 112.6) (width 0.4) (layer 4_bot) (net 107)) + (segment (start 154.2 108.8) (end 153.8 108.4) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE4611)) + (segment (start 153.8 108.4) (end 147.07 108.4) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE4613) (status 20)) + (segment (start 171.6001 122.2) (end 171.6001 119.8) (width 0.4) (layer 4_bot) (net 107) (status 10)) + (segment (start 154.2 112.6) (end 157.9 116.3) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE4618)) + (segment (start 157.9 116.3) (end 168.1 116.3) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE4619)) + (segment (start 168.1 116.3) (end 171.6 119.8) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE461B)) + (segment (start 171.6 119.8) (end 171.6001 119.8) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE461D)) + (segment (start 173.3999 124) (end 171.6001 124) (width 0.4) (layer 4_bot) (net 107) (status 30)) + (segment (start 171.6001 124) (end 171.6001 122.2) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE45E8) (status 30)) + (segment (start 147.1 108.37) (end 147.07 108.4) (width 0.4) (layer 4_bot) (net 107) (tstamp 53AE0287) (status 30)) + (segment (start 140.04994 104.4) (end 137.2001 104.4) (width 0.4) (layer 4_bot) (net 107) (status 30)) + (segment (start 96.65126 148.74996) (end 96.65126 150.54864) (width 0.2) (layer 4_bot) (net 108) (status 30)) + (segment (start 96.65126 150.54864) (end 96.5999 150.6) (width 0.2) (layer 4_bot) (net 108) (tstamp 53AE1EEA) (status 30)) + (segment (start 85.3 147.25) (end 85.3 147.75) (width 0.3) (layer 4_bot) (net 109) (status 10)) + (segment (start 85.3 147.75) (end 85.95 148.4) (width 0.4) (layer 4_bot) (net 109) (tstamp 53C5B93F)) + (segment (start 85.95 148.4) (end 90.4 148.4) (width 0.4) (layer 4_bot) (net 109) (tstamp 53C5B940) (status 20)) + (segment (start 90.4 148.4) (end 90.44938 148.4) (width 0.4) (layer 4_bot) (net 109) (tstamp 53C5B941) (status 30)) + (segment (start 109.7 102.4) (end 109.7 103.9001) (width 0.4) (layer 4_bot) (net 110) (status 10)) + (segment (start 109.7 103.9001) (end 110.5999 104.8) (width 0.4) (layer 4_bot) (net 110) (tstamp 53B086BE) (status 20)) + (segment (start 86.7 102.4) (end 86.7 103.9001) (width 0.4) (layer 4_bot) (net 111) (status 10)) + (segment (start 86.7 103.9001) (end 87.5999 104.8) (width 0.4) (layer 4_bot) (net 111) (tstamp 53B085A9) (status 20)) + (segment (start 113.1999 117.4) (end 110.6001 117.4) (width 0.4) (layer 4_bot) (net 112) (status 30)) + (segment (start 110.6001 117.4) (end 110.6 117.4001) (width 0.4) (layer 4_bot) (net 112) (tstamp 53B086C4) (status 30)) + (segment (start 110.6 115.7999) (end 110.6 112.7) (width 0.4) (layer 4_bot) (net 113) (status 30)) + (segment (start 110.6 112.7) (end 109.7 111.8) (width 0.4) (layer 4_bot) (net 113) (tstamp 53B086C2) (status 30)) + (segment (start 113.1999 108) (end 105.2 108) (width 0.4) (layer 4_bot) (net 114) (status 10)) + (segment (start 103.5999 109.6001) (end 101.6 109.6001) (width 0.4) (layer 4_bot) (net 114) (tstamp 53B086C8) (status 20)) + (segment (start 105.2 108) (end 103.5999 109.6001) (width 0.4) (layer 4_bot) (net 114) (tstamp 53B086C6)) + (segment (start 101.6 107.9999) (end 101.6 105.1) (width 0.4) (layer 4_bot) (net 115) (status 30)) + (segment (start 101.6 105.1) (end 102.3 104.4) (width 0.4) (layer 4_bot) (net 115) (tstamp 53B086C0) (status 30)) + (segment (start 166.2001 121.6) (end 166.2001 118.5999) (width 0.4) (layer 4_bot) (net 116) (status 30)) + (segment (start 166.2001 118.5999) (end 166.3 118.5) (width 0.4) (layer 4_bot) (net 116) (tstamp 53B18C8E) (status 30)) + (segment (start 173.8 111.1) (end 168.3 111.1) (width 0.4) (layer 4_bot) (net 117) (status 10)) + (segment (start 167.2 110) (end 168.3 111.1) (width 0.4) (layer 4_bot) (net 117) (tstamp 53AE0174)) + (segment (start 167.2 110) (end 166.2001 110) (width 0.4) (layer 4_bot) (net 117) (status 20)) + (segment (start 175.6001 121.6) (end 175.6001 118.5999) (width 0.4) (layer 4_bot) (net 118) (status 30)) + (segment (start 175.6001 118.5999) (end 175.7 118.5) (width 0.4) (layer 4_bot) (net 118) (tstamp 53B18C88) (status 30)) + (segment (start 90 111.4001) (end 87.0999 111.4001) (width 0.4) (layer 4_bot) (net 119) (status 30)) + (segment (start 87.0999 111.4001) (end 86.7 111.8) (width 0.4) (layer 4_bot) (net 119) (tstamp 53B0872E) (status 30)) + (segment (start 90 109.7999) (end 90 108.1999) (width 0.4) (layer 4_bot) (net 120) (status 30)) + (segment (start 90 108.1999) (end 90.1999 108) (width 0.4) (layer 4_bot) (net 120) (tstamp 53B0872C) (status 30)) + (segment (start 79.3 104.4) (end 79.8 104.9) (width 0.4) (layer 4_bot) (net 121) (status 30)) + (segment (start 79.6001 107.9999) (end 78.6 107.9999) (width 0.4) (layer 4_bot) (net 121) (tstamp 53B0855E) (status 20)) + (segment (start 79.8 107.8) (end 79.6001 107.9999) (width 0.4) (layer 4_bot) (net 121) (tstamp 53B0855D)) + (segment (start 79.8 104.9) (end 79.8 107.8) (width 0.4) (layer 4_bot) (net 121) (tstamp 53B0855C) (status 10)) + (segment (start 88.3999 115.6) (end 86.2 115.6) (width 0.4) (layer 4_bot) (net 122) (status 10)) + (segment (start 79.6001 109.6001) (end 80.6 110.6) (width 0.4) (layer 4_bot) (net 122) (tstamp 53B08730)) + (segment (start 80.6 110.6) (end 80.6 111.6) (width 0.4) (layer 4_bot) (net 122) (tstamp 53B08731)) + (segment (start 80.6 111.6) (end 81.8 112.8) (width 0.4) (layer 4_bot) (net 122) (tstamp 53B08732)) + (segment (start 81.8 112.8) (end 83.4 112.8) (width 0.4) (layer 4_bot) (net 122) (tstamp 53B08733)) + (segment (start 79.6001 109.6001) (end 78.6 109.6001) (width 0.4) (layer 4_bot) (net 122) (status 20)) + (segment (start 86.2 115.6) (end 83.4 112.8) (width 0.4) (layer 4_bot) (net 122) (tstamp 53B08D1F)) + (segment (start 178.5 118.5) (end 177.6 119.4) (width 0.4) (layer 4_bot) (net 123) (status 30)) + (segment (start 177.6 122.2) (end 175.8 124) (width 0.4) (layer 4_bot) (net 123) (tstamp 53AE45C2)) + (segment (start 175.8 124) (end 175.0001 124) (width 0.4) (layer 4_bot) (net 123) (tstamp 53AE45C3) (status 20)) + (segment (start 177.6 119.4) (end 177.6 122.2) (width 0.4) (layer 4_bot) (net 123) (tstamp 53B18C82) (status 10)) + + (zone (net 0) (net_name "") (layer 2_pwr) (tstamp 53AA1C68) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) + (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed)) + (fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 70.2 165.6) (xy 79.4 165.6) (xy 79.4 168.8) (xy 70.2 168.8) + ) + ) + ) + (zone (net 0) (net_name "") (layer 3_gnd) (tstamp 53AA1C69) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) + (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed)) + (fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 70.2 165.6) (xy 79.4 165.6) (xy 79.4 168.8) (xy 70.2 168.8) + ) + ) + ) + (zone (net 2) (net_name +3.3V) (layer 2_pwr) (tstamp 53AA6D6C) (hatch edge 0.508) + (priority 1) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) + (fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 62 109) (xy 66 109) (xy 69 106) (xy 69 102) (xy 121 102) + (xy 121 106) (xy 124 109) (xy 128 109) (xy 131 106) (xy 131 102) + (xy 171 102) (xy 171 106) (xy 174 109) (xy 178 109) (xy 178 166) + (xy 174 166) (xy 171 169) (xy 171 173) (xy 69 173) (xy 69 169) + (xy 66 166) (xy 62 166) (xy 62 159) (xy 70 159) (xy 70 121.4) + (xy 62 121.4) + ) + ) + ) + (zone (net 1) (net_name +1.8V) (layer 2_pwr) (tstamp 53AE109F) (hatch edge 0.508) + (priority 2) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) + (fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 70 125) (xy 86 125) (xy 88 123) (xy 98 123) (xy 98 126.8) + (xy 96.6 126.8) (xy 96.6 125.8) (xy 92.7 125.8) (xy 92.7 130) (xy 100.4 130) + (xy 100.4 145.8) (xy 98 145.8) (xy 98 147.8) (xy 95 147.8) (xy 92.4 145.2) + (xy 83.6 145.2) (xy 83.6 155.6) (xy 70 155.6) + ) + ) + ) + (zone (net 99) (net_name GND) (layer 3_gnd) (tstamp 53AA6EAC) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) + (fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 60 100) (xy 180 100) (xy 180 175) (xy 60 175) + ) + ) + ) + (zone (net 0) (net_name "") (layer B.Mask) (tstamp 53B195FF) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.1524) + (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.2 165.6) (xy 79.4 165.6) (xy 79.4 168.8) (xy 70.2 168.8) + ) + ) + ) + (zone (net 0) (net_name "") (layer F.Mask) (tstamp 53B19601) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.1524) + (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.2 165.6) (xy 79.4 165.6) (xy 79.4 168.8) (xy 70.2 168.8) + ) + ) + ) + (zone (net 99) (net_name GND) (layer 4_bot) (tstamp 53B315F1) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) + (fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 60 100) (xy 180 100) (xy 180 175) (xy 60 175) + ) + ) + ) + (zone (net 0) (net_name "") (layer 4_bot) (tstamp 53B3161C) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 69 102) (xy 121 102) (xy 121 106) (xy 124 109) (xy 128 109) + (xy 131 106) (xy 131 102) (xy 171 102) (xy 171 105.8) (xy 171 106) + (xy 174 109) (xy 178 109) (xy 178 166) (xy 174 166) (xy 171 169) + (xy 171 173) (xy 69 173) (xy 69 169) (xy 66 166) (xy 62 166) + (xy 62 159) (xy 70 159) (xy 70 121.4) (xy 62 121.4) (xy 62 109) + (xy 66 109) (xy 69 106) + ) + ) + ) + (zone (net 99) (net_name GND) (layer 2_pwr) (tstamp 53B317F9) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) + (fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 60 100) (xy 180 100) (xy 180 175) (xy 60 175) + ) + ) + ) + (zone (net 0) (net_name "") (layer 1_top) (tstamp 53B3161C) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 69 102) (xy 121 102) (xy 121 106) (xy 124 109) (xy 128 109) + (xy 131 106) (xy 131 102) (xy 171 102) (xy 171 105.8) (xy 171 106) + (xy 174 109) (xy 178 109) (xy 178 166) (xy 174 166) (xy 171 169) + (xy 171 173) (xy 69 173) (xy 69 169) (xy 66 166) (xy 62 166) + (xy 62 159) (xy 70 159) (xy 70 121.4) (xy 62 121.4) (xy 62 109) + (xy 66 109) (xy 69 106) + ) + ) + ) + (zone (net 99) (net_name GND) (layer 1_top) (tstamp 53B315F1) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) + (fill (arc_segments 16) (thermal_gap 0.2) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 60 100) (xy 180 100) (xy 180 175) (xy 60 175) + ) + ) + ) + (zone (net 0) (net_name "") (layer B.SilkS) (tstamp 53C7F92D) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.1524) + (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119 172) (xy 118 173) (xy 108 173) (xy 107 172) (xy 107 168) + (xy 108 167) (xy 118 167) (xy 119 168) + ) + ) + ) +) diff --git a/hardware/portapack_h1/portapack_h1.net b/hardware/portapack_h1/portapack_h1.net new file mode 100644 index 00000000..066a9db8 --- /dev/null +++ b/hardware/portapack_h1/portapack_h1.net @@ -0,0 +1,1828 @@ +(export (version D) + (design + (source portapack_h1.sch) + (date "Thursday, July 17, 2014 'AMt' 08:44:16 AM") + (tool "eeschema (2014-03-01 BZR 4730)-product")) + (components + (comp (ref H1) + (value HOLE1) + (libsource (lib hole) (part HOLE1)) + (sheetpath (names /) (tstamps /)) + (tstamp 5369BBC4)) + (comp (ref H2) + (value HOLE1) + (libsource (lib hole) (part HOLE1)) + (sheetpath (names /) (tstamps /)) + (tstamp 5369BBD8)) + (comp (ref H3) + (value HOLE1) + (libsource (lib hole) (part HOLE1)) + (sheetpath (names /) (tstamps /)) + (tstamp 5369BBEC)) + (comp (ref H4) + (value HOLE1) + (libsource (lib hole) (part HOLE1)) + (sheetpath (names /) (tstamps /)) + (tstamp 5369BC00)) + (comp (ref H5) + (value HOLE1) + (libsource (lib hole) (part HOLE1)) + (sheetpath (names /) (tstamps /)) + (tstamp 5369BC14)) + (comp (ref LOGO1) + (value SHAREBRAINED) + (libsource (lib sharebrained) (part SHAREBRAINED)) + (sheetpath (names /) (tstamps /)) + (tstamp 53B1AE0D)) + (comp (ref LOGO2) + (value PORTAPACK_H1) + (libsource (lib sharebrained) (part PORTAPACK_H1)) + (sheetpath (names /) (tstamps /)) + (tstamp 53B1B509)) + (comp (ref FID1) + (value FIDUCIAL) + (libsource (lib fiducial) (part FIDUCIAL)) + (sheetpath (names /) (tstamps /)) + (tstamp 53B309AC)) + (comp (ref FID2) + (value FIDUCIAL) + (libsource (lib fiducial) (part FIDUCIAL)) + (sheetpath (names /) (tstamps /)) + (tstamp 53B30B4C)) + (comp (ref FID3) + (value FIDUCIAL) + (libsource (lib fiducial) (part FIDUCIAL)) + (sheetpath (names /) (tstamps /)) + (tstamp 53B30CEC)) + (comp (ref FID4) + (value FIDUCIAL) + (libsource (lib fiducial) (part FIDUCIAL)) + (sheetpath (names /) (tstamps /)) + (tstamp 53B30E8C)) + (comp (ref C18) + (value 220U) + (fields + (field (name Mfr) Nichicon) + (field (name Part) UWX1A221MCL1GB)) + (libsource (lib passive) (part CP)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C256)) + (comp (ref C19) + (value 220U) + (fields + (field (name Mfr) Nichicon) + (field (name Part) UWX1A221MCL1GB)) + (libsource (lib passive) (part CP)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C25E)) + (comp (ref R11) + (value 47K) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-0747KL)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C264)) + (comp (ref R12) + (value 47K) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-0747KL)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C26A)) + (comp (ref C22) + (value 220P) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM1885C1H221JA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C27E)) + (comp (ref C23) + (value 220P) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM1885C1H221JA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C284)) + (comp (ref R17) + (value 47K) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-0747KL)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C296)) + (comp (ref R18) + (value 680R) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C29C)) + (comp (ref C25) + (value 220P) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM1885C1H221JA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C2A2)) + (comp (ref C24) + (value 1U) + (fields + (field (name Mfr) Kemet) + (field (name Part) EDK105M050A9BAA)) + (libsource (lib passive) (part CP)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C2AA)) + (comp (ref R10) + (value 47K) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-0747KL)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C2B0)) + (comp (ref P2) + (value TRRS_SW_JACK) + (fields + (field (name Mfr) CUI) + (field (name Part) SJ-43514-SMT)) + (libsource (lib trs_jack) (part TRRS_SW_JACK)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C2C6)) + (comp (ref X1) + (value MIC_ELECTRET) + (fields + (field (name Mfr) CUI) + (field (name Part) CMA-4544PF-W)) + (libsource (lib microphone) (part MIC_ELECTRET)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C2D6)) + (comp (ref L4) + (value L) + (fields + (field (name Mfr) Murata) + (field (name Part) BLM18HE152SN1D)) + (libsource (lib passive) (part L)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C2E2)) + (comp (ref L5) + (value L) + (fields + (field (name Mfr) Murata) + (field (name Part) BLM18HE152SN1D)) + (libsource (lib passive) (part L)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C2E8)) + (comp (ref L6) + (value L) + (fields + (field (name Mfr) Murata) + (field (name Part) BLM18HE152SN1D)) + (libsource (lib passive) (part L)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C2EE)) + (comp (ref L9) + (value L) + (fields + (field (name Mfr) Murata) + (field (name Part) BLM18HE152SN1D)) + (libsource (lib passive) (part L)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C2F4)) + (comp (ref U1) + (value WM8731SEDS) + (fields + (field (name Mfr) Wolfson) + (field (name Part) WM8731SEDS/V)) + (libsource (lib wolfson) (part WM8731SEDS)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C66D)) + (comp (ref C6) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C687)) + (comp (ref C10) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C68D)) + (comp (ref C3) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C69F)) + (comp (ref C2) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C6A5)) + (comp (ref C5) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C6AB)) + (comp (ref C4) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C6B1)) + (comp (ref C12) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C6F0)) + (comp (ref C8) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C6F6)) + (comp (ref C9) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C708)) + (comp (ref C7) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C70E)) + (comp (ref C13) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C720)) + (comp (ref C11) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53A8C72C)) + (comp (ref RP1) + (value 220R) + (fields + (field (name Mfr) Panasonic) + (field (name Part) EXB-38V221JV)) + (libsource (lib passive) (part RPACK4)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AB09AD)) + (comp (ref P1) + (value TRS_JACK) + (fields + (field (name Mfr) Switchcraft) + (field (name Part) 35RASMT2BHNTRX)) + (libsource (lib trs_jack) (part TRS_JACK)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF0914)) + (comp (ref P3) + (value TRS_JACK) + (fields + (field (name Mfr) Switchcraft) + (field (name Part) 35RASMT2BHNTRX)) + (libsource (lib trs_jack) (part TRS_JACK)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF0926)) + (comp (ref L10) + (value L) + (fields + (field (name Mfr) Murata) + (field (name Part) BLM18HE152SN1D)) + (libsource (lib passive) (part L)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF0931)) + (comp (ref L1) + (value L) + (fields + (field (name Mfr) Murata) + (field (name Part) BLM18HE152SN1D)) + (libsource (lib passive) (part L)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF093C)) + (comp (ref R7) + (value 5K6) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-075K6L)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF0B74)) + (comp (ref R6) + (value 5K6) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-075K6L)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF0B7F)) + (comp (ref R8) + (value 5K6) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-075K6L)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF0B8A)) + (comp (ref R9) + (value 5K6) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-075K6L)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF0B95)) + (comp (ref C15) + (value 220P) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM1885C1H221JA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF112C)) + (comp (ref C14) + (value 220P) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM1885C1H221JA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF1137)) + (comp (ref C16) + (value 1U) + (fields + (field (name Mfr) Kemet) + (field (name Part) EDK105M050A9BAA)) + (libsource (lib passive) (part CP)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF15D9)) + (comp (ref C17) + (value 1U) + (fields + (field (name Mfr) Kemet) + (field (name Part) EDK105M050A9BAA)) + (libsource (lib passive) (part CP)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF15E8)) + (comp (ref L2) + (value L) + (fields + (field (name Mfr) Murata) + (field (name Part) BLM18HE152SN1D)) + (libsource (lib passive) (part L)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF20F3)) + (comp (ref L3) + (value L) + (fields + (field (name Mfr) Murata) + (field (name Part) BLM18HE152SN1D)) + (libsource (lib passive) (part L)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF20FE)) + (comp (ref L7) + (value L) + (fields + (field (name Mfr) Murata) + (field (name Part) BLM18HE152SN1D)) + (libsource (lib passive) (part L)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF25CC)) + (comp (ref L8) + (value L) + (fields + (field (name Mfr) Murata) + (field (name Part) BLM18HE152SN1D)) + (libsource (lib passive) (part L)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF25D7)) + (comp (ref R15) + (value 100R) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-07100RL)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF2725)) + (comp (ref R16) + (value 100R) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-07100RL)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF2730)) + (comp (ref R14) + (value 47K) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-0747KL)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF273B)) + (comp (ref R13) + (value 47K) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-0747KL)) + (libsource (lib passive) (part R)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF2746)) + (comp (ref C21) + (value 10U) + (fields + (field (name Mfr) Kemet) + (field (name Part) EDK106M025A9BAA)) + (libsource (lib passive) (part CP)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF2753)) + (comp (ref C20) + (value 10U) + (fields + (field (name Mfr) Kemet) + (field (name Part) EDK106M025A9BAA)) + (libsource (lib passive) (part CP)) + (sheetpath (names /audio/) (tstamps /53A8BFC3/)) + (tstamp 53AF2762)) + (comp (ref R20) + (value 47K) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-0747KL)) + (libsource (lib passive) (part R)) + (sheetpath (names /lcd_sw_sd/) (tstamps /53A9129D/)) + (tstamp 53A91635)) + (comp (ref J3) + (value KINGTECH_DW0240A2BZ_FPC) + (fields + (field (name Mfr) FCI) + (field (name Part) 62684-401100ALF)) + (libsource (lib lcd_kingtech) (part KINGTECH_DW0240A2BZ_FPC)) + (sheetpath (names /lcd_sw_sd/) (tstamps /53A9129D/)) + (tstamp 53A91651)) + (comp (ref R19) + (value 47K) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-0747KL)) + (libsource (lib passive) (part R)) + (sheetpath (names /lcd_sw_sd/) (tstamps /53A9129D/)) + (tstamp 53A91657)) + (comp (ref J2) + (value MICROSD_DETSW) + (fields + (field (name Mfr) ALPS) + (field (name Part) SCHA4B0100)) + (libsource (lib sd) (part MICROSD_DETSW)) + (sheetpath (names /lcd_sw_sd/) (tstamps /53A9129D/)) + (tstamp 53A8C6D0)) + (comp (ref C27) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /lcd_sw_sd/) (tstamps /53A9129D/)) + (tstamp 53AA73CE)) + (comp (ref SW1) + (value CK_TSWB-3N-CB) + (fields + (field (name Mfr) C&K) + (field (name Part) "TSWB-3N-CB111 LFS")) + (libsource (lib ck) (part CK_TSWB-3N-CB)) + (sheetpath (names /lcd_sw_sd/) (tstamps /53A9129D/)) + (tstamp 53A8C6FD)) + (comp (ref C26) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /lcd_sw_sd/) (tstamps /53A9129D/)) + (tstamp 53A8C71C)) + (comp (ref LCD1) + (value KINGTECH_DW0240A2BZ_PANEL) + (libsource (lib lcd_kingtech) (part KINGTECH_DW0240A2BZ_PANEL)) + (sheetpath (names /lcd_sw_sd/) (tstamps /53A9129D/)) + (tstamp 53A8C752)) + (comp (ref Q1) + (value MOSFET_N) + (fields + (field (name Mfr) NXP) + (field (name Part) 2N7002P,215)) + (libsource (lib mosfet) (part MOSFET_N)) + (sheetpath (names /lcd_sw_sd/) (tstamps /53A9129D/)) + (tstamp 53C5B6EB)) + (comp (ref P20) + (value HACKRF_ONE_P20) + (fields + (field (name Mfr) Harwin) + (field (name Part) M20-8761146)) + (libsource (lib hackrf_expansion) (part HACKRF_ONE_P20)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8CDAE)) + (comp (ref P22) + (value HACKRF_ONE_P22) + (fields + (field (name Mfr) Harwin) + (field (name Part) M20-8761346)) + (libsource (lib hackrf_expansion) (part HACKRF_ONE_P22)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8CDB6)) + (comp (ref P28) + (value HACKRF_ONE_P28) + (fields + (field (name Mfr) Harwin) + (field (name Part) M20-8761146)) + (libsource (lib hackrf_expansion) (part HACKRF_ONE_P28)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8CDBE)) + (comp (ref U3) + (value 5M40ZE64) + (fields + (field (name Mfr) Altera) + (field (name Part) 5M40ZE64C5N)) + (libsource (lib altera) (part 5M40ZE64)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D11B)) + (comp (ref C28) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D527)) + (comp (ref BT1) + (value BATTERY) + (fields + (field (name Mfr) MPD) + (field (name Part) BU2032SM-BT-GTR)) + (libsource (lib battery) (part BATTERY)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D535)) + (comp (ref C30) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D542)) + (comp (ref C29) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D548)) + (comp (ref C31) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D54E)) + (comp (ref C33) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D56C)) + (comp (ref C35) + (value 100N) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM155R61A104KA01)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D572)) + (comp (ref U2) + (value REGULATOR_SOT23_5) + (fields + (field (name Mfr) Toshiba) + (field (name Part) TCR2EF18,LM)) + (libsource (lib regulator) (part REGULATOR_SOT23_5)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D586)) + (comp (ref C38) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D58C)) + (comp (ref C40) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D592)) + (comp (ref C39) + (value DNI) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D5AA)) + (comp (ref C32) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D5C2)) + (comp (ref C34) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D5C8)) + (comp (ref C36) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D5DA)) + (comp (ref C37) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D5E0)) + (comp (ref C41) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A8D62C)) + (comp (ref R22) + (value 220R) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-07220RL)) + (libsource (lib passive) (part R)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53A915E9)) + (comp (ref RP4) + (value 220R) + (fields + (field (name Mfr) Panasonic) + (field (name Part) EXB-38V221JV)) + (libsource (lib passive) (part RPACK4)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53AB047A)) + (comp (ref RP6) + (value 220R) + (fields + (field (name Mfr) Panasonic) + (field (name Part) EXB-38V221JV)) + (libsource (lib passive) (part RPACK4)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53AB04B9)) + (comp (ref RP7) + (value 220R) + (fields + (field (name Mfr) Panasonic) + (field (name Part) EXB-38V221JV)) + (libsource (lib passive) (part RPACK4)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53AB04D6)) + (comp (ref R1) + (value 220R) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-07220RL)) + (libsource (lib passive) (part R)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53AB79CA)) + (comp (ref R2) + (value 220R) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-07220RL)) + (libsource (lib passive) (part R)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53AB79D5)) + (comp (ref R3) + (value 220R) + (fields + (field (name Mfr) Yageo) + (field (name Part) RC0603FR-07220RL)) + (libsource (lib passive) (part R)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53AB79EA)) + (comp (ref C42) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53B1911F)) + (comp (ref C43) + (value 10U) + (fields + (field (name Mfr) Murata) + (field (name Part) GRM21BR61A106KE19)) + (libsource (lib passive) (part C)) + (sheetpath (names /hackrf_if/) (tstamps /53A8C780/)) + (tstamp 53B1A065))) + (libparts + (libpart (lib wolfson) (part WM8731SEDS) + (footprints + (fp IPC_SOP65P780X200-28N)) + (fields + (field (name Reference) U) + (field (name Value) WM8731SEDS)) + (pins + (pin (num 1) (name DBVDD) (type power_in)) + (pin (num 2) (name CLKOUT) (type output)) + (pin (num 3) (name BCLK) (type BiDi)) + (pin (num 4) (name DACDAT) (type input)) + (pin (num 5) (name DACLRC) (type BiDi)) + (pin (num 6) (name ADCDAT) (type output)) + (pin (num 7) (name ADCLRC) (type BiDi)) + (pin (num 8) (name HPVDD) (type power_in)) + (pin (num 9) (name LHPOUT) (type output)) + (pin (num 10) (name RHPOUT) (type output)) + (pin (num 11) (name HPGND) (type power_in)) + (pin (num 12) (name LOUT) (type output)) + (pin (num 13) (name ROUT) (type output)) + (pin (num 14) (name AVDD) (type power_in)) + (pin (num 15) (name AGND) (type power_in)) + (pin (num 16) (name VMID) (type output)) + (pin (num 17) (name MICBIAS) (type output)) + (pin (num 18) (name MICIN) (type input)) + (pin (num 19) (name RLINEIN) (type input)) + (pin (num 20) (name LLINEIN) (type input)) + (pin (num 21) (name MODE) (type input)) + (pin (num 22) (name CSB) (type input)) + (pin (num 23) (name SDIN) (type BiDi)) + (pin (num 24) (name SCLK) (type input)) + (pin (num 25) (name XTI/MCLK) (type input)) + (pin (num 26) (name XTO) (type output)) + (pin (num 27) (name DCVDD) (type power_in)) + (pin (num 28) (name DGND) (type power_in)))) + (libpart (lib passive) (part C) + (footprints + (fp IPC_CAPC*)) + (fields + (field (name Reference) C) + (field (name Value) C)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib passive) (part CP) + (aliases + (alias CAPAPOL)) + (footprints + (fp IPC_CAPCP*) + (fp IPC_CAPAE*) + (fp IPC_CAPMP*)) + (fields + (field (name Reference) C) + (field (name Value) CP)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib passive) (part L) + (footprints + (fp IPC_INDC*)) + (fields + (field (name Reference) L) + (field (name Value) L)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)))) + (libpart (lib passive) (part R) + (footprints + (fp IPC_RESC*)) + (fields + (field (name Reference) R) + (field (name Value) R)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib passive) (part RPACK4) + (footprints + (fp IPC_RESCAXS80P160X320X60-8N)) + (fields + (field (name Reference) RP) + (field (name Value) RPACK4)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)) + (pin (num 3) (name ~) (type passive)) + (pin (num 4) (name ~) (type passive)) + (pin (num 5) (name ~) (type passive)) + (pin (num 6) (name ~) (type passive)) + (pin (num 7) (name ~) (type passive)) + (pin (num 8) (name ~) (type passive)))) + (libpart (lib trs_jack) (part TRRS_SW_JACK) + (footprints + (fp CUI_SJ-43516-SMT)) + (fields + (field (name Reference) P) + (field (name Value) TRRS_SW_JACK)) + (pins + (pin (num 1) (name S) (type passive)) + (pin (num 2) (name T) (type passive)) + (pin (num 3) (name R1) (type passive)) + (pin (num 4) (name R2) (type passive)) + (pin (num 5) (name TSW) (type passive)) + (pin (num 6) (name RSW) (type passive)))) + (libpart (lib trs_jack) (part TRS_JACK) + (footprints + (fp CUI_SJ1-352XN) + (fp CUI_SJ-3523-SMT) + (fp CUI_SJ-3524-SMT)) + (fields + (field (name Reference) P) + (field (name Value) TRS_JACK)) + (pins + (pin (num 1) (name S) (type passive)) + (pin (num 2) (name T) (type passive)) + (pin (num 3) (name R) (type passive)))) + (libpart (lib battery) (part BATTERY) + (footprints + (fp MPD_BU2032SM-BT-G)) + (fields + (field (name Reference) BT) + (field (name Value) BATTERY)) + (pins + (pin (num 1) (name +) (type passive)) + (pin (num 2) (name -) (type passive)))) + (libpart (lib mosfet) (part MOSFET_N) + (footprints + (fp IPC_SOT95P230X110-3N)) + (fields + (field (name Reference) Q) + (field (name Value) MOSFET_N)) + (pins + (pin (num 1) (name G) (type passive)) + (pin (num 2) (name S) (type passive)) + (pin (num 3) (name D) (type passive)))) + (libpart (lib microphone) (part MIC_ELECTRET) + (footprints + (fp CUI_CMA-4544PF-W)) + (fields + (field (name Reference) U) + (field (name Value) MIC_ELECTRET)) + (pins + (pin (num 1) (name +) (type output)) + (pin (num 2) (name -) (type output)))) + (libpart (lib sd) (part MICROSD_DETSW) + (footprints + (fp HIROSE_DM3D) + (fp ALPS_SCHA4B0400)) + (fields + (field (name Reference) J) + (field (name Value) MICROSD_DETSW)) + (pins + (pin (num 0) (name GND) (type power_in)) + (pin (num 1) (name DAT2) (type BiDi)) + (pin (num 2) (name DAT3/CD) (type BiDi)) + (pin (num 3) (name CMD) (type BiDi)) + (pin (num 4) (name VDD) (type power_in)) + (pin (num 5) (name CLK) (type input)) + (pin (num 6) (name VSS) (type power_in)) + (pin (num 7) (name DAT0) (type BiDi)) + (pin (num 8) (name DAT1) (type BiDi)) + (pin (num A) (name DETA) (type passive)) + (pin (num B) (name DETB) (type passive)) + (pin (num SH) (name SHIELD) (type passive)))) + (libpart (lib ck) (part CK_TSWB-3N-CB) + (footprints + (fp CK_TSWB-3N-CB*)) + (fields + (field (name Reference) SW) + (field (name Value) CK_TSWB-3N-CB)) + (pins + (pin (num A) (name ROT_A) (type BiDi)) + (pin (num B) (name ROT_B) (type BiDi)) + (pin (num CA) (name COM_A) (type BiDi)) + (pin (num CB) (name COM_B) (type BiDi)) + (pin (num S1) (name SEL) (type BiDi)) + (pin (num S2) (name D) (type BiDi)) + (pin (num S3) (name R) (type BiDi)) + (pin (num S4) (name U) (type BiDi)) + (pin (num S5) (name L) (type BiDi)))) + (libpart (lib altera) (part 5M40ZE64) + (footprints + (fp IPC_QFP40P900X900X120-65N)) + (fields + (field (name Reference) U) + (field (name Value) 5M40ZE64)) + (pins + (pin (num 1) (name IO/DIFFIO_L1n) (type BiDi)) + (pin (num 2) (name IO) (type BiDi)) + (pin (num 3) (name IO/DIFFIO_L2p) (type BiDi)) + (pin (num 4) (name IO/DIFFIO_L2n) (type BiDi)) + (pin (num 5) (name IO) (type BiDi)) + (pin (num 6) (name VCCIO1) (type power_in)) + (pin (num 7) (name IO/CLK0) (type BiDi)) + (pin (num 8) (name VCCINT) (type power_in)) + (pin (num 9) (name IO/CLK1) (type BiDi)) + (pin (num 10) (name IO) (type BiDi)) + (pin (num 11) (name IO/DIFFIO_L3p) (type BiDi)) + (pin (num 12) (name IO/DIFFIO_L3n) (type BiDi)) + (pin (num 13) (name IO) (type BiDi)) + (pin (num 14) (name TMS) (type input)) + (pin (num 15) (name TDI) (type input)) + (pin (num 16) (name TCK) (type input)) + (pin (num 17) (name TDO) (type output)) + (pin (num 18) (name IO/DIFFIO_B1p) (type BiDi)) + (pin (num 19) (name IO/DIFFIO_B1n) (type BiDi)) + (pin (num 20) (name IO/DIFFIO_B2p) (type BiDi)) + (pin (num 21) (name IO/DIFFIO_B2n) (type BiDi)) + (pin (num 22) (name IO/DIFFIO_B3p) (type BiDi)) + (pin (num 23) (name VCCIO1) (type power_in)) + (pin (num 24) (name IO/DIFFIO_B3n) (type BiDi)) + (pin (num 25) (name IO) (type BiDi)) + (pin (num 26) (name IO/DIFFIO_B4p) (type BiDi)) + (pin (num 27) (name IO/DIFFIO_B4n) (type BiDi)) + (pin (num 28) (name IO/DEV_OE/DIFFIO_B5p) (type BiDi)) + (pin (num 29) (name IO/DEV_CLRn/DIFFIO_B5n) (type BiDi)) + (pin (num 30) (name IO/DIFFIO_B6p) (type BiDi)) + (pin (num 31) (name IO/DIFFIO_B6n) (type BiDi)) + (pin (num 32) (name IO/DIFFIO_B7p) (type BiDi)) + (pin (num 33) (name IO/DIFFIO_B7n) (type BiDi)) + (pin (num 34) (name IO/DIFFIO_R4n) (type BiDi)) + (pin (num 35) (name IO/DIFFIO_R4p) (type BiDi)) + (pin (num 36) (name IO/DIFFIO_R3n) (type BiDi)) + (pin (num 37) (name IO/DIFFIO_R3p) (type BiDi)) + (pin (num 38) (name IO) (type BiDi)) + (pin (num 39) (name VCCIO2) (type power_in)) + (pin (num 40) (name IO/CLK2) (type BiDi)) + (pin (num 41) (name VCCINT) (type power_in)) + (pin (num 42) (name IO/CLK3) (type BiDi)) + (pin (num 43) (name IO/DIFFIO_R2n) (type BiDi)) + (pin (num 44) (name IO/DIFFIO_R2p) (type BiDi)) + (pin (num 45) (name IO) (type BiDi)) + (pin (num 46) (name IO/DIFFIO_R1n) (type BiDi)) + (pin (num 47) (name IO/DIFFIO_R1p) (type BiDi)) + (pin (num 48) (name IO) (type BiDi)) + (pin (num 49) (name IO/DIFFIO_T6n) (type BiDi)) + (pin (num 50) (name IO/DIFFIO_T6p) (type BiDi)) + (pin (num 51) (name IO) (type BiDi)) + (pin (num 52) (name IO/DIFFIO_T5n) (type BiDi)) + (pin (num 53) (name IO/DIFFIO_T5p) (type BiDi)) + (pin (num 54) (name IO/DIFFIO_T4n) (type BiDi)) + (pin (num 55) (name IO/DIFFIO_T4p) (type BiDi)) + (pin (num 56) (name IO/DIFFIO_T3n) (type BiDi)) + (pin (num 57) (name VCCIO2) (type power_in)) + (pin (num 58) (name IO/DIFFIO_T3p) (type BiDi)) + (pin (num 59) (name IO/DIFFIO_T2n) (type BiDi)) + (pin (num 60) (name IO/DIFFIO_T2p) (type BiDi)) + (pin (num 61) (name IO/DIFFIO_T1n) (type BiDi)) + (pin (num 62) (name IO) (type BiDi)) + (pin (num 63) (name IO/DIFFIO_T1p) (type BiDi)) + (pin (num 64) (name IO/DIFFIO_L1p) (type BiDi)) + (pin (num 65) (name GND) (type power_in)))) + (libpart (lib lcd_kingtech) (part KINGTECH_DW0240A2BZ_PANEL) + (footprints + (fp KINGTECH_DW0240A2BZ_PANEL)) + (fields + (field (name Reference) LCD) + (field (name Value) KINGTECH_DW0240A2BZ_PANEL))) + (libpart (lib lcd_kingtech) (part KINGTECH_DW0240A2BZ_FPC) + (footprints + (fp MOLEX_54132-40XX_LR)) + (fields + (field (name Reference) J) + (field (name Value) KINGTECH_DW0240A2BZ_FPC)) + (pins + (pin (num 1) (name LEDK) (type power_in)) + (pin (num 2) (name LEDA) (type power_in)) + (pin (num 3) (name GND) (type power_in)) + (pin (num 4) (name VCI) (type power_in)) + (pin (num 5) (name RESET#) (type input)) + (pin (num 6) (name RS/SCL) (type input)) + (pin (num 7) (name RD#) (type input)) + (pin (num 8) (name CS#) (type input)) + (pin (num 9) (name SDA) (type BiDi)) + (pin (num 10) (name WR#/DC) (type input)) + (pin (num 11) (name VSYNC) (type input)) + (pin (num 12) (name HSYNC) (type input)) + (pin (num 13) (name DOTCLK) (type input)) + (pin (num 14) (name DE) (type input)) + (pin (num 15) (name GND) (type power_in)) + (pin (num 16) (name DB17) (type BiDi)) + (pin (num 17) (name DB16) (type BiDi)) + (pin (num 18) (name DB15) (type BiDi)) + (pin (num 19) (name DB14) (type BiDi)) + (pin (num 20) (name DB13) (type BiDi)) + (pin (num 21) (name DB12) (type BiDi)) + (pin (num 22) (name DB11) (type BiDi)) + (pin (num 23) (name DB10) (type BiDi)) + (pin (num 24) (name DB9) (type BiDi)) + (pin (num 25) (name DB8) (type BiDi)) + (pin (num 26) (name DB7) (type BiDi)) + (pin (num 27) (name DB6) (type BiDi)) + (pin (num 28) (name DB5) (type BiDi)) + (pin (num 29) (name DB4) (type BiDi)) + (pin (num 30) (name DB3) (type BiDi)) + (pin (num 31) (name DB2) (type BiDi)) + (pin (num 32) (name DB1) (type BiDi)) + (pin (num 33) (name DB0) (type BiDi)) + (pin (num 34) (name TE) (type output)) + (pin (num 35) (name GND) (type power_in)) + (pin (num 36) (name IOVCC) (type power_in)) + (pin (num 37) (name TP_R) (type passive)) + (pin (num 38) (name TP_D) (type passive)) + (pin (num 39) (name TP_L) (type passive)) + (pin (num 40) (name TP_U) (type passive)) + (pin (num SHLD) (name ~) (type passive)))) + (libpart (lib hackrf_expansion) (part HACKRF_ONE_P20) + (footprints + (fp HEADER_11X2_*_254)) + (fields + (field (name Reference) J) + (field (name Value) HACKRF_ONE_P20)) + (pins + (pin (num 1) (name VBAT) (type power_in)) + (pin (num 2) (name RTC_ALARM) (type output)) + (pin (num 3) (name VCC) (type power_out)) + (pin (num 4) (name WAKEUP) (type input)) + (pin (num 5) (name GPIO3_8) (type BiDi)) + (pin (num 6) (name GPIO3_9) (type BiDi)) + (pin (num 7) (name GPIO3_10) (type BiDi)) + (pin (num 8) (name GPIO3_11) (type BiDi)) + (pin (num 9) (name GPIO3_12) (type BiDi)) + (pin (num 10) (name GPIO3_13) (type BiDi)) + (pin (num 11) (name GPIO3_14) (type BiDi)) + (pin (num 12) (name GPIO3_15) (type BiDi)) + (pin (num 13) (name GND) (type power_in)) + (pin (num 14) (name ADC0_6) (type input)) + (pin (num 15) (name GND) (type power_in)) + (pin (num 16) (name ADC0_2) (type input)) + (pin (num 17) (name VBUSCTRL) (type input)) + (pin (num 18) (name ADC0_5) (type input)) + (pin (num 19) (name GND) (type power_in)) + (pin (num 20) (name ADC0_0) (type input)) + (pin (num 21) (name VBUS) (type output)) + (pin (num 22) (name VIN) (type power_in)))) + (libpart (lib hackrf_expansion) (part HACKRF_ONE_P22) + (footprints + (fp HEADER_13X2_*_254)) + (fields + (field (name Reference) J) + (field (name Value) HACKRF_ONE_P22)) + (pins + (pin (num 1) (name CLKOUT) (type output)) + (pin (num 2) (name CLKIN) (type input)) + (pin (num 3) (name RESET#) (type output)) + (pin (num 4) (name GND) (type power_in)) + (pin (num 5) (name I2C1_SCL) (type output)) + (pin (num 6) (name I2C1_SDA) (type BiDi)) + (pin (num 7) (name SPIFI_MISO) (type input)) + (pin (num 8) (name SPIFI_SCK) (type output)) + (pin (num 9) (name SPIFI_MOSI) (type output)) + (pin (num 10) (name GND) (type power_in)) + (pin (num 11) (name VCC) (type power_out)) + (pin (num 12) (name I2S0_RX_SCK) (type output)) + (pin (num 13) (name I2S0_RX_SDA) (type input)) + (pin (num 14) (name I2S0_RX_MCLK) (type output)) + (pin (num 15) (name I2S0_RX_WS) (type output)) + (pin (num 16) (name I2S0_TX_SCK) (type output)) + (pin (num 17) (name I2S0_TX_MCLK) (type output)) + (pin (num 18) (name GND) (type power_in)) + (pin (num 19) (name U0_RXD) (type input)) + (pin (num 20) (name U0_TXD) (type output)) + (pin (num 21) (name P2_9) (type BiDi)) + (pin (num 22) (name P2_13) (type BiDi)) + (pin (num 23) (name P2_8) (type BiDi)) + (pin (num 24) (name SDA) (type BiDi)) + (pin (num 25) (name CLK6) (type output)) + (pin (num 26) (name SCL) (type output)))) + (libpart (lib hackrf_expansion) (part HACKRF_ONE_P28) + (footprints + (fp HEADER_11X2_*_254)) + (fields + (field (name Reference) J) + (field (name Value) HACKRF_ONE_P28)) + (pins + (pin (num 1) (name VCC) (type power_out)) + (pin (num 2) (name GND) (type power_in)) + (pin (num 3) (name SD_CD) (type BiDi)) + (pin (num 4) (name SD_DAT3) (type BiDi)) + (pin (num 5) (name SD_DAT2) (type BiDi)) + (pin (num 6) (name SD_DAT1) (type BiDi)) + (pin (num 7) (name SD_DAT0) (type BiDi)) + (pin (num 8) (name SD_VOLT0) (type BiDi)) + (pin (num 9) (name SD_CMD) (type BiDi)) + (pin (num 10) (name SD_POW) (type BiDi)) + (pin (num 11) (name SD_CLK) (type BiDi)) + (pin (num 12) (name GND) (type power_in)) + (pin (num 13) (name GCLK2) (type output)) + (pin (num 14) (name GCK1) (type output)) + (pin (num 15) (name B1AUX14) (type BiDi)) + (pin (num 16) (name B1AUX13) (type BiDi)) + (pin (num 17) (name CPLD_TCK) (type output)) + (pin (num 18) (name BANK2F3M2) (type BiDi)) + (pin (num 19) (name CPLD_TDI) (type input)) + (pin (num 20) (name BANK2F3M6) (type BiDi)) + (pin (num 21) (name BANK2F3M12) (type BiDi)) + (pin (num 22) (name BANK2F3M4) (type BiDi)))) + (libpart (lib regulator) (part REGULATOR_SOT23_5) + (footprints + (fp IPC_SOT95P280X110-5N)) + (fields + (field (name Reference) U) + (field (name Value) REGULATOR_SOT23_5)) + (pins + (pin (num 1) (name VIN) (type power_in)) + (pin (num 2) (name GND) (type power_in)) + (pin (num 3) (name EN) (type input)) + (pin (num 4) (name BP) (type input)) + (pin (num 5) (name VOUT) (type power_out)))) + (libpart (lib hole) (part HOLE1) + (footprints + (fp *HOLE*)) + (fields + (field (name Reference) H) + (field (name Value) HOLE1)) + (pins + (pin (num 1) (name ~) (type passive)))) + (libpart (lib sharebrained) (part PORTAPACK_H1) + (footprints + (fp portapack_text_300)) + (fields + (field (name Reference) LOGO) + (field (name Value) PORTAPACK_H1))) + (libpart (lib sharebrained) (part SHAREBRAINED) + (footprints + (fp sharebrained_text_300)) + (fields + (field (name Reference) LOGO) + (field (name Value) SHAREBRAINED))) + (libpart (lib fiducial) (part FIDUCIAL) + (footprints + (fp FIDUCIAL_65MIL)) + (fields + (field (name Reference) FID) + (field (name Value) FIDUCIAL)))) + (libraries + (library (logical lcd_kingtech) + (uri ../../../../library/kicad/lcd_kingtech.lib)) + (library (logical battery) + (uri ../../../../library/kicad/battery.lib)) + (library (logical trs_jack) + (uri ../../../../library/kicad/trs_jack.lib)) + (library (logical mosfet) + (uri ../../../../library/kicad/mosfet.lib)) + (library (logical microphone) + (uri ../../../../library/kicad/microphone.lib)) + (library (logical sd) + (uri ../../../../library/kicad/sd.lib)) + (library (logical altera) + (uri ../../../../library/kicad/altera.lib)) + (library (logical ck) + (uri ../../../../library/kicad/ck.lib)) + (library (logical hackrf_expansion) + (uri ../../../../library/kicad/hackrf_expansion.lib)) + (library (logical passive) + (uri ../../../../library/kicad/passive.lib)) + (library (logical wolfson) + (uri ../../../../library/kicad/wolfson.lib)) + (library (logical regulator) + (uri ../../../../library/kicad/regulator.lib)) + (library (logical hole) + (uri ../../../../library/kicad/hole.lib)) + (library (logical fiducial) + (uri ../../../../library/kicad/fiducial.lib)) + (library (logical sharebrained) + (uri ../../../../library/kicad/sharebrained.lib))) + (nets + (net (code 1) (name /hackrf_if/LCD_BACKLIGHT) + (node (ref U3) (pin 4)) + (node (ref Q1) (pin 1)) + (node (ref R20) (pin 2))) + (net (code 2) (name /hackrf_if/LCD_RESET#) + (node (ref U3) (pin 38)) + (node (ref R19) (pin 1)) + (node (ref J3) (pin 5))) + (net (code 3) (name /hackrf_if/LCD_RS) + (node (ref U3) (pin 43)) + (node (ref J3) (pin 6))) + (net (code 4) (name /hackrf_if/LCD_RD#) + (node (ref U3) (pin 44)) + (node (ref J3) (pin 7))) + (net (code 5) (name /audio/I2S0_TX_SDA) + (node (ref RP1) (pin 1)) + (node (ref P22) (pin 13))) + (net (code 6) (name /audio/I2S0_WS) + (node (ref P22) (pin 15)) + (node (ref RP1) (pin 2))) + (net (code 7) (name /audio/I2S0_MCLK) + (node (ref RP1) (pin 4)) + (node (ref P22) (pin 17))) + (net (code 8) (name /audio/I2S0_SCK) + (node (ref P22) (pin 16)) + (node (ref RP1) (pin 3))) + (net (code 9) (name /audio/SDA) + (node (ref P22) (pin 24)) + (node (ref U1) (pin 23))) + (net (code 10) (name /audio/SCL) + (node (ref U1) (pin 24)) + (node (ref P22) (pin 26))) + (net (code 11) (name /hackrf_if/SD_CD) + (node (ref J2) (pin B)) + (node (ref P28) (pin 3))) + (net (code 12) (name /hackrf_if/SD_DAT2) + (node (ref P28) (pin 5)) + (node (ref J2) (pin 1))) + (net (code 13) (name /hackrf_if/SD_DAT0) + (node (ref P28) (pin 7)) + (node (ref J2) (pin 7))) + (net (code 14) (name /hackrf_if/SD_CMD) + (node (ref P28) (pin 9)) + (node (ref J2) (pin 3))) + (net (code 15) (name /hackrf_if/SD_CLK) + (node (ref P28) (pin 11)) + (node (ref J2) (pin 5))) + (net (code 16) (name /hackrf_if/SD_DAT3) + (node (ref J2) (pin 2)) + (node (ref P28) (pin 4))) + (net (code 17) (name /hackrf_if/SD_DAT1) + (node (ref P28) (pin 6)) + (node (ref J2) (pin 8))) + (net (code 18) (name /audio/I2S0_RX_SDA) + (node (ref U1) (pin 6)) + (node (ref R22) (pin 2))) + (net (code 19) (name /hackrf_if/LCD_WR#) + (node (ref J3) (pin 10)) + (node (ref U3) (pin 45))) + (net (code 20) (name /hackrf_if/LCD_TE) + (node (ref U3) (pin 63)) + (node (ref J3) (pin 34))) + (net (code 21) (name /hackrf_if/TP_U) + (node (ref P20) (pin 20)) + (node (ref U3) (pin 3)) + (node (ref J3) (pin 40))) + (net (code 22) (name /hackrf_if/TP_R) + (node (ref J3) (pin 37)) + (node (ref U3) (pin 64)) + (node (ref P20) (pin 14))) + (net (code 23) (name /hackrf_if/TP_D) + (node (ref P20) (pin 16)) + (node (ref U3) (pin 1)) + (node (ref J3) (pin 38))) + (net (code 24) (name /hackrf_if/TP_L) + (node (ref U3) (pin 2)) + (node (ref J3) (pin 39)) + (node (ref P20) (pin 18))) + (net (code 25) (name /hackrf_if/SW_U) + (node (ref U3) (pin 25)) + (node (ref SW1) (pin S4))) + (net (code 26) (name /hackrf_if/SW_R) + (node (ref SW1) (pin S3)) + (node (ref U3) (pin 9))) + (net (code 27) (name /hackrf_if/SW_D) + (node (ref U3) (pin 10)) + (node (ref SW1) (pin S2))) + (net (code 28) (name /hackrf_if/SW_L) + (node (ref SW1) (pin S5)) + (node (ref U3) (pin 28))) + (net (code 29) (name /hackrf_if/SW_ROT_A) + (node (ref U3) (pin 11)) + (node (ref SW1) (pin A))) + (net (code 30) (name /hackrf_if/SW_ROT_B) + (node (ref U3) (pin 12)) + (node (ref SW1) (pin B))) + (net (code 31) (name /hackrf_if/SW_SEL) + (node (ref SW1) (pin S1)) + (node (ref U3) (pin 13))) + (net (code 32) (name GND) + (node (ref H5) (pin 1)) + (node (ref H4) (pin 1)) + (node (ref H3) (pin 1)) + (node (ref H2) (pin 1)) + (node (ref J2) (pin A)) + (node (ref H1) (pin 1)) + (node (ref J2) (pin SH)) + (node (ref C9) (pin 2)) + (node (ref C7) (pin 2)) + (node (ref C12) (pin 2)) + (node (ref C8) (pin 2)) + (node (ref J3) (pin 14)) + (node (ref L10) (pin 2)) + (node (ref J3) (pin 15)) + (node (ref L1) (pin 2)) + (node (ref J3) (pin 35)) + (node (ref J3) (pin 16)) + (node (ref R8) (pin 1)) + (node (ref J3) (pin 17)) + (node (ref R9) (pin 2)) + (node (ref C15) (pin 2)) + (node (ref C13) (pin 2)) + (node (ref C11) (pin 2)) + (node (ref U3) (pin 65)) + (node (ref C2) (pin 2)) + (node (ref J3) (pin 12)) + (node (ref C5) (pin 2)) + (node (ref C4) (pin 2)) + (node (ref J3) (pin 11)) + (node (ref J3) (pin 9)) + (node (ref J3) (pin 8)) + (node (ref J3) (pin 3)) + (node (ref J3) (pin 13)) + (node (ref U2) (pin 2)) + (node (ref C35) (pin 2)) + (node (ref C33) (pin 2)) + (node (ref C31) (pin 2)) + (node (ref Q1) (pin 2)) + (node (ref P20) (pin 13)) + (node (ref P20) (pin 15)) + (node (ref C40) (pin 2)) + (node (ref C38) (pin 2)) + (node (ref SW1) (pin CB)) + (node (ref SW1) (pin CA)) + (node (ref C29) (pin 2)) + (node (ref C27) (pin 2)) + (node (ref C30) (pin 2)) + (node (ref BT1) (pin 2)) + (node (ref C28) (pin 2)) + (node (ref C37) (pin 2)) + (node (ref C36) (pin 2)) + (node (ref C34) (pin 2)) + (node (ref C32) (pin 2)) + (node (ref C26) (pin 2)) + (node (ref C39) (pin 2)) + (node (ref U1) (pin 15)) + (node (ref C42) (pin 2)) + (node (ref C43) (pin 2)) + (node (ref C3) (pin 2)) + (node (ref J2) (pin 0)) + (node (ref L6) (pin 2)) + (node (ref J2) (pin 6)) + (node (ref J3) (pin SHLD)) + (node (ref R19) (pin 2)) + (node (ref R14) (pin 2)) + (node (ref U1) (pin 11)) + (node (ref U1) (pin 21)) + (node (ref U1) (pin 22)) + (node (ref P22) (pin 18)) + (node (ref P28) (pin 2)) + (node (ref R13) (pin 1)) + (node (ref P28) (pin 12)) + (node (ref C41) (pin 2)) + (node (ref C14) (pin 1)) + (node (ref X1) (pin 2)) + (node (ref R11) (pin 1)) + (node (ref R12) (pin 2)) + (node (ref R20) (pin 1)) + (node (ref P22) (pin 10)) + (node (ref P22) (pin 4)) + (node (ref P20) (pin 19)) + (node (ref C25) (pin 2)) + (node (ref R17) (pin 2)) + (node (ref U1) (pin 28)) + (node (ref C6) (pin 2)) + (node (ref C10) (pin 2)) + (node (ref C23) (pin 2)) + (node (ref C22) (pin 1))) + (net (code 33) (name "Net-(C24-Pad1)") + (node (ref C24) (pin 1)) + (node (ref R10) (pin 1))) + (net (code 34) (name "Net-(L8-Pad2)") + (node (ref R16) (pin 1)) + (node (ref L8) (pin 2))) + (net (code 35) (name "Net-(L8-Pad1)") + (node (ref P3) (pin 3)) + (node (ref L8) (pin 1))) + (net (code 36) (name "Net-(L7-Pad2)") + (node (ref R15) (pin 1)) + (node (ref L7) (pin 2))) + (net (code 37) (name "Net-(L7-Pad1)") + (node (ref P3) (pin 2)) + (node (ref L7) (pin 1))) + (net (code 38) (name "Net-(L3-Pad2)") + (node (ref L3) (pin 2)) + (node (ref P1) (pin 3))) + (net (code 39) (name "Net-(L3-Pad1)") + (node (ref L3) (pin 1)) + (node (ref R7) (pin 2))) + (net (code 40) (name "Net-(L2-Pad2)") + (node (ref L2) (pin 2)) + (node (ref P1) (pin 2))) + (net (code 41) (name "Net-(L2-Pad1)") + (node (ref R6) (pin 2)) + (node (ref L2) (pin 1))) + (net (code 42) (name /audio/RLINEIN) + (node (ref U1) (pin 19)) + (node (ref C17) (pin 1))) + (net (code 43) (name /audio/LLINEIN) + (node (ref C16) (pin 1)) + (node (ref U1) (pin 20))) + (net (code 44) (name "Net-(C24-Pad2)") + (node (ref R17) (pin 1)) + (node (ref X1) (pin 1)) + (node (ref R18) (pin 2)) + (node (ref L9) (pin 2)) + (node (ref C24) (pin 2)) + (node (ref C25) (pin 1))) + (net (code 45) (name "Net-(L5-Pad1)") + (node (ref P2) (pin 3)) + (node (ref L5) (pin 1))) + (net (code 46) (name "Net-(L4-Pad1)") + (node (ref P2) (pin 2)) + (node (ref L4) (pin 1))) + (net (code 47) (name "Net-(L6-Pad1)") + (node (ref P2) (pin 4)) + (node (ref L6) (pin 1))) + (net (code 48) (name "Net-(L9-Pad1)") + (node (ref P2) (pin 1)) + (node (ref L9) (pin 1))) + (net (code 49) (name /audio/LOUT) + (node (ref C20) (pin 1)) + (node (ref U1) (pin 12))) + (net (code 50) (name /audio/ROUT) + (node (ref C21) (pin 1)) + (node (ref U1) (pin 13))) + (net (code 51) (name "Net-(C18-Pad2)") + (node (ref C22) (pin 2)) + (node (ref R11) (pin 2)) + (node (ref C18) (pin 2)) + (node (ref L4) (pin 2))) + (net (code 52) (name "Net-(C19-Pad2)") + (node (ref L5) (pin 2)) + (node (ref C19) (pin 2)) + (node (ref R12) (pin 1)) + (node (ref C23) (pin 1))) + (net (code 53) (name "Net-(C21-Pad2)") + (node (ref R14) (pin 1)) + (node (ref C21) (pin 2)) + (node (ref R16) (pin 2))) + (net (code 54) (name "Net-(C20-Pad2)") + (node (ref R15) (pin 2)) + (node (ref C20) (pin 2)) + (node (ref R13) (pin 2))) + (net (code 55) (name +3.3V) + (node (ref U1) (pin 8)) + (node (ref C42) (pin 1)) + (node (ref U1) (pin 14)) + (node (ref C43) (pin 1)) + (node (ref U3) (pin 6)) + (node (ref P28) (pin 1)) + (node (ref C7) (pin 1)) + (node (ref C9) (pin 1)) + (node (ref C13) (pin 1)) + (node (ref C11) (pin 1)) + (node (ref C2) (pin 1)) + (node (ref C5) (pin 1)) + (node (ref C4) (pin 1)) + (node (ref J3) (pin 4)) + (node (ref J3) (pin 2)) + (node (ref P20) (pin 3)) + (node (ref C38) (pin 1)) + (node (ref U2) (pin 3)) + (node (ref U2) (pin 1)) + (node (ref C34) (pin 1)) + (node (ref C32) (pin 1)) + (node (ref C26) (pin 1)) + (node (ref U3) (pin 23)) + (node (ref C30) (pin 1)) + (node (ref C27) (pin 1)) + (node (ref C28) (pin 1)) + (node (ref P22) (pin 11)) + (node (ref U1) (pin 1)) + (node (ref U1) (pin 27)) + (node (ref J2) (pin 4)) + (node (ref C3) (pin 1))) + (net (code 56) (name "Net-(P2-Pad6)") + (node (ref P2) (pin 6))) + (net (code 57) (name "Net-(P2-Pad5)") + (node (ref P2) (pin 5))) + (net (code 58) (name "Net-(C14-Pad2)") + (node (ref R6) (pin 1)) + (node (ref R8) (pin 2)) + (node (ref C14) (pin 2)) + (node (ref C16) (pin 2))) + (net (code 59) (name "Net-(C15-Pad1)") + (node (ref C15) (pin 1)) + (node (ref R7) (pin 1)) + (node (ref C17) (pin 2)) + (node (ref R9) (pin 1))) + (net (code 60) (name "Net-(L1-Pad1)") + (node (ref L1) (pin 1)) + (node (ref P1) (pin 1))) + (net (code 61) (name "Net-(L10-Pad1)") + (node (ref P3) (pin 1)) + (node (ref L10) (pin 1))) + (net (code 62) (name "Net-(U1-Pad2)") + (node (ref U1) (pin 2))) + (net (code 63) (name "Net-(U1-Pad26)") + (node (ref U1) (pin 26))) + (net (code 64) (name /audio/RHPOUT) + (node (ref U1) (pin 10)) + (node (ref C19) (pin 1))) + (net (code 65) (name /audio/LHPOUT) + (node (ref C18) (pin 1)) + (node (ref U1) (pin 9))) + (net (code 66) (name /audio/MICIN) + (node (ref R10) (pin 2)) + (node (ref U1) (pin 18))) + (net (code 67) (name /audio/MICBIAS) + (node (ref C10) (pin 1)) + (node (ref R18) (pin 1)) + (node (ref U1) (pin 17)) + (node (ref C12) (pin 1))) + (net (code 68) (name /audio/VMID) + (node (ref U1) (pin 16)) + (node (ref C6) (pin 1)) + (node (ref C8) (pin 1))) + (net (code 69) (name /audio/I2S0_WS_R) + (node (ref U1) (pin 5)) + (node (ref U1) (pin 7)) + (node (ref RP1) (pin 7))) + (net (code 70) (name /audio/I2S0_MCLK_R) + (node (ref U1) (pin 25)) + (node (ref RP1) (pin 5))) + (net (code 71) (name /audio/I2S0_TX_SDA_R) + (node (ref RP1) (pin 8)) + (node (ref U1) (pin 4))) + (net (code 72) (name /audio/I2S0_SCK_R) + (node (ref U1) (pin 3)) + (node (ref RP1) (pin 6))) + (net (code 73) (name /hackrf_if/CPLD_TCK) + (node (ref U3) (pin 16)) + (node (ref P28) (pin 17))) + (net (code 74) (name "Net-(C39-Pad1)") + (node (ref U2) (pin 4)) + (node (ref C39) (pin 1))) + (net (code 75) (name /hackrf_if/P1_5) + (node (ref P28) (pin 10)) + (node (ref U3) (pin 17))) + (net (code 76) (name "Net-(U3-Pad37)") + (node (ref U3) (pin 37))) + (net (code 77) (name /hackrf_if/P2_1_R) + (node (ref RP4) (pin 8)) + (node (ref U3) (pin 33))) + (net (code 78) (name "Net-(U3-Pad35)") + (node (ref U3) (pin 35))) + (net (code 79) (name "Net-(U3-Pad36)") + (node (ref U3) (pin 36))) + (net (code 80) (name /hackrf_if/P2_0_R) + (node (ref U3) (pin 32)) + (node (ref R3) (pin 1))) + (net (code 81) (name /hackrf_if/GPIO3_8) + (node (ref P20) (pin 5)) + (node (ref RP7) (pin 3))) + (net (code 82) (name /hackrf_if/GPIO3_9) + (node (ref P20) (pin 6)) + (node (ref RP7) (pin 4))) + (net (code 83) (name /hackrf_if/GPIO3_10) + (node (ref RP7) (pin 2)) + (node (ref P20) (pin 7))) + (net (code 84) (name /hackrf_if/GPIO3_11) + (node (ref RP7) (pin 1)) + (node (ref P20) (pin 8))) + (net (code 85) (name /hackrf_if/GPIO3_12) + (node (ref RP6) (pin 3)) + (node (ref P20) (pin 9))) + (net (code 86) (name /hackrf_if/GPIO3_13) + (node (ref P20) (pin 10)) + (node (ref RP6) (pin 4))) + (net (code 87) (name /hackrf_if/GPIO3_14) + (node (ref RP6) (pin 2)) + (node (ref P20) (pin 11))) + (net (code 88) (name /hackrf_if/GPIO3_15) + (node (ref RP6) (pin 1)) + (node (ref P20) (pin 12))) + (net (code 89) (name /hackrf_if/P2_13) + (node (ref P22) (pin 22)) + (node (ref RP4) (pin 4))) + (net (code 90) (name /hackrf_if/P1_8) + (node (ref P28) (pin 8)) + (node (ref U3) (pin 14))) + (net (code 91) (name /hackrf_if/RESET#) + (node (ref P22) (pin 3)) + (node (ref U3) (pin 29))) + (net (code 92) (name /hackrf_if/P2_4_R) + (node (ref U3) (pin 30)) + (node (ref R1) (pin 1))) + (net (code 93) (name /hackrf_if/CPLD_TDI) + (node (ref P28) (pin 19)) + (node (ref R22) (pin 1)) + (node (ref U3) (pin 15))) + (net (code 94) (name /hackrf_if/P2_9) + (node (ref RP4) (pin 3)) + (node (ref P22) (pin 21))) + (net (code 95) (name /hackrf_if/P2_8_R) + (node (ref U3) (pin 34)) + (node (ref RP4) (pin 7))) + (net (code 96) (name "Net-(U3-Pad7)") + (node (ref U3) (pin 7))) + (net (code 97) (name "Net-(U3-Pad5)") + (node (ref U3) (pin 5))) + (net (code 98) (name /hackrf_if/P2_8) + (node (ref P22) (pin 23)) + (node (ref RP4) (pin 2))) + (net (code 99) (name /hackrf_if/VBAT) + (node (ref C41) (pin 1)) + (node (ref BT1) (pin 1)) + (node (ref P20) (pin 1))) + (net (code 100) (name /hackrf_if/P2_3_R) + (node (ref R2) (pin 1)) + (node (ref U3) (pin 31))) + (net (code 101) (name +1.8V) + (node (ref C35) (pin 1)) + (node (ref C31) (pin 1)) + (node (ref C29) (pin 1)) + (node (ref U2) (pin 5)) + (node (ref C40) (pin 1)) + (node (ref U3) (pin 57)) + (node (ref U3) (pin 39)) + (node (ref J3) (pin 36)) + (node (ref U3) (pin 41)) + (node (ref C33) (pin 1)) + (node (ref C37) (pin 1)) + (node (ref C36) (pin 1)) + (node (ref U3) (pin 8))) + (net (code 102) (name /hackrf_if/GPIO3_15_R) + (node (ref U3) (pin 18)) + (node (ref RP6) (pin 8))) + (net (code 103) (name /hackrf_if/GPIO3_14_R) + (node (ref U3) (pin 19)) + (node (ref RP6) (pin 7))) + (net (code 104) (name /hackrf_if/GPIO3_12_R) + (node (ref U3) (pin 20)) + (node (ref RP6) (pin 6))) + (net (code 105) (name /hackrf_if/GPIO3_13_R) + (node (ref U3) (pin 21)) + (node (ref RP6) (pin 5))) + (net (code 106) (name /hackrf_if/GPIO3_11_R) + (node (ref U3) (pin 22)) + (node (ref RP7) (pin 8))) + (net (code 107) (name /hackrf_if/GPIO3_10_R) + (node (ref RP7) (pin 7)) + (node (ref U3) (pin 24))) + (net (code 108) (name /hackrf_if/GPIO3_8_R) + (node (ref U3) (pin 26)) + (node (ref RP7) (pin 6))) + (net (code 109) (name /hackrf_if/GPIO3_9_R) + (node (ref U3) (pin 27)) + (node (ref RP7) (pin 5))) + (net (code 110) (name /hackrf_if/P2_13_R) + (node (ref RP4) (pin 5)) + (node (ref U3) (pin 42))) + (net (code 111) (name /hackrf_if/P2_9_R) + (node (ref RP4) (pin 6)) + (node (ref U3) (pin 40))) + (net (code 112) (name /hackrf_if/P2_4) + (node (ref R1) (pin 2)) + (node (ref P22) (pin 5))) + (net (code 113) (name /hackrf_if/P2_1) + (node (ref RP4) (pin 1)) + (node (ref P22) (pin 19))) + (net (code 114) (name /hackrf_if/P2_0) + (node (ref P22) (pin 20)) + (node (ref R3) (pin 2))) + (net (code 115) (name "Net-(P20-Pad22)") + (node (ref P20) (pin 22))) + (net (code 116) (name "Net-(P20-Pad21)") + (node (ref P20) (pin 21))) + (net (code 117) (name "Net-(P20-Pad4)") + (node (ref P20) (pin 4))) + (net (code 118) (name "Net-(P20-Pad2)") + (node (ref P20) (pin 2))) + (net (code 119) (name "Net-(P22-Pad14)") + (node (ref P22) (pin 14))) + (net (code 120) (name "Net-(P22-Pad12)") + (node (ref P22) (pin 12))) + (net (code 121) (name "Net-(P22-Pad25)") + (node (ref P22) (pin 25))) + (net (code 122) (name "Net-(P22-Pad9)") + (node (ref P22) (pin 9))) + (net (code 123) (name "Net-(P22-Pad8)") + (node (ref P22) (pin 8))) + (net (code 124) (name "Net-(P22-Pad7)") + (node (ref P22) (pin 7))) + (net (code 125) (name /hackrf_if/P2_3) + (node (ref R2) (pin 2)) + (node (ref P22) (pin 6))) + (net (code 126) (name "Net-(P22-Pad2)") + (node (ref P22) (pin 2))) + (net (code 127) (name "Net-(P22-Pad1)") + (node (ref P22) (pin 1))) + (net (code 128) (name "Net-(P20-Pad17)") + (node (ref P20) (pin 17))) + (net (code 129) (name "Net-(P28-Pad13)") + (node (ref P28) (pin 13))) + (net (code 130) (name "Net-(P28-Pad14)") + (node (ref P28) (pin 14))) + (net (code 131) (name "Net-(P28-Pad16)") + (node (ref P28) (pin 16))) + (net (code 132) (name "Net-(P28-Pad15)") + (node (ref P28) (pin 15))) + (net (code 133) (name "Net-(P28-Pad21)") + (node (ref P28) (pin 21))) + (net (code 134) (name "Net-(P28-Pad22)") + (node (ref P28) (pin 22))) + (net (code 135) (name "Net-(P28-Pad20)") + (node (ref P28) (pin 20))) + (net (code 136) (name "Net-(P28-Pad18)") + (node (ref P28) (pin 18))) + (net (code 137) (name "Net-(J3-Pad1)") + (node (ref Q1) (pin 3)) + (node (ref J3) (pin 1))) + (net (code 138) (name /hackrf_if/LCD_DB4) + (node (ref U3) (pin 58)) + (node (ref J3) (pin 29))) + (net (code 139) (name /hackrf_if/LCD_DB5) + (node (ref U3) (pin 56)) + (node (ref J3) (pin 28))) + (net (code 140) (name /hackrf_if/LCD_DB6) + (node (ref J3) (pin 27)) + (node (ref U3) (pin 55))) + (net (code 141) (name /hackrf_if/LCD_DB7) + (node (ref J3) (pin 26)) + (node (ref U3) (pin 54))) + (net (code 142) (name /hackrf_if/LCD_DB8) + (node (ref J3) (pin 25)) + (node (ref U3) (pin 53))) + (net (code 143) (name /hackrf_if/LCD_DB9) + (node (ref J3) (pin 24)) + (node (ref U3) (pin 52))) + (net (code 144) (name /hackrf_if/LCD_DB10) + (node (ref J3) (pin 23)) + (node (ref U3) (pin 51))) + (net (code 145) (name /hackrf_if/LCD_DB11) + (node (ref J3) (pin 22)) + (node (ref U3) (pin 50))) + (net (code 146) (name /hackrf_if/LCD_DB12) + (node (ref J3) (pin 21)) + (node (ref U3) (pin 49))) + (net (code 147) (name /hackrf_if/LCD_DB13) + (node (ref J3) (pin 20)) + (node (ref U3) (pin 48))) + (net (code 148) (name /hackrf_if/LCD_DB14) + (node (ref U3) (pin 47)) + (node (ref J3) (pin 19))) + (net (code 149) (name /hackrf_if/LCD_DB15) + (node (ref U3) (pin 46)) + (node (ref J3) (pin 18))) + (net (code 150) (name /hackrf_if/LCD_DB0) + (node (ref U3) (pin 62)) + (node (ref J3) (pin 33))) + (net (code 151) (name /hackrf_if/LCD_DB1) + (node (ref U3) (pin 61)) + (node (ref J3) (pin 32))) + (net (code 152) (name /hackrf_if/LCD_DB2) + (node (ref J3) (pin 31)) + (node (ref U3) (pin 60))) + (net (code 153) (name /hackrf_if/LCD_DB3) + (node (ref U3) (pin 59)) + (node (ref J3) (pin 30))))) \ No newline at end of file diff --git a/hardware/portapack_h1/portapack_h1.pdf b/hardware/portapack_h1/portapack_h1.pdf new file mode 100644 index 00000000..e1004e0f Binary files /dev/null and b/hardware/portapack_h1/portapack_h1.pdf differ diff --git a/hardware/portapack_h1/portapack_h1.pro b/hardware/portapack_h1/portapack_h1.pro new file mode 100644 index 00000000..4d8057a4 --- /dev/null +++ b/hardware/portapack_h1/portapack_h1.pro @@ -0,0 +1,70 @@ +update=Wednesday, July 15, 2015 'PMt' 10:20:27 PM +version=1 +last_client=kicad +[cvpcb] +version=1 +NetIExt=net +[cvpcb/libraries] +EquName1=devcms +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[pcbnew/libraries] +LibDir=../../../library-kicad +LibName1=sockets +LibName2=connect +LibName3=discret +LibName4=pin_array +LibName5=divers +LibName6=smd_capacitors +LibName7=smd_resistors +LibName8=smd_crystal&oscillator +LibName9=smd_dil +LibName10=smd_transistors +LibName11=libcms +LibName12=display +LibName13=led +LibName14=dip_sockets +LibName15=pga_sockets +LibName16=valves +[general] +version=1 +[eeschema] +version=1 +LibDir=../../../library-kicad +[eeschema/libraries] +LibName1=hackrf_expansion +LibName2=wolfson +LibName3=passive +LibName4=lcd_kingtech +LibName5=supply +LibName6=io_expander +LibName7=trs_jack +LibName8=battery +LibName9=mosfet +LibName10=microphone +LibName11=sd +LibName12=ck +LibName13=altera +LibName14=regulator +LibName15=tp +LibName16=header +LibName17=hole +LibName18=sharebrained +LibName19=fiducial diff --git a/hardware/portapack_h1/portapack_h1.sch b/hardware/portapack_h1/portapack_h1.sch new file mode 100644 index 00000000..b4b8764b --- /dev/null +++ b/hardware/portapack_h1/portapack_h1.sch @@ -0,0 +1,330 @@ +EESchema Schematic File Version 2 +LIBS:hackrf_expansion +LIBS:wolfson +LIBS:passive +LIBS:lcd_kingtech +LIBS:power +LIBS:io_expander +LIBS:trs_jack +LIBS:battery +LIBS:mosfet +LIBS:microphone +LIBS:sd +LIBS:ck +LIBS:altera +LIBS:regulator +LIBS:tp +LIBS:header +LIBS:hole +LIBS:sharebrained +LIBS:fiducial +LIBS:portapack_h1-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 4 +Title "PortaPack H1" +Date "13 Oct 2014" +Rev "20140826" +Comp "ShareBrained Technology, Inc." +Comment1 "Copyright © 2014 Jared Boone" +Comment2 "License: GNU General Public License, version 2" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L HOLE1 H1 +U 1 1 5369BBC4 +P 9500 2000 +F 0 "H1" H 9500 2150 60 0000 C CNN +F 1 "HOLE1" H 9500 1850 60 0000 C CNN +F 2 "" H 9500 2000 60 0000 C CNN +F 3 "" H 9500 2000 60 0000 C CNN + 1 9500 2000 + 1 0 0 -1 +$EndComp +$Comp +L HOLE1 H2 +U 1 1 5369BBD8 +P 9500 2500 +F 0 "H2" H 9500 2650 60 0000 C CNN +F 1 "HOLE1" H 9500 2350 60 0000 C CNN +F 2 "" H 9500 2500 60 0000 C CNN +F 3 "" H 9500 2500 60 0000 C CNN + 1 9500 2500 + 1 0 0 -1 +$EndComp +$Comp +L HOLE1 H3 +U 1 1 5369BBEC +P 9500 3000 +F 0 "H3" H 9500 3150 60 0000 C CNN +F 1 "HOLE1" H 9500 2850 60 0000 C CNN +F 2 "" H 9500 3000 60 0000 C CNN +F 3 "" H 9500 3000 60 0000 C CNN + 1 9500 3000 + 1 0 0 -1 +$EndComp +$Comp +L HOLE1 H4 +U 1 1 5369BC00 +P 9500 3500 +F 0 "H4" H 9500 3650 60 0000 C CNN +F 1 "HOLE1" H 9500 3350 60 0000 C CNN +F 2 "" H 9500 3500 60 0000 C CNN +F 3 "" H 9500 3500 60 0000 C CNN + 1 9500 3500 + 1 0 0 -1 +$EndComp +$Comp +L HOLE1 H5 +U 1 1 5369BC14 +P 9500 4000 +F 0 "H5" H 9500 4150 60 0000 C CNN +F 1 "HOLE1" H 9500 3850 60 0000 C CNN +F 2 "" H 9500 4000 60 0000 C CNN +F 3 "" H 9500 4000 60 0000 C CNN + 1 9500 4000 + 1 0 0 -1 +$EndComp +$Sheet +S 2800 1900 900 3300 +U 53A8BFC3 +F0 "audio" 50 +F1 "audio.sch" 50 +F2 "I2S0_SCK" I R 3700 2300 60 +F3 "I2S0_TX_SDA" I R 3700 2500 60 +F4 "I2S0_MCLK" I R 3700 2200 60 +F5 "I2S0_WS" I R 3700 2400 60 +F6 "SCL" I R 3700 2800 60 +F7 "SDA" B R 3700 2900 60 +F8 "I2S0_RX_SDA" O R 3700 2600 60 +$EndSheet +Wire Wire Line + 9800 2500 9700 2500 +Wire Wire Line + 9800 3000 9700 3000 +Wire Wire Line + 9800 3500 9700 3500 +$Sheet +S 7600 1900 900 3300 +U 53A9129D +F0 "lcd_sw_sd" 50 +F1 "lcd_sw_sd.sch" 50 +F2 "LCD_RS" I L 7600 2400 60 +F3 "LCD_RD#" I L 7600 2500 60 +F4 "LCD_WR#" I L 7600 2600 60 +F5 "LCD_RESET#" I L 7600 2100 60 +F6 "LCD_TE" O L 7600 3000 60 +F7 "SW_SEL" O L 7600 4300 60 +F8 "SW_ROT_A" O L 7600 4100 60 +F9 "SW_ROT_B" O L 7600 4200 60 +F10 "SW_D" O L 7600 3900 60 +F11 "SW_R" O L 7600 3800 60 +F12 "SW_U" O L 7600 3700 60 +F13 "SW_L" O L 7600 4000 60 +F14 "SD_DAT2" B L 7600 5000 60 +F15 "SD_DAT3" B L 7600 5100 60 +F16 "SD_CMD" B L 7600 4700 60 +F17 "SD_CLK" I L 7600 4600 60 +F18 "SD_DAT0" B L 7600 4800 60 +F19 "SD_DAT1" B L 7600 4900 60 +F20 "SD_CD" O L 7600 4500 60 +F21 "TP_R" B L 7600 3300 60 +F22 "TP_D" B L 7600 3400 60 +F23 "TP_L" B L 7600 3500 60 +F24 "TP_U" B L 7600 3200 60 +F25 "LCD_DB[15..0]" B L 7600 2700 60 +F26 "LCD_BACKLIGHT" I L 7600 2000 60 +$EndSheet +Wire Bus Line + 6600 2700 7600 2700 +$Sheet +S 4700 1900 1900 3300 +U 53A8C780 +F0 "hackrf_if" 50 +F1 "hackrf_if.sch" 50 +F2 "LCD_TE" I R 6600 3000 60 +F3 "SW_R" I R 6600 3800 60 +F4 "SW_ROT_B" I R 6600 4200 60 +F5 "SW_ROT_A" I R 6600 4100 60 +F6 "SW_D" I R 6600 3900 60 +F7 "SW_SEL" I R 6600 4300 60 +F8 "SW_U" I R 6600 3700 60 +F9 "SW_L" I R 6600 4000 60 +F10 "LCD_RESET#" O R 6600 2100 60 +F11 "LCD_RS" O R 6600 2400 60 +F12 "LCD_RD#" O R 6600 2500 60 +F13 "LCD_WR#" O R 6600 2600 60 +F14 "TP_U" B R 6600 3200 60 +F15 "TP_L" B R 6600 3500 60 +F16 "TP_D" B R 6600 3400 60 +F17 "TP_R" B R 6600 3300 60 +F18 "I2S0_TX_SDA" O L 4700 2500 60 +F19 "I2S0_WS" O L 4700 2400 60 +F20 "I2S0_MCLK" O L 4700 2200 60 +F21 "I2S0_SCK" O L 4700 2300 60 +F22 "SDA" B L 4700 2900 60 +F23 "SCL" O L 4700 2800 60 +F24 "SD_CD" I R 6600 4500 60 +F25 "SD_DAT2" B R 6600 5000 60 +F26 "SD_DAT0" B R 6600 4800 60 +F27 "SD_CMD" B R 6600 4700 60 +F28 "SD_CLK" O R 6600 4600 60 +F29 "SD_DAT3" B R 6600 5100 60 +F30 "SD_DAT1" B R 6600 4900 60 +F31 "I2S0_RX_SDA" I L 4700 2600 60 +F32 "LCD_DB[15..0]" B R 6600 2700 60 +F33 "LCD_BACKLIGHT" O R 6600 2000 60 +$EndSheet +Wire Wire Line + 6600 2000 7600 2000 +Wire Wire Line + 7600 2100 6600 2100 +Wire Wire Line + 7600 2400 6600 2400 +Wire Wire Line + 6600 2500 7600 2500 +Wire Wire Line + 7600 2600 6600 2600 +Wire Wire Line + 6600 3000 7600 3000 +Wire Wire Line + 7600 3200 6600 3200 +Wire Wire Line + 6600 3300 7600 3300 +Wire Wire Line + 7600 3400 6600 3400 +Wire Wire Line + 6600 3500 7600 3500 +Wire Wire Line + 6600 3700 7600 3700 +Wire Wire Line + 7600 3800 6600 3800 +Wire Wire Line + 6600 3900 7600 3900 +Wire Wire Line + 7600 4000 6600 4000 +Wire Wire Line + 6600 4100 7600 4100 +Wire Wire Line + 7600 4200 6600 4200 +Wire Wire Line + 6600 4300 7600 4300 +Wire Wire Line + 6600 4500 7600 4500 +Wire Wire Line + 6600 4600 7600 4600 +Wire Wire Line + 7600 4700 6600 4700 +Wire Wire Line + 6600 4800 7600 4800 +Wire Wire Line + 7600 4900 6600 4900 +Wire Wire Line + 6600 5000 7600 5000 +Wire Wire Line + 7600 5100 6600 5100 +Wire Wire Line + 3700 2200 4700 2200 +Wire Wire Line + 4700 2300 3700 2300 +Wire Wire Line + 3700 2400 4700 2400 +Wire Wire Line + 4700 2500 3700 2500 +Wire Wire Line + 3700 2600 4700 2600 +Wire Wire Line + 4700 2800 3700 2800 +Wire Wire Line + 3700 2900 4700 2900 +Wire Wire Line + 9700 2000 9800 2000 +Wire Wire Line + 9800 2000 9800 4100 +Connection ~ 9800 2500 +Connection ~ 9800 3000 +Connection ~ 9800 3500 +$Comp +L SHAREBRAINED LOGO1 +U 1 1 53B1AE0D +P 6050 7000 +F 0 "LOGO1" H 6050 7050 60 0000 C CNN +F 1 "SHAREBRAINED" H 6050 6950 60 0000 C CNN +F 2 "" H 6050 7000 60 0000 C CNN +F 3 "" H 6050 7000 60 0000 C CNN + 1 6050 7000 + 1 0 0 -1 +$EndComp +$Comp +L PORTAPACK_H1 LOGO2 +U 1 1 53B1B509 +P 6050 7450 +F 0 "LOGO2" H 6050 7500 60 0000 C CNN +F 1 "PORTAPACK_H1" H 6050 7400 60 0000 C CNN +F 2 "" H 6050 7450 60 0000 C CNN +F 3 "" H 6050 7450 60 0000 C CNN + 1 6050 7450 + 1 0 0 -1 +$EndComp +$Comp +L FIDUCIAL FID1 +U 1 1 53B309AC +P 4100 7100 +F 0 "FID1" H 4100 7225 60 0000 C CNN +F 1 "FIDUCIAL" H 4100 6975 60 0000 C CNN +F 2 "" H 4100 7100 60 0000 C CNN +F 3 "" H 4100 7100 60 0000 C CNN + 1 4100 7100 + 1 0 0 -1 +$EndComp +$Comp +L FIDUCIAL FID2 +U 1 1 53B30B4C +P 4100 7500 +F 0 "FID2" H 4100 7625 60 0000 C CNN +F 1 "FIDUCIAL" H 4100 7375 60 0000 C CNN +F 2 "" H 4100 7500 60 0000 C CNN +F 3 "" H 4100 7500 60 0000 C CNN + 1 4100 7500 + 1 0 0 -1 +$EndComp +$Comp +L FIDUCIAL FID3 +U 1 1 53B30CEC +P 4700 7100 +F 0 "FID3" H 4700 7225 60 0000 C CNN +F 1 "FIDUCIAL" H 4700 6975 60 0000 C CNN +F 2 "" H 4700 7100 60 0000 C CNN +F 3 "" H 4700 7100 60 0000 C CNN + 1 4700 7100 + 1 0 0 -1 +$EndComp +$Comp +L FIDUCIAL FID4 +U 1 1 53B30E8C +P 4700 7500 +F 0 "FID4" H 4700 7625 60 0000 C CNN +F 1 "FIDUCIAL" H 4700 7375 60 0000 C CNN +F 2 "" H 4700 7500 60 0000 C CNN +F 3 "" H 4700 7500 60 0000 C CNN + 1 4700 7500 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR01 +U 1 1 53B3303D +P 9800 4100 +F 0 "#PWR01" H 9800 4100 30 0001 C CNN +F 1 "GND" H 9800 4030 30 0001 C CNN +F 2 "" H 9800 4100 60 0000 C CNN +F 3 "" H 9800 4100 60 0000 C CNN + 1 9800 4100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9700 4000 9800 4000 +Connection ~ 9800 4000 +$EndSCHEMATC